From a824446264d6e3b3c8f97a41a2e70de3e1546f90 Mon Sep 17 00:00:00 2001 From: UnsignedByte Date: Mon, 18 Mar 2024 19:39:06 +0000 Subject: [PATCH 01/11] testing with hypermapper --- Dockerfile | 3 + hm.py | 53 + hypermapper_logfile.log | 22119 ++++++++++++++++++++++++++++++++++++++ test_output_samples.csv | 111 + 4 files changed, 22286 insertions(+) create mode 100644 hm.py create mode 100644 hypermapper_logfile.log create mode 100644 test_output_samples.csv diff --git a/Dockerfile b/Dockerfile index 2bc3cf9f..8eb3ef12 100644 --- a/Dockerfile +++ b/Dockerfile @@ -75,6 +75,9 @@ RUN wget https://github.com/chipsalliance/verible/releases/download/v0.0-3428-gc rm verible.tar.gz ENV PATH=$PATH:/home/verible/bin +# Install hypermapper +RUN pip install hypermapper + # Set rust to 1.76 and runt to 0.4.1 RUN rustup toolchain install 1.76.0 &&\ rustup default 1.76.0 &&\ diff --git a/hm.py b/hm.py new file mode 100644 index 00000000..ae116687 --- /dev/null +++ b/hm.py @@ -0,0 +1,53 @@ +import json +from hypermapper import optimizer +import math + +scenario = { + "application_name": "test", + "optimization_objectives": ["Value", "Energy"], + "optimization_iterations": 10, + "evaluations_per_optimization_iteration": 10, + "input_parameters": { + "x1": { + "parameter_type": "real", + "values": [0, 1] + }, + "x2": { + "parameter_type": "real", + "values": [0, 1] + } + }, +} + +with open('out.json', 'w') as f: + json.dump(scenario, f) + +def Branin(X): + x1 = X['x1'] + x2 = X['x2'] + a = 1.0 + b = 5.1 / (4.0 * math.pi * math.pi) + c = 5.0 / math.pi + r = 6.0 + s = 10.0 + t = 1.0 / (8.0 * math.pi) + y_value = a * (x2 - b * x1 * x1 + c * x1 - r) ** 2 + s * (1 - t) * math.cos(x1) + s + y_energy = x1 + x2 + + optimization_metrics = {} + optimization_metrics["Value"] = y_value + optimization_metrics["Energy"] = y_energy + + return optimization_metrics + +def batch_test(X): + results = {"Value": [], "Energy": []} + for x1, x2 in zip(X['x1'], X['x2']): + in_ = {"x1": x1, "x2": x2} + result = Branin(in_) + results["Value"].append(result["Value"]) + results["Energy"].append(result["Energy"]) + return results + + +optimizer.optimize('out.json', batch_test) \ No newline at end of file diff --git a/hypermapper_logfile.log b/hypermapper_logfile.log new file mode 100644 index 00000000..0af7ad4f --- /dev/null +++ b/hypermapper_logfile.log @@ -0,0 +1,22119 @@ +{'application_name': 'test', 'optimization_objectives': ['f1', 'f2'], 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'optimization_iterations': 50, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'evaluations_per_optimization_iteration': 1, 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} +Design of experiment phase, number of new doe samples = 10 ....... +{'application_name': 'test', 'optimization_objectives': ['f1', 'f2'], 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'optimization_iterations': 50, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'evaluations_per_optimization_iteration': 1, 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} +Design of experiment phase, number of new doe samples = 10 ....... +x1,x2,f1,f2,Timestamp +0.4139784952018203,0.6075824547942199,41.4075847977674,42.4075847977674,3 +0.6087724493132458,0.22202757446885546,41.46713294748904,42.46713294748904,3 +0.8049061188978542,0.6270916613214692,34.091247573622724,35.091247573622724,3 +0.5436456506153748,0.5162601407086606,39.90244538057952,40.90244538057952,3 +0.2953569970397637,0.22153904266806185,47.48505622754741,48.48505622754741,4 +0.40895073797672593,0.17177880361631503,45.83950194280365,46.83950194280365,4 +0.762012074837742,0.44651268428364416,36.44521615062038,37.44521615062038,4 +0.624430044295631,0.3605864530111864,39.84232219440351,40.84232219440351,4 +0.611902463325018,0.6960341585743681,37.03080889395245,38.03080889395245,4 +0.8623191777028056,0.7854870757532411,31.75691183130524,32.75691183130524,4 + + +End of doe/resume phase, the number of evaluated configurations is: 10 + +End of DoE - Time 0.0050 sec +Starting optimization iteration 1 +End of training - Time 0.03 sec +Model fitting time 0.0257 sec +Total RS time 0.0235 sec +Optimization function time 0.5214 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4058 sec +MSLS time 0.5078 sec +Local search time 1.0595 sec +x1,x2,f1,f2,Timestamp +1,0.2218499136896493,33.814045188247896,34.814045188247896,1091 + +Black box function time 0.0005 sec +Total iteration time 1.0859 sec +Starting optimization iteration 2 +End of training - Time 0.03 sec +Model fitting time 0.0267 sec +Total RS time 0.0395 sec +Optimization function time 0.4756 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3678 sec +MSLS time 0.4886 sec +Local search time 1.0079 sec +x1,x2,f1,f2,Timestamp +0.865021240171224,0.22173604732062593,36.46199690319633,37.46199690319633,2126 + +Black box function time 0.0003 sec +Total iteration time 1.0352 sec +Starting optimization iteration 3 +End of training - Time 0.02 sec +Model fitting time 0.0176 sec +0.08733240134774145 < 0.1 random sampling a configuration to run +Local search time 0.0001 sec +x1,x2,f1,f2,Timestamp +0.6702951212748626,0.5055209048887869,37.64620767881034,38.64620767881034,2145 + +Black box function time 0.0002 sec +Total iteration time 0.0181 sec +Starting optimization iteration 4 +End of training - Time 0.02 sec +Model fitting time 0.0162 sec +Total RS time 0.0223 sec +Optimization function time 0.4853 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3877 sec +MSLS time 0.4478 sec +Local search time 0.9621 sec +x1,x2,f1,f2,Timestamp +0.774978328583775,0.8251875968398451,33.01232274222312,34.01232274222312,3123 + +Black box function time 0.0036 sec +Total iteration time 0.9820 sec +Starting optimization iteration 5 +End of training - Time 0.04 sec +Model fitting time 0.0380 sec +Total RS time 0.0280 sec +Optimization function time 0.4874 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3851 sec +MSLS time 0.4364 sec +Local search time 0.9573 sec +x1,x2,f1,f2,Timestamp +0.6113255975018864,0.8173805048810956,35.99313499586743,36.99313499586743,4124 + +Black box function time 0.0007 sec +Total iteration time 0.9962 sec +Starting optimization iteration 6 +End of training - Time 0.04 sec +Model fitting time 0.0401 sec +Total RS time 0.0336 sec +Optimization function time 0.4604 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3982 sec +MSLS time 0.5048 sec +Local search time 1.0042 sec +x1,x2,f1,f2,Timestamp +0.4092944613669548,0.9611268042642839,38.249176765120964,39.249176765120964,5170 + +Black box function time 0.0005 sec +Total iteration time 1.0453 sec +Starting optimization iteration 7 +End of training - Time 0.02 sec +Model fitting time 0.0197 sec +Total RS time 0.0219 sec +Optimization function time 0.5164 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3688 sec +MSLS time 0.4739 sec +Local search time 1.0183 sec +x1,x2,f1,f2,Timestamp +0.7713994562340238,0.8315116571462401,33.0255301770664,34.0255301770664,6209 + +Black box function time 0.0004 sec +Total iteration time 1.0386 sec +Starting optimization iteration 8 +End of training - Time 0.02 sec +Model fitting time 0.0197 sec +Total RS time 0.0205 sec +Optimization function time 0.5347 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3888 sec +MSLS time 0.4863 sec +Local search time 1.0495 sec +x1,x2,f1,f2,Timestamp +0.8468263611028076,0.15155196771443588,37.45870321117266,38.45870321117266,7279 + +Black box function time 0.0022 sec +Total iteration time 1.0716 sec +Starting optimization iteration 9 +End of training - Time 0.02 sec +Model fitting time 0.0243 sec +Total RS time 0.0212 sec +Optimization function time 0.4637 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3932 sec +MSLS time 0.4896 sec +Local search time 0.9817 sec +x1,x2,f1,f2,Timestamp +0.859058212536705,0.9436476785246519,30.593723875865525,31.593723875865525,8288 + +Black box function time 0.0004 sec +Total iteration time 1.0067 sec +Starting optimization iteration 10 +End of training - Time 0.02 sec +Model fitting time 0.0216 sec +Total RS time 0.0217 sec +Optimization function time 0.5539 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3968 sec +MSLS time 0.4926 sec +Local search time 1.0726 sec +x1,x2,f1,f2,Timestamp +0.8610295821736522,0.9456503777787106,30.543809665655502,31.543809665655502,9383 + +Black box function time 0.0005 sec +Total iteration time 1.0949 sec +Starting optimization iteration 11 +End of training - Time 0.02 sec +Model fitting time 0.0241 sec +Total RS time 0.0215 sec +Optimization function time 0.5032 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3866 sec +MSLS time 0.4411 sec +Local search time 0.9703 sec +x1,x2,f1,f2,Timestamp +0.9765551023014334,0.9531176100353759,28.45036617395004,29.45036617395004,10378 + +Black box function time 0.0005 sec +Total iteration time 0.9952 sec +Starting optimization iteration 12 +End of training - Time 0.04 sec +Model fitting time 0.0367 sec +Total RS time 0.0227 sec +Optimization function time 0.4951 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4015 sec +MSLS time 0.4976 sec +Local search time 1.0227 sec +x1,x2,f1,f2,Timestamp +0.8848370806059027,0.9977162703165094,29.736372599465135,30.736372599465135,11438 + +Black box function time 0.0005 sec +Total iteration time 1.0602 sec +Starting optimization iteration 13 +End of training - Time 0.03 sec +Model fitting time 0.0285 sec +Total RS time 0.0214 sec +Optimization function time 0.4975 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3737 sec +MSLS time 0.4701 sec +Local search time 0.9957 sec +x1,x2,f1,f2,Timestamp +0.9938170836646961,0.9988615429182572,27.819262390144342,28.819262390144342,12463 + +Black box function time 0.0010 sec +Total iteration time 1.0255 sec +Starting optimization iteration 14 +End of training - Time 0.03 sec +Model fitting time 0.0270 sec +0.00962856921959554 < 0.1 random sampling a configuration to run +Local search time 0.0001 sec +x1,x2,f1,f2,Timestamp +0.6127130686228057,0.21347793811790958,41.47361008457783,42.47361008457783,12492 + +Black box function time 0.0002 sec +Total iteration time 0.0275 sec +Starting optimization iteration 15 +End of training - Time 0.02 sec +Model fitting time 0.0198 sec +Total RS time 0.0211 sec +Optimization function time 0.4889 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3776 sec +MSLS time 0.4739 sec +Local search time 0.9910 sec +x1,x2,f1,f2,Timestamp +1,0.9816308985401122,27.83320932776082,28.83320932776082,13503 + +Black box function time 0.0006 sec +Total iteration time 1.0115 sec +Starting optimization iteration 16 +End of training - Time 0.02 sec +Model fitting time 0.0251 sec +Total RS time 0.0217 sec +Optimization function time 0.5065 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3752 sec +MSLS time 0.4269 sec +Local search time 0.9600 sec +x1,x2,f1,f2,Timestamp +1,0.9587793649842983,27.996251818020042,28.996251818020042,14489 + +Black box function time 0.0005 sec +Total iteration time 0.9858 sec +Starting optimization iteration 17 +End of training - Time 0.02 sec +Model fitting time 0.0242 sec +Total RS time 0.0229 sec +Optimization function time 0.5310 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3656 sec +MSLS time 0.4807 sec +Local search time 1.0395 sec +x1,x2,f1,f2,Timestamp +1,0.962572587570873,27.969115413906522,28.969115413906522,15554 + +Black box function time 0.0005 sec +Total iteration time 1.0645 sec +Starting optimization iteration 18 +End of training - Time 0.02 sec +Model fitting time 0.0243 sec +Total RS time 0.0213 sec +Optimization function time 0.4844 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4016 sec +MSLS time 0.4543 sec +Local search time 0.9649 sec +x1,x2,f1,f2,Timestamp +0.9843986377643462,0.9614965903460944,28.251653136465265,29.251653136465265,16544 + +Black box function time 0.0006 sec +Total iteration time 0.9901 sec +Starting optimization iteration 19 +End of training - Time 0.06 sec +Model fitting time 0.0593 sec +Total RS time 0.0229 sec +Optimization function time 0.5020 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3922 sec +MSLS time 0.4388 sec +Local search time 0.9683 sec +x1,x2,f1,f2,Timestamp +0.09504376820370931,0.9730317002335369,43.34262129375265,44.34262129375265,17572 + +Black box function time 0.0004 sec +Total iteration time 1.0282 sec +Starting optimization iteration 20 +End of training - Time 0.02 sec +Model fitting time 0.0250 sec +Total RS time 0.0227 sec +Optimization function time 0.4939 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3575 sec +MSLS time 0.4087 sec +Local search time 0.9302 sec +x1,x2,f1,f2,Timestamp +0.9978206130565014,0.9738277229269177,27.927085576954866,28.927085576954866,18528 + +Black box function time 0.0004 sec +Total iteration time 0.9559 sec +Starting optimization iteration 21 +End of training - Time 0.03 sec +Model fitting time 0.0277 sec +0.018198721587520894 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +x1,x2,f1,f2,Timestamp +0.49909890357687303,0.5406261602415394,40.49460218280217,41.49460218280217,18557 + +Black box function time 0.0002 sec +Total iteration time 0.0283 sec +Starting optimization iteration 22 +End of training - Time 0.03 sec +Model fitting time 0.0269 sec +Total RS time 0.0223 sec +Optimization function time 0.5306 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3910 sec +MSLS time 0.4400 sec +Local search time 0.9974 sec +x1,x2,f1,f2,Timestamp +0.9944048356972622,0.9695999809057249,28.01736008083039,29.01736008083039,19582 + +Black box function time 0.0005 sec +Total iteration time 1.0250 sec +Starting optimization iteration 23 +End of training - Time 0.05 sec +Model fitting time 0.0501 sec +Total RS time 0.0263 sec +Optimization function time 0.5108 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4054 sec +MSLS time 0.4557 sec +Local search time 0.9977 sec +x1,x2,f1,f2,Timestamp +1,0.9864589575088362,27.798895442169133,28.798895442169133,20631 + +Black box function time 0.0005 sec +Total iteration time 1.0486 sec +Starting optimization iteration 24 +End of training - Time 0.03 sec +Model fitting time 0.0293 sec +Total RS time 0.0237 sec +Optimization function time 0.5089 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4686 sec +MSLS time 0.5622 sec +Local search time 1.1006 sec +x1,x2,f1,f2,Timestamp +1,0.9734240585998079,27.891643794242082,28.891643794242082,21761 + +Black box function time 0.0005 sec +Total iteration time 1.1307 sec +Starting optimization iteration 25 +End of training - Time 0.03 sec +Model fitting time 0.0300 sec +Total RS time 0.0216 sec +Optimization function time 0.4987 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3931 sec +MSLS time 0.4420 sec +Local search time 0.9672 sec +x1,x2,f1,f2,Timestamp +0.9997044810250937,0.9730397718088898,27.89957983225102,28.89957983225102,22759 + +Black box function time 0.0004 sec +Total iteration time 0.9979 sec +Starting optimization iteration 26 +End of training - Time 0.03 sec +Model fitting time 0.0288 sec +Total RS time 0.0216 sec +Optimization function time 0.5529 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3751 sec +MSLS time 0.4711 sec +Local search time 1.0530 sec +x1,x2,f1,f2,Timestamp +0.9986925712106909,0.9706789006093152,27.934218728354484,28.934218728354484,23842 + +Black box function time 0.0025 sec +Total iteration time 1.0847 sec +Starting optimization iteration 27 +End of training - Time 0.03 sec +Model fitting time 0.0334 sec +Total RS time 0.0208 sec +Optimization function time 0.5000 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3727 sec +MSLS time 0.4672 sec +Local search time 0.9991 sec +x1,x2,f1,f2,Timestamp +1,0.9715390674314335,27.905084360022222,28.905084360022222,24879 + +Black box function time 0.0006 sec +Total iteration time 1.0333 sec +Starting optimization iteration 28 +End of training - Time 0.03 sec +Model fitting time 0.0336 sec +Total RS time 0.0217 sec +Optimization function time 0.5109 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3856 sec +MSLS time 0.4779 sec +Local search time 1.0177 sec +x1,x2,f1,f2,Timestamp +0.9879206401360154,0.9725194011752414,28.110531886495206,29.110531886495206,25931 + +Black box function time 0.0008 sec +Total iteration time 1.0523 sec +Starting optimization iteration 29 +End of training - Time 0.04 sec +Model fitting time 0.0368 sec +Total RS time 0.0229 sec +Optimization function time 0.5469 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4445 sec +MSLS time 0.4981 sec +Local search time 1.0727 sec +x1,x2,f1,f2,Timestamp +0.9137881303901504,0.9727545619136275,29.412612931945397,30.412612931945397,27042 + +Black box function time 0.0004 sec +Total iteration time 1.1102 sec +Starting optimization iteration 30 +End of training - Time 0.03 sec +Model fitting time 0.0343 sec +0.0814227589727824 < 0.1 random sampling a configuration to run +Local search time 0.0001 sec +x1,x2,f1,f2,Timestamp +0.8648597219256245,0.8732182238301993,31.028307232084174,32.028307232084174,27077 + +Black box function time 0.0002 sec +Total iteration time 0.0349 sec +Starting optimization iteration 31 +End of training - Time 0.03 sec +Model fitting time 0.0282 sec +Total RS time 0.0217 sec +Optimization function time 0.5383 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4337 sec +MSLS time 0.5281 sec +Local search time 1.0942 sec +x1,x2,f1,f2,Timestamp +1,0.9609512858041913,27.98071055279013,28.98071055279013,28200 + +Black box function time 0.0012 sec +Total iteration time 1.1238 sec +Starting optimization iteration 32 +End of training - Time 0.03 sec +Model fitting time 0.0324 sec +0.005602809604168479 < 0.1 random sampling a configuration to run +Local search time 0.0001 sec +x1,x2,f1,f2,Timestamp +0.4889167093057132,0.4879459529920409,41.18046079390311,42.18046079390311,28234 + +Black box function time 0.0002 sec +Total iteration time 0.0330 sec +Starting optimization iteration 33 +End of training - Time 0.03 sec +Model fitting time 0.0286 sec +Total RS time 0.0218 sec +Optimization function time 0.5182 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3920 sec +MSLS time 0.4430 sec +Local search time 0.9879 sec +x1,x2,f1,f2,Timestamp +0.9752609317883033,0.9729316024042066,28.330231973704294,29.330231973704294,29251 + +Black box function time 0.0004 sec +Total iteration time 1.0171 sec +Starting optimization iteration 34 +End of training - Time 0.03 sec +Model fitting time 0.0331 sec +Total RS time 0.0225 sec +Optimization function time 0.6937 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3972 sec +MSLS time 0.4923 sec +Local search time 1.2139 sec +x1,x2,f1,f2,Timestamp +0.7679731571487691,0.989919924153524,31.83751718370337,32.83751718370337,30499 + +Black box function time 0.0004 sec +Total iteration time 1.2477 sec +Starting optimization iteration 35 +End of training - Time 0.03 sec +Model fitting time 0.0318 sec +Total RS time 0.0217 sec +Optimization function time 0.5081 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3828 sec +MSLS time 0.4530 sec +Local search time 0.9896 sec +x1,x2,f1,f2,Timestamp +0.7667069384654858,0.9850498692734387,31.897271492573335,32.89727149257334,31521 + +Black box function time 0.0005 sec +Total iteration time 1.0222 sec +Starting optimization iteration 36 +End of training - Time 0.04 sec +Model fitting time 0.0416 sec +Total RS time 0.0251 sec +Optimization function time 0.6026 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4042 sec +MSLS time 0.4638 sec +Local search time 1.0984 sec +x1,x2,f1,f2,Timestamp +0.7094265102421193,0.9716652428782392,33.00085467108023,34.00085467108023,32662 + +Black box function time 0.0007 sec +Total iteration time 1.1410 sec +Starting optimization iteration 37 +End of training - Time 0.05 sec +Model fitting time 0.0502 sec +Total RS time 0.0231 sec +Optimization function time 0.5642 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3884 sec +MSLS time 0.4400 sec +Local search time 1.0318 sec +x1,x2,f1,f2,Timestamp +1,0.9850760665714325,27.808719133105406,28.808719133105406,33745 + +Black box function time 0.0005 sec +Total iteration time 1.0828 sec +Starting optimization iteration 38 +End of training - Time 0.03 sec +Model fitting time 0.0329 sec +Total RS time 0.0211 sec +Optimization function time 0.5173 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4260 sec +MSLS time 0.5223 sec +Local search time 1.0705 sec +x1,x2,f1,f2,Timestamp +0.6861645985706023,0.9752349417289569,33.37720547696193,34.37720547696193,34849 + +Black box function time 0.0021 sec +Total iteration time 1.1059 sec +Starting optimization iteration 39 +End of training - Time 0.04 sec +Model fitting time 0.0404 sec +Total RS time 0.0209 sec +Optimization function time 0.5397 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3930 sec +MSLS time 0.4928 sec +Local search time 1.0606 sec +x1,x2,f1,f2,Timestamp +1,0.9813443411191884,27.83524740864903,28.83524740864903,35953 + +Black box function time 0.0025 sec +Total iteration time 1.1038 sec +Starting optimization iteration 40 +End of training - Time 0.03 sec +Model fitting time 0.0334 sec +Total RS time 0.0224 sec +Optimization function time 0.4804 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4149 sec +MSLS time 0.4707 sec +Local search time 0.9809 sec +x1,x2,f1,f2,Timestamp +1,0.9786459312304974,27.85444735024909,28.85444735024909,36971 + +Black box function time 0.0040 sec +Total iteration time 1.0186 sec +Starting optimization iteration 41 +End of training - Time 0.05 sec +Model fitting time 0.0519 sec +Total RS time 0.0211 sec +Optimization function time 0.5172 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3837 sec +MSLS time 0.4344 sec +Local search time 0.9773 sec +x1,x2,f1,f2,Timestamp +0.9754661051200775,0.9717891057538699,28.334844926197455,29.334844926197455,38008 + +Black box function time 0.0004 sec +Total iteration time 1.0298 sec +Starting optimization iteration 42 +End of training - Time 0.04 sec +Model fitting time 0.0409 sec +Total RS time 0.0262 sec +Optimization function time 0.5346 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3776 sec +MSLS time 0.4694 sec +Local search time 1.0377 sec +x1,x2,f1,f2,Timestamp +1,0.9988615429182572,27.710961736011814,28.710961736011814,39087 + +Black box function time 0.0015 sec +Total iteration time 1.0804 sec +Starting optimization iteration 43 +End of training - Time 0.04 sec +Model fitting time 0.0389 sec +Total RS time 0.0212 sec +Optimization function time 0.5058 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4279 sec +MSLS time 0.4850 sec +Local search time 1.0169 sec +x1,x2,f1,f2,Timestamp +1,1,27.702905548512433,28.702905548512433,40145 + +Black box function time 0.0004 sec +Total iteration time 1.0565 sec +Starting optimization iteration 44 +End of training - Time 0.05 sec +Model fitting time 0.0517 sec +Total RS time 0.0226 sec +Optimization function time 0.5323 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3852 sec +MSLS time 0.4815 sec +Local search time 1.0426 sec +x1,x2,f1,f2,Timestamp +0.9981811066256727,0.8713886688461273,28.661883894515437,29.661883894515437,41240 + +Black box function time 0.0005 sec +Total iteration time 1.0951 sec +Starting optimization iteration 45 +End of training - Time 0.04 sec +Model fitting time 0.0371 sec +Total RS time 0.0211 sec +Optimization function time 0.5152 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3907 sec +MSLS time 0.4433 sec +Local search time 0.9845 sec +x1,x2,f1,f2,Timestamp +1,0.7514636256118309,29.52313787040736,30.52313787040736,42263 + +Black box function time 0.0004 sec +Total iteration time 1.0223 sec +Starting optimization iteration 46 +End of training - Time 0.06 sec +Model fitting time 0.0618 sec +Total RS time 0.0233 sec +Optimization function time 0.5145 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3942 sec +MSLS time 0.4464 sec +Local search time 0.9924 sec +x1,x2,f1,f2,Timestamp +1,0.971737191625679,27.90367133947794,28.90367133947794,43318 + +Black box function time 0.0018 sec +Total iteration time 1.0563 sec +Starting optimization iteration 47 +End of training - Time 0.06 sec +Model fitting time 0.0601 sec +Total RS time 0.0207 sec +Optimization function time 0.4981 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4277 sec +MSLS time 0.4812 sec +Local search time 1.0044 sec +x1,x2,f1,f2,Timestamp +0.9853902516948563,0.8493326197137044,29.053367204672817,30.053367204672817,44385 + +Black box function time 0.0006 sec +Total iteration time 1.0655 sec +Starting optimization iteration 48 +End of training - Time 0.05 sec +Model fitting time 0.0548 sec +Total RS time 0.0217 sec +Optimization function time 0.5393 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4207 sec +MSLS time 0.5233 sec +Local search time 1.0902 sec +x1,x2,f1,f2,Timestamp +0.8819068892432717,0.8444586416008615,30.94499390039128,31.94499390039128,45531 + +Black box function time 0.0005 sec +Total iteration time 1.1457 sec +Starting optimization iteration 49 +End of training - Time 0.04 sec +Model fitting time 0.0403 sec +Total RS time 0.0212 sec +Optimization function time 0.5230 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3770 sec +MSLS time 0.4739 sec +Local search time 1.0301 sec +x1,x2,f1,f2,Timestamp +1,0.9769535303392068,27.866496687278797,28.866496687278797,46603 + +Black box function time 0.0006 sec +Total iteration time 1.0714 sec +Starting optimization iteration 50 +End of training - Time 0.04 sec +Model fitting time 0.0409 sec +Total RS time 0.0215 sec +Optimization function time 0.4862 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4224 sec +MSLS time 0.4751 sec +Local search time 0.9874 sec +x1,x2,f1,f2,Timestamp +0.6705961490372421,1,33.44933039592552,34.44933039592552,47632 + +Black box function time 0.0004 sec +Total iteration time 1.0289 sec +End of BO phase - Time 47.6266 sec +End of Bayesian Optimization +Total script time 47.63 sec +End of HyperMapper +{'application_name': 'test', 'optimization_objectives': ['f1', 'f2'], 'evaluations_per_optimization_iteration': 10, 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'optimization_iterations': 50, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} +Design of experiment phase, number of new doe samples = 10 ....... +{'application_name': 'test', 'optimization_objectives': ['f1', 'f2'], 'evaluations_per_optimization_iteration': 10, 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'optimization_iterations': 50, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} +Design of experiment phase, number of new doe samples = 10 ....... +{'application_name': 'test', 'optimization_objectives': ['f1', 'f2'], 'evaluations_per_optimization_iteration': 10, 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'optimization_iterations': 50, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} +Design of experiment phase, number of new doe samples = 10 ....... +x1,x2,f1,f2,Timestamp +0.44268004092898633,0.23065484240540202,44.585792654965815,45.585792654965815,0 +0.7673647627791609,0.11430777724149577,39.38304329412894,40.38304329412894,0 +0.02225073707085616,0.01358430423963331,55.01492832447801,56.01492832447801,0 +0.48418104165455605,0.11015053348424467,45.016135856266736,46.016135856266736,0 +0.2968739298776369,0.428825377546591,45.29489641247047,46.29489641247047,0 +0.5894397598920752,0.25083226583419366,41.56182400430501,42.56182400430501,0 +0.8384004676764129,0.43861312145082737,35.064197119176484,36.064197119176484,0 +0.9807550227495021,0.44379940933890727,32.31318503687272,33.31318503687272,0 +0.32633845245996573,0.8931969289920478,40.266167163825585,41.266167163825585,0 +0.2243574750394148,0.09460218484625506,50.2175322626299,51.2175322626299,0 + + +End of doe/resume phase, the number of evaluated configurations is: 10 + +End of DoE - Time 0.0009 sec +Starting optimization iteration 1 +End of training - Time 0.02 sec +Model fitting time 0.0157 sec +Total RS time 0.0247 sec +Optimization function time 0.5044 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3785 sec +MSLS time 0.4760 sec +Local search time 1.0099 sec +Total iteration time 1.0280 sec +End of training - Time 0.02 sec +Model fitting time 0.0174 sec +Total RS time 0.0221 sec +Optimization function time 0.4637 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3590 sec +MSLS time 0.4892 sec +Local search time 0.9809 sec +Total iteration time 2.0288 sec +End of training - Time 0.02 sec +Model fitting time 0.0173 sec +Total RS time 0.0220 sec +Optimization function time 0.5835 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3900 sec +MSLS time 0.4880 sec +Local search time 1.0996 sec +Total iteration time 3.1486 sec +End of training - Time 0.03 sec +Model fitting time 0.0267 sec +Total RS time 0.0402 sec +Optimization function time 0.4888 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3786 sec +MSLS time 0.4719 sec +Local search time 1.0050 sec +Total iteration time 4.1825 sec +End of training - Time 0.02 sec +Model fitting time 0.0177 sec +Total RS time 0.0215 sec +Optimization function time 0.4870 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3880 sec +MSLS time 0.4826 sec +Local search time 0.9980 sec +Total iteration time 5.2009 sec +End of training - Time 0.02 sec +Model fitting time 0.0175 sec +Total RS time 0.0209 sec +Optimization function time 0.4949 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3699 sec +MSLS time 0.4653 sec +Local search time 0.9883 sec +Total iteration time 6.2104 sec +End of training - Time 0.03 sec +Model fitting time 0.0260 sec +Total RS time 0.0220 sec +Optimization function time 0.5152 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3850 sec +MSLS time 0.4778 sec +Local search time 1.0222 sec +Total iteration time 7.2622 sec +End of training - Time 0.02 sec +Model fitting time 0.0230 sec +Total RS time 0.0224 sec +Optimization function time 0.4928 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4206 sec +MSLS time 0.4699 sec +Local search time 0.9895 sec +Total iteration time 8.2786 sec +End of training - Time 0.06 sec +Model fitting time 0.0551 sec +Total RS time 0.0265 sec +Optimization function time 0.5058 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4039 sec +MSLS time 0.4809 sec +Local search time 1.0194 sec +Total iteration time 9.3562 sec +End of training - Time 0.03 sec +Model fitting time 0.0277 sec +Total RS time 0.0220 sec +Optimization function time 0.5198 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3669 sec +MSLS time 0.5078 sec +Local search time 1.0540 sec +x1,x2,f1,f2,Timestamp +0.9786535397511555,0.4366896962925308,32.41178986611257,33.41178986611257,10439 +1,0.6349060225382004,30.41933768392643,31.41933768392643,10439 +1,0.4366896962925308,32.00579662741771,33.00579662741771,10439 +1,0.43763144810198384,31.998073368846377,32.99807336884638,10439 +0.9731812951621586,0.4366887747871771,32.51590399364837,33.51590399364837,10439 +1,0.4346599507012302,32.02244849890315,33.02244849890315,10439 +1,0.43099505977680663,32.052535842944636,33.052535842944636,10439 +1,0.44379940933890727,31.947534085668508,32.94753408566851,10439 +0.9720801355511023,0.43822858989340324,32.52411220937784,33.52411220937784,10439 +1,0.47440871094637743,31.697852113572687,32.69785211357269,10439 + +Black box function time 0.0007 sec +Total iteration time 10.4389 sec +Starting optimization iteration 2 +End of training - Time 0.02 sec +Model fitting time 0.0212 sec +Total RS time 0.0213 sec +Optimization function time 0.4958 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3838 sec +MSLS time 0.4817 sec +Local search time 1.0052 sec +Total iteration time 1.0292 sec +End of training - Time 0.02 sec +Model fitting time 0.0239 sec +Total RS time 0.0223 sec +Optimization function time 0.5090 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3444 sec +MSLS time 0.4366 sec +Local search time 0.9747 sec +Total iteration time 2.0309 sec +End of training - Time 0.03 sec +Model fitting time 0.0261 sec +Total RS time 0.0239 sec +Optimization function time 0.5119 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3921 sec +MSLS time 0.4394 sec +Local search time 0.9798 sec +Total iteration time 3.0391 sec +End of training - Time 0.02 sec +Model fitting time 0.0208 sec +Total RS time 0.0209 sec +Optimization function time 0.5224 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3550 sec +MSLS time 0.4540 sec +Local search time 1.0052 sec +Total iteration time 4.0676 sec +End of training - Time 0.02 sec +Model fitting time 0.0220 sec +Total RS time 0.0213 sec +Optimization function time 0.4901 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4045 sec +MSLS time 0.5271 sec +Local search time 1.0432 sec +Total iteration time 5.1350 sec +End of training - Time 0.02 sec +Model fitting time 0.0215 sec +Total RS time 0.0208 sec +Optimization function time 0.4852 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4111 sec +MSLS time 0.4598 sec +Local search time 0.9705 sec +Total iteration time 6.1296 sec +End of training - Time 0.05 sec +Model fitting time 0.0480 sec +Total RS time 0.0265 sec +Optimization function time 0.5114 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3632 sec +MSLS time 0.4143 sec +Local search time 0.9567 sec +Total iteration time 7.1385 sec +End of training - Time 0.07 sec +Model fitting time 0.0660 sec +Total RS time 0.0222 sec +Optimization function time 0.4777 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3573 sec +MSLS time 0.4128 sec +Local search time 0.9177 sec +Total iteration time 8.1257 sec +End of training - Time 0.07 sec +Model fitting time 0.0678 sec +Total RS time 0.0406 sec +Optimization function time 0.4835 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3538 sec +MSLS time 0.4051 sec +Local search time 0.9338 sec +Total iteration time 9.1302 sec +End of training - Time 0.04 sec +Model fitting time 0.0392 sec +Total RS time 0.0321 sec +Optimization function time 0.4887 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3636 sec +MSLS time 0.4179 sec +Local search time 0.9431 sec +x1,x2,f1,f2,Timestamp +1,0.02235281099624037,35.57581755457497,36.57581755457497,20552 +1,0.01789613388617264,35.61608372679754,36.61608372679754,20552 +1,0.0063203613653389406,35.72085666505343,36.72085666505343,20552 +1,0.0016077785997945678,35.76358726476962,36.76358726476962,20552 +0.9923046646402655,0.015222413481687114,35.79525113147851,36.79525113147851,20552 +0.9865574402355649,0.00923418816557642,35.9654443557087,36.9654443557087,20552 +1,0.016312821671512195,35.63039854943041,36.63039854943041,20552 +1,0.0009745001096123745,35.76933280285073,36.76933280285073,20552 +0.986154504326219,0.002111842615280233,36.03838857598604,37.03838857598604,20552 +0.9821912501773277,0.016153854566173843,35.990535335578755,36.990535335578755,20552 + +Black box function time 0.0010 sec +Total iteration time 10.1138 sec +Starting optimization iteration 3 +End of training - Time 0.03 sec +Model fitting time 0.0298 sec +0.0316518690798715 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 0.0329 sec +End of training - Time 0.03 sec +Model fitting time 0.0313 sec +Total RS time 0.0220 sec +Optimization function time 0.5083 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3909 sec +MSLS time 0.4383 sec +Local search time 0.9728 sec +Total iteration time 1.0392 sec +End of training - Time 0.05 sec +Model fitting time 0.0542 sec +Total RS time 0.0224 sec +Optimization function time 0.5950 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3574 sec +MSLS time 0.4530 sec +Local search time 1.0768 sec +Total iteration time 2.1740 sec +End of training - Time 0.03 sec +Model fitting time 0.0307 sec +Total RS time 0.0223 sec +Optimization function time 0.4965 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4000 sec +MSLS time 0.5005 sec +Local search time 1.0264 sec +Total iteration time 3.2350 sec +End of training - Time 0.03 sec +Model fitting time 0.0267 sec +Total RS time 0.0222 sec +Optimization function time 0.5029 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4045 sec +MSLS time 0.5003 sec +Local search time 1.0324 sec +Total iteration time 4.2977 sec +End of training - Time 0.03 sec +Model fitting time 0.0326 sec +Total RS time 0.0213 sec +Optimization function time 0.4863 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3707 sec +MSLS time 0.4488 sec +Local search time 0.9628 sec +Total iteration time 5.2966 sec +End of training - Time 0.03 sec +Model fitting time 0.0320 sec +Total RS time 0.0215 sec +Optimization function time 0.4972 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4021 sec +MSLS time 0.4516 sec +Local search time 0.9749 sec +Total iteration time 6.3058 sec +End of training - Time 0.03 sec +Model fitting time 0.0296 sec +0.05081725580048779 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 6.3375 sec +End of training - Time 0.03 sec +Model fitting time 0.0294 sec +Total RS time 0.0212 sec +Optimization function time 0.5042 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4145 sec +MSLS time 0.5098 sec +Local search time 1.0415 sec +Total iteration time 7.4121 sec +End of training - Time 0.03 sec +Model fitting time 0.0346 sec +Total RS time 0.0210 sec +Optimization function time 0.5097 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3814 sec +MSLS time 0.4868 sec +Local search time 1.0221 sec +x1,x2,f1,f2,Timestamp +0.9016256477429662,0.94404945919703,29.839509462594712,30.839509462594712,29023 +0.871191212936516,0.9953347196001712,29.9928630248323,30.9928630248323,29023 +0.8571516398256523,0.9427079763463674,30.634468006000574,31.634468006000574,29023 +0.8171904348910396,0.9765226918488833,31.08007047413774,32.08007047413774,29023 +0.7912079939826848,1,31.354968802125953,32.35496880212595,29023 +0.7754574969269088,1,31.62940080810729,32.62940080810729,29023 +0.7687198662535161,0.9753378924056204,31.93736925514846,32.93736925514846,29023 +0.7094029513116495,0.05595288502820207,41.10010629929329,42.10010629929329,29023 +0.6967552230702306,0.05275393446585464,41.38433292070506,42.38433292070506,29023 +0.6901559358428805,0.05221822164471218,41.52154765051175,42.52154765051175,29023 + +Black box function time 0.0007 sec +Total iteration time 8.4697 sec +Starting optimization iteration 4 +End of training - Time 0.03 sec +Model fitting time 0.0282 sec +Total RS time 0.0213 sec +Optimization function time 0.5113 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3690 sec +MSLS time 0.4727 sec +Local search time 1.0127 sec +Total iteration time 1.0447 sec +End of training - Time 0.05 sec +Model fitting time 0.0478 sec +Total RS time 0.0477 sec +Optimization function time 0.5137 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3946 sec +MSLS time 0.4486 sec +Local search time 1.0145 sec +Total iteration time 2.1094 sec +End of training - Time 0.04 sec +Model fitting time 0.0357 sec +Total RS time 0.0216 sec +Optimization function time 0.4977 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3936 sec +MSLS time 0.4612 sec +Local search time 0.9869 sec +Total iteration time 3.1360 sec +End of training - Time 0.04 sec +Model fitting time 0.0365 sec +0.004423972938741749 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 3.1744 sec +End of training - Time 0.03 sec +Model fitting time 0.0276 sec +0.009428043598614155 < 0.1 random sampling a configuration to run +Local search time 0.0001 sec +Total iteration time 3.2037 sec +End of training - Time 0.03 sec +Model fitting time 0.0280 sec +Total RS time 0.0224 sec +Optimization function time 0.5107 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3666 sec +MSLS time 0.4146 sec +Local search time 0.9523 sec +Total iteration time 4.1865 sec +End of training - Time 0.03 sec +Model fitting time 0.0339 sec +Total RS time 0.0240 sec +Optimization function time 0.4963 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3657 sec +MSLS time 0.4314 sec +Local search time 0.9582 sec +Total iteration time 5.1827 sec +End of training - Time 0.05 sec +Model fitting time 0.0519 sec +Total RS time 0.0230 sec +Optimization function time 0.4840 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3735 sec +MSLS time 0.4762 sec +Local search time 0.9905 sec +Total iteration time 6.2290 sec +End of training - Time 0.05 sec +Model fitting time 0.0517 sec +0.0328665087766804 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 6.2834 sec +End of training - Time 0.05 sec +Model fitting time 0.0530 sec +Total RS time 0.0213 sec +Optimization function time 0.4828 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3862 sec +MSLS time 0.4771 sec +Local search time 0.9863 sec +x1,x2,f1,f2,Timestamp +0.08228152152965684,0.941464210277625,43.859298198387464,44.859298198387464,36346 +0.04924285132047137,0.9408016851053608,44.40222021536238,45.40222021536238,36346 +0.04418698029171897,0.9349014334486844,44.54301525269095,45.54301525269095,36346 +0.5058053267244729,0.4140860323401178,41.57389674468942,42.57389674468942,36346 +0.10746769691081577,0.33103483848331705,49.79030447168678,50.79030447168678,36346 +0.014978252602976987,0.9758433952590342,44.604505251432265,45.604505251432265,36346 +0.00010455944608432426,0.9165594902236656,45.441788162073884,46.441788162073884,36346 +0.000894147807606719,0.3696736608379133,51.28666186268088,52.28666186268088,36346 +0.6815030236670483,0.13423698838626233,40.89366687512912,41.89366687512912,36346 +0.006685569283547998,0.2875581274930474,52.112503769422034,53.112503769422034,36346 + +Black box function time 0.0006 sec +Total iteration time 7.3236 sec +Starting optimization iteration 5 +End of training - Time 0.03 sec +Model fitting time 0.0328 sec +Total RS time 0.1258 sec +Optimization function time 0.4845 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3623 sec +MSLS time 0.4167 sec +Local search time 1.0321 sec +Total iteration time 1.0676 sec +End of training - Time 0.04 sec +Model fitting time 0.0406 sec +Total RS time 0.0222 sec +Optimization function time 0.4997 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3803 sec +MSLS time 0.4519 sec +Local search time 0.9785 sec +Total iteration time 2.0890 sec +End of training - Time 0.04 sec +Model fitting time 0.0353 sec +Total RS time 0.0242 sec +Optimization function time 0.4934 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3768 sec +MSLS time 0.4945 sec +Local search time 1.0184 sec +Total iteration time 3.1460 sec +End of training - Time 0.06 sec +Model fitting time 0.0618 sec +Total RS time 0.0326 sec +Optimization function time 0.5078 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4082 sec +MSLS time 0.5017 sec +Local search time 1.0486 sec +Total iteration time 4.2611 sec +End of training - Time 0.03 sec +Model fitting time 0.0348 sec +Total RS time 0.0222 sec +Optimization function time 0.5238 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3638 sec +MSLS time 0.4600 sec +Local search time 1.0125 sec +Total iteration time 5.3119 sec +End of training - Time 0.04 sec +Model fitting time 0.0366 sec +Total RS time 0.0217 sec +Optimization function time 0.4830 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4112 sec +MSLS time 0.5124 sec +Local search time 1.0240 sec +Total iteration time 6.3765 sec +End of training - Time 0.06 sec +Model fitting time 0.0590 sec +0.013374888594935186 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 6.4383 sec +End of training - Time 0.05 sec +Model fitting time 0.0547 sec +Total RS time 0.0218 sec +Optimization function time 0.5081 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3937 sec +MSLS time 0.5169 sec +Local search time 1.0510 sec +Total iteration time 7.5463 sec +End of training - Time 0.04 sec +Model fitting time 0.0355 sec +Total RS time 0.0211 sec +Optimization function time 0.5166 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3720 sec +MSLS time 0.4716 sec +Local search time 1.0169 sec +Total iteration time 8.6024 sec +End of training - Time 0.04 sec +Model fitting time 0.0380 sec +Total RS time 0.0218 sec +Optimization function time 0.4978 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4025 sec +MSLS time 0.4603 sec +Local search time 0.9877 sec +x1,x2,f1,f2,Timestamp +0.8852907672306723,0.9418842556231676,30.14409697143411,31.14409697143411,45975 +0.8878646320019085,0.9417181612394796,30.099898297252402,31.099898297252402,45975 +0.8874543243211606,0.9418349676501674,30.106267462468345,31.106267462468345,45975 +0.8836681964099232,0.9197339332425194,30.33947595071106,31.33947595071106,45975 +0.8844652767563254,0.9409957453563679,30.165338274314053,31.165338274314053,45975 +0.8893351887559812,0.9350373148611433,30.124017341146335,31.124017341146335,45975 +0.00964471912769813,0.7081498851151241,47.443245826087875,48.443245826087875,45975 +0.009460824373008271,0.7105768345961299,47.42073943834911,48.42073943834911,45975 +0.009433456266830877,0.7026549461695247,47.50483020087676,48.50483020087676,45975 +0.009375545589478595,0.7113476388949812,47.414046073408656,48.414046073408656,45975 + +Black box function time 0.0102 sec +Total iteration time 9.6387 sec +Starting optimization iteration 6 +End of training - Time 0.06 sec +Model fitting time 0.0566 sec +Total RS time 0.0214 sec +Optimization function time 0.4844 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3801 sec +MSLS time 0.4269 sec +Local search time 0.9376 sec +Total iteration time 0.9967 sec +End of training - Time 0.04 sec +Model fitting time 0.0437 sec +Total RS time 0.0231 sec +Optimization function time 0.5284 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3681 sec +MSLS time 0.4667 sec +Local search time 1.0273 sec +Total iteration time 2.0713 sec +End of training - Time 0.04 sec +Model fitting time 0.0380 sec +Total RS time 0.0210 sec +Optimization function time 0.5063 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3793 sec +MSLS time 0.5091 sec +Local search time 1.0403 sec +Total iteration time 3.1518 sec +End of training - Time 0.04 sec +Model fitting time 0.0374 sec +Total RS time 0.0215 sec +Optimization function time 0.4731 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3684 sec +MSLS time 0.4697 sec +Local search time 0.9710 sec +Total iteration time 4.1635 sec +End of training - Time 0.06 sec +Model fitting time 0.0610 sec +Total RS time 0.0411 sec +Optimization function time 0.5137 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3773 sec +MSLS time 0.4705 sec +Local search time 1.0315 sec +Total iteration time 5.2588 sec +End of training - Time 0.04 sec +Model fitting time 0.0400 sec +Total RS time 0.0210 sec +Optimization function time 0.5024 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3841 sec +MSLS time 0.4345 sec +Local search time 0.9631 sec +Total iteration time 6.2647 sec +End of training - Time 0.05 sec +Model fitting time 0.0511 sec +Total RS time 0.0217 sec +Optimization function time 0.5023 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4067 sec +MSLS time 0.5465 sec +Local search time 1.0752 sec +Total iteration time 7.3931 sec +End of training - Time 0.04 sec +Model fitting time 0.0392 sec +Total RS time 0.0210 sec +Optimization function time 0.5102 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3567 sec +MSLS time 0.4529 sec +Local search time 1.0014 sec +Total iteration time 8.4362 sec +End of training - Time 0.04 sec +Model fitting time 0.0405 sec +Total RS time 0.0229 sec +Optimization function time 0.4859 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3802 sec +MSLS time 0.4762 sec +Local search time 0.9921 sec +Total iteration time 9.4727 sec +End of training - Time 0.05 sec +Model fitting time 0.0477 sec +Total RS time 0.0211 sec +Optimization function time 0.5038 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3616 sec +MSLS time 0.4941 sec +Local search time 1.0236 sec +x1,x2,f1,f2,Timestamp +0.9031006368274972,0.9760571581249052,29.57609964533687,30.57609964533687,56531 +1,0.9760571581249052,27.87288088276895,28.87288088276895,56531 +0.9728037473494475,0.9760571581249052,28.350948323765557,29.350948323765557,56531 +0.920711756936505,0.9760571581249052,29.266639713768853,30.266639713768853,56531 +0.9870098231600425,0.9760571581249052,28.101216074028073,29.101216074028073,56531 +0.9697803011008583,0.9760571581249052,28.404100020780795,29.404100020780795,56531 +0.9888732493803584,0.9762715792710058,28.06692632278439,29.06692632278439,56531 +0.9923764777590426,0.9760571581249052,28.006880160567626,29.006880160567626,56531 +1,0.9763516699335149,27.870783115996648,28.870783115996648,56531 +0.9728135746042417,0.9881565425388733,28.26385677655231,29.26385677655231,56531 + +Black box function time 0.0006 sec +Total iteration time 10.5450 sec +Starting optimization iteration 7 +End of training - Time 0.04 sec +Model fitting time 0.0407 sec +Total RS time 0.0212 sec +Optimization function time 0.5043 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3874 sec +MSLS time 0.4830 sec +Local search time 1.0157 sec +Total iteration time 1.0603 sec +End of training - Time 0.05 sec +Model fitting time 0.0468 sec +Total RS time 0.0213 sec +Optimization function time 0.5194 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3754 sec +MSLS time 0.4257 sec +Local search time 0.9710 sec +Total iteration time 2.0808 sec +End of training - Time 0.06 sec +Model fitting time 0.0632 sec +Total RS time 0.0230 sec +Optimization function time 0.5212 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3870 sec +MSLS time 0.4836 sec +Local search time 1.0347 sec +Total iteration time 3.1815 sec +End of training - Time 0.04 sec +Model fitting time 0.0425 sec +0.09992264259348349 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 3.2259 sec +End of training - Time 0.04 sec +Model fitting time 0.0398 sec +Total RS time 0.0209 sec +Optimization function time 0.4943 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3676 sec +MSLS time 0.5015 sec +Local search time 1.0212 sec +Total iteration time 4.2891 sec +End of training - Time 0.04 sec +Model fitting time 0.0419 sec +Total RS time 0.0208 sec +Optimization function time 0.5134 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3945 sec +MSLS time 0.4450 sec +Local search time 0.9879 sec +Total iteration time 5.3229 sec +End of training - Time 0.07 sec +Model fitting time 0.0683 sec +Total RS time 0.0210 sec +Optimization function time 0.4989 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3776 sec +MSLS time 0.4724 sec +Local search time 0.9977 sec +Total iteration time 6.3915 sec +End of training - Time 0.04 sec +Model fitting time 0.0451 sec +0.04593310615564361 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 6.4386 sec +End of training - Time 0.04 sec +Model fitting time 0.0412 sec +Total RS time 0.0210 sec +Optimization function time 0.5016 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3801 sec +MSLS time 0.4954 sec +Local search time 1.0250 sec +Total iteration time 7.5079 sec +End of training - Time 0.08 sec +Model fitting time 0.0815 sec +Total RS time 0.0213 sec +Optimization function time 0.5303 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3979 sec +MSLS time 0.4873 sec +Local search time 1.0465 sec +x1,x2,f1,f2,Timestamp +1,0.9757519742530284,27.875054848185442,28.875054848185442,65168 +1,0.9756318372919388,27.875910690242065,28.875910690242065,65168 +1,0.9757085491000406,27.875364200708614,28.875364200708614,65168 +0.26735831962527257,0.5426739605887284,44.6731173111957,45.6731173111957,65168 +0.26689938811981384,0.5624054162973895,44.482753143016836,45.482753143016836,65168 +0.25170628303219045,0.564041374151787,44.73683752839298,45.73683752839298,65168 +0.24870312587443597,0.5485622347139414,44.946774110064645,45.946774110064645,65168 +0.9374490681254998,0.6265746247942352,31.642659271777312,32.64265927177731,65168 +0.2439234619145659,0.5290026526885713,45.230728189090236,46.230728189090236,65168 +0.2398774841139402,0.611820652217518,44.46572671745615,45.46572671745615,65168 + +Black box function time 0.0029 sec +Total iteration time 8.6393 sec +Starting optimization iteration 8 +End of training - Time 0.05 sec +Model fitting time 0.0520 sec +Total RS time 0.0219 sec +Optimization function time 0.4987 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4047 sec +MSLS time 0.5046 sec +Local search time 1.0325 sec +Total iteration time 1.0880 sec +End of training - Time 0.07 sec +Model fitting time 0.0729 sec +Total RS time 0.0292 sec +Optimization function time 0.5135 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4065 sec +MSLS time 0.5467 sec +Local search time 1.0932 sec +Total iteration time 2.2564 sec +End of training - Time 0.04 sec +Model fitting time 0.0445 sec +Total RS time 0.0215 sec +Optimization function time 0.5130 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3935 sec +MSLS time 0.4879 sec +Local search time 1.0288 sec +Total iteration time 3.3336 sec +End of training - Time 0.05 sec +Model fitting time 0.0532 sec +Total RS time 0.0217 sec +Optimization function time 0.4903 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3731 sec +MSLS time 0.4733 sec +Local search time 0.9922 sec +Total iteration time 4.3826 sec +End of training - Time 0.05 sec +Model fitting time 0.0500 sec +0.0784493157373205 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 4.4345 sec +End of training - Time 0.05 sec +Model fitting time 0.0453 sec +Total RS time 0.0212 sec +Optimization function time 0.4952 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4108 sec +MSLS time 0.5071 sec +Local search time 1.0303 sec +Total iteration time 5.5138 sec +End of training - Time 0.05 sec +Model fitting time 0.0523 sec +Total RS time 0.0214 sec +Optimization function time 0.5221 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3818 sec +MSLS time 0.4779 sec +Local search time 1.0283 sec +Total iteration time 6.5983 sec +End of training - Time 0.05 sec +Model fitting time 0.0528 sec +0.022354881499119905 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 6.6531 sec +End of training - Time 0.05 sec +Model fitting time 0.0473 sec +Total RS time 0.0212 sec +Optimization function time 0.5131 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3893 sec +MSLS time 0.4354 sec +Local search time 0.9744 sec +Total iteration time 7.6770 sec +End of training - Time 0.05 sec +Model fitting time 0.0502 sec +Total RS time 0.0223 sec +Optimization function time 0.5003 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3641 sec +MSLS time 0.4568 sec +Local search time 0.9852 sec +x1,x2,f1,f2,Timestamp +0.9827197408827764,0.9868721459176836,28.09921153414492,29.09921153414492,73884 +0.9845704209671337,0.9866686104491197,28.068185921789805,29.068185921789805,73884 +0.9827197408827764,1,28.005550195353422,29.005550195353422,73884 +0.9845704209671337,1,27.973135728824285,28.973135728824285,73884 +0.49645207745219583,0.6117723670678431,39.87926180263925,40.87926180263925,73884 +0.4933371764838276,0.6587957702100777,39.502057191558904,40.502057191558904,73884 +0.4942480761133419,0.5457285071713736,40.53554741665836,41.53554741665836,73884 +0.3619395557677928,0.1190242401973967,47.30214197417614,48.30214197417614,73884 +0.43465916420502176,0.5725744153150478,41.367329496500254,42.367329496500254,73884 +0.4865936679679522,0.5640173205901252,40.50370867644633,41.50370867644633,73884 + +Black box function time 0.0008 sec +Total iteration time 8.7136 sec +Starting optimization iteration 9 +End of training - Time 0.05 sec +Model fitting time 0.0507 sec +Total RS time 0.0217 sec +Optimization function time 0.4972 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3649 sec +MSLS time 0.4599 sec +Local search time 0.9861 sec +Total iteration time 1.0404 sec +End of training - Time 0.05 sec +Model fitting time 0.0508 sec +0.07441298018197473 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 1.0931 sec +End of training - Time 0.05 sec +Model fitting time 0.0467 sec +Total RS time 0.0209 sec +Optimization function time 0.4912 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3691 sec +MSLS time 0.4656 sec +Local search time 0.9853 sec +Total iteration time 2.1289 sec +End of training - Time 0.06 sec +Model fitting time 0.0563 sec +Total RS time 0.0210 sec +Optimization function time 0.5305 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3883 sec +MSLS time 0.4398 sec +Local search time 0.9962 sec +Total iteration time 3.1839 sec +End of training - Time 0.05 sec +Model fitting time 0.0547 sec +Total RS time 0.0225 sec +Optimization function time 0.5018 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3727 sec +MSLS time 0.4800 sec +Local search time 1.0091 sec +Total iteration time 4.2500 sec +End of training - Time 0.05 sec +Model fitting time 0.0505 sec +Total RS time 0.0212 sec +Optimization function time 0.5227 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3493 sec +MSLS time 0.4459 sec +Local search time 0.9970 sec +Total iteration time 5.3016 sec +End of training - Time 0.06 sec +Model fitting time 0.0584 sec +Total RS time 0.0229 sec +Optimization function time 0.4943 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4000 sec +MSLS time 0.4489 sec +Local search time 0.9747 sec +Total iteration time 6.3386 sec +End of training - Time 0.08 sec +Model fitting time 0.0773 sec +Total RS time 0.0210 sec +Optimization function time 0.5021 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3791 sec +MSLS time 0.4274 sec +Local search time 0.9552 sec +Total iteration time 7.3735 sec +End of training - Time 0.08 sec +Model fitting time 0.0786 sec +Total RS time 0.0228 sec +Optimization function time 0.5095 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4084 sec +MSLS time 0.5056 sec +Local search time 1.0440 sec +Total iteration time 8.4996 sec +End of training - Time 0.06 sec +Model fitting time 0.0585 sec +Total RS time 0.1032 sec +Optimization function time 0.5267 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3854 sec +MSLS time 0.4340 sec +Local search time 1.0685 sec +x1,x2,f1,f2,Timestamp +1,0.9758550005738631,27.87432092337697,28.87432092337697,83512 +0.6096116222921689,0.009304352746095009,43.56194727917535,44.56194727917535,83512 +0.6184012584134283,0.009598192702931552,43.38283625338821,44.38283625338821,83512 +0.6369171221937815,0.009598192702931552,43.011525090331666,44.011525090331666,83512 +0.5959404847626898,0.009509456461058075,43.83364694065612,44.83364694065612,83512 +0.6087416476961186,0.010849694172661616,43.56370985259139,44.56370985259139,83512 +0.6422138692634455,0.011727425073792586,42.88385913852419,43.88385913852419,83512 +0.6701142073791195,0.009598192702931552,42.34491696075693,43.34491696075693,83512 +0.6698929909245027,0.01220367984629365,42.32340723069445,43.32340723069445,83512 +0.0171896673661826,0.011317224218921826,55.13853978645043,56.13853978645043,83512 + +Black box function time 0.0008 sec +Total iteration time 9.6278 sec +Starting optimization iteration 10 +End of training - Time 0.06 sec +Model fitting time 0.0577 sec +Total RS time 0.0214 sec +Optimization function time 0.4993 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3797 sec +MSLS time 0.4732 sec +Local search time 0.9992 sec +Total iteration time 1.0598 sec +End of training - Time 0.05 sec +Model fitting time 0.0530 sec +Total RS time 0.0211 sec +Optimization function time 0.4822 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3771 sec +MSLS time 0.4731 sec +Local search time 0.9836 sec +Total iteration time 2.1002 sec +End of training - Time 0.06 sec +Model fitting time 0.0570 sec +Total RS time 0.0216 sec +Optimization function time 0.5143 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3873 sec +MSLS time 0.4361 sec +Local search time 0.9770 sec +Total iteration time 3.1368 sec +End of training - Time 0.06 sec +Model fitting time 0.0645 sec +Total RS time 0.0224 sec +Optimization function time 0.5341 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3714 sec +MSLS time 0.4233 sec +Local search time 0.9850 sec +Total iteration time 4.1892 sec +End of training - Time 0.06 sec +Model fitting time 0.0647 sec +Total RS time 0.0227 sec +Optimization function time 0.5052 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3841 sec +MSLS time 0.4340 sec +Local search time 0.9669 sec +Total iteration time 5.2235 sec +End of training - Time 0.06 sec +Model fitting time 0.0622 sec +Total RS time 0.0261 sec +Optimization function time 0.5012 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3958 sec +MSLS time 0.4434 sec +Local search time 0.9756 sec +Total iteration time 6.2639 sec +End of training - Time 0.07 sec +Model fitting time 0.0656 sec +0.029452408471918767 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 6.3316 sec +End of training - Time 0.05 sec +Model fitting time 0.0531 sec +Total RS time 0.0214 sec +Optimization function time 0.5005 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4175 sec +MSLS time 0.4657 sec +Local search time 0.9922 sec +Total iteration time 7.3792 sec +End of training - Time 0.09 sec +Model fitting time 0.0865 sec +Total RS time 0.0223 sec +Optimization function time 0.5211 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3743 sec +MSLS time 0.4203 sec +Local search time 0.9684 sec +Total iteration time 8.4366 sec +End of training - Time 0.06 sec +Model fitting time 0.0595 sec +Total RS time 0.0216 sec +Optimization function time 0.5078 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4122 sec +MSLS time 0.5017 sec +Local search time 1.0455 sec +x1,x2,f1,f2,Timestamp +1,0.9346164404114993,28.169786906255887,29.169786906255887,93055 +1,0.9240258737015032,28.246215084841374,29.246215084841374,93055 +1,0.9224624327956095,28.257516858085374,29.257516858085374,93055 +0.9977105535358641,0.9271762166632412,28.26399589773291,29.26399589773291,93055 +0.9964815892193494,0.9320622983838859,28.25045360573755,29.25045360573755,93055 +0.99603208745113,0.9277757754948059,28.289381786842902,29.289381786842902,93055 +0.9297501859151863,0.9092708012972558,29.6005706783994,30.6005706783994,93055 +0.9141018930660765,0.9078334311860512,29.889176420952204,30.889176420952204,93055 +0.9138221705743103,0.9094802656009626,29.88180935977998,30.88180935977998,93055 +0.9239521020054388,0.9309949031550862,29.54191220341123,30.54191220341123,93055 + +Black box function time 0.0013 sec +Total iteration time 9.5434 sec +Starting optimization iteration 11 +End of training - Time 0.06 sec +Model fitting time 0.0623 sec +Total RS time 0.0273 sec +Optimization function time 0.5250 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4087 sec +MSLS time 0.4642 sec +Local search time 1.0231 sec +Total iteration time 1.0894 sec +End of training - Time 0.09 sec +Model fitting time 0.0928 sec +Total RS time 0.0224 sec +Optimization function time 0.5350 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3763 sec +MSLS time 0.4336 sec +Local search time 0.9985 sec +Total iteration time 2.1846 sec +End of training - Time 0.08 sec +Model fitting time 0.0789 sec +Total RS time 0.0212 sec +Optimization function time 0.5130 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3638 sec +MSLS time 0.4665 sec +Local search time 1.0077 sec +Total iteration time 3.2753 sec +End of training - Time 0.09 sec +Model fitting time 0.0902 sec +Total RS time 0.0361 sec +Optimization function time 0.5278 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3817 sec +MSLS time 0.4264 sec +Local search time 0.9952 sec +Total iteration time 4.3632 sec +End of training - Time 0.06 sec +Model fitting time 0.0636 sec +Total RS time 0.0261 sec +Optimization function time 0.5329 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3735 sec +MSLS time 0.4183 sec +Local search time 0.9821 sec +Total iteration time 5.4113 sec +End of training - Time 0.06 sec +Model fitting time 0.0613 sec +Total RS time 0.0213 sec +Optimization function time 0.5038 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3794 sec +MSLS time 0.4289 sec +Local search time 0.9594 sec +Total iteration time 6.4348 sec +End of training - Time 0.07 sec +Model fitting time 0.0709 sec +Total RS time 0.0225 sec +Optimization function time 0.5034 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4044 sec +MSLS time 0.5304 sec +Local search time 1.0604 sec +Total iteration time 7.5687 sec +End of training - Time 0.06 sec +Model fitting time 0.0591 sec +Total RS time 0.0209 sec +Optimization function time 0.4932 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4143 sec +MSLS time 0.4696 sec +Local search time 0.9912 sec +Total iteration time 8.6231 sec +End of training - Time 0.09 sec +Model fitting time 0.0915 sec +Total RS time 0.0218 sec +Optimization function time 0.5085 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3956 sec +MSLS time 0.4485 sec +Local search time 0.9877 sec +Total iteration time 9.7061 sec +End of training - Time 0.08 sec +Model fitting time 0.0782 sec +Total RS time 0.0212 sec +Optimization function time 0.5071 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3651 sec +MSLS time 0.4165 sec +Local search time 0.9496 sec +x1,x2,f1,f2,Timestamp +0.8933397805261193,0.9757927253053248,29.749530988785878,30.749530988785878,103791 +0.8958891736370584,0.9757086456972438,29.70536927280342,30.70536927280342,103791 +0.8355982861303594,0.9756357789594752,30.764176070743197,31.764176070743197,103791 +0.8939718167724013,0.9758175296479068,29.73824469664445,30.73824469664445,103791 +0.8392818041328757,0.9756357789594752,30.6995820723687,31.6995820723687,103791 +0.8399843008428933,0.9758107563670382,30.68593974400295,31.68593974400295,103791 +0.8657842551171901,0.9757108173727328,30.234017365648544,31.234017365648544,103791 +0.7787611237249739,0.9757136597859689,31.75891530352061,32.75891530352061,103791 +0.8053938058726275,0.9757833104572702,31.2923308846181,32.292330884618096,103791 +0.7880323429948228,0.9757698414234119,31.596317256300573,32.59631725630057,103791 + +Black box function time 0.0012 sec +Total iteration time 10.7357 sec +Starting optimization iteration 12 +End of training - Time 0.09 sec +Model fitting time 0.0948 sec +Total RS time 0.0220 sec +Optimization function time 0.5125 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3904 sec +MSLS time 0.4881 sec +Local search time 1.0303 sec +Total iteration time 1.1290 sec +End of training - Time 0.06 sec +Model fitting time 0.0649 sec +Total RS time 0.0213 sec +Optimization function time 0.4906 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3760 sec +MSLS time 0.4340 sec +Local search time 0.9508 sec +Total iteration time 2.1472 sec +End of training - Time 0.08 sec +Model fitting time 0.0826 sec +Total RS time 0.0240 sec +Optimization function time 0.5135 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4136 sec +MSLS time 0.5061 sec +Local search time 1.0503 sec +Total iteration time 3.2827 sec +End of training - Time 0.06 sec +Model fitting time 0.0614 sec +Total RS time 0.0215 sec +Optimization function time 0.5114 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3783 sec +MSLS time 0.4601 sec +Local search time 1.0007 sec +Total iteration time 4.3488 sec +End of training - Time 0.08 sec +Model fitting time 0.0763 sec +Total RS time 0.0215 sec +Optimization function time 0.4995 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3808 sec +MSLS time 0.4777 sec +Local search time 1.0048 sec +Total iteration time 5.4329 sec +End of training - Time 0.06 sec +Model fitting time 0.0636 sec +0.06921253504395464 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 5.4984 sec +End of training - Time 0.06 sec +Model fitting time 0.0598 sec +Total RS time 0.0211 sec +Optimization function time 0.4971 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3685 sec +MSLS time 0.4648 sec +Local search time 0.9882 sec +Total iteration time 6.5498 sec +End of training - Time 0.07 sec +Model fitting time 0.0692 sec +0.08658943286197229 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 6.6211 sec +End of training - Time 0.08 sec +Model fitting time 0.0787 sec +Total RS time 0.0232 sec +Optimization function time 0.5177 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3747 sec +MSLS time 0.4675 sec +Local search time 1.0154 sec +Total iteration time 7.7184 sec +End of training - Time 0.07 sec +Model fitting time 0.0669 sec +Total RS time 0.0215 sec +Optimization function time 0.5357 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3530 sec +MSLS time 0.4493 sec +Local search time 1.0143 sec +x1,x2,f1,f2,Timestamp +0.9912769502207386,0.9028554266872123,28.554697340796157,29.554697340796157,112593 +0.9971272077115196,0.9028038040575546,28.451097299638406,29.451097299638406,112593 +0.991750029381453,0.9040864066035945,28.537314851437706,29.537314851437706,112593 +0.991376001298997,0.9038767743327099,28.545489653860475,29.545489653860475,112593 +0.9885124195358901,0.9036449457392498,28.59807023863291,29.59807023863291,112593 +0.3667226316265216,0.028414832349335388,48.18092738150022,49.18092738150022,112593 +0.365821990695072,0.033833483618753345,48.14003693636969,49.14003693636969,112593 +0.7028779900111785,0.008179810968026863,41.70001063246993,42.70001063246993,112593 +0.365386317870105,0.043360508844012706,48.04574179303012,49.04574179303012,112593 +0.3671740628666979,0.023122005152814873,48.22930773749921,49.22930773749921,112593 + +Black box function time 0.0010 sec +Total iteration time 8.8010 sec +Starting optimization iteration 13 +End of training - Time 0.07 sec +Model fitting time 0.0678 sec +Total RS time 0.0213 sec +Optimization function time 0.5189 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3676 sec +MSLS time 0.4614 sec +Local search time 1.0081 sec +Total iteration time 1.0787 sec +End of training - Time 0.06 sec +Model fitting time 0.0650 sec +Total RS time 0.0210 sec +Optimization function time 0.5243 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4030 sec +MSLS time 0.5353 sec +Local search time 1.0852 sec +Total iteration time 2.2312 sec +End of training - Time 0.06 sec +Model fitting time 0.0634 sec +Total RS time 0.0215 sec +Optimization function time 0.5244 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3518 sec +MSLS time 0.4118 sec +Local search time 0.9631 sec +Total iteration time 3.2619 sec +End of training - Time 0.09 sec +Model fitting time 0.0905 sec +Total RS time 0.0232 sec +Optimization function time 0.5039 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4005 sec +MSLS time 0.4552 sec +Local search time 0.9875 sec +Total iteration time 4.3428 sec +End of training - Time 0.08 sec +Model fitting time 0.0805 sec +Total RS time 0.0219 sec +Optimization function time 0.5103 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4029 sec +MSLS time 0.5100 sec +Local search time 1.0486 sec +Total iteration time 5.4743 sec +End of training - Time 0.06 sec +Model fitting time 0.0646 sec +Total RS time 0.0209 sec +Optimization function time 0.5234 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4049 sec +MSLS time 0.5329 sec +Local search time 1.0820 sec +Total iteration time 6.6232 sec +End of training - Time 0.06 sec +Model fitting time 0.0647 sec +Total RS time 0.0210 sec +Optimization function time 0.4999 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4139 sec +MSLS time 0.4671 sec +Local search time 0.9927 sec +Total iteration time 7.6848 sec +End of training - Time 0.09 sec +Model fitting time 0.0925 sec +Total RS time 0.0230 sec +Optimization function time 0.5363 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3869 sec +MSLS time 0.4841 sec +Local search time 1.0507 sec +Total iteration time 8.8319 sec +End of training - Time 0.07 sec +Model fitting time 0.0739 sec +Total RS time 0.0218 sec +Optimization function time 0.5228 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3980 sec +MSLS time 0.4948 sec +Local search time 1.0470 sec +Total iteration time 9.9567 sec +End of training - Time 0.07 sec +Model fitting time 0.0705 sec +Total RS time 0.0214 sec +Optimization function time 0.5238 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3967 sec +MSLS time 0.4485 sec +Local search time 0.9990 sec +x1,x2,f1,f2,Timestamp +1,0.9411467073813734,28.122772203437876,29.122772203437876,123621 +0.6521256154389006,0.9411427325330044,34.244719577053786,35.244719577053786,123621 +0.6562370128342769,0.9411427325330044,34.173054450078574,35.173054450078574,123621 +0.6728865053392104,0.9411427325330044,33.882590010701215,34.882590010701215,123621 +0.6518124086089875,0.9413310445858117,34.248642800848344,35.248642800848344,123621 +0.7306560092726123,0.9413310445858117,32.87036828269044,33.87036828269044,123621 +0.6637366385126319,0.8943926444904821,34.424006366797315,35.424006366797315,123621 +0.6770694872955484,0.8941265491365513,34.191700005225336,35.191700005225336,123621 +0.6725304685949621,0.9392903878075599,33.903801508791055,34.903801508791055,123621 +0.6971495665929636,0.8941265491365513,33.838088604123286,34.838088604123286,123621 + +Black box function time 0.0104 sec +Total iteration time 11.0372 sec +Starting optimization iteration 14 +End of training - Time 0.10 sec +Model fitting time 0.1044 sec +Total RS time 0.0225 sec +Optimization function time 0.5257 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4652 sec +MSLS time 0.5602 sec +Local search time 1.1219 sec +Total iteration time 1.2308 sec +End of training - Time 0.10 sec +Model fitting time 0.1034 sec +Total RS time 0.0281 sec +Optimization function time 0.5212 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3863 sec +MSLS time 0.4400 sec +Local search time 0.9945 sec +Total iteration time 2.3316 sec +End of training - Time 0.08 sec +Model fitting time 0.0754 sec +Total RS time 0.0215 sec +Optimization function time 0.5320 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3688 sec +MSLS time 0.5031 sec +Local search time 1.0622 sec +Total iteration time 3.4735 sec +End of training - Time 0.07 sec +Model fitting time 0.0736 sec +Total RS time 0.0219 sec +Optimization function time 0.5201 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3760 sec +MSLS time 0.4202 sec +Local search time 0.9670 sec +Total iteration time 4.5168 sec +End of training - Time 0.08 sec +Model fitting time 0.0790 sec +Total RS time 0.0227 sec +Optimization function time 0.5389 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4581 sec +MSLS time 0.5075 sec +Local search time 1.0737 sec +Total iteration time 5.6738 sec +End of training - Time 0.09 sec +Model fitting time 0.0940 sec +Total RS time 0.0226 sec +Optimization function time 0.5145 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3891 sec +MSLS time 0.4848 sec +Local search time 1.0289 sec +Total iteration time 6.8001 sec +End of training - Time 0.07 sec +Model fitting time 0.0728 sec +Total RS time 0.0215 sec +Optimization function time 0.6009 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3915 sec +MSLS time 0.4887 sec +Local search time 1.1178 sec +Total iteration time 7.9940 sec +End of training - Time 0.07 sec +Model fitting time 0.0733 sec +Total RS time 0.0213 sec +Optimization function time 0.5034 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4326 sec +MSLS time 0.4861 sec +Local search time 1.0155 sec +Total iteration time 9.0853 sec +End of training - Time 0.07 sec +Model fitting time 0.0750 sec +Total RS time 0.0213 sec +Optimization function time 0.5139 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3974 sec +MSLS time 0.4946 sec +Local search time 1.0351 sec +Total iteration time 10.1983 sec +End of training - Time 0.07 sec +Model fitting time 0.0731 sec +Total RS time 0.0210 sec +Optimization function time 0.5169 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4417 sec +MSLS time 0.5396 sec +Local search time 1.0844 sec +x1,x2,f1,f2,Timestamp +0.9969198706099857,0.8794284741705825,28.625451371019683,29.625451371019683,134989 +0.9969198706099857,0.8815602927450622,28.609841136805112,29.609841136805112,134989 +0.6403498016160232,0.8599484088451457,35.12104049354884,36.12104049354884,134989 +0.6432083973833371,0.8676064281294951,35.006797590876886,36.006797590876886,134989 +0.644110919137377,0.869844067877182,34.97227482741198,35.97227482741198,134989 +0.6398249020625889,0.8580571706468844,35.14609444601098,36.14609444601098,134989 +0.6421093083706829,0.8058382813313872,35.544351600401754,36.544351600401754,134989 +0.6438927618136048,0.8700381324767346,34.97450436459667,35.97450436459667,134989 +0.6380277374744048,0.8710109039764197,35.069704009427895,36.069704009427895,134989 +0.645278673790733,0.8833471975191624,34.839617611858614,35.839617611858614,134989 + +Black box function time 0.0039 sec +Total iteration time 11.3606 sec +Starting optimization iteration 15 +End of training - Time 0.08 sec +Model fitting time 0.0832 sec +0.08731220445290211 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 0.0853 sec +End of training - Time 0.07 sec +Model fitting time 0.0713 sec +0.07390447099240682 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 0.1586 sec +End of training - Time 0.07 sec +Model fitting time 0.0710 sec +Total RS time 0.0225 sec +Optimization function time 0.5291 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3892 sec +MSLS time 0.4458 sec +Local search time 1.0026 sec +Total iteration time 1.2364 sec +End of training - Time 0.15 sec +Model fitting time 0.1464 sec +Total RS time 0.0230 sec +Optimization function time 0.5312 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3876 sec +MSLS time 0.4849 sec +Local search time 1.0460 sec +Total iteration time 2.4326 sec +End of training - Time 0.08 sec +Model fitting time 0.0795 sec +Total RS time 0.0223 sec +Optimization function time 0.5367 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3755 sec +MSLS time 0.4788 sec +Local search time 1.0464 sec +Total iteration time 3.5627 sec +End of training - Time 0.08 sec +Model fitting time 0.0810 sec +Total RS time 0.0210 sec +Optimization function time 0.5176 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3834 sec +MSLS time 0.4302 sec +Local search time 0.9737 sec +Total iteration time 4.6202 sec +End of training - Time 0.08 sec +Model fitting time 0.0823 sec +Total RS time 0.0229 sec +Optimization function time 0.6093 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4447 sec +MSLS time 0.5283 sec +Local search time 1.1670 sec +Total iteration time 5.8739 sec +End of training - Time 0.08 sec +Model fitting time 0.0844 sec +0.03698723306501439 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 5.9608 sec +End of training - Time 0.08 sec +Model fitting time 0.0795 sec +Total RS time 0.0251 sec +Optimization function time 0.5371 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3722 sec +MSLS time 0.4789 sec +Local search time 1.0503 sec +Total iteration time 7.0937 sec +End of training - Time 0.08 sec +Model fitting time 0.0778 sec +0.0870425908920276 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +x1,x2,f1,f2,Timestamp +0.12625725233844845,0.0425059861961142,52.68725496658545,53.68725496658545,142166 +0.6836589298825302,0.37695255065412847,38.56144044786669,39.56144044786669,142166 +0.1256185645409337,0.04035722864259465,52.72425080655081,53.72425080655081,142166 +0.14729223806546954,0.03779428621942843,52.33775199312543,53.33775199312543,142166 +0.1250010553837452,0.03591098802322025,52.78735228939206,53.78735228939206,142166 +0.183951789946716,0.03779428621942843,51.632216303636426,52.632216303636426,142166 +0.20856242459705085,0.03779428621942843,51.15725999116326,52.15725999116326,142166 +0.5711818085823056,0.7186966265857032,37.56469859075605,38.56469859075605,142166 +0.21746037476219351,0.03591098802322025,51.00645921924572,52.00645921924572,142166 +0.062168394592428594,0.5988269905424233,47.70248957588338,48.70248957588338,142166 + +Black box function time 0.0006 sec +Total iteration time 7.1729 sec +Starting optimization iteration 16 +End of training - Time 0.08 sec +Model fitting time 0.0769 sec +Total RS time 0.0220 sec +Optimization function time 0.5241 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3927 sec +MSLS time 0.4881 sec +Local search time 1.0408 sec +Total iteration time 1.1216 sec +End of training - Time 0.09 sec +Model fitting time 0.0852 sec +Total RS time 0.0224 sec +Optimization function time 0.5502 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3764 sec +MSLS time 0.4366 sec +Local search time 1.0169 sec +Total iteration time 2.2278 sec +End of training - Time 0.11 sec +Model fitting time 0.1055 sec +Total RS time 0.0226 sec +Optimization function time 0.4942 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3699 sec +MSLS time 0.4649 sec +Local search time 0.9893 sec +Total iteration time 3.3266 sec +End of training - Time 0.08 sec +Model fitting time 0.0848 sec +0.02857197536069289 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 3.4135 sec +End of training - Time 0.08 sec +Model fitting time 0.0754 sec +Total RS time 0.0211 sec +Optimization function time 0.5716 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3773 sec +MSLS time 0.4762 sec +Local search time 1.0758 sec +Total iteration time 4.5674 sec +End of training - Time 0.08 sec +Model fitting time 0.0782 sec +0.05870271102125302 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 4.6478 sec +End of training - Time 0.08 sec +Model fitting time 0.0781 sec +Total RS time 0.0211 sec +Optimization function time 0.5201 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4008 sec +MSLS time 0.4971 sec +Local search time 1.0462 sec +Total iteration time 5.7760 sec +End of training - Time 0.08 sec +Model fitting time 0.0825 sec +0.07501232386268053 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 5.8607 sec +End of training - Time 0.08 sec +Model fitting time 0.0790 sec +Total RS time 0.0217 sec +Optimization function time 0.5558 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4129 sec +MSLS time 0.5066 sec +Local search time 1.0890 sec +Total iteration time 7.0319 sec +End of training - Time 0.08 sec +Model fitting time 0.0811 sec +Total RS time 0.0229 sec +Optimization function time 0.5270 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3850 sec +MSLS time 0.4830 sec +Local search time 1.0403 sec +x1,x2,f1,f2,Timestamp +1,0.7990924314229778,29.164744723781908,30.164744723781908,150321 +1,0.7999139585854284,29.158602770024135,30.158602770024135,150321 +0.9971075047582015,0.7704321070947608,29.432288495870438,30.432288495870438,150321 +0.3621918448831458,0.017998991347437092,48.382674516756005,49.382674516756005,150321 +0.3819260690115399,0.017998991347437092,47.994613750391125,48.994613750391125,150321 +0.2695502002587836,0.8759971731916746,41.38664067934283,42.38664067934283,150321 +0.40362664022668354,0.017998991347437092,47.56713748606443,48.56713748606443,150321 +0.03861062347382699,0.38513303251792985,50.43752733008807,51.43752733008807,150321 +0.0379888652345362,0.38227147009756623,50.48047762028195,51.48047762028195,150321 +0.031166115087070765,0.3855723092887284,50.56612656822611,51.56612656822611,150321 + +Black box function time 0.0011 sec +Total iteration time 8.1551 sec +Starting optimization iteration 17 +End of training - Time 0.09 sec +Model fitting time 0.0910 sec +0.03782547433232819 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 0.0932 sec +End of training - Time 0.08 sec +Model fitting time 0.0803 sec +Total RS time 0.0214 sec +Optimization function time 0.5317 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3728 sec +MSLS time 0.4721 sec +Local search time 1.0324 sec +Total iteration time 1.2099 sec +End of training - Time 0.09 sec +Model fitting time 0.0863 sec +Total RS time 0.0232 sec +Optimization function time 0.5354 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3971 sec +MSLS time 0.4944 sec +Local search time 1.0593 sec +Total iteration time 2.3593 sec +End of training - Time 0.08 sec +Model fitting time 0.0829 sec +Total RS time 0.0212 sec +Optimization function time 0.5128 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4323 sec +MSLS time 0.4913 sec +Local search time 1.0305 sec +Total iteration time 3.4770 sec +End of training - Time 0.10 sec +Model fitting time 0.0957 sec +Total RS time 0.0227 sec +Optimization function time 0.5357 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3508 sec +MSLS time 0.4888 sec +Local search time 1.0515 sec +Total iteration time 4.6266 sec +End of training - Time 0.08 sec +Model fitting time 0.0812 sec +Total RS time 0.0211 sec +Optimization function time 0.5670 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4515 sec +MSLS time 0.5544 sec +Local search time 1.1498 sec +Total iteration time 5.8613 sec +End of training - Time 0.12 sec +Model fitting time 0.1215 sec +Total RS time 0.0216 sec +Optimization function time 0.5090 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3881 sec +MSLS time 0.4899 sec +Local search time 1.0281 sec +Total iteration time 7.0150 sec +End of training - Time 0.14 sec +Model fitting time 0.1361 sec +Total RS time 0.0213 sec +Optimization function time 0.5193 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4204 sec +MSLS time 0.4981 sec +Local search time 1.0456 sec +Total iteration time 8.2005 sec +End of training - Time 0.09 sec +Model fitting time 0.0913 sec +Total RS time 0.0230 sec +Optimization function time 0.5163 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3846 sec +MSLS time 0.4811 sec +Local search time 1.0248 sec +Total iteration time 9.3192 sec +End of training - Time 0.09 sec +Model fitting time 0.0853 sec +Total RS time 0.0213 sec +Optimization function time 0.5108 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3866 sec +MSLS time 0.4890 sec +Local search time 1.0285 sec +x1,x2,f1,f2,Timestamp +0.4449513583225474,0.29483117842495576,43.89350941614405,44.89350941614405,160755 +0.44541475178638207,0.30710050024540364,43.761640719389604,44.761640719389604,160755 +0.45351319893815156,0.2887754748215762,43.791781925996695,44.791781925996695,160755 +0.46353363166366857,0.3297461331909582,43.193200217807714,44.193200217807714,160755 +0.44404743798604185,0.32305010599448625,43.62790914173121,44.62790914173121,160755 +0.457522505952915,0.2880335679436628,43.72303456810215,44.72303456810215,160755 +0.4581212055692124,0.3303809096450403,43.28913149345628,44.28913149345628,160755 +0.4658635169637283,0.322009730316013,43.22593059334001,44.22593059334001,160755 +0.46562114000545257,0.35412068644918787,42.91268977135345,43.91268977135345,160755 +0.47041947872857787,0.32066589843442495,43.15303739391564,44.15303739391564,160755 + +Black box function time 0.0010 sec +Total iteration time 10.4346 sec +Starting optimization iteration 18 +End of training - Time 0.13 sec +Model fitting time 0.1351 sec +0.030740636688680212 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 0.1373 sec +End of training - Time 0.08 sec +Model fitting time 0.0839 sec +Total RS time 0.0217 sec +Optimization function time 0.5198 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3702 sec +MSLS time 0.4532 sec +Local search time 1.0025 sec +Total iteration time 1.2327 sec +End of training - Time 0.10 sec +Model fitting time 0.0968 sec +0.06258663288706678 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 1.3316 sec +End of training - Time 0.09 sec +Model fitting time 0.0855 sec +Total RS time 0.0217 sec +Optimization function time 0.5591 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4138 sec +MSLS time 0.4699 sec +Local search time 1.0558 sec +Total iteration time 2.4754 sec +End of training - Time 0.09 sec +Model fitting time 0.0929 sec +Total RS time 0.0333 sec +Optimization function time 0.4973 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4330 sec +MSLS time 0.5643 sec +Local search time 1.0990 sec +Total iteration time 3.6703 sec +End of training - Time 0.09 sec +Model fitting time 0.0861 sec +Total RS time 0.0215 sec +Optimization function time 0.5184 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3751 sec +MSLS time 0.4777 sec +Local search time 1.0253 sec +Total iteration time 4.7860 sec +End of training - Time 0.13 sec +Model fitting time 0.1292 sec +Total RS time 0.0214 sec +Optimization function time 0.4974 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3674 sec +MSLS time 0.4216 sec +Local search time 0.9452 sec +Total iteration time 5.8631 sec +End of training - Time 0.12 sec +Model fitting time 0.1225 sec +Total RS time 0.0235 sec +Optimization function time 0.4980 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3807 sec +MSLS time 0.4705 sec +Local search time 1.0013 sec +Total iteration time 6.9910 sec +End of training - Time 0.10 sec +Model fitting time 0.1045 sec +Total RS time 0.0222 sec +Optimization function time 0.5206 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4181 sec +MSLS time 0.5255 sec +Local search time 1.0731 sec +Total iteration time 8.1710 sec +End of training - Time 0.09 sec +Model fitting time 0.0883 sec +Total RS time 0.0213 sec +Optimization function time 0.5134 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3807 sec +MSLS time 0.4317 sec +Local search time 0.9712 sec +x1,x2,f1,f2,Timestamp +0.8803219827359133,0.9657244032587482,30.05324241099089,31.05324241099089,169988 +0.8764678953121091,0.9599225097857661,30.164439581110223,31.164439581110223,169988 +0.24131929420485396,0.9744218572927347,40.937353696637096,41.937353696637096,169988 +0.23959271538691657,0.9639024060013451,41.063838635267565,42.063838635267565,169988 +0.24071196350589405,0.9639024060013451,41.04532302307484,42.04532302307484,169988 +0.23761144657860034,0.9623626106738541,41.11097703591067,42.11097703591067,169988 +0.2089515848540169,0.9591683016446846,41.614253086805284,42.614253086805284,169988 +0.20718464007344256,0.962979731795083,41.60744274214283,42.60744274214283,169988 +0.19521096733251558,0.962507312553547,41.808984141972196,42.808984141972196,169988 +0.22148460994333774,0.9622084149869276,41.378901871946695,42.378901871946695,169988 + +Black box function time 0.0009 sec +Total iteration time 9.2320 sec +Starting optimization iteration 19 +End of training - Time 0.10 sec +Model fitting time 0.1014 sec +Total RS time 0.0280 sec +Optimization function time 0.5177 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3737 sec +MSLS time 0.4259 sec +Local search time 0.9764 sec +Total iteration time 1.0805 sec +End of training - Time 0.10 sec +Model fitting time 0.0956 sec +Total RS time 0.0215 sec +Optimization function time 0.5169 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3795 sec +MSLS time 0.4756 sec +Local search time 1.0212 sec +Total iteration time 2.2013 sec +End of training - Time 0.09 sec +Model fitting time 0.0907 sec +Total RS time 0.0215 sec +Optimization function time 0.5411 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3708 sec +MSLS time 0.4697 sec +Local search time 1.0394 sec +Total iteration time 3.3344 sec +End of training - Time 0.09 sec +Model fitting time 0.0902 sec +0.06181991800215625 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 3.4268 sec +End of training - Time 0.09 sec +Model fitting time 0.0874 sec +Total RS time 0.0211 sec +Optimization function time 0.5143 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3788 sec +MSLS time 0.4318 sec +Local search time 0.9721 sec +Total iteration time 4.4891 sec +End of training - Time 0.10 sec +Model fitting time 0.1020 sec +Total RS time 0.0230 sec +Optimization function time 0.5318 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3736 sec +MSLS time 0.4747 sec +Local search time 1.0367 sec +Total iteration time 5.6318 sec +End of training - Time 0.09 sec +Model fitting time 0.0935 sec +Total RS time 0.0217 sec +Optimization function time 0.5778 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3657 sec +MSLS time 0.4203 sec +Local search time 1.0250 sec +Total iteration time 6.7533 sec +End of training - Time 0.10 sec +Model fitting time 0.1030 sec +Total RS time 0.0288 sec +Optimization function time 0.5214 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3686 sec +MSLS time 0.4654 sec +Local search time 1.0231 sec +Total iteration time 7.8835 sec +End of training - Time 0.10 sec +Model fitting time 0.0954 sec +0.04692028858132535 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 7.9811 sec +End of training - Time 0.09 sec +Model fitting time 0.0905 sec +Total RS time 0.0213 sec +Optimization function time 0.5303 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4232 sec +MSLS time 0.4720 sec +Local search time 1.0328 sec +x1,x2,f1,f2,Timestamp +0.9534838830391351,0.7668281614101226,30.250803675384535,31.250803675384535,179094 +0.9570493563041824,0.7480276799133367,30.330418901521774,31.330418901521774,179094 +0.9584949187199617,0.7657012762161014,30.168516701523576,31.168516701523576,179094 +0.06348217915896538,0.032168053574092303,54.00818596806576,55.00818596806576,179094 +0.06677980128350933,0.031924532027771624,53.948071197534674,54.948071197534674,179094 +0.0908567973923993,0.03180982125548745,53.489095861021525,54.489095861021525,179094 +0.049852943795835325,0.03175570899700618,54.27311792382123,55.27311792382123,179094 +0.09766526155486038,0.030378415938988945,53.375402499854886,54.375402499854886,179094 +0.5613262471303229,0.9669825278743226,35.60393811903826,36.60393811903826,179094 +0.03916821064772539,0.03137290796586469,54.481338348012756,55.481338348012756,179094 + +Black box function time 0.0089 sec +Total iteration time 9.1139 sec +Starting optimization iteration 20 +End of training - Time 0.11 sec +Model fitting time 0.1113 sec +Total RS time 0.0214 sec +Optimization function time 0.5299 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3860 sec +MSLS time 0.4305 sec +Local search time 0.9864 sec +Total iteration time 1.1003 sec +End of training - Time 0.10 sec +Model fitting time 0.0960 sec +Total RS time 0.0215 sec +Optimization function time 0.5107 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3772 sec +MSLS time 0.4407 sec +Local search time 0.9804 sec +Total iteration time 2.1807 sec +End of training - Time 0.11 sec +Model fitting time 0.1097 sec +Total RS time 0.0216 sec +Optimization function time 0.5161 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3753 sec +MSLS time 0.4216 sec +Local search time 0.9638 sec +Total iteration time 3.2568 sec +End of training - Time 0.09 sec +Model fitting time 0.0949 sec +Total RS time 0.0218 sec +Optimization function time 0.5020 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3808 sec +MSLS time 0.4766 sec +Local search time 1.0079 sec +Total iteration time 4.3636 sec +End of training - Time 0.10 sec +Model fitting time 0.1007 sec +0.07625709945666148 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 4.4666 sec +End of training - Time 0.09 sec +Model fitting time 0.0936 sec +0.08093504342621483 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 4.5623 sec +End of training - Time 0.09 sec +Model fitting time 0.0944 sec +Total RS time 0.0208 sec +Optimization function time 0.4952 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3686 sec +MSLS time 0.4180 sec +Local search time 0.9386 sec +Total iteration time 5.5996 sec +End of training - Time 0.12 sec +Model fitting time 0.1207 sec +Total RS time 0.0224 sec +Optimization function time 0.5305 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4080 sec +MSLS time 0.5029 sec +Local search time 1.0633 sec +Total iteration time 6.7877 sec +End of training - Time 0.10 sec +Model fitting time 0.1021 sec +Total RS time 0.0215 sec +Optimization function time 0.6113 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4039 sec +MSLS time 0.4959 sec +Local search time 1.1356 sec +Total iteration time 8.0299 sec +End of training - Time 0.10 sec +Model fitting time 0.1042 sec +Total RS time 0.0228 sec +Optimization function time 0.5427 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3752 sec +MSLS time 0.4944 sec +Local search time 1.0662 sec +x1,x2,f1,f2,Timestamp +1,0.9689397131929001,27.923630210226026,28.923630210226026,188305 +0.9994054752146736,0.969687592124258,27.928752575836004,28.928752575836004,188305 +0.9993762411136508,0.9704800990904341,27.923610968311955,28.923610968311955,188305 +1,0.9673753711711485,27.934797977636684,28.934797977636684,188305 +0.8367564636716307,0.629884545974291,33.479416908733484,34.479416908733484,188305 +0.3648001068455062,0.9148059230101971,39.41681717611853,40.41681717611853,188305 +0.374859834074713,0.9123717559044506,39.267975563273914,40.267975563273914,188305 +0.3673926642967187,0.9295344091576121,39.23995614981956,40.23995614981956,188305 +0.8440722542610055,0.629884545974291,33.34415974551434,34.34415974551434,188305 +0.8427415156373378,0.6288276082423427,33.377476470322854,34.377476470322854,188305 + +Black box function time 0.0010 sec +Total iteration time 9.2020 sec +Starting optimization iteration 21 +End of training - Time 0.14 sec +Model fitting time 0.1440 sec +Total RS time 0.0229 sec +Optimization function time 0.5265 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3854 sec +MSLS time 0.4974 sec +Local search time 1.0523 sec +Total iteration time 1.1991 sec +End of training - Time 0.11 sec +Model fitting time 0.1138 sec +Total RS time 0.0227 sec +Optimization function time 0.5299 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3665 sec +MSLS time 0.4489 sec +Local search time 1.0092 sec +Total iteration time 2.3263 sec +End of training - Time 0.11 sec +Model fitting time 0.1096 sec +0.09458845521521486 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 2.4381 sec +End of training - Time 0.10 sec +Model fitting time 0.0952 sec +Total RS time 0.0207 sec +Optimization function time 0.5236 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3805 sec +MSLS time 0.4780 sec +Local search time 1.0293 sec +Total iteration time 3.5664 sec +End of training - Time 0.10 sec +Model fitting time 0.1007 sec +Total RS time 0.0216 sec +Optimization function time 0.5138 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3671 sec +MSLS time 0.4199 sec +Local search time 0.9602 sec +Total iteration time 4.6299 sec +End of training - Time 0.11 sec +Model fitting time 0.1072 sec +Total RS time 0.0217 sec +Optimization function time 0.5316 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3962 sec +MSLS time 0.5190 sec +Local search time 1.0781 sec +Total iteration time 5.8177 sec +End of training - Time 0.10 sec +Model fitting time 0.0989 sec +Total RS time 0.0213 sec +Optimization function time 0.5265 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3809 sec +MSLS time 0.4826 sec +Local search time 1.0376 sec +Total iteration time 6.9580 sec +End of training - Time 0.16 sec +Model fitting time 0.1565 sec +Total RS time 0.0214 sec +Optimization function time 0.5166 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3950 sec +MSLS time 0.4528 sec +Local search time 0.9955 sec +Total iteration time 8.1130 sec +End of training - Time 0.11 sec +Model fitting time 0.1129 sec +Total RS time 0.0360 sec +Optimization function time 0.5509 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3752 sec +MSLS time 0.4738 sec +Local search time 1.0670 sec +Total iteration time 9.2961 sec +End of training - Time 0.10 sec +Model fitting time 0.1019 sec +Total RS time 0.0215 sec +Optimization function time 0.5232 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3733 sec +MSLS time 0.4712 sec +Local search time 1.0213 sec +x1,x2,f1,f2,Timestamp +1,0.9614928090887722,27.976837130927244,28.976837130927244,198726 +1,0.962743238358674,27.967895268567283,28.967895268567283,198726 +0.4515901726943601,0.746407421699256,39.44417481077596,40.44417481077596,198726 +0.45213368750785876,0.7462012195533198,39.43646512384354,40.43646512384354,198726 +0.4514615689941356,0.728244444747833,39.61247104570738,40.61247104570738,198726 +0.4474189594927981,0.7275539345929115,39.690334863521976,40.690334863521976,198726 +0.44562523340538934,0.7325247321084463,39.67647099311023,40.67647099311023,198726 +0.44546572661772627,0.7432654696485524,39.580931610489024,40.580931610489024,198726 +0.4498061225918762,0.7256988215267245,39.66510120164733,40.66510120164733,198726 +0.9999997572877932,0.962561310985905,27.969200317754467,28.969200317754467,198726 + +Black box function time 0.0007 sec +Total iteration time 10.4206 sec +Starting optimization iteration 22 +End of training - Time 0.10 sec +Model fitting time 0.1010 sec +Total RS time 0.0214 sec +Optimization function time 0.5354 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4101 sec +MSLS time 0.5071 sec +Local search time 1.0710 sec +Total iteration time 1.1762 sec +End of training - Time 0.10 sec +Model fitting time 0.1043 sec +0.040679741900161326 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 1.2827 sec +End of training - Time 0.10 sec +Model fitting time 0.0996 sec +Total RS time 0.0209 sec +Optimization function time 0.5300 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4118 sec +MSLS time 0.4634 sec +Local search time 1.0213 sec +Total iteration time 2.4077 sec +End of training - Time 0.14 sec +Model fitting time 0.1372 sec +0.08815700704352458 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 2.5472 sec +End of training - Time 0.10 sec +Model fitting time 0.1001 sec +0.05330359985282662 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 2.6494 sec +End of training - Time 0.10 sec +Model fitting time 0.1005 sec +Total RS time 0.0211 sec +Optimization function time 0.5275 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3995 sec +MSLS time 0.4467 sec +Local search time 1.0002 sec +Total iteration time 3.7528 sec +End of training - Time 0.11 sec +Model fitting time 0.1113 sec +Total RS time 0.0226 sec +Optimization function time 0.5568 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3904 sec +MSLS time 0.5190 sec +Local search time 1.1032 sec +Total iteration time 4.9699 sec +End of training - Time 0.10 sec +Model fitting time 0.1034 sec +Total RS time 0.0211 sec +Optimization function time 0.5305 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3805 sec +MSLS time 0.4387 sec +Local search time 0.9958 sec +Total iteration time 6.0722 sec +End of training - Time 0.15 sec +Model fitting time 0.1464 sec +Total RS time 0.0382 sec +Optimization function time 0.5380 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4114 sec +MSLS time 0.5110 sec +Local search time 1.0914 sec +Total iteration time 7.3127 sec +End of training - Time 0.11 sec +Model fitting time 0.1072 sec +0.09952532982509099 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +x1,x2,f1,f2,Timestamp +1,0.9747902546041681,27.881906839026186,28.881906839026186,206147 +0.8426292243655512,0.5441914913297147,34.0844243390177,35.0844243390177,206147 +0.8407734452741108,0.5430323210171761,34.12893216299634,35.12893216299634,206147 +0.06464327292754178,0.13478541880468142,52.79274451591182,53.79274451591182,206147 +0.637969872884987,0.5155068773739598,38.15931288570596,39.15931288570596,206147 +0.06508101409939061,0.17427176323337368,52.331031427592265,53.331031427592265,206147 +0.06390071884066081,0.1542692823166437,52.58245101520885,53.58245101520885,206147 +0.06456869374471938,0.12540469512986138,52.902354490350774,53.902354490350774,206147 +0.06754215927651326,0.1676969898611272,52.36037425432289,53.36037425432289,206147 +0.5312728789586845,0.24719580058000196,42.71894857616049,43.71894857616049,206147 + +Black box function time 0.0005 sec +Total iteration time 7.4212 sec +Starting optimization iteration 23 +End of training - Time 0.10 sec +Model fitting time 0.1044 sec +Total RS time 0.0213 sec +Optimization function time 0.5174 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4066 sec +MSLS time 0.5037 sec +Local search time 1.0493 sec +Total iteration time 1.1573 sec +End of training - Time 0.11 sec +Model fitting time 0.1088 sec +Total RS time 0.0217 sec +Optimization function time 0.5244 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3603 sec +MSLS time 0.4724 sec +Local search time 1.0235 sec +Total iteration time 2.2922 sec +End of training - Time 0.11 sec +Model fitting time 0.1129 sec +Total RS time 0.0229 sec +Optimization function time 0.5181 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4312 sec +MSLS time 0.5278 sec +Local search time 1.0753 sec +Total iteration time 3.4846 sec +End of training - Time 0.12 sec +Model fitting time 0.1179 sec +Total RS time 0.0221 sec +Optimization function time 0.5494 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3660 sec +MSLS time 0.4188 sec +Local search time 0.9952 sec +Total iteration time 4.6005 sec +End of training - Time 0.12 sec +Model fitting time 0.1215 sec +0.00351933665583426 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 4.7244 sec +End of training - Time 0.11 sec +Model fitting time 0.1105 sec +0.004274942913646718 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 4.8374 sec +End of training - Time 0.11 sec +Model fitting time 0.1065 sec +Total RS time 0.0218 sec +Optimization function time 0.5235 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4120 sec +MSLS time 0.5113 sec +Local search time 1.0632 sec +Total iteration time 6.0099 sec +End of training - Time 0.11 sec +Model fitting time 0.1090 sec +Total RS time 0.0217 sec +Optimization function time 0.5245 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4199 sec +MSLS time 0.5173 sec +Local search time 1.0703 sec +Total iteration time 7.1933 sec +End of training - Time 0.11 sec +Model fitting time 0.1118 sec +Total RS time 0.0210 sec +Optimization function time 0.5189 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4177 sec +MSLS time 0.5175 sec +Local search time 1.0645 sec +Total iteration time 8.3726 sec +End of training - Time 0.11 sec +Model fitting time 0.1111 sec +Total RS time 0.0221 sec +Optimization function time 0.5411 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3731 sec +MSLS time 0.4703 sec +Local search time 1.0409 sec +x1,x2,f1,f2,Timestamp +0.9948643856330618,0.9662440310923441,28.03328001163998,29.03328001163998,215673 +0.994305557466429,0.9657911118464202,28.04635957568198,29.04635957568198,215673 +0.9942765791444645,0.959388047634525,28.09274999561066,29.09274999561066,215673 +0.9949811239403871,0.9560413151773107,28.104341479433955,29.104341479433955,215673 +0.5684319265805524,0.35860776199918215,40.92569092620842,41.92569092620842,215673 +0.03577955327038978,0.0940322202031841,53.80897006178708,54.80897006178708,215673 +0.03585804933833688,0.09111004003948346,53.84168267787459,54.84168267787459,215673 +0.03599766587442301,0.07640369716223143,54.01138145030258,55.01138145030258,215673 +0.5610859545939284,0.35023951024889977,41.14526823660814,42.14526823660814,215673 +0.032482450201222104,0.08657846776620219,53.958454924211104,54.958454924211104,215673 + +Black box function time 0.0082 sec +Total iteration time 9.5336 sec +Starting optimization iteration 24 +End of training - Time 0.12 sec +Model fitting time 0.1182 sec +Total RS time 0.0219 sec +Optimization function time 0.5531 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3543 sec +MSLS time 0.4523 sec +Local search time 1.0343 sec +Total iteration time 1.1561 sec +End of training - Time 0.12 sec +Model fitting time 0.1169 sec +Total RS time 0.0215 sec +Optimization function time 0.5354 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4221 sec +MSLS time 0.5209 sec +Local search time 1.0854 sec +Total iteration time 2.3626 sec +End of training - Time 0.11 sec +Model fitting time 0.1118 sec +0.09534099649613015 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 2.4768 sec +End of training - Time 0.11 sec +Model fitting time 0.1107 sec +Total RS time 0.0212 sec +Optimization function time 0.5606 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3865 sec +MSLS time 0.4852 sec +Local search time 1.0734 sec +Total iteration time 3.6652 sec +End of training - Time 0.12 sec +Model fitting time 0.1198 sec +Total RS time 0.0222 sec +Optimization function time 0.5540 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3840 sec +MSLS time 0.4822 sec +Local search time 1.0650 sec +Total iteration time 4.8536 sec +End of training - Time 0.12 sec +Model fitting time 0.1155 sec +Total RS time 0.0218 sec +Optimization function time 0.5340 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3771 sec +MSLS time 0.4736 sec +Local search time 1.0345 sec +Total iteration time 6.0064 sec +End of training - Time 0.11 sec +Model fitting time 0.1131 sec +Total RS time 0.0214 sec +Optimization function time 0.5490 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3791 sec +MSLS time 0.4741 sec +Local search time 1.0509 sec +Total iteration time 7.1748 sec +End of training - Time 0.12 sec +Model fitting time 0.1227 sec +Total RS time 0.0217 sec +Optimization function time 0.5352 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3731 sec +MSLS time 0.4201 sec +Local search time 0.9819 sec +Total iteration time 8.2821 sec +End of training - Time 0.12 sec +Model fitting time 0.1230 sec +Total RS time 0.0215 sec +Optimization function time 0.5209 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4040 sec +MSLS time 0.5013 sec +Local search time 1.0491 sec +Total iteration time 9.4570 sec +End of training - Time 0.11 sec +Model fitting time 0.1143 sec +0.04798880848915976 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +x1,x2,f1,f2,Timestamp +1,0.9638771596685921,27.959789262269062,28.959789262269062,225254 +1,0.9637240258604824,27.960883812037686,28.960883812037686,225254 +0.987218207154231,0.684835976106172,30.266713996087876,31.266713996087876,225254 +0.9876772149497277,0.6733268672088557,30.34748368508577,31.34748368508577,225254 +0.9872746959441694,0.6931559470751891,30.201353257348686,31.201353257348686,225254 +0.987388609363856,0.6931559470751891,30.19926469322769,31.19926469322769,225254 +0.9872070523601316,0.6535648795606486,30.50992714938036,31.50992714938036,225254 +0.9876772149497277,0.7332217541181356,29.886196160329604,30.886196160329604,225254 +0.987388609363856,0.7025506946989172,30.1268008334403,31.1268008334403,225254 +0.09594724600816038,0.13934739329015708,52.152194797108606,53.152194797108606,225254 + +Black box function time 0.0007 sec +Total iteration time 9.5730 sec +Starting optimization iteration 25 +End of training - Time 0.11 sec +Model fitting time 0.1138 sec +0.0664754978873554 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 0.1161 sec +End of training - Time 0.11 sec +Model fitting time 0.1131 sec +0.037364100554073576 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 0.2316 sec +End of training - Time 0.11 sec +Model fitting time 0.1128 sec +Total RS time 0.0213 sec +Optimization function time 0.5566 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3706 sec +MSLS time 0.4250 sec +Local search time 1.0080 sec +Total iteration time 1.3553 sec +End of training - Time 0.13 sec +Model fitting time 0.1282 sec +Total RS time 0.0219 sec +Optimization function time 0.5512 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3772 sec +MSLS time 0.4744 sec +Local search time 1.0548 sec +Total iteration time 2.5426 sec +End of training - Time 0.12 sec +Model fitting time 0.1184 sec +Total RS time 0.0214 sec +Optimization function time 0.5578 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3804 sec +MSLS time 0.4709 sec +Local search time 1.0549 sec +Total iteration time 3.7185 sec +End of training - Time 0.12 sec +Model fitting time 0.1168 sec +Total RS time 0.0221 sec +Optimization function time 0.5401 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3894 sec +MSLS time 0.4465 sec +Local search time 1.0139 sec +Total iteration time 4.8537 sec +End of training - Time 0.14 sec +Model fitting time 0.1430 sec +Total RS time 0.0228 sec +Optimization function time 0.5187 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3813 sec +MSLS time 0.4783 sec +Local search time 1.0275 sec +Total iteration time 6.0284 sec +End of training - Time 0.12 sec +Model fitting time 0.1237 sec +Total RS time 0.0221 sec +Optimization function time 0.6303 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3865 sec +MSLS time 0.4972 sec +Local search time 1.1544 sec +Total iteration time 7.3093 sec +End of training - Time 0.14 sec +Model fitting time 0.1365 sec +Total RS time 0.0235 sec +Optimization function time 0.5151 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4014 sec +MSLS time 0.5132 sec +Local search time 1.0565 sec +Total iteration time 8.5050 sec +End of training - Time 0.12 sec +Model fitting time 0.1166 sec +Total RS time 0.0214 sec +Optimization function time 0.5411 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3598 sec +MSLS time 0.4581 sec +Local search time 1.0276 sec +x1,x2,f1,f2,Timestamp +0.700345094738163,0.3050545760015974,38.90567786072409,39.90567786072409,234905 +0.03919821276602987,0.5730734903037253,48.375162466108826,49.375162466108826,234905 +0.04007244884196507,0.5800408515520221,48.28531057164513,49.28531057164513,234905 +0.03827256268182747,0.5822198295220167,48.29313770124668,49.29313770124668,234905 +0.038453400405193905,0.5757532231446859,48.35932880914626,49.35932880914626,234905 +0.02590917469564722,0.5776467219947262,48.55624929013489,49.55624929013489,234905 +0.01671928739338775,0.5796221927453076,48.69389594014761,49.69389594014761,234905 +0.03703501973559878,0.5926885824959713,48.20246771010966,49.20246771010966,234905 +0.026692316784971443,0.5243223157726035,49.1193061601547,50.1193061601547,234905 +0.0329705391912814,0.5916907520991711,48.283366965396375,49.283366965396375,234905 + +Black box function time 0.0025 sec +Total iteration time 9.6525 sec +Starting optimization iteration 26 +End of training - Time 0.13 sec +Model fitting time 0.1269 sec +Total RS time 0.0214 sec +Optimization function time 0.5280 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3792 sec +MSLS time 0.4764 sec +Local search time 1.0333 sec +Total iteration time 1.1644 sec +End of training - Time 0.12 sec +Model fitting time 0.1179 sec +Total RS time 0.0215 sec +Optimization function time 0.5138 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3801 sec +MSLS time 0.4342 sec +Local search time 0.9744 sec +Total iteration time 2.2612 sec +End of training - Time 0.14 sec +Model fitting time 0.1396 sec +Total RS time 0.0232 sec +Optimization function time 0.5552 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4022 sec +MSLS time 0.4602 sec +Local search time 1.0440 sec +Total iteration time 3.4481 sec +End of training - Time 0.14 sec +Model fitting time 0.1387 sec +Total RS time 0.1186 sec +Optimization function time 0.5508 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4192 sec +MSLS time 0.5106 sec +Local search time 1.1868 sec +Total iteration time 4.7773 sec +End of training - Time 0.13 sec +Model fitting time 0.1296 sec +Total RS time 0.0220 sec +Optimization function time 0.5387 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4089 sec +MSLS time 0.5456 sec +Local search time 1.1103 sec +Total iteration time 6.0198 sec +End of training - Time 0.12 sec +Model fitting time 0.1209 sec +Total RS time 0.0221 sec +Optimization function time 0.5508 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3881 sec +MSLS time 0.4859 sec +Local search time 1.0659 sec +Total iteration time 7.2107 sec +End of training - Time 0.13 sec +Model fitting time 0.1331 sec +Total RS time 0.0232 sec +Optimization function time 0.5460 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4171 sec +MSLS time 0.4744 sec +Local search time 1.0489 sec +Total iteration time 8.3959 sec +End of training - Time 0.14 sec +Model fitting time 0.1376 sec +Total RS time 0.0220 sec +Optimization function time 0.5118 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4595 sec +MSLS time 0.5483 sec +Local search time 1.0889 sec +Total iteration time 9.6267 sec +End of training - Time 0.13 sec +Model fitting time 0.1348 sec +Total RS time 0.0223 sec +Optimization function time 0.5322 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3679 sec +MSLS time 0.4433 sec +Local search time 1.0031 sec +Total iteration time 10.7676 sec +End of training - Time 0.13 sec +Model fitting time 0.1317 sec +Total RS time 0.0223 sec +Optimization function time 0.5234 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3879 sec +MSLS time 0.5202 sec +Local search time 1.0698 sec +x1,x2,f1,f2,Timestamp +1,0.9647077145317403,27.9538535480525,28.9538535480525,246877 +0.9752071014358289,0.964651346433059,28.39082931673671,29.39082931673671,246877 +0.9779216743220299,0.9645555940950892,28.343715280882286,29.343715280882286,246877 +1,0.9652069877992229,27.950286063087596,28.950286063087596,246877 +0.9753922456012535,0.9662429464719808,28.37609322243406,29.37609322243406,246877 +1,0.9645555940950892,27.9549406017345,28.9549406017345,246877 +0.9776270535007312,0.9660326945382793,28.3382619163349,29.3382619163349,246877 +1,0.9660326945382793,27.944387188981096,28.944387188981096,246877 +0.9795862480129564,0.9645555940950892,28.314401812236067,29.314401812236067,246877 +1,0.9661862310129198,27.94329047007693,28.94329047007693,246877 + +Black box function time 0.0007 sec +Total iteration time 11.9705 sec +Starting optimization iteration 27 +End of training - Time 0.12 sec +Model fitting time 0.1226 sec +Total RS time 0.0216 sec +Optimization function time 0.5026 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4004 sec +MSLS time 0.4967 sec +Local search time 1.0287 sec +Total iteration time 1.1555 sec +End of training - Time 0.13 sec +Model fitting time 0.1286 sec +Total RS time 0.0217 sec +Optimization function time 0.5656 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4204 sec +MSLS time 0.4716 sec +Local search time 1.0659 sec +Total iteration time 2.3543 sec +End of training - Time 0.14 sec +Model fitting time 0.1446 sec +Total RS time 0.0219 sec +Optimization function time 0.5326 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3716 sec +MSLS time 0.4250 sec +Local search time 0.9843 sec +Total iteration time 3.4902 sec +End of training - Time 0.14 sec +Model fitting time 0.1452 sec +Total RS time 0.0289 sec +Optimization function time 0.5311 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3647 sec +MSLS time 0.4637 sec +Local search time 1.0309 sec +Total iteration time 4.6711 sec +End of training - Time 0.12 sec +Model fitting time 0.1248 sec +Total RS time 0.0214 sec +Optimization function time 0.5517 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3900 sec +MSLS time 0.4384 sec +Local search time 1.0161 sec +Total iteration time 5.8164 sec +End of training - Time 0.14 sec +Model fitting time 0.1441 sec +Total RS time 0.0225 sec +Optimization function time 0.5269 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3924 sec +MSLS time 0.4456 sec +Local search time 1.0000 sec +Total iteration time 6.9637 sec +End of training - Time 0.14 sec +Model fitting time 0.1369 sec +Total RS time 0.0233 sec +Optimization function time 0.5130 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3806 sec +MSLS time 0.4769 sec +Local search time 1.0205 sec +Total iteration time 8.1252 sec +End of training - Time 0.13 sec +Model fitting time 0.1282 sec +Total RS time 0.0218 sec +Optimization function time 0.5351 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3777 sec +MSLS time 0.4738 sec +Local search time 1.0384 sec +Total iteration time 9.2960 sec +End of training - Time 0.13 sec +Model fitting time 0.1315 sec +Total RS time 0.0216 sec +Optimization function time 0.5265 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3792 sec +MSLS time 0.5084 sec +Local search time 1.0616 sec +Total iteration time 10.4921 sec +End of training - Time 0.13 sec +Model fitting time 0.1260 sec +Total RS time 0.0237 sec +Optimization function time 0.5241 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3761 sec +MSLS time 0.4297 sec +Local search time 0.9821 sec +x1,x2,f1,f2,Timestamp +1,0.974382295276895,27.884813997011847,28.884813997011847,258479 +0.9460003685215143,0.9739014008032002,28.837815969317614,29.837815969317614,258479 +1,0.9743926593818122,27.884740137267883,28.884740137267883,258479 +0.9469102169544753,0.9743823850632415,28.81832008590535,29.81832008590535,258479 +0.9455786121207038,0.9734410169781147,28.848581169775166,29.848581169775166,258479 +0.9524189440170745,0.973902881923614,28.724934363725012,29.724934363725012,258479 +1,0.9744669395603072,27.884210786219644,28.884210786219644,258479 +0.9397485066953403,0.9743319467692687,28.944612805543485,29.944612805543485,258479 +0.9628349985269914,0.9729927615068146,28.548340349642043,29.548340349642043,258479 +0.948541211129467,0.9726062016581797,28.80254738218849,29.80254738218849,258479 + +Black box function time 0.0110 sec +Total iteration time 11.6121 sec +Starting optimization iteration 28 +End of training - Time 0.16 sec +Model fitting time 0.1572 sec +Total RS time 0.0228 sec +Optimization function time 0.5060 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3866 sec +MSLS time 0.4370 sec +Local search time 0.9707 sec +Total iteration time 1.1325 sec +End of training - Time 0.16 sec +Model fitting time 0.1587 sec +Total RS time 0.0227 sec +Optimization function time 0.5180 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3701 sec +MSLS time 0.4182 sec +Local search time 0.9635 sec +Total iteration time 2.2575 sec +End of training - Time 0.13 sec +Model fitting time 0.1275 sec +Total RS time 0.0215 sec +Optimization function time 0.5279 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3723 sec +MSLS time 0.4264 sec +Local search time 0.9807 sec +Total iteration time 3.3685 sec +End of training - Time 0.14 sec +Model fitting time 0.1362 sec +Total RS time 0.0215 sec +Optimization function time 0.5402 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4081 sec +MSLS time 0.5276 sec +Local search time 1.0938 sec +Total iteration time 4.6012 sec +End of training - Time 0.13 sec +Model fitting time 0.1276 sec +0.03935373755264426 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 4.7313 sec +End of training - Time 0.12 sec +Model fitting time 0.1244 sec +Total RS time 0.0220 sec +Optimization function time 0.5452 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3801 sec +MSLS time 0.4307 sec +Local search time 1.0066 sec +Total iteration time 5.8668 sec +End of training - Time 0.15 sec +Model fitting time 0.1541 sec +Total RS time 0.0234 sec +Optimization function time 0.5374 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4174 sec +MSLS time 0.5149 sec +Local search time 1.0820 sec +Total iteration time 7.1061 sec +End of training - Time 0.13 sec +Model fitting time 0.1289 sec +Total RS time 0.0214 sec +Optimization function time 0.6104 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3764 sec +MSLS time 0.4665 sec +Local search time 1.1028 sec +Total iteration time 8.3407 sec +End of training - Time 0.13 sec +Model fitting time 0.1296 sec +Total RS time 0.0216 sec +Optimization function time 0.5343 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3901 sec +MSLS time 0.5326 sec +Local search time 1.0929 sec +Total iteration time 9.5659 sec +End of training - Time 0.13 sec +Model fitting time 0.1278 sec +Total RS time 0.0217 sec +Optimization function time 0.5389 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3715 sec +MSLS time 0.4748 sec +Local search time 1.0430 sec +x1,x2,f1,f2,Timestamp +0.9997099858846189,0.8620116972619273,28.703436430897373,29.703436430897373,269229 +0.9961918646156163,0.8622965687489719,28.764246867374005,29.764246867374005,269229 +0.998369430239751,0.863675140875771,28.715173639588798,29.715173639588798,269229 +0.9984498640574495,0.8627084019749416,28.72084422210504,29.72084422210504,269229 +0.29441690943012516,0.8925965070596784,40.81165570727537,41.81165570727537,269229 +0.27875381939283206,0.8924514098775918,41.07714206620833,42.07714206620833,269229 +0.2777148858907344,0.8925225826543828,41.09397768806494,42.09397768806494,269229 +0.27912161254934925,0.8899336576240269,41.09448506010665,42.09448506010665,269229 +0.3056903167617964,0.8891596755680553,40.65307400693948,41.65307400693948,269229 +0.29778111529042023,0.8620286848649774,41.0397590514436,42.0397590514436,269229 + +Black box function time 0.0010 sec +Total iteration time 10.7386 sec +Starting optimization iteration 29 +End of training - Time 0.19 sec +Model fitting time 0.1938 sec +Total RS time 0.0220 sec +Optimization function time 0.5306 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3759 sec +MSLS time 0.4285 sec +Local search time 0.9860 sec +Total iteration time 1.1844 sec +End of training - Time 0.16 sec +Model fitting time 0.1574 sec +Total RS time 0.0227 sec +Optimization function time 0.5351 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3955 sec +MSLS time 0.4454 sec +Local search time 1.0082 sec +Total iteration time 2.3528 sec +End of training - Time 0.14 sec +Model fitting time 0.1357 sec +0.03472814505836286 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 2.4910 sec +End of training - Time 0.13 sec +Model fitting time 0.1316 sec +Total RS time 0.0237 sec +Optimization function time 0.5598 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3944 sec +MSLS time 0.4899 sec +Local search time 1.0797 sec +Total iteration time 3.7066 sec +End of training - Time 0.14 sec +Model fitting time 0.1403 sec +Total RS time 0.0212 sec +Optimization function time 0.5311 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3774 sec +MSLS time 0.4361 sec +Local search time 0.9938 sec +Total iteration time 4.8436 sec +End of training - Time 0.14 sec +Model fitting time 0.1401 sec +Total RS time 0.0218 sec +Optimization function time 0.5518 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4422 sec +MSLS time 0.5056 sec +Local search time 1.0872 sec +Total iteration time 6.0752 sec +End of training - Time 0.15 sec +Model fitting time 0.1479 sec +0.057727820355282455 < 0.1 random sampling a configuration to run +Local search time 0.0003 sec +Total iteration time 6.2257 sec +End of training - Time 0.13 sec +Model fitting time 0.1318 sec +Total RS time 0.0213 sec +Optimization function time 0.5335 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4209 sec +MSLS time 0.5140 sec +Local search time 1.0794 sec +Total iteration time 7.4402 sec +End of training - Time 0.14 sec +Model fitting time 0.1354 sec +Total RS time 0.0219 sec +Optimization function time 0.5283 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4483 sec +MSLS time 0.5500 sec +Local search time 1.1069 sec +Total iteration time 8.6864 sec +End of training - Time 0.14 sec +Model fitting time 0.1401 sec +Total RS time 0.0229 sec +Optimization function time 0.5251 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3833 sec +MSLS time 0.4786 sec +Local search time 1.0322 sec +x1,x2,f1,f2,Timestamp +0.9845548792882187,0.7052110581362983,30.158178106746185,31.158178106746185,279090 +0.9838241494650158,0.7076512969307048,30.152749328465223,31.152749328465223,279090 +0.8846602159700045,0.0243312418539481,37.88105261811389,38.88105261811389,279090 +0.8846602159700045,0.041104300406898844,37.72471413884234,38.72471413884234,279090 +0.8847137975132304,0.07562406653545736,37.40366009697763,38.40366009697763,279090 +0.8846602159700045,0.05819034817200874,37.5660368651355,38.5660368651355,279090 +0.23866105545508964,0.4802412829818102,45.824395435258765,46.824395435258765,279090 +0.2374864286291556,0.4781564358319625,45.867034608547186,46.867034608547186,279090 +0.23866105545508964,0.48078665793695596,45.81878134176647,46.81878134176647,279090 +0.1844630389714178,0.47846941566313456,46.81663801898883,47.81663801898883,279090 + +Black box function time 0.0008 sec +Total iteration time 9.8603 sec +Starting optimization iteration 30 +End of training - Time 0.14 sec +Model fitting time 0.1365 sec +Total RS time 0.0220 sec +Optimization function time 0.5275 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4280 sec +MSLS time 0.5543 sec +Local search time 1.1088 sec +Total iteration time 1.2479 sec +End of training - Time 0.13 sec +Model fitting time 0.1325 sec +Total RS time 0.0218 sec +Optimization function time 0.5224 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4156 sec +MSLS time 0.4757 sec +Local search time 1.0264 sec +Total iteration time 2.4111 sec +End of training - Time 0.15 sec +Model fitting time 0.1544 sec +Total RS time 0.0213 sec +Optimization function time 0.5236 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3686 sec +MSLS time 0.4732 sec +Local search time 1.0252 sec +Total iteration time 3.5945 sec +End of training - Time 0.14 sec +Model fitting time 0.1374 sec +Total RS time 0.0216 sec +Optimization function time 0.5522 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3675 sec +MSLS time 0.4274 sec +Local search time 1.0061 sec +Total iteration time 4.7407 sec +End of training - Time 0.15 sec +Model fitting time 0.1547 sec +Total RS time 0.0346 sec +Optimization function time 0.5462 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4246 sec +MSLS time 0.5223 sec +Local search time 1.1082 sec +Total iteration time 6.0085 sec +End of training - Time 0.14 sec +Model fitting time 0.1374 sec +Total RS time 0.0213 sec +Optimization function time 0.5278 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4141 sec +MSLS time 0.5088 sec +Local search time 1.0628 sec +Total iteration time 7.2118 sec +End of training - Time 0.14 sec +Model fitting time 0.1360 sec +Total RS time 0.0218 sec +Optimization function time 0.5348 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3823 sec +MSLS time 0.4788 sec +Local search time 1.0400 sec +Total iteration time 8.3905 sec +End of training - Time 0.14 sec +Model fitting time 0.1355 sec +0.09994589809408239 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 8.5285 sec +End of training - Time 0.13 sec +Model fitting time 0.1337 sec +Total RS time 0.0217 sec +Optimization function time 0.5191 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3755 sec +MSLS time 0.4297 sec +Local search time 0.9805 sec +Total iteration time 9.6474 sec +End of training - Time 0.16 sec +Model fitting time 0.1614 sec +Total RS time 0.0215 sec +Optimization function time 0.5212 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4191 sec +MSLS time 0.5613 sec +Local search time 1.1083 sec +x1,x2,f1,f2,Timestamp +1,0.9744037895693158,27.88466081827928,28.88466081827928,290009 +1,0.9744295639104624,27.88447713906596,28.88447713906596,290009 +0.7109453486402781,0.9747698968651544,32.94980232261297,33.94980232261297,290009 +0.7089211002803113,0.9701368678324863,33.0217811270648,34.0217811270648,290009 +0.7161524605940671,0.9745226605593627,32.8610658919723,33.8610658919723,290009 +0.7173080733454328,0.9701368678324863,32.87560334339455,33.87560334339455,290009 +0.7212497412085525,0.9756266205644861,32.763543528555374,33.763543528555374,290009 +0.23712546359747874,0.019161033510313768,50.81343999456908,51.81343999456908,290009 +0.7089211002803113,0.9726063471949999,33.00219682435126,34.00219682435126,290009 +0.7087115669028987,0.9718709748650196,33.01167727717615,34.01167727717615,290009 + +Black box function time 0.0006 sec +Total iteration time 10.9185 sec +Starting optimization iteration 31 +End of training - Time 0.14 sec +Model fitting time 0.1399 sec +0.030549422186670894 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 0.1425 sec +End of training - Time 0.14 sec +Model fitting time 0.1369 sec +0.07151208020221389 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 0.2818 sec +End of training - Time 0.14 sec +Model fitting time 0.1376 sec +Total RS time 0.0218 sec +Optimization function time 0.7071 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3884 sec +MSLS time 0.4880 sec +Local search time 1.2231 sec +Total iteration time 1.6459 sec +End of training - Time 0.14 sec +Model fitting time 0.1385 sec +Total RS time 0.0211 sec +Optimization function time 0.5383 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3710 sec +MSLS time 0.4801 sec +Local search time 1.0446 sec +Total iteration time 2.8320 sec +End of training - Time 0.14 sec +Model fitting time 0.1397 sec +Total RS time 0.0216 sec +Optimization function time 0.5375 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4151 sec +MSLS time 0.4659 sec +Local search time 1.0296 sec +Total iteration time 4.0041 sec +End of training - Time 0.15 sec +Model fitting time 0.1462 sec +Total RS time 0.0219 sec +Optimization function time 0.5333 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3934 sec +MSLS time 0.4900 sec +Local search time 1.0512 sec +Total iteration time 5.2047 sec +End of training - Time 0.14 sec +Model fitting time 0.1430 sec +Total RS time 0.0217 sec +Optimization function time 0.5234 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3709 sec +MSLS time 0.4302 sec +Local search time 0.9803 sec +Total iteration time 6.3311 sec +End of training - Time 0.16 sec +Model fitting time 0.1633 sec +Total RS time 0.0229 sec +Optimization function time 0.5328 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4291 sec +MSLS time 0.5460 sec +Local search time 1.1056 sec +Total iteration time 7.6026 sec +End of training - Time 0.14 sec +Model fitting time 0.1442 sec +Total RS time 0.0218 sec +Optimization function time 0.5328 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4019 sec +MSLS time 0.4999 sec +Local search time 1.0610 sec +Total iteration time 8.8118 sec +End of training - Time 0.15 sec +Model fitting time 0.1454 sec +Total RS time 0.0219 sec +Optimization function time 0.5374 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4322 sec +MSLS time 0.4877 sec +Local search time 1.0521 sec +x1,x2,f1,f2,Timestamp +0.3498424772795634,0.4359079988283818,44.25212793570232,45.25212793570232,300020 +0.4684227234040761,0.3383245157750583,43.01589952804107,44.01589952804107,300020 +0.34785348254727816,0.43759074849985513,44.271753693593034,45.271753693593034,300020 +0.3341881328684013,0.4292862393002539,44.60637499092782,45.60637499092782,300020 +0.4684227234040761,0.3578158099706369,42.823529905129156,43.823529905129156,300020 +0.47925900550511996,0.35628392683977556,42.6345361253488,43.6345361253488,300020 +0.3404388392371869,0.3382738187851615,45.41795361577487,46.41795361577487,300020 +0.3518540494761465,0.3516813631971057,45.0679122732816,46.0679122732816,300020 +0.3330843537492291,0.3362865339906521,45.575503510406776,46.575503510406776,300020 +0.46756476830289245,0.3561065787431958,42.8565190679204,43.8565190679204,300020 + +Black box function time 0.0078 sec +Total iteration time 10.0205 sec +Starting optimization iteration 32 +End of training - Time 0.15 sec +Model fitting time 0.1508 sec +0.07263707205784531 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 0.1533 sec +End of training - Time 0.14 sec +Model fitting time 0.1402 sec +Total RS time 0.0215 sec +Optimization function time 0.5454 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4170 sec +MSLS time 0.5196 sec +Local search time 1.0929 sec +Total iteration time 1.3902 sec +End of training - Time 0.18 sec +Model fitting time 0.1844 sec +Total RS time 0.0219 sec +Optimization function time 0.5472 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3620 sec +MSLS time 0.4377 sec +Local search time 1.0162 sec +Total iteration time 2.5951 sec +End of training - Time 0.16 sec +Model fitting time 0.1573 sec +Total RS time 0.0216 sec +Optimization function time 0.5513 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4466 sec +MSLS time 0.5009 sec +Local search time 1.0792 sec +Total iteration time 3.8347 sec +End of training - Time 0.16 sec +Model fitting time 0.1560 sec +Total RS time 0.0211 sec +Optimization function time 0.5367 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4051 sec +MSLS time 0.4589 sec +Local search time 1.0542 sec +Total iteration time 5.0493 sec +End of training - Time 0.16 sec +Model fitting time 0.1567 sec +Total RS time 0.0222 sec +Optimization function time 0.5296 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3894 sec +MSLS time 0.4419 sec +Local search time 0.9989 sec +Total iteration time 6.2081 sec +End of training - Time 0.17 sec +Model fitting time 0.1661 sec +Total RS time 0.0239 sec +Optimization function time 0.5617 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4046 sec +MSLS time 0.4617 sec +Local search time 1.0551 sec +Total iteration time 7.4341 sec +End of training - Time 0.16 sec +Model fitting time 0.1645 sec +Total RS time 0.0224 sec +Optimization function time 0.5350 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4205 sec +MSLS time 0.5210 sec +Local search time 1.0848 sec +Total iteration time 8.6866 sec +End of training - Time 0.15 sec +Model fitting time 0.1497 sec +Total RS time 0.0213 sec +Optimization function time 0.5330 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3930 sec +MSLS time 0.4482 sec +Local search time 1.0118 sec +Total iteration time 9.8525 sec +End of training - Time 0.17 sec +Model fitting time 0.1668 sec +Total RS time 0.0223 sec +Optimization function time 0.5392 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4077 sec +MSLS time 0.4678 sec +Local search time 1.0345 sec +x1,x2,f1,f2,Timestamp +0.18219742016348564,0.40400518041324934,47.64253824987792,48.64253824987792,311086 +0.17294391921327817,0.40407743080037334,47.809573499820516,48.809573499820516,311086 +0.16480619448375064,0.40554506577743904,47.94134286138479,48.94134286138479,311086 +0.15921148088315593,0.4045762726611957,48.05296064206856,49.05296064206856,311086 +0.16937889562441744,0.40103971673072925,47.90656912658371,48.90656912658371,311086 +0.16673053585166672,0.3953875499571524,48.01493618165496,49.01493618165496,311086 +0.1535788750787931,0.393489028765447,48.27371076619641,49.27371076619641,311086 +0.15095845056034332,0.39052545665655636,48.35302135924708,49.35302135924708,311086 +0.15021769702634996,0.38926295339288347,48.38001629380685,49.38001629380685,311086 +0.14616475283938674,0.4076920031806189,48.25548191554476,49.25548191554476,311086 + +Black box function time 0.0134 sec +Total iteration time 11.0683 sec +Starting optimization iteration 33 +End of training - Time 0.17 sec +Model fitting time 0.1658 sec +Total RS time 0.0227 sec +Optimization function time 0.5427 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4037 sec +MSLS time 0.4550 sec +Local search time 1.0255 sec +Total iteration time 1.1943 sec +End of training - Time 0.18 sec +Model fitting time 0.1784 sec +Total RS time 0.0369 sec +Optimization function time 0.5387 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4178 sec +MSLS time 0.5293 sec +Local search time 1.1099 sec +Total iteration time 2.4855 sec +End of training - Time 0.15 sec +Model fitting time 0.1484 sec +Total RS time 0.0218 sec +Optimization function time 0.5345 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4126 sec +MSLS time 0.5143 sec +Local search time 1.0784 sec +Total iteration time 3.7152 sec +End of training - Time 0.15 sec +Model fitting time 0.1461 sec +Total RS time 0.0218 sec +Optimization function time 0.5332 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3880 sec +MSLS time 0.5292 sec +Local search time 1.0890 sec +Total iteration time 4.9531 sec +End of training - Time 0.15 sec +Model fitting time 0.1482 sec +Total RS time 0.0214 sec +Optimization function time 0.5270 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3690 sec +MSLS time 0.4705 sec +Local search time 1.0267 sec +Total iteration time 6.1323 sec +End of training - Time 0.15 sec +Model fitting time 0.1504 sec +Total RS time 0.0215 sec +Optimization function time 0.5490 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4300 sec +MSLS time 0.5040 sec +Local search time 1.0818 sec +Total iteration time 7.3682 sec +End of training - Time 0.16 sec +Model fitting time 0.1653 sec +Total RS time 0.0221 sec +Optimization function time 0.5177 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4555 sec +MSLS time 0.5689 sec +Local search time 1.1142 sec +Total iteration time 8.6504 sec +End of training - Time 0.17 sec +Model fitting time 0.1663 sec +Total RS time 0.0228 sec +Optimization function time 0.5379 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4004 sec +MSLS time 0.4965 sec +Local search time 1.0639 sec +Total iteration time 9.8850 sec +End of training - Time 0.15 sec +Model fitting time 0.1528 sec +Total RS time 0.0215 sec +Optimization function time 0.5296 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3957 sec +MSLS time 0.4962 sec +Local search time 1.0541 sec +Total iteration time 11.0962 sec +End of training - Time 0.15 sec +Model fitting time 0.1540 sec +Total RS time 0.0216 sec +Optimization function time 0.5680 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3880 sec +MSLS time 0.4407 sec +Local search time 1.0354 sec +x1,x2,f1,f2,Timestamp +0.9807269489924463,0.9639620725870855,28.298586298018648,29.298586298018648,323387 +0.9344394785291776,0.9639700160715884,29.11373371677155,30.11373371677155,323387 +0.9442474512971644,0.9639700160715884,28.941008957988984,29.941008957988984,323387 +0.9808515748520585,0.9639620725870855,28.296391437661118,29.296391437661118,323387 +0.954936725819772,0.9639700160715884,28.75275171496061,29.75275171496061,323387 +0.9802253860973464,0.9640024802645762,28.307128696069498,29.307128696069498,323387 +0.9795176085950599,0.9640651135314485,28.319142693650925,29.319142693650925,323387 +0.9795045362300008,0.9639700160715884,28.320057807985208,29.320057807985208,323387 +0.9620769225854096,0.9639700160715884,28.626996349377194,29.626996349377194,323387 +0.9520982399408403,0.9640134610854005,28.80242730522996,29.80242730522996,323387 + +Black box function time 0.0072 sec +Total iteration time 12.2938 sec +Starting optimization iteration 34 +End of training - Time 0.18 sec +Model fitting time 0.1756 sec +Total RS time 0.0233 sec +Optimization function time 0.5390 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3704 sec +MSLS time 0.4273 sec +Local search time 0.9951 sec +Total iteration time 1.1741 sec +End of training - Time 0.17 sec +Model fitting time 0.1660 sec +Total RS time 0.0387 sec +Optimization function time 0.5383 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3787 sec +MSLS time 0.4783 sec +Local search time 1.0624 sec +Total iteration time 2.4058 sec +End of training - Time 0.15 sec +Model fitting time 0.1518 sec +Total RS time 0.0222 sec +Optimization function time 0.5288 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3984 sec +MSLS time 0.4933 sec +Local search time 1.0496 sec +Total iteration time 3.6103 sec +End of training - Time 0.15 sec +Model fitting time 0.1502 sec +Total RS time 0.0218 sec +Optimization function time 0.5439 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4172 sec +MSLS time 0.5142 sec +Local search time 1.0858 sec +Total iteration time 4.8494 sec +End of training - Time 0.15 sec +Model fitting time 0.1517 sec +Total RS time 0.0215 sec +Optimization function time 0.5528 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3755 sec +MSLS time 0.5133 sec +Local search time 1.0926 sec +Total iteration time 6.0966 sec +End of training - Time 0.16 sec +Model fitting time 0.1567 sec +Total RS time 0.0217 sec +Optimization function time 0.5273 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4319 sec +MSLS time 0.4922 sec +Local search time 1.0513 sec +Total iteration time 7.3090 sec +End of training - Time 0.18 sec +Model fitting time 0.1762 sec +Total RS time 0.0219 sec +Optimization function time 0.5391 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3964 sec +MSLS time 0.5297 sec +Local search time 1.0953 sec +Total iteration time 8.5832 sec +End of training - Time 0.15 sec +Model fitting time 0.1522 sec +Total RS time 0.0215 sec +Optimization function time 0.5322 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4182 sec +MSLS time 0.5218 sec +Local search time 1.0820 sec +Total iteration time 9.8216 sec +End of training - Time 0.16 sec +Model fitting time 0.1561 sec +Total RS time 0.0216 sec +Optimization function time 0.5232 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4035 sec +MSLS time 0.5017 sec +Local search time 1.0517 sec +Total iteration time 11.0327 sec +End of training - Time 0.16 sec +Model fitting time 0.1602 sec +0.001699772600575855 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +x1,x2,f1,f2,Timestamp +0.9858952570456327,1,27.949931578282296,28.949931578282296,334588 +0.9978409430715366,1,27.740716500001906,28.740716500001906,334588 +0.9978116602246925,1,27.741229328151643,28.741229328151643,334588 +0.9861282242160386,1,27.94585124008626,28.94585124008626,334588 +0.9858635001197191,1,27.950487790156,28.950487790156,334588 +0.9863070862811758,1,27.94271853792867,28.94271853792867,334588 +0.9862928893421588,1,27.942967191872867,28.942967191872867,334588 +0.9877976916452599,1,27.916611286348264,28.916611286348264,334588 +0.9887886829350031,1,27.899254688713114,28.899254688713114,334588 +0.5856487534796689,0.14563698981701284,42.668896034734075,43.668896034734075,334588 + +Black box function time 0.0006 sec +Total iteration time 11.1946 sec +Starting optimization iteration 35 +End of training - Time 0.15 sec +Model fitting time 0.1534 sec +Total RS time 0.0216 sec +Optimization function time 0.5445 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4030 sec +MSLS time 0.5007 sec +Local search time 1.0743 sec +Total iteration time 1.2400 sec +End of training - Time 0.16 sec +Model fitting time 0.1606 sec +Total RS time 0.0221 sec +Optimization function time 0.5287 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4099 sec +MSLS time 0.4657 sec +Local search time 1.0217 sec +Total iteration time 2.4270 sec +End of training - Time 0.18 sec +Model fitting time 0.1821 sec +Total RS time 0.0231 sec +Optimization function time 0.5290 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3695 sec +MSLS time 0.4703 sec +Local search time 1.0303 sec +Total iteration time 3.6437 sec +End of training - Time 0.16 sec +Model fitting time 0.1608 sec +0.029670046785935766 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 3.8071 sec +End of training - Time 0.15 sec +Model fitting time 0.1535 sec +Total RS time 0.0222 sec +Optimization function time 0.5450 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4075 sec +MSLS time 0.5081 sec +Local search time 1.0812 sec +Total iteration time 5.0451 sec +End of training - Time 0.16 sec +Model fitting time 0.1596 sec +0.061059856346614705 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 5.2074 sec +End of training - Time 0.16 sec +Model fitting time 0.1554 sec +Total RS time 0.0212 sec +Optimization function time 0.5366 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3983 sec +MSLS time 0.5001 sec +Local search time 1.0636 sec +Total iteration time 6.4292 sec +End of training - Time 0.16 sec +Model fitting time 0.1591 sec +Total RS time 0.0912 sec +Optimization function time 0.5316 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3744 sec +MSLS time 0.4275 sec +Local search time 1.0551 sec +Total iteration time 7.6461 sec +End of training - Time 0.16 sec +Model fitting time 0.1581 sec +Total RS time 0.0214 sec +Optimization function time 0.5283 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4038 sec +MSLS time 0.5025 sec +Local search time 1.0618 sec +Total iteration time 8.8696 sec +End of training - Time 0.17 sec +Model fitting time 0.1666 sec +Total RS time 0.0214 sec +Optimization function time 0.5338 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4184 sec +MSLS time 0.4895 sec +Local search time 1.0514 sec +x1,x2,f1,f2,Timestamp +0.9925445185332465,0.706785368531172,29.999855322502775,30.999855322502775,344677 +0.9929556670584095,0.7574751775762713,29.605579302951178,30.605579302951178,344677 +0.9925445185332465,0.7567351067784939,29.618656749953438,30.618656749953438,344677 +0.28246393705320744,0.40042846336587645,45.85053301183997,46.85053301183997,344677 +0.3247410366829192,0.40042846336587645,45.07306172251779,46.07306172251779,344677 +0.640794525048685,0.47379704038503123,38.485312855462496,39.485312855462496,344677 +0.29578749691639716,0.4005568450754086,45.60456762966129,46.60456762966129,344677 +0.3671686404881559,0.3997985621920365,44.29571435418636,45.29571435418636,344677 +0.31269117850544365,0.39837495129380124,45.316018911207536,46.316018911207536,344677 +0.3673025227632896,0.39711333404047794,44.32027272077126,45.32027272077126,344677 + +Black box function time 0.0099 sec +Total iteration time 10.0985 sec +Starting optimization iteration 36 +End of training - Time 0.17 sec +Model fitting time 0.1728 sec +Total RS time 0.0214 sec +Optimization function time 0.5307 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3925 sec +MSLS time 0.4862 sec +Local search time 1.0445 sec +Total iteration time 1.2205 sec +End of training - Time 0.16 sec +Model fitting time 0.1609 sec +Total RS time 0.0215 sec +Optimization function time 0.5493 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3764 sec +MSLS time 0.4723 sec +Local search time 1.0502 sec +Total iteration time 2.4349 sec +End of training - Time 0.17 sec +Model fitting time 0.1683 sec +Total RS time 0.0217 sec +Optimization function time 0.5487 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3988 sec +MSLS time 0.4517 sec +Local search time 1.0269 sec +Total iteration time 3.6331 sec +End of training - Time 0.17 sec +Model fitting time 0.1742 sec +Total RS time 0.0214 sec +Optimization function time 0.5510 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4208 sec +MSLS time 0.5203 sec +Local search time 1.1003 sec +Total iteration time 4.9107 sec +End of training - Time 0.16 sec +Model fitting time 0.1575 sec +Total RS time 0.0215 sec +Optimization function time 0.5392 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4447 sec +MSLS time 0.5402 sec +Local search time 1.1097 sec +Total iteration time 6.1821 sec +End of training - Time 0.16 sec +Model fitting time 0.1643 sec +Total RS time 0.0221 sec +Optimization function time 0.5445 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3900 sec +MSLS time 0.5016 sec +Local search time 1.0746 sec +Total iteration time 7.4240 sec +End of training - Time 0.16 sec +Model fitting time 0.1625 sec +Total RS time 0.0230 sec +Optimization function time 0.5801 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4433 sec +MSLS time 0.5425 sec +Local search time 1.1536 sec +Total iteration time 8.7442 sec +End of training - Time 0.17 sec +Model fitting time 0.1675 sec +Total RS time 0.0225 sec +Optimization function time 0.5529 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4325 sec +MSLS time 0.4853 sec +Local search time 1.0683 sec +Total iteration time 9.9846 sec +End of training - Time 0.18 sec +Model fitting time 0.1789 sec +Total RS time 0.0218 sec +Optimization function time 0.5371 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4063 sec +MSLS time 0.4648 sec +Local search time 1.0291 sec +Total iteration time 11.1974 sec +End of training - Time 0.18 sec +Model fitting time 0.1787 sec +Total RS time 0.0232 sec +Optimization function time 0.5569 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4031 sec +MSLS time 0.5249 sec +Local search time 1.1099 sec +x1,x2,f1,f2,Timestamp +0.9952072420887216,0.7145932340815457,29.89127686496372,30.89127686496372,357176 +0.9952589278077927,0.7173212501487638,29.869446605873783,30.869446605873783,357176 +0.9951867368395525,0.7140684034661863,29.895671513102787,30.895671513102787,357176 +1,0.7165242378558694,29.78893165621093,30.78893165621093,357176 +1,0.714798355954641,29.802124206963228,30.802124206963228,357176 +1,0.7178150175000214,29.779068898141425,30.779068898141425,357176 +0.9960045907758766,0.7168373551101422,29.859527737142812,30.859527737142812,357176 +1,0.7181025789738962,29.77687211465983,30.77687211465983,357176 +1,0.7138909574412096,29.80906270305305,30.80906270305305,357176 +0.9967174987768059,0.7095239969777373,29.902507055125433,30.902507055125433,357176 + +Black box function time 0.0008 sec +Total iteration time 12.4878 sec +Starting optimization iteration 37 +End of training - Time 0.17 sec +Model fitting time 0.1677 sec +Total RS time 0.0229 sec +Optimization function time 0.5703 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4161 sec +MSLS time 0.5147 sec +Local search time 1.1150 sec +Total iteration time 1.2871 sec +End of training - Time 0.19 sec +Model fitting time 0.1862 sec +Total RS time 0.0226 sec +Optimization function time 0.5544 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3988 sec +MSLS time 0.4503 sec +Local search time 1.0345 sec +Total iteration time 2.5126 sec +End of training - Time 0.18 sec +Model fitting time 0.1836 sec +Total RS time 0.0220 sec +Optimization function time 0.5435 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4075 sec +MSLS time 0.4639 sec +Local search time 1.0536 sec +Total iteration time 3.7545 sec +End of training - Time 0.18 sec +Model fitting time 0.1792 sec +Total RS time 0.0219 sec +Optimization function time 0.5598 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4089 sec +MSLS time 0.4658 sec +Local search time 1.0552 sec +Total iteration time 4.9934 sec +End of training - Time 0.20 sec +Model fitting time 0.1982 sec +Total RS time 0.0220 sec +Optimization function time 0.5350 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3809 sec +MSLS time 0.4807 sec +Local search time 1.0437 sec +Total iteration time 6.2386 sec +End of training - Time 0.17 sec +Model fitting time 0.1664 sec +Total RS time 0.0219 sec +Optimization function time 0.5513 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3858 sec +MSLS time 0.4359 sec +Local search time 1.0184 sec +Total iteration time 7.4366 sec +End of training - Time 0.20 sec +Model fitting time 0.1962 sec +Total RS time 0.0214 sec +Optimization function time 0.5394 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4048 sec +MSLS time 0.4523 sec +Local search time 1.0179 sec +Total iteration time 8.6535 sec +End of training - Time 0.17 sec +Model fitting time 0.1750 sec +0.0981798760664725 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 8.8312 sec +End of training - Time 0.17 sec +Model fitting time 0.1688 sec +Total RS time 0.0218 sec +Optimization function time 0.5231 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4425 sec +MSLS time 0.5421 sec +Local search time 1.0941 sec +Total iteration time 10.0983 sec +End of training - Time 0.18 sec +Model fitting time 0.1819 sec +Total RS time 0.0219 sec +Optimization function time 0.5315 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4091 sec +MSLS time 0.5144 sec +Local search time 1.0745 sec +x1,x2,f1,f2,Timestamp +1,0.9639028146741281,27.959605893368874,28.959605893368874,368533 +0.6338261290059504,0.9639302532777138,34.37695999602474,35.37695999602474,368533 +0.6320817554356898,0.9560388934864831,34.471679107620474,35.471679107620474,368533 +0.6299851515541808,0.9670816631151438,34.41779732835838,35.41779732835838,368533 +0.6274553446234298,1,34.19373976020121,35.19373976020121,368533 +0.6319859522315326,0.9526720366612628,34.50089211147363,35.50089211147363,368533 +0.6209437313893089,1,34.30584934588587,35.30584934588587,368533 +0.09949542185935446,0.28207807110349786,50.47766490910111,51.47766490910111,368533 +0.6192551150746295,0.964791742302168,34.62232070356479,35.62232070356479,368533 +0.09943467583295355,0.27508745832445997,50.55657840778235,51.55657840778235,368533 + +Black box function time 0.0010 sec +Total iteration time 11.3567 sec +Starting optimization iteration 38 +End of training - Time 0.20 sec +Model fitting time 0.2052 sec +Total RS time 0.0218 sec +Optimization function time 0.5429 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3917 sec +MSLS time 0.4877 sec +Local search time 1.0580 sec +Total iteration time 1.2663 sec +End of training - Time 0.17 sec +Model fitting time 0.1732 sec +Total RS time 0.0235 sec +Optimization function time 0.5578 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3855 sec +MSLS time 0.5009 sec +Local search time 1.0878 sec +Total iteration time 2.5308 sec +End of training - Time 0.17 sec +Model fitting time 0.1695 sec +Total RS time 0.0226 sec +Optimization function time 0.5631 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3680 sec +MSLS time 0.4677 sec +Local search time 1.0616 sec +Total iteration time 3.7661 sec +End of training - Time 0.17 sec +Model fitting time 0.1731 sec +Total RS time 0.0216 sec +Optimization function time 0.5859 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3807 sec +MSLS time 0.4806 sec +Local search time 1.0959 sec +Total iteration time 5.0396 sec +End of training - Time 0.17 sec +Model fitting time 0.1725 sec +Total RS time 0.0215 sec +Optimization function time 0.5251 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3919 sec +MSLS time 0.4473 sec +Local search time 0.9991 sec +Total iteration time 6.2144 sec +End of training - Time 0.18 sec +Model fitting time 0.1798 sec +Total RS time 0.0219 sec +Optimization function time 0.5254 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4522 sec +MSLS time 0.5502 sec +Local search time 1.1018 sec +Total iteration time 7.4990 sec +End of training - Time 0.17 sec +Model fitting time 0.1715 sec +0.05082514411948613 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 7.6732 sec +End of training - Time 0.17 sec +Model fitting time 0.1667 sec +0.0167151584653229 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 7.8425 sec +End of training - Time 0.17 sec +Model fitting time 0.1686 sec +0.029608341100768043 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 8.0138 sec +End of training - Time 0.17 sec +Model fitting time 0.1698 sec +Total RS time 0.0212 sec +Optimization function time 0.5479 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3922 sec +MSLS time 0.5309 sec +Local search time 1.1040 sec +x1,x2,f1,f2,Timestamp +0.9984379801508327,0.9669116336420699,27.96560247356481,28.96560247356481,377822 +0.9984379801508327,0.9736718858847176,27.917342032133064,28.917342032133064,377822 +0.9984379801508327,1,27.730260671680426,28.730260671680426,377822 +0.9984379801508327,0.9937915045663176,27.774251861283794,28.774251861283794,377822 +1,0.9940156289816268,27.74528240288034,28.74528240288034,377822 +0.9994135109782172,0.9912619295427021,27.775090705411994,28.775090705411994,377822 +0.19089560050789892,0.49791388554995336,46.49862540221113,47.49862540221113,377822 +0.21090637917176502,0.8305345020709033,42.81052996787875,43.81052996787875,377822 +0.05323425486191736,0.15727381549197872,52.74730452379179,53.74730452379179,377822 +0.05515987314816939,0.15884570879157064,52.693236091148215,53.693236091148215,377822 + +Black box function time 0.0006 sec +Total iteration time 9.2891 sec +Starting optimization iteration 39 +End of training - Time 0.17 sec +Model fitting time 0.1723 sec +Total RS time 0.0216 sec +Optimization function time 0.5332 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4311 sec +MSLS time 0.5298 sec +Local search time 1.0894 sec +Total iteration time 1.2650 sec +End of training - Time 0.18 sec +Model fitting time 0.1799 sec +Total RS time 0.0226 sec +Optimization function time 0.5552 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3733 sec +MSLS time 0.4716 sec +Local search time 1.0570 sec +Total iteration time 2.5063 sec +End of training - Time 0.18 sec +Model fitting time 0.1784 sec +Total RS time 0.0213 sec +Optimization function time 0.5333 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3904 sec +MSLS time 0.4943 sec +Local search time 1.0569 sec +Total iteration time 3.7460 sec +End of training - Time 0.22 sec +Model fitting time 0.2205 sec +Total RS time 0.0217 sec +Optimization function time 0.5356 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4128 sec +MSLS time 0.4641 sec +Local search time 1.0263 sec +Total iteration time 4.9978 sec +End of training - Time 0.20 sec +Model fitting time 0.1989 sec +Total RS time 0.0228 sec +Optimization function time 0.5428 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4137 sec +MSLS time 0.4731 sec +Local search time 1.0553 sec +Total iteration time 6.2573 sec +End of training - Time 0.19 sec +Model fitting time 0.1874 sec +0.09343244606062517 < 0.1 random sampling a configuration to run +Local search time 0.0005 sec +Total iteration time 6.4474 sec +End of training - Time 0.17 sec +Model fitting time 0.1714 sec +Total RS time 0.0217 sec +Optimization function time 0.5318 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4262 sec +MSLS time 0.5487 sec +Local search time 1.1073 sec +Total iteration time 7.7289 sec +End of training - Time 0.17 sec +Model fitting time 0.1745 sec +Total RS time 0.0216 sec +Optimization function time 0.5455 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3593 sec +MSLS time 0.4651 sec +Local search time 1.0398 sec +Total iteration time 8.9471 sec +End of training - Time 0.22 sec +Model fitting time 0.2190 sec +Total RS time 0.0219 sec +Optimization function time 0.5520 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4077 sec +MSLS time 0.4804 sec +Local search time 1.0597 sec +Total iteration time 10.2300 sec +End of training - Time 0.20 sec +Model fitting time 0.2006 sec +Total RS time 0.0227 sec +Optimization function time 0.5264 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3701 sec +MSLS time 0.4690 sec +Local search time 1.0262 sec +x1,x2,f1,f2,Timestamp +1,0.9163646345362326,28.301643228158277,29.301643228158277,389281 +1,0.9131403840832752,28.32500538968595,29.32500538968595,389281 +0.8838727102171013,0.9163154903310934,30.361670262118466,31.361670262118466,389281 +1,0.9126597760398324,28.32848954327688,29.32848954327688,389281 +0.8840552253717082,0.9163165817835627,30.358427064301353,31.358427064301353,389281 +0.9524774653017268,0.6451918716161565,31.21667570490743,32.21667570490743,389281 +0.9496880008907359,0.6442498528895673,31.27565840182873,32.27565840182873,389281 +0.9508976292017859,0.645393226376965,31.244261166475063,32.24426116647506,389281 +0.9896613909209232,0.643603595835757,30.542423522890974,31.542423522890974,389281 +0.955418462794944,0.6417401505045125,31.18965166720236,32.189651667202355,389281 + +Black box function time 0.0032 sec +Total iteration time 11.4611 sec +Starting optimization iteration 40 +End of training - Time 0.18 sec +Model fitting time 0.1834 sec +Total RS time 0.0223 sec +Optimization function time 0.5565 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3938 sec +MSLS time 0.4539 sec +Local search time 1.0403 sec +Total iteration time 1.2281 sec +End of training - Time 0.20 sec +Model fitting time 0.1987 sec +Total RS time 0.0221 sec +Optimization function time 0.5527 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4297 sec +MSLS time 0.5304 sec +Local search time 1.1116 sec +Total iteration time 2.5429 sec +End of training - Time 0.18 sec +Model fitting time 0.1797 sec +Total RS time 0.0219 sec +Optimization function time 0.5387 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4342 sec +MSLS time 0.5517 sec +Local search time 1.1166 sec +Total iteration time 3.8422 sec +End of training - Time 0.18 sec +Model fitting time 0.1788 sec +Total RS time 0.0219 sec +Optimization function time 0.5207 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3849 sec +MSLS time 0.4631 sec +Local search time 1.0146 sec +Total iteration time 5.0402 sec +End of training - Time 0.19 sec +Model fitting time 0.1928 sec +Total RS time 0.0229 sec +Optimization function time 0.5607 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4125 sec +MSLS time 0.4670 sec +Local search time 1.0599 sec +Total iteration time 6.2974 sec +End of training - Time 0.21 sec +Model fitting time 0.2093 sec +Total RS time 0.0217 sec +Optimization function time 0.5140 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4046 sec +MSLS time 0.4587 sec +Local search time 0.9992 sec +Total iteration time 7.5107 sec +End of training - Time 0.21 sec +Model fitting time 0.2057 sec +Total RS time 0.0225 sec +Optimization function time 0.5424 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4440 sec +MSLS time 0.5347 sec +Local search time 1.1048 sec +Total iteration time 8.8240 sec +End of training - Time 0.18 sec +Model fitting time 0.1784 sec +Total RS time 0.0213 sec +Optimization function time 0.5497 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4146 sec +MSLS time 0.5328 sec +Local search time 1.1104 sec +Total iteration time 10.1162 sec +End of training - Time 0.19 sec +Model fitting time 0.1860 sec +Total RS time 0.0234 sec +Optimization function time 0.5062 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4278 sec +MSLS time 0.5277 sec +Local search time 1.0630 sec +Total iteration time 11.3683 sec +End of training - Time 0.18 sec +Model fitting time 0.1760 sec +Total RS time 0.0210 sec +Optimization function time 0.5354 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4042 sec +MSLS time 0.5010 sec +Local search time 1.0627 sec +x1,x2,f1,f2,Timestamp +0.9921840866042052,0.954985333640214,28.161243350023067,29.161243350023067,401892 +0.9921005064241342,0.9832076230774328,27.960697651498098,28.960697651498098,401892 +0.9950740007015519,0.9545682087393815,28.1132776600121,29.1132776600121,401892 +1,0.9545682087393815,28.026411793029904,29.026411793029904,401892 +0.9921840866042052,1,27.839787286168463,28.839787286168463,401892 +1,0.9536324109975931,28.0331187205176,29.0331187205176,401892 +0.9939453809623023,0.9516505533199431,28.15414454452798,29.15414454452798,401892 +0.9924757975291827,0.9548805264558419,28.156852400399067,29.156852400399067,401892 +1,0.9510191863306049,28.051857157813863,29.051857157813863,401892 +0.9926985586009087,0.952262844016437,28.1717390496115,29.1717390496115,401892 + +Black box function time 0.0010 sec +Total iteration time 12.6089 sec +Starting optimization iteration 41 +End of training - Time 0.18 sec +Model fitting time 0.1830 sec +Total RS time 0.0218 sec +Optimization function time 0.5297 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3739 sec +MSLS time 0.4712 sec +Local search time 1.0306 sec +Total iteration time 1.2175 sec +End of training - Time 0.18 sec +Model fitting time 0.1820 sec +Total RS time 0.0216 sec +Optimization function time 0.5575 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4147 sec +MSLS time 0.4661 sec +Local search time 1.0535 sec +Total iteration time 2.4576 sec +End of training - Time 0.21 sec +Model fitting time 0.2056 sec +Total RS time 0.0244 sec +Optimization function time 0.5942 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3810 sec +MSLS time 0.4827 sec +Local search time 1.1068 sec +Total iteration time 3.7733 sec +End of training - Time 0.18 sec +Model fitting time 0.1800 sec +Total RS time 0.0218 sec +Optimization function time 0.5563 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3952 sec +MSLS time 0.4462 sec +Local search time 1.0292 sec +Total iteration time 4.9854 sec +End of training - Time 0.18 sec +Model fitting time 0.1852 sec +Total RS time 0.0220 sec +Optimization function time 0.5409 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4046 sec +MSLS time 0.4610 sec +Local search time 1.0287 sec +Total iteration time 6.2074 sec +End of training - Time 0.25 sec +Model fitting time 0.2542 sec +Total RS time 0.0231 sec +Optimization function time 0.5316 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3831 sec +MSLS time 0.4302 sec +Local search time 0.9901 sec +Total iteration time 7.4547 sec +End of training - Time 0.21 sec +Model fitting time 0.2063 sec +0.08137656469447696 < 0.1 random sampling a configuration to run +Local search time 0.0005 sec +Total iteration time 7.6641 sec +End of training - Time 0.18 sec +Model fitting time 0.1810 sec +Total RS time 0.0228 sec +Optimization function time 0.5666 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4106 sec +MSLS time 0.5149 sec +Local search time 1.1112 sec +Total iteration time 8.9609 sec +End of training - Time 0.23 sec +Model fitting time 0.2284 sec +Total RS time 0.0215 sec +Optimization function time 0.5620 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4240 sec +MSLS time 0.4853 sec +Local search time 1.0762 sec +Total iteration time 10.2702 sec +End of training - Time 0.20 sec +Model fitting time 0.1998 sec +Total RS time 0.0221 sec +Optimization function time 0.5388 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4167 sec +MSLS time 0.5328 sec +Local search time 1.0997 sec +x1,x2,f1,f2,Timestamp +1,0.96210257848041,27.97247625855423,28.97247625855423,413464 +1,0.9622153784463369,27.97166963138978,28.97166963138978,413464 +0.9657983372177982,0.9618019690176836,28.577152106904077,29.577152106904077,413464 +0.9670931033289285,0.9621284642853487,28.551977032577202,29.551977032577202,413464 +1,0.9620165440623922,27.97309150367159,28.97309150367159,413464 +0.9876363015877624,0.9621284642853487,28.190073516032022,29.190073516032022,413464 +0.9887213922976403,0.8941601017756241,28.663667580558766,29.663667580558766,413464 +0.9885844063928739,0.961955106161249,28.174617066396657,29.174617066396657,413464 +1,0.9618019690176836,27.974626026727698,28.974626026727698,413464 +0.9651284297412157,0.9621708795491939,28.586282078006732,29.586282078006732,413464 + +Black box function time 0.0007 sec +Total iteration time 11.5714 sec +Starting optimization iteration 42 +End of training - Time 0.19 sec +Model fitting time 0.1862 sec +Total RS time 0.0218 sec +Optimization function time 0.5331 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3955 sec +MSLS time 0.4450 sec +Local search time 1.0047 sec +Total iteration time 1.1939 sec +End of training - Time 0.19 sec +Model fitting time 0.1886 sec +Total RS time 0.0217 sec +Optimization function time 0.5250 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4277 sec +MSLS time 0.4958 sec +Local search time 1.0502 sec +Total iteration time 2.4372 sec +End of training - Time 0.20 sec +Model fitting time 0.1969 sec +0.04179597123594192 < 0.1 random sampling a configuration to run +Local search time 0.0005 sec +Total iteration time 2.6370 sec +End of training - Time 0.19 sec +Model fitting time 0.1913 sec +Total RS time 0.0214 sec +Optimization function time 0.5518 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4326 sec +MSLS time 0.4813 sec +Local search time 1.0593 sec +Total iteration time 3.8908 sec +End of training - Time 0.20 sec +Model fitting time 0.1972 sec +Total RS time 0.0363 sec +Optimization function time 0.5418 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4139 sec +MSLS time 0.5144 sec +Local search time 1.0979 sec +Total iteration time 5.1893 sec +End of training - Time 0.19 sec +Model fitting time 0.1906 sec +Total RS time 0.0216 sec +Optimization function time 0.5489 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3855 sec +MSLS time 0.5210 sec +Local search time 1.0965 sec +Total iteration time 6.4792 sec +End of training - Time 0.19 sec +Model fitting time 0.1883 sec +Total RS time 0.0217 sec +Optimization function time 0.5463 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4118 sec +MSLS time 0.4706 sec +Local search time 1.0466 sec +Total iteration time 7.7189 sec +End of training - Time 0.20 sec +Model fitting time 0.2053 sec +Total RS time 0.0216 sec +Optimization function time 0.5361 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4075 sec +MSLS time 0.4576 sec +Local search time 1.0205 sec +Total iteration time 8.9477 sec +End of training - Time 0.20 sec +Model fitting time 0.1979 sec +Total RS time 0.0218 sec +Optimization function time 0.5823 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3937 sec +MSLS time 0.4918 sec +Local search time 1.1027 sec +Total iteration time 10.2529 sec +End of training - Time 0.20 sec +Model fitting time 0.1975 sec +Total RS time 0.0213 sec +Optimization function time 0.5770 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4062 sec +MSLS time 0.5062 sec +Local search time 1.1111 sec +x1,x2,f1,f2,Timestamp +0.9895485468906516,0.9413823530745647,28.30575311655522,29.30575311655522,425027 +1,0.9413823530745647,28.121077264976364,29.121077264976364,425027 +0.6832616020215344,0.3951556668237864,38.402028540789814,39.402028540789814,425027 +0.690597641374576,0.39422875367429994,38.27095737102406,39.27095737102406,425027 +0.6828889393485204,0.402779520374294,38.33936595349922,39.33936595349922,425027 +0.6909436599653004,0.4056782460099411,38.159907146223915,39.159907146223915,425027 +0.6887143969858638,0.4122997513921107,38.14191325540434,39.14191325540434,425027 +0.6903907835569102,0.39068217999538857,38.30731135244279,39.30731135244279,425027 +0.6890115067953166,0.3946909067556427,38.296911951732106,39.296911951732106,425027 +0.6939334243783597,0.4151911879076665,38.016593032247336,39.016593032247336,425027 + +Black box function time 0.0015 sec +Total iteration time 11.5640 sec +Starting optimization iteration 43 +End of training - Time 0.20 sec +Model fitting time 0.1955 sec +Total RS time 0.0213 sec +Optimization function time 0.5283 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4033 sec +MSLS time 0.4675 sec +Local search time 1.0233 sec +Total iteration time 1.2227 sec +End of training - Time 0.20 sec +Model fitting time 0.2041 sec +0.08291276585915264 < 0.1 random sampling a configuration to run +Local search time 0.0005 sec +Total iteration time 1.4298 sec +End of training - Time 0.19 sec +Model fitting time 0.1884 sec +Total RS time 0.0236 sec +Optimization function time 0.5479 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3987 sec +MSLS time 0.4988 sec +Local search time 1.0776 sec +Total iteration time 2.7000 sec +End of training - Time 0.24 sec +Model fitting time 0.2362 sec +Total RS time 0.0217 sec +Optimization function time 0.5516 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3832 sec +MSLS time 0.4801 sec +Local search time 1.0612 sec +Total iteration time 4.0021 sec +End of training - Time 0.20 sec +Model fitting time 0.1964 sec +Total RS time 0.0213 sec +Optimization function time 0.5466 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3996 sec +MSLS time 0.4973 sec +Local search time 1.0719 sec +Total iteration time 5.2751 sec +End of training - Time 0.20 sec +Model fitting time 0.2003 sec +Total RS time 0.0219 sec +Optimization function time 0.5486 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4050 sec +MSLS time 0.4564 sec +Local search time 1.0325 sec +Total iteration time 6.5112 sec +End of training - Time 0.21 sec +Model fitting time 0.2099 sec +Total RS time 0.0320 sec +Optimization function time 0.5838 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3788 sec +MSLS time 0.4777 sec +Local search time 1.1004 sec +Total iteration time 7.8287 sec +End of training - Time 0.20 sec +Model fitting time 0.1992 sec +0.08111691627673412 < 0.1 random sampling a configuration to run +Local search time 0.0005 sec +Total iteration time 8.0308 sec +End of training - Time 0.19 sec +Model fitting time 0.1921 sec +Total RS time 0.0211 sec +Optimization function time 0.5570 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3953 sec +MSLS time 0.4893 sec +Local search time 1.0728 sec +Total iteration time 9.2985 sec +End of training - Time 0.20 sec +Model fitting time 0.1953 sec +Total RS time 0.0220 sec +Optimization function time 0.5505 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3794 sec +MSLS time 0.4759 sec +Local search time 1.0556 sec +x1,x2,f1,f2,Timestamp +1,0.941597611176425,28.11952906624157,29.11952906624157,435579 +0.4631561032098779,0.15963957953969113,44.917008758331356,45.917008758331356,435579 +0.46235821643470376,0.16259791093204215,44.90212645459275,45.90212645459275,435579 +0.4632840582818779,0.1663059240782152,44.846164922114724,45.846164922114724,435579 +0.4617371873275241,0.23386933785726716,44.18798959052958,45.18798959052958,435579 +0.4607869033536422,0.1983011235131269,44.56743830053533,45.56743830053533,435579 +0.4608788283771181,0.28746509419118155,43.664923756523315,44.664923756523315,435579 +0.2631949582370336,0.802050798321345,42.196493249247986,43.196493249247986,435579 +0.26088029528251233,0.7991753115413557,42.26360396485681,43.26360396485681,435579 +0.4607869033536422,0.28741585130089153,43.66716470778369,44.66716470778369,435579 + +Black box function time 0.0017 sec +Total iteration time 10.5521 sec +Starting optimization iteration 44 +End of training - Time 0.20 sec +Model fitting time 0.1981 sec +Total RS time 0.0218 sec +Optimization function time 0.5588 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4182 sec +MSLS time 0.5222 sec +Local search time 1.1096 sec +Total iteration time 1.3122 sec +End of training - Time 0.24 sec +Model fitting time 0.2385 sec +Total RS time 0.0211 sec +Optimization function time 0.5522 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4408 sec +MSLS time 0.5415 sec +Local search time 1.1202 sec +Total iteration time 2.6743 sec +End of training - Time 0.20 sec +Model fitting time 0.1984 sec +Total RS time 0.0215 sec +Optimization function time 0.5684 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4507 sec +MSLS time 0.5007 sec +Local search time 1.0957 sec +Total iteration time 3.9714 sec +End of training - Time 0.20 sec +Model fitting time 0.2006 sec +Total RS time 0.0221 sec +Optimization function time 0.5595 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4158 sec +MSLS time 0.4780 sec +Local search time 1.0671 sec +Total iteration time 5.2436 sec +End of training - Time 0.22 sec +Model fitting time 0.2153 sec +Total RS time 0.0226 sec +Optimization function time 0.5353 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4318 sec +MSLS time 0.5317 sec +Local search time 1.0945 sec +Total iteration time 6.5568 sec +End of training - Time 0.21 sec +Model fitting time 0.2139 sec +Total RS time 0.0227 sec +Optimization function time 0.5521 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4360 sec +MSLS time 0.5427 sec +Local search time 1.1242 sec +Total iteration time 7.8984 sec +End of training - Time 0.27 sec +Model fitting time 0.2684 sec +Total RS time 0.0219 sec +Optimization function time 0.5827 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4118 sec +MSLS time 0.5082 sec +Local search time 1.1202 sec +Total iteration time 9.2909 sec +End of training - Time 0.20 sec +Model fitting time 0.2031 sec +Total RS time 0.0238 sec +Optimization function time 0.5523 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4129 sec +MSLS time 0.5132 sec +Local search time 1.0960 sec +Total iteration time 10.5936 sec +End of training - Time 0.20 sec +Model fitting time 0.2045 sec +Total RS time 0.0224 sec +Optimization function time 0.5534 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3969 sec +MSLS time 0.4973 sec +Local search time 1.0808 sec +Total iteration time 11.8835 sec +End of training - Time 0.20 sec +Model fitting time 0.2020 sec +Total RS time 0.0219 sec +Optimization function time 0.5429 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3878 sec +MSLS time 0.4400 sec +Local search time 1.0102 sec +x1,x2,f1,f2,Timestamp +0.9895656763554924,0.9700860220678624,28.099023638145805,29.099023638145805,448678 +0.9901656068112863,0.9701979025925546,28.087667202535393,29.087667202535393,448678 +0.9973639582093933,0.9700860220678624,27.961824546604753,28.961824546604753,448678 +1,0.9700860220678624,27.915449869912116,28.915449869912116,448678 +0.9938555775835526,0.9701757755882636,28.022906093787128,29.022906093787128,448678 +1,0.9701979025925546,27.91465160390883,28.91465160390883,448678 +0.9897723842629501,0.9702234332451439,28.094402619301064,29.094402619301064,448678 +0.9896880598423844,0.9700303625507386,28.09726907828526,29.09726907828526,448678 +0.9973330292142525,0.9701498743818029,27.961912631865157,28.961912631865157,448678 +1,0.9702256656262642,27.91445351892249,28.91445351892249,448678 + +Black box function time 0.0010 sec +Total iteration time 13.0977 sec +Starting optimization iteration 45 +End of training - Time 0.22 sec +Model fitting time 0.2252 sec +Total RS time 0.0219 sec +Optimization function time 0.5603 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4227 sec +MSLS time 0.5221 sec +Local search time 1.1112 sec +Total iteration time 1.3409 sec +End of training - Time 0.20 sec +Model fitting time 0.2028 sec +Total RS time 0.0216 sec +Optimization function time 0.5538 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4094 sec +MSLS time 0.5309 sec +Local search time 1.1105 sec +Total iteration time 2.6570 sec +End of training - Time 0.20 sec +Model fitting time 0.1985 sec +Total RS time 0.0222 sec +Optimization function time 0.5486 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4037 sec +MSLS time 0.5042 sec +Local search time 1.0829 sec +Total iteration time 3.9427 sec +End of training - Time 0.20 sec +Model fitting time 0.1996 sec +Total RS time 0.0214 sec +Optimization function time 0.5407 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4728 sec +MSLS time 0.5315 sec +Local search time 1.0988 sec +Total iteration time 5.2443 sec +End of training - Time 0.22 sec +Model fitting time 0.2185 sec +Total RS time 0.0226 sec +Optimization function time 0.5562 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4195 sec +MSLS time 0.5498 sec +Local search time 1.1337 sec +Total iteration time 6.5998 sec +End of training - Time 0.20 sec +Model fitting time 0.2013 sec +Total RS time 0.0217 sec +Optimization function time 0.5563 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3936 sec +MSLS time 0.5081 sec +Local search time 1.0946 sec +Total iteration time 7.8992 sec +End of training - Time 0.22 sec +Model fitting time 0.2218 sec +Total RS time 0.0237 sec +Optimization function time 0.5803 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4258 sec +MSLS time 0.5563 sec +Local search time 1.1645 sec +Total iteration time 9.2886 sec +End of training - Time 0.20 sec +Model fitting time 0.2013 sec +Total RS time 0.0214 sec +Optimization function time 0.5501 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4046 sec +MSLS time 0.5032 sec +Local search time 1.0818 sec +Total iteration time 10.5755 sec +End of training - Time 0.20 sec +Model fitting time 0.2030 sec +Total RS time 0.0223 sec +Optimization function time 0.5535 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4001 sec +MSLS time 0.4782 sec +Local search time 1.0602 sec +Total iteration time 11.8435 sec +End of training - Time 0.20 sec +Model fitting time 0.2049 sec +Total RS time 0.0225 sec +Optimization function time 0.5580 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4622 sec +MSLS time 0.5572 sec +Local search time 1.1432 sec +x1,x2,f1,f2,Timestamp +1,0.9530436304291846,28.037339449435336,29.037339449435336,461871 +1,0.9530224318558538,28.037491426334046,29.037491426334046,461871 +1,0.9534461554975602,28.034453835626522,29.034453835626522,461871 +0.09807219423560588,0.9534030053497319,43.48521746442801,44.48521746442801,461871 +0.09836229871624674,1,43.02683100964267,44.02683100964267,461871 +0.12429623479038239,0.9982521279425477,42.62490204678469,43.62490204678469,461871 +0.10798029737983336,0.9969744021473529,42.900944038944786,43.900944038944786,461871 +0.10326401008924427,0.9985180487783047,42.96213865629657,43.96213865629657,461871 +0.10651141624304945,0.9528268226363923,43.35351500596058,44.35351500596058,461871 +0.09782998360316522,0.9532799342593448,43.49036070259318,44.49036070259318,461871 + +Black box function time 0.0008 sec +Total iteration time 13.1935 sec +Starting optimization iteration 46 +End of training - Time 0.20 sec +Model fitting time 0.2044 sec +Total RS time 0.0218 sec +Optimization function time 0.5782 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4256 sec +MSLS time 0.4836 sec +Local search time 1.0927 sec +Total iteration time 1.3021 sec +End of training - Time 0.23 sec +Model fitting time 0.2258 sec +Total RS time 0.0221 sec +Optimization function time 0.5560 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4418 sec +MSLS time 0.5463 sec +Local search time 1.1310 sec +Total iteration time 2.6627 sec +End of training - Time 0.26 sec +Model fitting time 0.2570 sec +0.08211441906921813 < 0.1 random sampling a configuration to run +Local search time 0.0005 sec +Total iteration time 2.9227 sec +End of training - Time 0.20 sec +Model fitting time 0.1996 sec +0.05480767835300637 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 3.1250 sec +End of training - Time 0.20 sec +Model fitting time 0.2005 sec +Total RS time 0.0221 sec +Optimization function time 0.5494 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4101 sec +MSLS time 0.5080 sec +Local search time 1.0875 sec +Total iteration time 4.4174 sec +End of training - Time 0.21 sec +Model fitting time 0.2121 sec +Total RS time 0.0222 sec +Optimization function time 0.5715 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4326 sec +MSLS time 0.5736 sec +Local search time 1.1728 sec +Total iteration time 5.8062 sec +End of training - Time 0.24 sec +Model fitting time 0.2409 sec +0.060173129223032196 < 0.1 random sampling a configuration to run +Local search time 0.0005 sec +Total iteration time 6.0502 sec +End of training - Time 0.21 sec +Model fitting time 0.2052 sec +0.05495454641958675 < 0.1 random sampling a configuration to run +Local search time 0.0006 sec +Total iteration time 6.2586 sec +End of training - Time 0.23 sec +Model fitting time 0.2326 sec +Total RS time 0.0325 sec +Optimization function time 0.5519 sec +{'application_name': 'test', 'optimization_objectives': ['Value', 'Energy'], 'optimization_iterations': 10, 'evaluations_per_optimization_iteration': 10, 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} +Design of experiment phase, number of new doe samples = 10 ....... +x1,x2,Value,Energy,Timestamp +0.8239476685195956,0.7085343609669257,33.07011178773364,1.5324820294865211,1 +0.6311429366613207,0.511471712339945,38.32299398806657,1.1426146490012656,1 +0.4384703271558135,0.08498352040163487,46.17239832859195,0.5234538475574484,1 +0.690818092677685,0.25330423054284634,39.574094992594176,0.9441223232205314,1 +0.4710339242464425,0.7029188070685253,39.49685471821011,1.1739527313149678,1 +0.32370623016242867,0.33105328959215446,45.80428310339347,0.6547595197545831,1 +0.7436452672003222,0.05727308718102165,40.40208775185947,0.8009183543813438,1 +0.032858622783933075,0.752738573344585,46.58604317068568,0.7855971961285181,1 +0.5331999390388923,0.24103886335696284,42.74274143111486,0.7742388023958551,1 +0.4784464472078061,0.46023835408515795,41.63944264956219,0.938684801292964,1 + + +End of doe/resume phase, the number of evaluated configurations is: 10 + +End of DoE - Time 0.0010 sec +Starting optimization iteration 1 +End of training - Time 0.02 sec +Model fitting time 0.0157 sec +Total RS time 0.0240 sec +Optimization function time 0.4799 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4317 sec +MSLS time 0.5106 sec +Local search time 1.0192 sec +Total iteration time 1.0372 sec +End of training - Time 0.02 sec +Model fitting time 0.0163 sec +Total RS time 0.0225 sec +Optimization function time 0.4797 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3762 sec +MSLS time 0.4361 sec +Local search time 0.9515 sec +Total iteration time 2.0099 sec +End of training - Time 0.02 sec +Model fitting time 0.0252 sec +Total RS time 0.0249 sec +Optimization function time 0.5747 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4234 sec +MSLS time 0.5223 sec +Local search time 1.1276 sec +Total iteration time 3.1655 sec +End of training - Time 0.02 sec +Model fitting time 0.0185 sec +Total RS time 0.0219 sec +Optimization function time 0.4539 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3850 sec +MSLS time 0.4874 sec +Local search time 0.9694 sec +Total iteration time 4.1568 sec +End of training - Time 0.03 sec +Model fitting time 0.0294 sec +Total RS time 0.0433 sec +Optimization function time 0.5319 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3743 sec +MSLS time 0.4759 sec +Local search time 1.0581 sec +Total iteration time 5.2483 sec +End of training - Time 0.03 sec +Model fitting time 0.0294 sec +Total RS time 0.0455 sec +Optimization function time 0.5035 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3852 sec +MSLS time 0.4821 sec +Local search time 1.0374 sec +Total iteration time 6.3176 sec +End of training - Time 0.02 sec +Model fitting time 0.0212 sec +Total RS time 0.0231 sec +Optimization function time 0.6055 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4246 sec +MSLS time 0.4788 sec +Local search time 1.1127 sec +Total iteration time 7.4540 sec +End of training - Time 0.03 sec +Model fitting time 0.0268 sec +Total RS time 0.0344 sec +Optimization function time 0.5067 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3463 sec +MSLS time 0.3946 sec +Local search time 0.9422 sec +Total iteration time 8.4270 sec +End of training - Time 0.04 sec +Model fitting time 0.0404 sec +Total RS time 0.0264 sec +Optimization function time 0.4958 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3733 sec +MSLS time 0.5154 sec +Local search time 1.0421 sec +Total iteration time 9.5118 sec +End of training - Time 0.02 sec +Model fitting time 0.0200 sec +Total RS time 0.0215 sec +Optimization function time 0.5217 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3687 sec +MSLS time 0.4181 sec +Local search time 0.9660 sec +x1,x2,Value,Energy,Timestamp +0.890609807333163,0.7199579131911491,31.76083378431751,1.610567720524312,10500 +1,0.9254831213491251,28.235685361386647,1.9254831213491252,10500 +1,0.8025539636450876,29.13887453431596,1.8025539636450876,10500 +1,0.7471776099077675,29.555611420946327,1.7471776099077676,10500 +0.9267329172501575,0.8208904397020677,30.320698851099056,1.747623356952225,10500 +0.9382977560022369,0.7351581247322021,30.771406899543962,1.6734558807344388,10500 +1,0.726641119815964,29.71171855285462,1.7266411198159641,10500 +0.9538838759039643,0.7127688966084804,30.660840269886716,1.6666527725124447,10500 +0.8755307157765523,0.7856249192957875,31.517032122372097,1.6611556350723398,10500 +1,0.7107585110993475,29.833027861839867,1.7107585110993475,10500 + +Black box function time 0.0017 sec +Total iteration time 10.4999 sec +Starting optimization iteration 2 +End of training - Time 0.07 sec +Model fitting time 0.0707 sec +Total RS time 0.0222 sec +Optimization function time 0.5216 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4168 sec +MSLS time 0.4704 sec +Local search time 1.0193 sec +Total iteration time 1.0943 sec +End of training - Time 0.04 sec +Model fitting time 0.0384 sec +0.03617729338806297 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 1.1350 sec +End of training - Time 0.02 sec +Model fitting time 0.0218 sec +Total RS time 0.0221 sec +Optimization function time 0.5037 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3684 sec +MSLS time 0.4932 sec +Local search time 1.0233 sec +Total iteration time 2.1823 sec +End of training - Time 0.03 sec +Model fitting time 0.0279 sec +Total RS time 0.0225 sec +Optimization function time 0.4959 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4184 sec +MSLS time 0.5758 sec +Local search time 1.0985 sec +Total iteration time 3.3110 sec +End of training - Time 0.02 sec +Model fitting time 0.0227 sec +Total RS time 0.0213 sec +Optimization function time 0.5191 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4422 sec +MSLS time 0.5105 sec +Local search time 1.0575 sec +Total iteration time 4.3948 sec +End of training - Time 0.03 sec +Model fitting time 0.0323 sec +Total RS time 0.0215 sec +Optimization function time 0.5048 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4088 sec +MSLS time 0.4564 sec +Local search time 0.9895 sec +Total iteration time 5.4257 sec +End of training - Time 0.05 sec +Model fitting time 0.0463 sec +Total RS time 0.0220 sec +Optimization function time 0.5223 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3595 sec +MSLS time 0.4566 sec +Local search time 1.0084 sec +Total iteration time 6.4841 sec +End of training - Time 0.02 sec +Model fitting time 0.0239 sec +Total RS time 0.0205 sec +Optimization function time 0.5152 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4004 sec +MSLS time 0.5030 sec +Local search time 1.0455 sec +Total iteration time 7.5573 sec +End of training - Time 0.04 sec +Model fitting time 0.0397 sec +Total RS time 0.0390 sec +Optimization function time 0.5264 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4207 sec +MSLS time 0.4725 sec +Local search time 1.0425 sec +Total iteration time 8.6419 sec +End of training - Time 0.02 sec +Model fitting time 0.0242 sec +Total RS time 0.0217 sec +Optimization function time 0.4813 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3459 sec +MSLS time 0.4426 sec +Local search time 0.9536 sec +x1,x2,Value,Energy,Timestamp +1,0.7526462979607367,29.5141836685508,1.7526462979607367,20121 +0.8236060552766329,0.47795349587095526,35.00565550949892,1.3015595511475881,20121 +0.82091385304231,0.4702730930584738,35.122681756251474,1.2911869461007839,20121 +0.8166346884426202,0.48624569849474625,35.066005049197344,1.3028803869373664,20121 +0.7938541769332,0.46581798191329316,35.67315512778902,1.2596721588464932,20121 +0.7977595151472295,0.4629562536130733,35.62416785324005,1.2607157687603028,20121 +0.7650826875247941,0.4844595959638887,36.05367561947372,1.249542283488683,20121 +0.9544932856280308,0.4618940374505295,32.662333898818744,1.4163873230785602,20121 +0.7587592765539837,0.49194441411414397,36.10726374698068,1.2507036906681277,20121 +0.7862915595301049,0.4612423742146781,35.856126669649484,1.247533933744783,20121 + +Black box function time 0.0048 sec +Total iteration time 9.6248 sec +Starting optimization iteration 3 +End of training - Time 0.03 sec +Model fitting time 0.0265 sec +0.0788703282915475 < 0.1 random sampling a configuration to run +Local search time 0.0001 sec +Total iteration time 0.0284 sec +End of training - Time 0.02 sec +Model fitting time 0.0242 sec +Total RS time 0.0211 sec +Optimization function time 0.5209 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3742 sec +MSLS time 0.4963 sec +Local search time 1.0440 sec +Total iteration time 1.0989 sec +End of training - Time 0.03 sec +Model fitting time 0.0279 sec +0.040167634123932205 < 0.1 random sampling a configuration to run +Local search time 0.0001 sec +Total iteration time 1.1287 sec +End of training - Time 0.03 sec +Model fitting time 0.0258 sec +Total RS time 0.0215 sec +Optimization function time 0.5034 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4097 sec +MSLS time 0.4630 sec +Local search time 0.9924 sec +Total iteration time 2.1496 sec +End of training - Time 0.04 sec +Model fitting time 0.0421 sec +Total RS time 0.0263 sec +Optimization function time 0.5056 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4213 sec +MSLS time 0.5206 sec +Local search time 1.0600 sec +Total iteration time 3.2557 sec +End of training - Time 0.03 sec +Model fitting time 0.0284 sec +Total RS time 0.0209 sec +Optimization function time 0.5004 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3918 sec +MSLS time 0.4865 sec +Local search time 1.0153 sec +Total iteration time 4.3032 sec +End of training - Time 0.03 sec +Model fitting time 0.0296 sec +Total RS time 0.0209 sec +Optimization function time 0.5074 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4001 sec +MSLS time 0.4508 sec +Local search time 0.9836 sec +Total iteration time 5.3191 sec +End of training - Time 0.05 sec +Model fitting time 0.0505 sec +Total RS time 0.0238 sec +Optimization function time 0.5065 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3961 sec +MSLS time 0.4477 sec +Local search time 0.9833 sec +Total iteration time 6.3555 sec +End of training - Time 0.06 sec +Model fitting time 0.0561 sec +Total RS time 0.0216 sec +Optimization function time 0.5096 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3951 sec +MSLS time 0.5046 sec +Local search time 1.0429 sec +Total iteration time 7.4577 sec +End of training - Time 0.04 sec +Model fitting time 0.0432 sec +Total RS time 0.0389 sec +Optimization function time 0.5162 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3831 sec +MSLS time 0.4758 sec +Local search time 1.0354 sec +x1,x2,Value,Energy,Timestamp +0.5573970615672109,0.6429890775790778,38.488990459025715,1.2003861391462887,28663 +0.4666913893933489,0.7051713300004399,39.55353752792997,1.1718627193937887,28663 +0.6498668779724773,0.9093133351236661,34.5447656604463,1.5591802130961434,28663 +0.45900547221753996,0.7057540761370483,39.684901720683214,1.1647595483545883,28663 +0.46811336874171244,0.6601335566725522,39.942635777328135,1.1282469254142646,28663 +0.5638270434586806,0.6607441664257283,38.212835695961445,1.224571209884409,28663 +0.470033299649372,0.7044680341895195,39.50049378097497,1.1745013338388914,28663 +0.44423054075108215,0.706326236810998,39.942095684818014,1.1505567775620802,28663 +0.5737249448423698,0.7064388327648107,37.62735181263574,1.2801637776071804,28663 +0.6016348500250397,0.7033184511083325,37.152299042804785,1.3049533011333723,28663 + +Black box function time 0.0007 sec +Total iteration time 8.5373 sec +Starting optimization iteration 4 +End of training - Time 0.03 sec +Model fitting time 0.0285 sec +Total RS time 0.0204 sec +Optimization function time 0.5064 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3503 sec +MSLS time 0.5128 sec +Local search time 1.0442 sec +Total iteration time 1.0748 sec +End of training - Time 0.03 sec +Model fitting time 0.0273 sec +Total RS time 0.0208 sec +Optimization function time 0.5037 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3871 sec +MSLS time 0.4374 sec +Local search time 0.9668 sec +Total iteration time 2.0717 sec +End of training - Time 0.06 sec +Model fitting time 0.0623 sec +Total RS time 0.0222 sec +Optimization function time 0.5138 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4005 sec +MSLS time 0.4503 sec +Local search time 0.9913 sec +Total iteration time 3.1296 sec +End of training - Time 0.06 sec +Model fitting time 0.0599 sec +Total RS time 0.0219 sec +Optimization function time 0.5046 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3911 sec +MSLS time 0.4864 sec +Local search time 1.0174 sec +Total iteration time 4.2090 sec +End of training - Time 0.03 sec +Model fitting time 0.0288 sec +Total RS time 0.0205 sec +Optimization function time 0.5149 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3964 sec +MSLS time 0.5146 sec +Local search time 1.0571 sec +Total iteration time 5.2979 sec +End of training - Time 0.05 sec +Model fitting time 0.0469 sec +Total RS time 0.0388 sec +Optimization function time 0.5115 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3971 sec +MSLS time 0.4881 sec +Local search time 1.0431 sec +Total iteration time 6.3902 sec +End of training - Time 0.03 sec +Model fitting time 0.0302 sec +Total RS time 0.0205 sec +Optimization function time 0.5176 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3838 sec +MSLS time 0.4814 sec +Local search time 1.0269 sec +Total iteration time 7.4505 sec +End of training - Time 0.03 sec +Model fitting time 0.0334 sec +Total RS time 0.0211 sec +Optimization function time 0.5379 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4096 sec +MSLS time 0.4781 sec +Local search time 1.0487 sec +Total iteration time 8.5368 sec +End of training - Time 0.05 sec +Model fitting time 0.0476 sec +Total RS time 0.0206 sec +Optimization function time 0.6431 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3665 sec +MSLS time 0.4215 sec +Local search time 1.0904 sec +Total iteration time 9.6776 sec +End of training - Time 0.05 sec +Model fitting time 0.0526 sec +Total RS time 0.0218 sec +Optimization function time 0.4932 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3793 sec +MSLS time 0.5122 sec +Local search time 1.0315 sec +x1,x2,Value,Energy,Timestamp +1,0.7599985177839338,29.458581584605454,1.759998517783934,39425 +1,0.7607149436179614,29.453169305024495,1.7607149436179614,39425 +0.9949570128145546,0.7623004562148235,29.53271059569371,1.757257469029378,39425 +0.9914032817114923,0.7609178969969012,29.60767774429081,1.7523211787083934,39425 +1,0.7623004562148235,29.441195109973165,1.7623004562148235,39425 +0.9780150067974763,0.7673020173965698,29.802173683974804,1.745317024194046,39425 +0.9772598605735505,0.8415691647125171,29.256849771283935,1.8188290252860675,39425 +0.9771403623705257,0.7738202436119872,29.76853098746894,1.750960605982513,39425 +0.9827455891387906,0.7802668537062242,29.618177543229827,1.7630124428450147,39425 +0.9898930703722834,0.7588140517081169,29.651044597997775,1.7487071220804002,39425 + +Black box function time 0.0006 sec +Total iteration time 10.7627 sec +Starting optimization iteration 5 +End of training - Time 0.03 sec +Model fitting time 0.0326 sec +Total RS time 0.0204 sec +Optimization function time 0.4930 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4028 sec +MSLS time 0.4996 sec +Local search time 1.0250 sec +Total iteration time 1.0610 sec +End of training - Time 0.05 sec +Model fitting time 0.0542 sec +0.014082960427275415 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 1.1179 sec +End of training - Time 0.05 sec +Model fitting time 0.0543 sec +Total RS time 0.0341 sec +Optimization function time 0.5349 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4000 sec +MSLS time 0.4816 sec +Local search time 1.0576 sec +Total iteration time 2.2332 sec +End of training - Time 0.05 sec +Model fitting time 0.0527 sec +Total RS time 0.0210 sec +Optimization function time 0.4910 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3787 sec +MSLS time 0.4311 sec +Local search time 0.9482 sec +Total iteration time 3.2368 sec +End of training - Time 0.05 sec +Model fitting time 0.0464 sec +Total RS time 0.0228 sec +Optimization function time 0.5000 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4364 sec +MSLS time 0.5342 sec +Local search time 1.0619 sec +Total iteration time 4.3477 sec +End of training - Time 0.04 sec +Model fitting time 0.0380 sec +Total RS time 0.0224 sec +Optimization function time 0.5401 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3804 sec +MSLS time 0.4391 sec +Local search time 1.0069 sec +Total iteration time 5.3955 sec +End of training - Time 0.05 sec +Model fitting time 0.0476 sec +Total RS time 0.0235 sec +Optimization function time 0.5011 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3845 sec +MSLS time 0.4420 sec +Local search time 0.9717 sec +Total iteration time 6.4176 sec +End of training - Time 0.08 sec +Model fitting time 0.0761 sec +Total RS time 0.0218 sec +Optimization function time 0.4833 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3698 sec +MSLS time 0.4369 sec +Local search time 0.9491 sec +Total iteration time 7.4466 sec +End of training - Time 0.06 sec +Model fitting time 0.0578 sec +0.08831659261409874 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 7.5063 sec +End of training - Time 0.04 sec +Model fitting time 0.0364 sec +Total RS time 0.0219 sec +Optimization function time 0.5232 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4140 sec +MSLS time 0.4671 sec +Local search time 1.0394 sec +x1,x2,Value,Energy,Timestamp +0.09681468819899984,0.03474262875460453,53.340941665077,0.13155731695360437,48009 +0.22898265963268616,0.5102769904446935,45.68950952157661,0.7392596500773797,48009 +0.20805887614920002,0.5097472289524191,46.069249709660035,0.7178061051016191,48009 +0.05677956171851519,0.499591606845562,48.85968780146168,0.5563711685640772,48009 +0.10589449322654372,0.47687233743738755,48.23548977086457,0.5827668306639313,48009 +0.1652218673651788,0.6203721872459586,45.68775254474667,0.7855940546111373,48009 +0.1242171041264828,0.7076685752827842,45.50373553045623,0.8318856794092669,48009 +0.048381980755769716,0.5662723022165023,48.28862309120705,0.614654282972272,48009 +0.9033892320315374,0.26904134482581005,35.2909161326141,1.1724305768573475,48009 +0.039006277153474635,0.4410750956308911,49.81226899594779,0.48008137278436575,48009 + +Black box function time 0.0011 sec +Total iteration time 8.5835 sec +Starting optimization iteration 6 +End of training - Time 0.07 sec +Model fitting time 0.0691 sec +Total RS time 0.0211 sec +Optimization function time 0.4943 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3730 sec +MSLS time 0.4932 sec +Local search time 1.0134 sec +Total iteration time 1.0848 sec +End of training - Time 0.04 sec +Model fitting time 0.0362 sec +Total RS time 0.0202 sec +Optimization function time 0.4849 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4066 sec +MSLS time 0.4550 sec +Local search time 0.9771 sec +Total iteration time 2.1035 sec +End of training - Time 0.05 sec +Model fitting time 0.0552 sec +0.053768941275444626 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 2.1606 sec +End of training - Time 0.04 sec +Model fitting time 0.0367 sec +Total RS time 0.0206 sec +Optimization function time 0.4936 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4205 sec +MSLS time 0.4730 sec +Local search time 0.9929 sec +Total iteration time 3.1929 sec +End of training - Time 0.07 sec +Model fitting time 0.0667 sec +Total RS time 0.0220 sec +Optimization function time 0.5183 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3923 sec +MSLS time 0.4966 sec +Local search time 1.0446 sec +Total iteration time 4.3069 sec +End of training - Time 0.04 sec +Model fitting time 0.0407 sec +Total RS time 0.0213 sec +Optimization function time 0.6054 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3577 sec +MSLS time 0.4593 sec +Local search time 1.0904 sec +Total iteration time 5.4407 sec +End of training - Time 0.04 sec +Model fitting time 0.0393 sec +Total RS time 0.0215 sec +Optimization function time 0.5267 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4298 sec +MSLS time 0.5094 sec +Local search time 1.0655 sec +Total iteration time 6.5493 sec +End of training - Time 0.05 sec +Model fitting time 0.0497 sec +Total RS time 0.0218 sec +Optimization function time 0.5076 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3913 sec +MSLS time 0.5257 sec +Local search time 1.0597 sec +Total iteration time 7.6608 sec +End of training - Time 0.04 sec +Model fitting time 0.0382 sec +0.04372727717536573 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 7.7007 sec +End of training - Time 0.04 sec +Model fitting time 0.0370 sec +Total RS time 0.0208 sec +Optimization function time 0.5087 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3935 sec +MSLS time 0.5128 sec +Local search time 1.0467 sec +x1,x2,Value,Energy,Timestamp +0.11306917807455866,0.030686163229793097,53.0765976971628,0.14375534130435175,56794 +0.09065875977311255,0.028701139145016038,53.529111500049595,0.11935989891812859,56794 +0.35002883098325194,0.554347387198955,43.072929891377456,0.904376218182207,56794 +0.0986532510939391,0.03522386416786364,53.30015978142481,0.13387711526180274,56794 +0.08651105146371652,0.03299063220238716,53.55847987668951,0.11950168366610367,56794 +0.10136490478577113,0.03676790358118437,53.23032861926281,0.13813280836695552,56794 +0.0835401342717947,0.03408580107654161,53.60251931930442,0.11762593534833632,56794 +0.11557734726024264,0.03522386416786364,52.97599018842265,0.1508012114281063,56794 +0.09407384193605087,0.8385541334669169,44.688480345118734,0.9326279754029677,56794 +0.07074045638433257,0.03654347714961448,53.81833731398605,0.10728393353394705,56794 + +Black box function time 0.0007 sec +Total iteration time 8.7854 sec +Starting optimization iteration 7 +End of training - Time 0.05 sec +Model fitting time 0.0459 sec +Total RS time 0.0203 sec +Optimization function time 0.5016 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4051 sec +MSLS time 0.5300 sec +Local search time 1.0566 sec +Total iteration time 1.1047 sec +End of training - Time 0.04 sec +Model fitting time 0.0400 sec +0.008463675572091711 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 1.1465 sec +End of training - Time 0.04 sec +Model fitting time 0.0396 sec +Total RS time 0.0205 sec +Optimization function time 0.5056 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4269 sec +MSLS time 0.4797 sec +Local search time 1.0143 sec +Total iteration time 2.2045 sec +End of training - Time 0.07 sec +Model fitting time 0.0685 sec +Total RS time 0.0210 sec +Optimization function time 0.5090 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4033 sec +MSLS time 0.5022 sec +Local search time 1.0396 sec +Total iteration time 3.3162 sec +End of training - Time 0.05 sec +Model fitting time 0.0460 sec +Total RS time 0.0216 sec +Optimization function time 0.5108 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4025 sec +MSLS time 0.4543 sec +Local search time 0.9923 sec +Total iteration time 4.3589 sec +End of training - Time 0.06 sec +Model fitting time 0.0636 sec +Total RS time 0.0219 sec +Optimization function time 0.5123 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3717 sec +MSLS time 0.4721 sec +Local search time 1.0121 sec +Total iteration time 5.4371 sec +End of training - Time 0.04 sec +Model fitting time 0.0441 sec +Total RS time 0.0207 sec +Optimization function time 0.5109 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3775 sec +MSLS time 0.4277 sec +Local search time 0.9641 sec +Total iteration time 6.4493 sec +End of training - Time 0.07 sec +Model fitting time 0.0720 sec +Total RS time 0.0224 sec +Optimization function time 0.4804 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3849 sec +MSLS time 0.4358 sec +Local search time 0.9433 sec +Total iteration time 7.4673 sec +End of training - Time 0.05 sec +Model fitting time 0.0524 sec +Total RS time 0.0211 sec +Optimization function time 0.5125 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3847 sec +MSLS time 0.4936 sec +Local search time 1.0317 sec +Total iteration time 8.5535 sec +End of training - Time 0.04 sec +Model fitting time 0.0425 sec +Total RS time 0.0201 sec +Optimization function time 0.4945 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3730 sec +MSLS time 0.4245 sec +Local search time 0.9439 sec +x1,x2,Value,Energy,Timestamp +1,0.9280275975499532,28.217309766369695,1.9280275975499532,66335 +0.2928725003969428,0.8879082435967189,40.881368325799144,1.1807807439936617,66335 +0.23707556294166768,0.9663226843009324,41.08288901741197,1.2033982472426001,66335 +0.233138823575596,0.9341234660238069,41.449695230802796,1.167262289599403,66335 +0.23210447046124524,0.7501891851127415,43.23095929315893,0.9822936555739867,66335 +0.2849936324286316,0.750832406379802,42.31318878481426,1.0358260388084335,66335 +0.3000990176731332,0.7510594372139598,42.04960145085007,1.051158454887093,66335 +0.24917803108178985,0.752378040819581,42.916057062954394,1.001556071901371,66335 +0.23082218589229203,0.749599444793093,43.258753798823186,0.980421630685385,66335 +0.30157991901041187,0.752714926605415,42.008121706853515,1.0542948456158268,66335 + +Black box function time 0.0007 sec +Total iteration time 9.5410 sec +Starting optimization iteration 8 +End of training - Time 0.05 sec +Model fitting time 0.0518 sec +Total RS time 0.0231 sec +Optimization function time 0.5086 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4031 sec +MSLS time 0.4999 sec +Local search time 1.0425 sec +Total iteration time 1.0968 sec +End of training - Time 0.04 sec +Model fitting time 0.0445 sec +Total RS time 0.0204 sec +Optimization function time 0.4943 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4139 sec +MSLS time 0.4719 sec +Local search time 0.9923 sec +Total iteration time 2.1374 sec +End of training - Time 0.07 sec +Model fitting time 0.0662 sec +Total RS time 0.0223 sec +Optimization function time 0.4922 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4012 sec +MSLS time 0.5021 sec +Local search time 1.0227 sec +Total iteration time 3.2292 sec +End of training - Time 0.05 sec +Model fitting time 0.0473 sec +Total RS time 0.0208 sec +Optimization function time 0.5175 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3951 sec +MSLS time 0.4973 sec +Local search time 1.0422 sec +Total iteration time 4.3224 sec +End of training - Time 0.05 sec +Model fitting time 0.0485 sec +Total RS time 0.0204 sec +Optimization function time 0.5089 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3668 sec +MSLS time 0.4677 sec +Local search time 1.0050 sec +Total iteration time 5.3797 sec +End of training - Time 0.05 sec +Model fitting time 0.0509 sec +Total RS time 0.0201 sec +Optimization function time 0.5235 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4029 sec +MSLS time 0.4627 sec +Local search time 1.0140 sec +Total iteration time 6.4483 sec +End of training - Time 0.06 sec +Model fitting time 0.0630 sec +Total RS time 0.0209 sec +Optimization function time 0.4992 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3709 sec +MSLS time 0.4734 sec +Local search time 0.9996 sec +Total iteration time 7.5134 sec +End of training - Time 0.05 sec +Model fitting time 0.0468 sec +Total RS time 0.0205 sec +Optimization function time 0.5029 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4263 sec +MSLS time 0.4779 sec +Local search time 1.0060 sec +Total iteration time 8.5685 sec +End of training - Time 0.08 sec +Model fitting time 0.0809 sec +0.010719063778304116 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 8.6516 sec +End of training - Time 0.05 sec +Model fitting time 0.0521 sec +Total RS time 0.0214 sec +Optimization function time 0.5206 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3880 sec +MSLS time 0.4382 sec +Local search time 0.9853 sec +x1,x2,Value,Energy,Timestamp +0.9764782193140399,0.053105669276442294,35.77053621134223,1.0295838885904822,76026 +0.968487174642278,0.04773221970435159,35.97946374337377,1.0162193943466296,76026 +1,0.04361404635193795,35.3842686367419,1.0436140463519379,76026 +0.9851340172900782,0.03301398874672721,35.77839835665697,1.0181480060368053,76026 +1,0.025258802861173525,35.54958325229081,1.0252588028611735,76026 +0.9829035663353014,0.035110000926165286,35.80425825144766,1.0180135672614667,76026 +0.981451092760277,0.02720636780963613,35.90507480348336,1.008657460569913,76026 +0.9729615719384352,0.03060824659072626,36.04496735465749,1.0035698185291615,76026 +0.9366259753493915,0.7613045342049268,30.599276020303442,1.6979305095543182,76026 +0.9559563532342157,0.02893438468939166,36.40236951781655,0.9848907379236074,76026 + +Black box function time 0.0012 sec +Total iteration time 9.6905 sec +Starting optimization iteration 9 +End of training - Time 0.05 sec +Model fitting time 0.0542 sec +0.08115753302436557 < 0.1 random sampling a configuration to run +Local search time 0.0004 sec +Total iteration time 0.0571 sec +End of training - Time 0.05 sec +Model fitting time 0.0485 sec +Total RS time 0.0215 sec +Optimization function time 0.5081 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3876 sec +MSLS time 0.4893 sec +Local search time 1.0261 sec +Total iteration time 1.1350 sec +End of training - Time 0.05 sec +Model fitting time 0.0501 sec +Total RS time 0.0208 sec +Optimization function time 0.5020 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3924 sec +MSLS time 0.4433 sec +Local search time 0.9750 sec +Total iteration time 2.1642 sec +End of training - Time 0.08 sec +Model fitting time 0.0833 sec +Total RS time 0.0201 sec +Optimization function time 0.5315 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4286 sec +MSLS time 0.5280 sec +Local search time 1.0848 sec +Total iteration time 3.3349 sec +End of training - Time 0.05 sec +Model fitting time 0.0519 sec +Total RS time 0.0205 sec +Optimization function time 0.5037 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3938 sec +MSLS time 0.4491 sec +Local search time 1.0119 sec +Total iteration time 4.4024 sec +End of training - Time 0.06 sec +Model fitting time 0.0602 sec +0.05043195787280441 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 4.4646 sec +End of training - Time 0.05 sec +Model fitting time 0.0548 sec +Total RS time 0.0202 sec +Optimization function time 0.5005 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3811 sec +MSLS time 0.5160 sec +Local search time 1.0413 sec +Total iteration time 5.5629 sec +End of training - Time 0.05 sec +Model fitting time 0.0509 sec +Total RS time 0.0206 sec +Optimization function time 0.5147 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3846 sec +MSLS time 0.5203 sec +Local search time 1.0615 sec +Total iteration time 6.6780 sec +End of training - Time 0.05 sec +Model fitting time 0.0503 sec +Total RS time 0.0205 sec +Optimization function time 0.5187 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3646 sec +MSLS time 0.4881 sec +Local search time 1.0318 sec +Total iteration time 7.7622 sec +End of training - Time 0.05 sec +Model fitting time 0.0500 sec +Total RS time 0.0209 sec +Optimization function time 0.5323 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3992 sec +MSLS time 0.5017 sec +Local search time 1.0637 sec +x1,x2,Value,Energy,Timestamp +0.6039196660189895,0.729362641216955,36.8834895588944,1.3332823072359445,84903 +0.08354111727718701,0.026786622371282407,53.68771851770734,0.11032773964846941,84903 +0.08368945358152981,0.021482428398190166,53.746869941845894,0.10517188197971997,84903 +0.0833000055633624,0.03483378413605084,53.59838306826217,0.11813378969941324,84903 +0.07734458777813996,0.034859191757065616,53.7119035476037,0.11220377953520558,84903 +0.09606826961798823,0.0750339004043601,52.88837931182408,0.17110217002234834,84903 +0.0817063405652387,0.034859191757065616,53.62854958346245,0.11656553232230432,84903 +0.09606826961798823,0.0781034308693427,52.8529463330549,0.17417170048733094,84903 +0.08061255332165257,0.07547382286438298,53.17691396089619,0.15608637618603555,84903 +0.09606826961798823,0.13285280534751553,52.2241150844944,0.22892107496550376,84903 + +Black box function time 0.0008 sec +Total iteration time 8.8773 sec +Starting optimization iteration 10 +End of training - Time 0.05 sec +Model fitting time 0.0551 sec +Total RS time 0.0200 sec +Optimization function time 0.5136 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4134 sec +MSLS time 0.4687 sec +Local search time 1.0098 sec +Total iteration time 1.0691 sec +End of training - Time 0.09 sec +Model fitting time 0.0857 sec +0.052768427577096766 < 0.1 random sampling a configuration to run +Local search time 0.0002 sec +Total iteration time 1.1569 sec +End of training - Time 0.06 sec +Model fitting time 0.0584 sec +Total RS time 0.0209 sec +Optimization function time 0.5089 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4007 sec +MSLS time 0.5305 sec +Local search time 1.0644 sec +Total iteration time 2.2820 sec +End of training - Time 0.05 sec +Model fitting time 0.0536 sec +Total RS time 0.0983 sec +Optimization function time 0.5186 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3855 sec +MSLS time 0.4861 sec +Local search time 1.1087 sec +Total iteration time 3.4469 sec +End of training - Time 0.05 sec +Model fitting time 0.0532 sec +Total RS time 0.0200 sec +Optimization function time 0.5021 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3612 sec +MSLS time 0.4627 sec +Local search time 0.9924 sec +Total iteration time 4.4964 sec +End of training - Time 0.06 sec +Model fitting time 0.0605 sec +Total RS time 0.0210 sec +Optimization function time 0.4980 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.4169 sec +MSLS time 0.4654 sec +Local search time 0.9893 sec +Total iteration time 5.5490 sec +End of training - Time 0.07 sec +Model fitting time 0.0708 sec +Total RS time 0.0256 sec +Optimization function time 0.4890 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3933 sec +MSLS time 0.5006 sec +Local search time 1.0225 sec +Total iteration time 6.6456 sec +End of training - Time 0.09 sec +Model fitting time 0.0911 sec +Total RS time 0.0432 sec +Optimization function time 0.5006 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3897 sec +MSLS time 0.4413 sec +Local search time 0.9899 sec +Total iteration time 7.7295 sec +End of training - Time 0.07 sec +Model fitting time 0.0673 sec +Total RS time 0.0252 sec +Optimization function time 0.5288 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3750 sec +MSLS time 0.4721 sec +Local search time 1.0335 sec +Total iteration time 8.8332 sec +End of training - Time 0.06 sec +Model fitting time 0.0565 sec +Total RS time 0.0201 sec +Optimization function time 0.5089 sec +Starting local search iteration: , #configs:30 +0, +1, +2, +3, +4, +5, +6, +7, +8, +9, +10, +11, +12, +13, +14, +15, +16, +17, +18, +19, +20, +21, +22, +23, +24, +25, +26, +27, +28, +29, +Multi-start LS time 0.3994 sec +MSLS time 0.4582 sec +Local search time 1.0037 sec +x1,x2,Value,Energy,Timestamp +0.05380638236146842,0.03888903939925,54.113823074854665,0.09269542176071842,94798 +0.5205058947024255,0.9537566133354347,36.41706295320645,1.4742625080378602,94798 +0.05255862376331358,0.036282397291571566,54.16824922384741,0.08884102105488514,94798 +0.5232465927634227,0.9485604328016047,36.41418430662442,1.4718070255650275,94798 +0.06625787938751583,0.02533994725173724,54.03529584026835,0.09159782663925307,94798 +0.06088119556053298,0.0013257279021322789,54.42096846999767,0.06220692346266526,94798 +0.06617688842153599,0.008492516214551969,54.23491366408276,0.07466940463608795,94798 +0.05698919460847092,0.01578012919825674,54.325032967982246,0.07276932380672765,94798 +0.09347581163131571,0.004391418543586642,53.75889902762544,0.09786723017490236,94798 +0.05476049861056455,0.00703798582951574,54.47086289493651,0.061798484440080285,94798 + +Black box function time 0.0068 sec +Total iteration time 9.9008 sec +End of BO phase - Time 94.8038 sec +End of Bayesian Optimization +Total script time 94.81 sec +End of HyperMapper diff --git a/test_output_samples.csv b/test_output_samples.csv new file mode 100644 index 00000000..360492c1 --- /dev/null +++ b/test_output_samples.csv @@ -0,0 +1,111 @@ +x1,x2,Value,Energy,Timestamp +0.8239476685195956,0.7085343609669257,33.07011178773364,1.5324820294865211,1 +0.6311429366613207,0.511471712339945,38.32299398806657,1.1426146490012656,1 +0.4384703271558135,0.08498352040163487,46.17239832859195,0.5234538475574484,1 +0.690818092677685,0.25330423054284634,39.574094992594176,0.9441223232205314,1 +0.4710339242464425,0.7029188070685253,39.49685471821011,1.1739527313149678,1 +0.32370623016242867,0.33105328959215446,45.80428310339347,0.6547595197545831,1 +0.7436452672003222,0.05727308718102165,40.40208775185947,0.8009183543813438,1 +0.032858622783933075,0.752738573344585,46.58604317068568,0.7855971961285181,1 +0.5331999390388923,0.24103886335696284,42.74274143111486,0.7742388023958551,1 +0.4784464472078061,0.46023835408515795,41.63944264956219,0.938684801292964,1 +0.890609807333163,0.7199579131911491,31.76083378431751,1.610567720524312,10500 +1,0.9254831213491251,28.235685361386647,1.9254831213491252,10500 +1,0.8025539636450876,29.13887453431596,1.8025539636450876,10500 +1,0.7471776099077675,29.555611420946327,1.7471776099077676,10500 +0.9267329172501575,0.8208904397020677,30.320698851099056,1.747623356952225,10500 +0.9382977560022369,0.7351581247322021,30.771406899543962,1.6734558807344388,10500 +1,0.726641119815964,29.71171855285462,1.7266411198159641,10500 +0.9538838759039643,0.7127688966084804,30.660840269886716,1.6666527725124447,10500 +0.8755307157765523,0.7856249192957875,31.517032122372097,1.6611556350723398,10500 +1,0.7107585110993475,29.833027861839867,1.7107585110993475,10500 +1,0.7526462979607367,29.5141836685508,1.7526462979607367,20121 +0.8236060552766329,0.47795349587095526,35.00565550949892,1.3015595511475881,20121 +0.82091385304231,0.4702730930584738,35.122681756251474,1.2911869461007839,20121 +0.8166346884426202,0.48624569849474625,35.066005049197344,1.3028803869373664,20121 +0.7938541769332,0.46581798191329316,35.67315512778902,1.2596721588464932,20121 +0.7977595151472295,0.4629562536130733,35.62416785324005,1.2607157687603028,20121 +0.7650826875247941,0.4844595959638887,36.05367561947372,1.249542283488683,20121 +0.9544932856280308,0.4618940374505295,32.662333898818744,1.4163873230785602,20121 +0.7587592765539837,0.49194441411414397,36.10726374698068,1.2507036906681277,20121 +0.7862915595301049,0.4612423742146781,35.856126669649484,1.247533933744783,20121 +0.5573970615672109,0.6429890775790778,38.488990459025715,1.2003861391462887,28663 +0.4666913893933489,0.7051713300004399,39.55353752792997,1.1718627193937887,28663 +0.6498668779724773,0.9093133351236661,34.5447656604463,1.5591802130961434,28663 +0.45900547221753996,0.7057540761370483,39.684901720683214,1.1647595483545883,28663 +0.46811336874171244,0.6601335566725522,39.942635777328135,1.1282469254142646,28663 +0.5638270434586806,0.6607441664257283,38.212835695961445,1.224571209884409,28663 +0.470033299649372,0.7044680341895195,39.50049378097497,1.1745013338388914,28663 +0.44423054075108215,0.706326236810998,39.942095684818014,1.1505567775620802,28663 +0.5737249448423698,0.7064388327648107,37.62735181263574,1.2801637776071804,28663 +0.6016348500250397,0.7033184511083325,37.152299042804785,1.3049533011333723,28663 +1,0.7599985177839338,29.458581584605454,1.759998517783934,39425 +1,0.7607149436179614,29.453169305024495,1.7607149436179614,39425 +0.9949570128145546,0.7623004562148235,29.53271059569371,1.757257469029378,39425 +0.9914032817114923,0.7609178969969012,29.60767774429081,1.7523211787083934,39425 +1,0.7623004562148235,29.441195109973165,1.7623004562148235,39425 +0.9780150067974763,0.7673020173965698,29.802173683974804,1.745317024194046,39425 +0.9772598605735505,0.8415691647125171,29.256849771283935,1.8188290252860675,39425 +0.9771403623705257,0.7738202436119872,29.76853098746894,1.750960605982513,39425 +0.9827455891387906,0.7802668537062242,29.618177543229827,1.7630124428450147,39425 +0.9898930703722834,0.7588140517081169,29.651044597997775,1.7487071220804002,39425 +0.09681468819899984,0.03474262875460453,53.340941665077,0.13155731695360437,48009 +0.22898265963268616,0.5102769904446935,45.68950952157661,0.7392596500773797,48009 +0.20805887614920002,0.5097472289524191,46.069249709660035,0.7178061051016191,48009 +0.05677956171851519,0.499591606845562,48.85968780146168,0.5563711685640772,48009 +0.10589449322654372,0.47687233743738755,48.23548977086457,0.5827668306639313,48009 +0.1652218673651788,0.6203721872459586,45.68775254474667,0.7855940546111373,48009 +0.1242171041264828,0.7076685752827842,45.50373553045623,0.8318856794092669,48009 +0.048381980755769716,0.5662723022165023,48.28862309120705,0.614654282972272,48009 +0.9033892320315374,0.26904134482581005,35.2909161326141,1.1724305768573475,48009 +0.039006277153474635,0.4410750956308911,49.81226899594779,0.48008137278436575,48009 +0.11306917807455866,0.030686163229793097,53.0765976971628,0.14375534130435175,56794 +0.09065875977311255,0.028701139145016038,53.529111500049595,0.11935989891812859,56794 +0.35002883098325194,0.554347387198955,43.072929891377456,0.904376218182207,56794 +0.0986532510939391,0.03522386416786364,53.30015978142481,0.13387711526180274,56794 +0.08651105146371652,0.03299063220238716,53.55847987668951,0.11950168366610367,56794 +0.10136490478577113,0.03676790358118437,53.23032861926281,0.13813280836695552,56794 +0.0835401342717947,0.03408580107654161,53.60251931930442,0.11762593534833632,56794 +0.11557734726024264,0.03522386416786364,52.97599018842265,0.1508012114281063,56794 +0.09407384193605087,0.8385541334669169,44.688480345118734,0.9326279754029677,56794 +0.07074045638433257,0.03654347714961448,53.81833731398605,0.10728393353394705,56794 +1,0.9280275975499532,28.217309766369695,1.9280275975499532,66335 +0.2928725003969428,0.8879082435967189,40.881368325799144,1.1807807439936617,66335 +0.23707556294166768,0.9663226843009324,41.08288901741197,1.2033982472426001,66335 +0.233138823575596,0.9341234660238069,41.449695230802796,1.167262289599403,66335 +0.23210447046124524,0.7501891851127415,43.23095929315893,0.9822936555739867,66335 +0.2849936324286316,0.750832406379802,42.31318878481426,1.0358260388084335,66335 +0.3000990176731332,0.7510594372139598,42.04960145085007,1.051158454887093,66335 +0.24917803108178985,0.752378040819581,42.916057062954394,1.001556071901371,66335 +0.23082218589229203,0.749599444793093,43.258753798823186,0.980421630685385,66335 +0.30157991901041187,0.752714926605415,42.008121706853515,1.0542948456158268,66335 +0.9764782193140399,0.053105669276442294,35.77053621134223,1.0295838885904822,76026 +0.968487174642278,0.04773221970435159,35.97946374337377,1.0162193943466296,76026 +1,0.04361404635193795,35.3842686367419,1.0436140463519379,76026 +0.9851340172900782,0.03301398874672721,35.77839835665697,1.0181480060368053,76026 +1,0.025258802861173525,35.54958325229081,1.0252588028611735,76026 +0.9829035663353014,0.035110000926165286,35.80425825144766,1.0180135672614667,76026 +0.981451092760277,0.02720636780963613,35.90507480348336,1.008657460569913,76026 +0.9729615719384352,0.03060824659072626,36.04496735465749,1.0035698185291615,76026 +0.9366259753493915,0.7613045342049268,30.599276020303442,1.6979305095543182,76026 +0.9559563532342157,0.02893438468939166,36.40236951781655,0.9848907379236074,76026 +0.6039196660189895,0.729362641216955,36.8834895588944,1.3332823072359445,84903 +0.08354111727718701,0.026786622371282407,53.68771851770734,0.11032773964846941,84903 +0.08368945358152981,0.021482428398190166,53.746869941845894,0.10517188197971997,84903 +0.0833000055633624,0.03483378413605084,53.59838306826217,0.11813378969941324,84903 +0.07734458777813996,0.034859191757065616,53.7119035476037,0.11220377953520558,84903 +0.09606826961798823,0.0750339004043601,52.88837931182408,0.17110217002234834,84903 +0.0817063405652387,0.034859191757065616,53.62854958346245,0.11656553232230432,84903 +0.09606826961798823,0.0781034308693427,52.8529463330549,0.17417170048733094,84903 +0.08061255332165257,0.07547382286438298,53.17691396089619,0.15608637618603555,84903 +0.09606826961798823,0.13285280534751553,52.2241150844944,0.22892107496550376,84903 +0.05380638236146842,0.03888903939925,54.113823074854665,0.09269542176071842,94798 +0.5205058947024255,0.9537566133354347,36.41706295320645,1.4742625080378602,94798 +0.05255862376331358,0.036282397291571566,54.16824922384741,0.08884102105488514,94798 +0.5232465927634227,0.9485604328016047,36.41418430662442,1.4718070255650275,94798 +0.06625787938751583,0.02533994725173724,54.03529584026835,0.09159782663925307,94798 +0.06088119556053298,0.0013257279021322789,54.42096846999767,0.06220692346266526,94798 +0.06617688842153599,0.008492516214551969,54.23491366408276,0.07466940463608795,94798 +0.05698919460847092,0.01578012919825674,54.325032967982246,0.07276932380672765,94798 +0.09347581163131571,0.004391418543586642,53.75889902762544,0.09786723017490236,94798 +0.05476049861056455,0.00703798582951574,54.47086289493651,0.061798484440080285,94798 From 6a3fd8af121abebd2cf6e8543665b6a0edbc5be9 Mon Sep 17 00:00:00 2001 From: UnsignedByte Date: Sun, 24 Mar 2024 22:15:27 +0000 Subject: [PATCH 02/11] more test scripts --- hypermapper_logfile.log | 22119 ----------------------------- opt.json | 21 + tools/hypermapper/flopocofft.fil | 22 + tools/hypermapper/opt.py | 136 + tools/hypermapper/synth.tcl | 47 + 5 files changed, 226 insertions(+), 22119 deletions(-) delete mode 100644 hypermapper_logfile.log create mode 100644 opt.json create mode 100644 tools/hypermapper/flopocofft.fil create mode 100644 tools/hypermapper/opt.py create mode 100644 tools/hypermapper/synth.tcl diff --git a/hypermapper_logfile.log b/hypermapper_logfile.log deleted file mode 100644 index 0af7ad4f..00000000 --- a/hypermapper_logfile.log +++ /dev/null @@ -1,22119 +0,0 @@ -{'application_name': 'test', 'optimization_objectives': ['f1', 'f2'], 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'optimization_iterations': 50, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'evaluations_per_optimization_iteration': 1, 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} -Design of experiment phase, number of new doe samples = 10 ....... -{'application_name': 'test', 'optimization_objectives': ['f1', 'f2'], 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'optimization_iterations': 50, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'evaluations_per_optimization_iteration': 1, 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} -Design of experiment phase, number of new doe samples = 10 ....... -x1,x2,f1,f2,Timestamp -0.4139784952018203,0.6075824547942199,41.4075847977674,42.4075847977674,3 -0.6087724493132458,0.22202757446885546,41.46713294748904,42.46713294748904,3 -0.8049061188978542,0.6270916613214692,34.091247573622724,35.091247573622724,3 -0.5436456506153748,0.5162601407086606,39.90244538057952,40.90244538057952,3 -0.2953569970397637,0.22153904266806185,47.48505622754741,48.48505622754741,4 -0.40895073797672593,0.17177880361631503,45.83950194280365,46.83950194280365,4 -0.762012074837742,0.44651268428364416,36.44521615062038,37.44521615062038,4 -0.624430044295631,0.3605864530111864,39.84232219440351,40.84232219440351,4 -0.611902463325018,0.6960341585743681,37.03080889395245,38.03080889395245,4 -0.8623191777028056,0.7854870757532411,31.75691183130524,32.75691183130524,4 - - -End of doe/resume phase, the number of evaluated configurations is: 10 - -End of DoE - Time 0.0050 sec -Starting optimization iteration 1 -End of training - Time 0.03 sec -Model fitting time 0.0257 sec -Total RS time 0.0235 sec -Optimization function time 0.5214 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4058 sec -MSLS time 0.5078 sec -Local search time 1.0595 sec -x1,x2,f1,f2,Timestamp -1,0.2218499136896493,33.814045188247896,34.814045188247896,1091 - -Black box function time 0.0005 sec -Total iteration time 1.0859 sec -Starting optimization iteration 2 -End of training - Time 0.03 sec -Model fitting time 0.0267 sec -Total RS time 0.0395 sec -Optimization function time 0.4756 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3678 sec -MSLS time 0.4886 sec -Local search time 1.0079 sec -x1,x2,f1,f2,Timestamp -0.865021240171224,0.22173604732062593,36.46199690319633,37.46199690319633,2126 - -Black box function time 0.0003 sec -Total iteration time 1.0352 sec -Starting optimization iteration 3 -End of training - Time 0.02 sec -Model fitting time 0.0176 sec -0.08733240134774145 < 0.1 random sampling a configuration to run -Local search time 0.0001 sec -x1,x2,f1,f2,Timestamp -0.6702951212748626,0.5055209048887869,37.64620767881034,38.64620767881034,2145 - -Black box function time 0.0002 sec -Total iteration time 0.0181 sec -Starting optimization iteration 4 -End of training - Time 0.02 sec -Model fitting time 0.0162 sec -Total RS time 0.0223 sec -Optimization function time 0.4853 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3877 sec -MSLS time 0.4478 sec -Local search time 0.9621 sec -x1,x2,f1,f2,Timestamp -0.774978328583775,0.8251875968398451,33.01232274222312,34.01232274222312,3123 - -Black box function time 0.0036 sec -Total iteration time 0.9820 sec -Starting optimization iteration 5 -End of training - Time 0.04 sec -Model fitting time 0.0380 sec -Total RS time 0.0280 sec -Optimization function time 0.4874 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3851 sec -MSLS time 0.4364 sec -Local search time 0.9573 sec -x1,x2,f1,f2,Timestamp -0.6113255975018864,0.8173805048810956,35.99313499586743,36.99313499586743,4124 - -Black box function time 0.0007 sec -Total iteration time 0.9962 sec -Starting optimization iteration 6 -End of training - Time 0.04 sec -Model fitting time 0.0401 sec -Total RS time 0.0336 sec -Optimization function time 0.4604 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3982 sec -MSLS time 0.5048 sec -Local search time 1.0042 sec -x1,x2,f1,f2,Timestamp -0.4092944613669548,0.9611268042642839,38.249176765120964,39.249176765120964,5170 - -Black box function time 0.0005 sec -Total iteration time 1.0453 sec -Starting optimization iteration 7 -End of training - Time 0.02 sec -Model fitting time 0.0197 sec -Total RS time 0.0219 sec -Optimization function time 0.5164 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3688 sec -MSLS time 0.4739 sec -Local search time 1.0183 sec -x1,x2,f1,f2,Timestamp -0.7713994562340238,0.8315116571462401,33.0255301770664,34.0255301770664,6209 - -Black box function time 0.0004 sec -Total iteration time 1.0386 sec -Starting optimization iteration 8 -End of training - Time 0.02 sec -Model fitting time 0.0197 sec -Total RS time 0.0205 sec -Optimization function time 0.5347 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3888 sec -MSLS time 0.4863 sec -Local search time 1.0495 sec -x1,x2,f1,f2,Timestamp -0.8468263611028076,0.15155196771443588,37.45870321117266,38.45870321117266,7279 - -Black box function time 0.0022 sec -Total iteration time 1.0716 sec -Starting optimization iteration 9 -End of training - Time 0.02 sec -Model fitting time 0.0243 sec -Total RS time 0.0212 sec -Optimization function time 0.4637 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3932 sec -MSLS time 0.4896 sec -Local search time 0.9817 sec -x1,x2,f1,f2,Timestamp -0.859058212536705,0.9436476785246519,30.593723875865525,31.593723875865525,8288 - -Black box function time 0.0004 sec -Total iteration time 1.0067 sec -Starting optimization iteration 10 -End of training - Time 0.02 sec -Model fitting time 0.0216 sec -Total RS time 0.0217 sec -Optimization function time 0.5539 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3968 sec -MSLS time 0.4926 sec -Local search time 1.0726 sec -x1,x2,f1,f2,Timestamp -0.8610295821736522,0.9456503777787106,30.543809665655502,31.543809665655502,9383 - -Black box function time 0.0005 sec -Total iteration time 1.0949 sec -Starting optimization iteration 11 -End of training - Time 0.02 sec -Model fitting time 0.0241 sec -Total RS time 0.0215 sec -Optimization function time 0.5032 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3866 sec -MSLS time 0.4411 sec -Local search time 0.9703 sec -x1,x2,f1,f2,Timestamp -0.9765551023014334,0.9531176100353759,28.45036617395004,29.45036617395004,10378 - -Black box function time 0.0005 sec -Total iteration time 0.9952 sec -Starting optimization iteration 12 -End of training - Time 0.04 sec -Model fitting time 0.0367 sec -Total RS time 0.0227 sec -Optimization function time 0.4951 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4015 sec -MSLS time 0.4976 sec -Local search time 1.0227 sec -x1,x2,f1,f2,Timestamp -0.8848370806059027,0.9977162703165094,29.736372599465135,30.736372599465135,11438 - -Black box function time 0.0005 sec -Total iteration time 1.0602 sec -Starting optimization iteration 13 -End of training - Time 0.03 sec -Model fitting time 0.0285 sec -Total RS time 0.0214 sec -Optimization function time 0.4975 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3737 sec -MSLS time 0.4701 sec -Local search time 0.9957 sec -x1,x2,f1,f2,Timestamp -0.9938170836646961,0.9988615429182572,27.819262390144342,28.819262390144342,12463 - -Black box function time 0.0010 sec -Total iteration time 1.0255 sec -Starting optimization iteration 14 -End of training - Time 0.03 sec -Model fitting time 0.0270 sec -0.00962856921959554 < 0.1 random sampling a configuration to run -Local search time 0.0001 sec -x1,x2,f1,f2,Timestamp -0.6127130686228057,0.21347793811790958,41.47361008457783,42.47361008457783,12492 - -Black box function time 0.0002 sec -Total iteration time 0.0275 sec -Starting optimization iteration 15 -End of training - Time 0.02 sec -Model fitting time 0.0198 sec -Total RS time 0.0211 sec -Optimization function time 0.4889 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3776 sec -MSLS time 0.4739 sec -Local search time 0.9910 sec -x1,x2,f1,f2,Timestamp -1,0.9816308985401122,27.83320932776082,28.83320932776082,13503 - -Black box function time 0.0006 sec -Total iteration time 1.0115 sec -Starting optimization iteration 16 -End of training - Time 0.02 sec -Model fitting time 0.0251 sec -Total RS time 0.0217 sec -Optimization function time 0.5065 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3752 sec -MSLS time 0.4269 sec -Local search time 0.9600 sec -x1,x2,f1,f2,Timestamp -1,0.9587793649842983,27.996251818020042,28.996251818020042,14489 - -Black box function time 0.0005 sec -Total iteration time 0.9858 sec -Starting optimization iteration 17 -End of training - Time 0.02 sec -Model fitting time 0.0242 sec -Total RS time 0.0229 sec -Optimization function time 0.5310 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3656 sec -MSLS time 0.4807 sec -Local search time 1.0395 sec -x1,x2,f1,f2,Timestamp -1,0.962572587570873,27.969115413906522,28.969115413906522,15554 - -Black box function time 0.0005 sec -Total iteration time 1.0645 sec -Starting optimization iteration 18 -End of training - Time 0.02 sec -Model fitting time 0.0243 sec -Total RS time 0.0213 sec -Optimization function time 0.4844 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4016 sec -MSLS time 0.4543 sec -Local search time 0.9649 sec -x1,x2,f1,f2,Timestamp -0.9843986377643462,0.9614965903460944,28.251653136465265,29.251653136465265,16544 - -Black box function time 0.0006 sec -Total iteration time 0.9901 sec -Starting optimization iteration 19 -End of training - Time 0.06 sec -Model fitting time 0.0593 sec -Total RS time 0.0229 sec -Optimization function time 0.5020 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3922 sec -MSLS time 0.4388 sec -Local search time 0.9683 sec -x1,x2,f1,f2,Timestamp -0.09504376820370931,0.9730317002335369,43.34262129375265,44.34262129375265,17572 - -Black box function time 0.0004 sec -Total iteration time 1.0282 sec -Starting optimization iteration 20 -End of training - Time 0.02 sec -Model fitting time 0.0250 sec -Total RS time 0.0227 sec -Optimization function time 0.4939 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3575 sec -MSLS time 0.4087 sec -Local search time 0.9302 sec -x1,x2,f1,f2,Timestamp -0.9978206130565014,0.9738277229269177,27.927085576954866,28.927085576954866,18528 - -Black box function time 0.0004 sec -Total iteration time 0.9559 sec -Starting optimization iteration 21 -End of training - Time 0.03 sec -Model fitting time 0.0277 sec -0.018198721587520894 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -x1,x2,f1,f2,Timestamp -0.49909890357687303,0.5406261602415394,40.49460218280217,41.49460218280217,18557 - -Black box function time 0.0002 sec -Total iteration time 0.0283 sec -Starting optimization iteration 22 -End of training - Time 0.03 sec -Model fitting time 0.0269 sec -Total RS time 0.0223 sec -Optimization function time 0.5306 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3910 sec -MSLS time 0.4400 sec -Local search time 0.9974 sec -x1,x2,f1,f2,Timestamp -0.9944048356972622,0.9695999809057249,28.01736008083039,29.01736008083039,19582 - -Black box function time 0.0005 sec -Total iteration time 1.0250 sec -Starting optimization iteration 23 -End of training - Time 0.05 sec -Model fitting time 0.0501 sec -Total RS time 0.0263 sec -Optimization function time 0.5108 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4054 sec -MSLS time 0.4557 sec -Local search time 0.9977 sec -x1,x2,f1,f2,Timestamp -1,0.9864589575088362,27.798895442169133,28.798895442169133,20631 - -Black box function time 0.0005 sec -Total iteration time 1.0486 sec -Starting optimization iteration 24 -End of training - Time 0.03 sec -Model fitting time 0.0293 sec -Total RS time 0.0237 sec -Optimization function time 0.5089 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4686 sec -MSLS time 0.5622 sec -Local search time 1.1006 sec -x1,x2,f1,f2,Timestamp -1,0.9734240585998079,27.891643794242082,28.891643794242082,21761 - -Black box function time 0.0005 sec -Total iteration time 1.1307 sec -Starting optimization iteration 25 -End of training - Time 0.03 sec -Model fitting time 0.0300 sec -Total RS time 0.0216 sec -Optimization function time 0.4987 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3931 sec -MSLS time 0.4420 sec -Local search time 0.9672 sec -x1,x2,f1,f2,Timestamp -0.9997044810250937,0.9730397718088898,27.89957983225102,28.89957983225102,22759 - -Black box function time 0.0004 sec -Total iteration time 0.9979 sec -Starting optimization iteration 26 -End of training - Time 0.03 sec -Model fitting time 0.0288 sec -Total RS time 0.0216 sec -Optimization function time 0.5529 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3751 sec -MSLS time 0.4711 sec -Local search time 1.0530 sec -x1,x2,f1,f2,Timestamp -0.9986925712106909,0.9706789006093152,27.934218728354484,28.934218728354484,23842 - -Black box function time 0.0025 sec -Total iteration time 1.0847 sec -Starting optimization iteration 27 -End of training - Time 0.03 sec -Model fitting time 0.0334 sec -Total RS time 0.0208 sec -Optimization function time 0.5000 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3727 sec -MSLS time 0.4672 sec -Local search time 0.9991 sec -x1,x2,f1,f2,Timestamp -1,0.9715390674314335,27.905084360022222,28.905084360022222,24879 - -Black box function time 0.0006 sec -Total iteration time 1.0333 sec -Starting optimization iteration 28 -End of training - Time 0.03 sec -Model fitting time 0.0336 sec -Total RS time 0.0217 sec -Optimization function time 0.5109 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3856 sec -MSLS time 0.4779 sec -Local search time 1.0177 sec -x1,x2,f1,f2,Timestamp -0.9879206401360154,0.9725194011752414,28.110531886495206,29.110531886495206,25931 - -Black box function time 0.0008 sec -Total iteration time 1.0523 sec -Starting optimization iteration 29 -End of training - Time 0.04 sec -Model fitting time 0.0368 sec -Total RS time 0.0229 sec -Optimization function time 0.5469 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4445 sec -MSLS time 0.4981 sec -Local search time 1.0727 sec -x1,x2,f1,f2,Timestamp -0.9137881303901504,0.9727545619136275,29.412612931945397,30.412612931945397,27042 - -Black box function time 0.0004 sec -Total iteration time 1.1102 sec -Starting optimization iteration 30 -End of training - Time 0.03 sec -Model fitting time 0.0343 sec -0.0814227589727824 < 0.1 random sampling a configuration to run -Local search time 0.0001 sec -x1,x2,f1,f2,Timestamp -0.8648597219256245,0.8732182238301993,31.028307232084174,32.028307232084174,27077 - -Black box function time 0.0002 sec -Total iteration time 0.0349 sec -Starting optimization iteration 31 -End of training - Time 0.03 sec -Model fitting time 0.0282 sec -Total RS time 0.0217 sec -Optimization function time 0.5383 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4337 sec -MSLS time 0.5281 sec -Local search time 1.0942 sec -x1,x2,f1,f2,Timestamp -1,0.9609512858041913,27.98071055279013,28.98071055279013,28200 - -Black box function time 0.0012 sec -Total iteration time 1.1238 sec -Starting optimization iteration 32 -End of training - Time 0.03 sec -Model fitting time 0.0324 sec -0.005602809604168479 < 0.1 random sampling a configuration to run -Local search time 0.0001 sec -x1,x2,f1,f2,Timestamp -0.4889167093057132,0.4879459529920409,41.18046079390311,42.18046079390311,28234 - -Black box function time 0.0002 sec -Total iteration time 0.0330 sec -Starting optimization iteration 33 -End of training - Time 0.03 sec -Model fitting time 0.0286 sec -Total RS time 0.0218 sec -Optimization function time 0.5182 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3920 sec -MSLS time 0.4430 sec -Local search time 0.9879 sec -x1,x2,f1,f2,Timestamp -0.9752609317883033,0.9729316024042066,28.330231973704294,29.330231973704294,29251 - -Black box function time 0.0004 sec -Total iteration time 1.0171 sec -Starting optimization iteration 34 -End of training - Time 0.03 sec -Model fitting time 0.0331 sec -Total RS time 0.0225 sec -Optimization function time 0.6937 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3972 sec -MSLS time 0.4923 sec -Local search time 1.2139 sec -x1,x2,f1,f2,Timestamp -0.7679731571487691,0.989919924153524,31.83751718370337,32.83751718370337,30499 - -Black box function time 0.0004 sec -Total iteration time 1.2477 sec -Starting optimization iteration 35 -End of training - Time 0.03 sec -Model fitting time 0.0318 sec -Total RS time 0.0217 sec -Optimization function time 0.5081 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3828 sec -MSLS time 0.4530 sec -Local search time 0.9896 sec -x1,x2,f1,f2,Timestamp -0.7667069384654858,0.9850498692734387,31.897271492573335,32.89727149257334,31521 - -Black box function time 0.0005 sec -Total iteration time 1.0222 sec -Starting optimization iteration 36 -End of training - Time 0.04 sec -Model fitting time 0.0416 sec -Total RS time 0.0251 sec -Optimization function time 0.6026 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4042 sec -MSLS time 0.4638 sec -Local search time 1.0984 sec -x1,x2,f1,f2,Timestamp -0.7094265102421193,0.9716652428782392,33.00085467108023,34.00085467108023,32662 - -Black box function time 0.0007 sec -Total iteration time 1.1410 sec -Starting optimization iteration 37 -End of training - Time 0.05 sec -Model fitting time 0.0502 sec -Total RS time 0.0231 sec -Optimization function time 0.5642 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3884 sec -MSLS time 0.4400 sec -Local search time 1.0318 sec -x1,x2,f1,f2,Timestamp -1,0.9850760665714325,27.808719133105406,28.808719133105406,33745 - -Black box function time 0.0005 sec -Total iteration time 1.0828 sec -Starting optimization iteration 38 -End of training - Time 0.03 sec -Model fitting time 0.0329 sec -Total RS time 0.0211 sec -Optimization function time 0.5173 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4260 sec -MSLS time 0.5223 sec -Local search time 1.0705 sec -x1,x2,f1,f2,Timestamp -0.6861645985706023,0.9752349417289569,33.37720547696193,34.37720547696193,34849 - -Black box function time 0.0021 sec -Total iteration time 1.1059 sec -Starting optimization iteration 39 -End of training - Time 0.04 sec -Model fitting time 0.0404 sec -Total RS time 0.0209 sec -Optimization function time 0.5397 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3930 sec -MSLS time 0.4928 sec -Local search time 1.0606 sec -x1,x2,f1,f2,Timestamp -1,0.9813443411191884,27.83524740864903,28.83524740864903,35953 - -Black box function time 0.0025 sec -Total iteration time 1.1038 sec -Starting optimization iteration 40 -End of training - Time 0.03 sec -Model fitting time 0.0334 sec -Total RS time 0.0224 sec -Optimization function time 0.4804 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4149 sec -MSLS time 0.4707 sec -Local search time 0.9809 sec -x1,x2,f1,f2,Timestamp -1,0.9786459312304974,27.85444735024909,28.85444735024909,36971 - -Black box function time 0.0040 sec -Total iteration time 1.0186 sec -Starting optimization iteration 41 -End of training - Time 0.05 sec -Model fitting time 0.0519 sec -Total RS time 0.0211 sec -Optimization function time 0.5172 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3837 sec -MSLS time 0.4344 sec -Local search time 0.9773 sec -x1,x2,f1,f2,Timestamp -0.9754661051200775,0.9717891057538699,28.334844926197455,29.334844926197455,38008 - -Black box function time 0.0004 sec -Total iteration time 1.0298 sec -Starting optimization iteration 42 -End of training - Time 0.04 sec -Model fitting time 0.0409 sec -Total RS time 0.0262 sec -Optimization function time 0.5346 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3776 sec -MSLS time 0.4694 sec -Local search time 1.0377 sec -x1,x2,f1,f2,Timestamp -1,0.9988615429182572,27.710961736011814,28.710961736011814,39087 - -Black box function time 0.0015 sec -Total iteration time 1.0804 sec -Starting optimization iteration 43 -End of training - Time 0.04 sec -Model fitting time 0.0389 sec -Total RS time 0.0212 sec -Optimization function time 0.5058 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4279 sec -MSLS time 0.4850 sec -Local search time 1.0169 sec -x1,x2,f1,f2,Timestamp -1,1,27.702905548512433,28.702905548512433,40145 - -Black box function time 0.0004 sec -Total iteration time 1.0565 sec -Starting optimization iteration 44 -End of training - Time 0.05 sec -Model fitting time 0.0517 sec -Total RS time 0.0226 sec -Optimization function time 0.5323 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3852 sec -MSLS time 0.4815 sec -Local search time 1.0426 sec -x1,x2,f1,f2,Timestamp -0.9981811066256727,0.8713886688461273,28.661883894515437,29.661883894515437,41240 - -Black box function time 0.0005 sec -Total iteration time 1.0951 sec -Starting optimization iteration 45 -End of training - Time 0.04 sec -Model fitting time 0.0371 sec -Total RS time 0.0211 sec -Optimization function time 0.5152 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3907 sec -MSLS time 0.4433 sec -Local search time 0.9845 sec -x1,x2,f1,f2,Timestamp -1,0.7514636256118309,29.52313787040736,30.52313787040736,42263 - -Black box function time 0.0004 sec -Total iteration time 1.0223 sec -Starting optimization iteration 46 -End of training - Time 0.06 sec -Model fitting time 0.0618 sec -Total RS time 0.0233 sec -Optimization function time 0.5145 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3942 sec -MSLS time 0.4464 sec -Local search time 0.9924 sec -x1,x2,f1,f2,Timestamp -1,0.971737191625679,27.90367133947794,28.90367133947794,43318 - -Black box function time 0.0018 sec -Total iteration time 1.0563 sec -Starting optimization iteration 47 -End of training - Time 0.06 sec -Model fitting time 0.0601 sec -Total RS time 0.0207 sec -Optimization function time 0.4981 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4277 sec -MSLS time 0.4812 sec -Local search time 1.0044 sec -x1,x2,f1,f2,Timestamp -0.9853902516948563,0.8493326197137044,29.053367204672817,30.053367204672817,44385 - -Black box function time 0.0006 sec -Total iteration time 1.0655 sec -Starting optimization iteration 48 -End of training - Time 0.05 sec -Model fitting time 0.0548 sec -Total RS time 0.0217 sec -Optimization function time 0.5393 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4207 sec -MSLS time 0.5233 sec -Local search time 1.0902 sec -x1,x2,f1,f2,Timestamp -0.8819068892432717,0.8444586416008615,30.94499390039128,31.94499390039128,45531 - -Black box function time 0.0005 sec -Total iteration time 1.1457 sec -Starting optimization iteration 49 -End of training - Time 0.04 sec -Model fitting time 0.0403 sec -Total RS time 0.0212 sec -Optimization function time 0.5230 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3770 sec -MSLS time 0.4739 sec -Local search time 1.0301 sec -x1,x2,f1,f2,Timestamp -1,0.9769535303392068,27.866496687278797,28.866496687278797,46603 - -Black box function time 0.0006 sec -Total iteration time 1.0714 sec -Starting optimization iteration 50 -End of training - Time 0.04 sec -Model fitting time 0.0409 sec -Total RS time 0.0215 sec -Optimization function time 0.4862 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4224 sec -MSLS time 0.4751 sec -Local search time 0.9874 sec -x1,x2,f1,f2,Timestamp -0.6705961490372421,1,33.44933039592552,34.44933039592552,47632 - -Black box function time 0.0004 sec -Total iteration time 1.0289 sec -End of BO phase - Time 47.6266 sec -End of Bayesian Optimization -Total script time 47.63 sec -End of HyperMapper -{'application_name': 'test', 'optimization_objectives': ['f1', 'f2'], 'evaluations_per_optimization_iteration': 10, 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'optimization_iterations': 50, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} -Design of experiment phase, number of new doe samples = 10 ....... -{'application_name': 'test', 'optimization_objectives': ['f1', 'f2'], 'evaluations_per_optimization_iteration': 10, 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'optimization_iterations': 50, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} -Design of experiment phase, number of new doe samples = 10 ....... -{'application_name': 'test', 'optimization_objectives': ['f1', 'f2'], 'evaluations_per_optimization_iteration': 10, 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'optimization_iterations': 50, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} -Design of experiment phase, number of new doe samples = 10 ....... -x1,x2,f1,f2,Timestamp -0.44268004092898633,0.23065484240540202,44.585792654965815,45.585792654965815,0 -0.7673647627791609,0.11430777724149577,39.38304329412894,40.38304329412894,0 -0.02225073707085616,0.01358430423963331,55.01492832447801,56.01492832447801,0 -0.48418104165455605,0.11015053348424467,45.016135856266736,46.016135856266736,0 -0.2968739298776369,0.428825377546591,45.29489641247047,46.29489641247047,0 -0.5894397598920752,0.25083226583419366,41.56182400430501,42.56182400430501,0 -0.8384004676764129,0.43861312145082737,35.064197119176484,36.064197119176484,0 -0.9807550227495021,0.44379940933890727,32.31318503687272,33.31318503687272,0 -0.32633845245996573,0.8931969289920478,40.266167163825585,41.266167163825585,0 -0.2243574750394148,0.09460218484625506,50.2175322626299,51.2175322626299,0 - - -End of doe/resume phase, the number of evaluated configurations is: 10 - -End of DoE - Time 0.0009 sec -Starting optimization iteration 1 -End of training - Time 0.02 sec -Model fitting time 0.0157 sec -Total RS time 0.0247 sec -Optimization function time 0.5044 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3785 sec -MSLS time 0.4760 sec -Local search time 1.0099 sec -Total iteration time 1.0280 sec -End of training - Time 0.02 sec -Model fitting time 0.0174 sec -Total RS time 0.0221 sec -Optimization function time 0.4637 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3590 sec -MSLS time 0.4892 sec -Local search time 0.9809 sec -Total iteration time 2.0288 sec -End of training - Time 0.02 sec -Model fitting time 0.0173 sec -Total RS time 0.0220 sec -Optimization function time 0.5835 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3900 sec -MSLS time 0.4880 sec -Local search time 1.0996 sec -Total iteration time 3.1486 sec -End of training - Time 0.03 sec -Model fitting time 0.0267 sec -Total RS time 0.0402 sec -Optimization function time 0.4888 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3786 sec -MSLS time 0.4719 sec -Local search time 1.0050 sec -Total iteration time 4.1825 sec -End of training - Time 0.02 sec -Model fitting time 0.0177 sec -Total RS time 0.0215 sec -Optimization function time 0.4870 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3880 sec -MSLS time 0.4826 sec -Local search time 0.9980 sec -Total iteration time 5.2009 sec -End of training - Time 0.02 sec -Model fitting time 0.0175 sec -Total RS time 0.0209 sec -Optimization function time 0.4949 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3699 sec -MSLS time 0.4653 sec -Local search time 0.9883 sec -Total iteration time 6.2104 sec -End of training - Time 0.03 sec -Model fitting time 0.0260 sec -Total RS time 0.0220 sec -Optimization function time 0.5152 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3850 sec -MSLS time 0.4778 sec -Local search time 1.0222 sec -Total iteration time 7.2622 sec -End of training - Time 0.02 sec -Model fitting time 0.0230 sec -Total RS time 0.0224 sec -Optimization function time 0.4928 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4206 sec -MSLS time 0.4699 sec -Local search time 0.9895 sec -Total iteration time 8.2786 sec -End of training - Time 0.06 sec -Model fitting time 0.0551 sec -Total RS time 0.0265 sec -Optimization function time 0.5058 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4039 sec -MSLS time 0.4809 sec -Local search time 1.0194 sec -Total iteration time 9.3562 sec -End of training - Time 0.03 sec -Model fitting time 0.0277 sec -Total RS time 0.0220 sec -Optimization function time 0.5198 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3669 sec -MSLS time 0.5078 sec -Local search time 1.0540 sec -x1,x2,f1,f2,Timestamp -0.9786535397511555,0.4366896962925308,32.41178986611257,33.41178986611257,10439 -1,0.6349060225382004,30.41933768392643,31.41933768392643,10439 -1,0.4366896962925308,32.00579662741771,33.00579662741771,10439 -1,0.43763144810198384,31.998073368846377,32.99807336884638,10439 -0.9731812951621586,0.4366887747871771,32.51590399364837,33.51590399364837,10439 -1,0.4346599507012302,32.02244849890315,33.02244849890315,10439 -1,0.43099505977680663,32.052535842944636,33.052535842944636,10439 -1,0.44379940933890727,31.947534085668508,32.94753408566851,10439 -0.9720801355511023,0.43822858989340324,32.52411220937784,33.52411220937784,10439 -1,0.47440871094637743,31.697852113572687,32.69785211357269,10439 - -Black box function time 0.0007 sec -Total iteration time 10.4389 sec -Starting optimization iteration 2 -End of training - Time 0.02 sec -Model fitting time 0.0212 sec -Total RS time 0.0213 sec -Optimization function time 0.4958 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3838 sec -MSLS time 0.4817 sec -Local search time 1.0052 sec -Total iteration time 1.0292 sec -End of training - Time 0.02 sec -Model fitting time 0.0239 sec -Total RS time 0.0223 sec -Optimization function time 0.5090 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3444 sec -MSLS time 0.4366 sec -Local search time 0.9747 sec -Total iteration time 2.0309 sec -End of training - Time 0.03 sec -Model fitting time 0.0261 sec -Total RS time 0.0239 sec -Optimization function time 0.5119 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3921 sec -MSLS time 0.4394 sec -Local search time 0.9798 sec -Total iteration time 3.0391 sec -End of training - Time 0.02 sec -Model fitting time 0.0208 sec -Total RS time 0.0209 sec -Optimization function time 0.5224 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3550 sec -MSLS time 0.4540 sec -Local search time 1.0052 sec -Total iteration time 4.0676 sec -End of training - Time 0.02 sec -Model fitting time 0.0220 sec -Total RS time 0.0213 sec -Optimization function time 0.4901 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4045 sec -MSLS time 0.5271 sec -Local search time 1.0432 sec -Total iteration time 5.1350 sec -End of training - Time 0.02 sec -Model fitting time 0.0215 sec -Total RS time 0.0208 sec -Optimization function time 0.4852 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4111 sec -MSLS time 0.4598 sec -Local search time 0.9705 sec -Total iteration time 6.1296 sec -End of training - Time 0.05 sec -Model fitting time 0.0480 sec -Total RS time 0.0265 sec -Optimization function time 0.5114 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3632 sec -MSLS time 0.4143 sec -Local search time 0.9567 sec -Total iteration time 7.1385 sec -End of training - Time 0.07 sec -Model fitting time 0.0660 sec -Total RS time 0.0222 sec -Optimization function time 0.4777 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3573 sec -MSLS time 0.4128 sec -Local search time 0.9177 sec -Total iteration time 8.1257 sec -End of training - Time 0.07 sec -Model fitting time 0.0678 sec -Total RS time 0.0406 sec -Optimization function time 0.4835 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3538 sec -MSLS time 0.4051 sec -Local search time 0.9338 sec -Total iteration time 9.1302 sec -End of training - Time 0.04 sec -Model fitting time 0.0392 sec -Total RS time 0.0321 sec -Optimization function time 0.4887 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3636 sec -MSLS time 0.4179 sec -Local search time 0.9431 sec -x1,x2,f1,f2,Timestamp -1,0.02235281099624037,35.57581755457497,36.57581755457497,20552 -1,0.01789613388617264,35.61608372679754,36.61608372679754,20552 -1,0.0063203613653389406,35.72085666505343,36.72085666505343,20552 -1,0.0016077785997945678,35.76358726476962,36.76358726476962,20552 -0.9923046646402655,0.015222413481687114,35.79525113147851,36.79525113147851,20552 -0.9865574402355649,0.00923418816557642,35.9654443557087,36.9654443557087,20552 -1,0.016312821671512195,35.63039854943041,36.63039854943041,20552 -1,0.0009745001096123745,35.76933280285073,36.76933280285073,20552 -0.986154504326219,0.002111842615280233,36.03838857598604,37.03838857598604,20552 -0.9821912501773277,0.016153854566173843,35.990535335578755,36.990535335578755,20552 - -Black box function time 0.0010 sec -Total iteration time 10.1138 sec -Starting optimization iteration 3 -End of training - Time 0.03 sec -Model fitting time 0.0298 sec -0.0316518690798715 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 0.0329 sec -End of training - Time 0.03 sec -Model fitting time 0.0313 sec -Total RS time 0.0220 sec -Optimization function time 0.5083 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3909 sec -MSLS time 0.4383 sec -Local search time 0.9728 sec -Total iteration time 1.0392 sec -End of training - Time 0.05 sec -Model fitting time 0.0542 sec -Total RS time 0.0224 sec -Optimization function time 0.5950 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3574 sec -MSLS time 0.4530 sec -Local search time 1.0768 sec -Total iteration time 2.1740 sec -End of training - Time 0.03 sec -Model fitting time 0.0307 sec -Total RS time 0.0223 sec -Optimization function time 0.4965 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4000 sec -MSLS time 0.5005 sec -Local search time 1.0264 sec -Total iteration time 3.2350 sec -End of training - Time 0.03 sec -Model fitting time 0.0267 sec -Total RS time 0.0222 sec -Optimization function time 0.5029 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4045 sec -MSLS time 0.5003 sec -Local search time 1.0324 sec -Total iteration time 4.2977 sec -End of training - Time 0.03 sec -Model fitting time 0.0326 sec -Total RS time 0.0213 sec -Optimization function time 0.4863 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3707 sec -MSLS time 0.4488 sec -Local search time 0.9628 sec -Total iteration time 5.2966 sec -End of training - Time 0.03 sec -Model fitting time 0.0320 sec -Total RS time 0.0215 sec -Optimization function time 0.4972 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4021 sec -MSLS time 0.4516 sec -Local search time 0.9749 sec -Total iteration time 6.3058 sec -End of training - Time 0.03 sec -Model fitting time 0.0296 sec -0.05081725580048779 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 6.3375 sec -End of training - Time 0.03 sec -Model fitting time 0.0294 sec -Total RS time 0.0212 sec -Optimization function time 0.5042 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4145 sec -MSLS time 0.5098 sec -Local search time 1.0415 sec -Total iteration time 7.4121 sec -End of training - Time 0.03 sec -Model fitting time 0.0346 sec -Total RS time 0.0210 sec -Optimization function time 0.5097 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3814 sec -MSLS time 0.4868 sec -Local search time 1.0221 sec -x1,x2,f1,f2,Timestamp -0.9016256477429662,0.94404945919703,29.839509462594712,30.839509462594712,29023 -0.871191212936516,0.9953347196001712,29.9928630248323,30.9928630248323,29023 -0.8571516398256523,0.9427079763463674,30.634468006000574,31.634468006000574,29023 -0.8171904348910396,0.9765226918488833,31.08007047413774,32.08007047413774,29023 -0.7912079939826848,1,31.354968802125953,32.35496880212595,29023 -0.7754574969269088,1,31.62940080810729,32.62940080810729,29023 -0.7687198662535161,0.9753378924056204,31.93736925514846,32.93736925514846,29023 -0.7094029513116495,0.05595288502820207,41.10010629929329,42.10010629929329,29023 -0.6967552230702306,0.05275393446585464,41.38433292070506,42.38433292070506,29023 -0.6901559358428805,0.05221822164471218,41.52154765051175,42.52154765051175,29023 - -Black box function time 0.0007 sec -Total iteration time 8.4697 sec -Starting optimization iteration 4 -End of training - Time 0.03 sec -Model fitting time 0.0282 sec -Total RS time 0.0213 sec -Optimization function time 0.5113 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3690 sec -MSLS time 0.4727 sec -Local search time 1.0127 sec -Total iteration time 1.0447 sec -End of training - Time 0.05 sec -Model fitting time 0.0478 sec -Total RS time 0.0477 sec -Optimization function time 0.5137 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3946 sec -MSLS time 0.4486 sec -Local search time 1.0145 sec -Total iteration time 2.1094 sec -End of training - Time 0.04 sec -Model fitting time 0.0357 sec -Total RS time 0.0216 sec -Optimization function time 0.4977 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3936 sec -MSLS time 0.4612 sec -Local search time 0.9869 sec -Total iteration time 3.1360 sec -End of training - Time 0.04 sec -Model fitting time 0.0365 sec -0.004423972938741749 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 3.1744 sec -End of training - Time 0.03 sec -Model fitting time 0.0276 sec -0.009428043598614155 < 0.1 random sampling a configuration to run -Local search time 0.0001 sec -Total iteration time 3.2037 sec -End of training - Time 0.03 sec -Model fitting time 0.0280 sec -Total RS time 0.0224 sec -Optimization function time 0.5107 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3666 sec -MSLS time 0.4146 sec -Local search time 0.9523 sec -Total iteration time 4.1865 sec -End of training - Time 0.03 sec -Model fitting time 0.0339 sec -Total RS time 0.0240 sec -Optimization function time 0.4963 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3657 sec -MSLS time 0.4314 sec -Local search time 0.9582 sec -Total iteration time 5.1827 sec -End of training - Time 0.05 sec -Model fitting time 0.0519 sec -Total RS time 0.0230 sec -Optimization function time 0.4840 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3735 sec -MSLS time 0.4762 sec -Local search time 0.9905 sec -Total iteration time 6.2290 sec -End of training - Time 0.05 sec -Model fitting time 0.0517 sec -0.0328665087766804 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 6.2834 sec -End of training - Time 0.05 sec -Model fitting time 0.0530 sec -Total RS time 0.0213 sec -Optimization function time 0.4828 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3862 sec -MSLS time 0.4771 sec -Local search time 0.9863 sec -x1,x2,f1,f2,Timestamp -0.08228152152965684,0.941464210277625,43.859298198387464,44.859298198387464,36346 -0.04924285132047137,0.9408016851053608,44.40222021536238,45.40222021536238,36346 -0.04418698029171897,0.9349014334486844,44.54301525269095,45.54301525269095,36346 -0.5058053267244729,0.4140860323401178,41.57389674468942,42.57389674468942,36346 -0.10746769691081577,0.33103483848331705,49.79030447168678,50.79030447168678,36346 -0.014978252602976987,0.9758433952590342,44.604505251432265,45.604505251432265,36346 -0.00010455944608432426,0.9165594902236656,45.441788162073884,46.441788162073884,36346 -0.000894147807606719,0.3696736608379133,51.28666186268088,52.28666186268088,36346 -0.6815030236670483,0.13423698838626233,40.89366687512912,41.89366687512912,36346 -0.006685569283547998,0.2875581274930474,52.112503769422034,53.112503769422034,36346 - -Black box function time 0.0006 sec -Total iteration time 7.3236 sec -Starting optimization iteration 5 -End of training - Time 0.03 sec -Model fitting time 0.0328 sec -Total RS time 0.1258 sec -Optimization function time 0.4845 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3623 sec -MSLS time 0.4167 sec -Local search time 1.0321 sec -Total iteration time 1.0676 sec -End of training - Time 0.04 sec -Model fitting time 0.0406 sec -Total RS time 0.0222 sec -Optimization function time 0.4997 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3803 sec -MSLS time 0.4519 sec -Local search time 0.9785 sec -Total iteration time 2.0890 sec -End of training - Time 0.04 sec -Model fitting time 0.0353 sec -Total RS time 0.0242 sec -Optimization function time 0.4934 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3768 sec -MSLS time 0.4945 sec -Local search time 1.0184 sec -Total iteration time 3.1460 sec -End of training - Time 0.06 sec -Model fitting time 0.0618 sec -Total RS time 0.0326 sec -Optimization function time 0.5078 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4082 sec -MSLS time 0.5017 sec -Local search time 1.0486 sec -Total iteration time 4.2611 sec -End of training - Time 0.03 sec -Model fitting time 0.0348 sec -Total RS time 0.0222 sec -Optimization function time 0.5238 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3638 sec -MSLS time 0.4600 sec -Local search time 1.0125 sec -Total iteration time 5.3119 sec -End of training - Time 0.04 sec -Model fitting time 0.0366 sec -Total RS time 0.0217 sec -Optimization function time 0.4830 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4112 sec -MSLS time 0.5124 sec -Local search time 1.0240 sec -Total iteration time 6.3765 sec -End of training - Time 0.06 sec -Model fitting time 0.0590 sec -0.013374888594935186 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 6.4383 sec -End of training - Time 0.05 sec -Model fitting time 0.0547 sec -Total RS time 0.0218 sec -Optimization function time 0.5081 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3937 sec -MSLS time 0.5169 sec -Local search time 1.0510 sec -Total iteration time 7.5463 sec -End of training - Time 0.04 sec -Model fitting time 0.0355 sec -Total RS time 0.0211 sec -Optimization function time 0.5166 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3720 sec -MSLS time 0.4716 sec -Local search time 1.0169 sec -Total iteration time 8.6024 sec -End of training - Time 0.04 sec -Model fitting time 0.0380 sec -Total RS time 0.0218 sec -Optimization function time 0.4978 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4025 sec -MSLS time 0.4603 sec -Local search time 0.9877 sec -x1,x2,f1,f2,Timestamp -0.8852907672306723,0.9418842556231676,30.14409697143411,31.14409697143411,45975 -0.8878646320019085,0.9417181612394796,30.099898297252402,31.099898297252402,45975 -0.8874543243211606,0.9418349676501674,30.106267462468345,31.106267462468345,45975 -0.8836681964099232,0.9197339332425194,30.33947595071106,31.33947595071106,45975 -0.8844652767563254,0.9409957453563679,30.165338274314053,31.165338274314053,45975 -0.8893351887559812,0.9350373148611433,30.124017341146335,31.124017341146335,45975 -0.00964471912769813,0.7081498851151241,47.443245826087875,48.443245826087875,45975 -0.009460824373008271,0.7105768345961299,47.42073943834911,48.42073943834911,45975 -0.009433456266830877,0.7026549461695247,47.50483020087676,48.50483020087676,45975 -0.009375545589478595,0.7113476388949812,47.414046073408656,48.414046073408656,45975 - -Black box function time 0.0102 sec -Total iteration time 9.6387 sec -Starting optimization iteration 6 -End of training - Time 0.06 sec -Model fitting time 0.0566 sec -Total RS time 0.0214 sec -Optimization function time 0.4844 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3801 sec -MSLS time 0.4269 sec -Local search time 0.9376 sec -Total iteration time 0.9967 sec -End of training - Time 0.04 sec -Model fitting time 0.0437 sec -Total RS time 0.0231 sec -Optimization function time 0.5284 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3681 sec -MSLS time 0.4667 sec -Local search time 1.0273 sec -Total iteration time 2.0713 sec -End of training - Time 0.04 sec -Model fitting time 0.0380 sec -Total RS time 0.0210 sec -Optimization function time 0.5063 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3793 sec -MSLS time 0.5091 sec -Local search time 1.0403 sec -Total iteration time 3.1518 sec -End of training - Time 0.04 sec -Model fitting time 0.0374 sec -Total RS time 0.0215 sec -Optimization function time 0.4731 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3684 sec -MSLS time 0.4697 sec -Local search time 0.9710 sec -Total iteration time 4.1635 sec -End of training - Time 0.06 sec -Model fitting time 0.0610 sec -Total RS time 0.0411 sec -Optimization function time 0.5137 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3773 sec -MSLS time 0.4705 sec -Local search time 1.0315 sec -Total iteration time 5.2588 sec -End of training - Time 0.04 sec -Model fitting time 0.0400 sec -Total RS time 0.0210 sec -Optimization function time 0.5024 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3841 sec -MSLS time 0.4345 sec -Local search time 0.9631 sec -Total iteration time 6.2647 sec -End of training - Time 0.05 sec -Model fitting time 0.0511 sec -Total RS time 0.0217 sec -Optimization function time 0.5023 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4067 sec -MSLS time 0.5465 sec -Local search time 1.0752 sec -Total iteration time 7.3931 sec -End of training - Time 0.04 sec -Model fitting time 0.0392 sec -Total RS time 0.0210 sec -Optimization function time 0.5102 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3567 sec -MSLS time 0.4529 sec -Local search time 1.0014 sec -Total iteration time 8.4362 sec -End of training - Time 0.04 sec -Model fitting time 0.0405 sec -Total RS time 0.0229 sec -Optimization function time 0.4859 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3802 sec -MSLS time 0.4762 sec -Local search time 0.9921 sec -Total iteration time 9.4727 sec -End of training - Time 0.05 sec -Model fitting time 0.0477 sec -Total RS time 0.0211 sec -Optimization function time 0.5038 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3616 sec -MSLS time 0.4941 sec -Local search time 1.0236 sec -x1,x2,f1,f2,Timestamp -0.9031006368274972,0.9760571581249052,29.57609964533687,30.57609964533687,56531 -1,0.9760571581249052,27.87288088276895,28.87288088276895,56531 -0.9728037473494475,0.9760571581249052,28.350948323765557,29.350948323765557,56531 -0.920711756936505,0.9760571581249052,29.266639713768853,30.266639713768853,56531 -0.9870098231600425,0.9760571581249052,28.101216074028073,29.101216074028073,56531 -0.9697803011008583,0.9760571581249052,28.404100020780795,29.404100020780795,56531 -0.9888732493803584,0.9762715792710058,28.06692632278439,29.06692632278439,56531 -0.9923764777590426,0.9760571581249052,28.006880160567626,29.006880160567626,56531 -1,0.9763516699335149,27.870783115996648,28.870783115996648,56531 -0.9728135746042417,0.9881565425388733,28.26385677655231,29.26385677655231,56531 - -Black box function time 0.0006 sec -Total iteration time 10.5450 sec -Starting optimization iteration 7 -End of training - Time 0.04 sec -Model fitting time 0.0407 sec -Total RS time 0.0212 sec -Optimization function time 0.5043 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3874 sec -MSLS time 0.4830 sec -Local search time 1.0157 sec -Total iteration time 1.0603 sec -End of training - Time 0.05 sec -Model fitting time 0.0468 sec -Total RS time 0.0213 sec -Optimization function time 0.5194 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3754 sec -MSLS time 0.4257 sec -Local search time 0.9710 sec -Total iteration time 2.0808 sec -End of training - Time 0.06 sec -Model fitting time 0.0632 sec -Total RS time 0.0230 sec -Optimization function time 0.5212 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3870 sec -MSLS time 0.4836 sec -Local search time 1.0347 sec -Total iteration time 3.1815 sec -End of training - Time 0.04 sec -Model fitting time 0.0425 sec -0.09992264259348349 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 3.2259 sec -End of training - Time 0.04 sec -Model fitting time 0.0398 sec -Total RS time 0.0209 sec -Optimization function time 0.4943 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3676 sec -MSLS time 0.5015 sec -Local search time 1.0212 sec -Total iteration time 4.2891 sec -End of training - Time 0.04 sec -Model fitting time 0.0419 sec -Total RS time 0.0208 sec -Optimization function time 0.5134 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3945 sec -MSLS time 0.4450 sec -Local search time 0.9879 sec -Total iteration time 5.3229 sec -End of training - Time 0.07 sec -Model fitting time 0.0683 sec -Total RS time 0.0210 sec -Optimization function time 0.4989 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3776 sec -MSLS time 0.4724 sec -Local search time 0.9977 sec -Total iteration time 6.3915 sec -End of training - Time 0.04 sec -Model fitting time 0.0451 sec -0.04593310615564361 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 6.4386 sec -End of training - Time 0.04 sec -Model fitting time 0.0412 sec -Total RS time 0.0210 sec -Optimization function time 0.5016 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3801 sec -MSLS time 0.4954 sec -Local search time 1.0250 sec -Total iteration time 7.5079 sec -End of training - Time 0.08 sec -Model fitting time 0.0815 sec -Total RS time 0.0213 sec -Optimization function time 0.5303 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3979 sec -MSLS time 0.4873 sec -Local search time 1.0465 sec -x1,x2,f1,f2,Timestamp -1,0.9757519742530284,27.875054848185442,28.875054848185442,65168 -1,0.9756318372919388,27.875910690242065,28.875910690242065,65168 -1,0.9757085491000406,27.875364200708614,28.875364200708614,65168 -0.26735831962527257,0.5426739605887284,44.6731173111957,45.6731173111957,65168 -0.26689938811981384,0.5624054162973895,44.482753143016836,45.482753143016836,65168 -0.25170628303219045,0.564041374151787,44.73683752839298,45.73683752839298,65168 -0.24870312587443597,0.5485622347139414,44.946774110064645,45.946774110064645,65168 -0.9374490681254998,0.6265746247942352,31.642659271777312,32.64265927177731,65168 -0.2439234619145659,0.5290026526885713,45.230728189090236,46.230728189090236,65168 -0.2398774841139402,0.611820652217518,44.46572671745615,45.46572671745615,65168 - -Black box function time 0.0029 sec -Total iteration time 8.6393 sec -Starting optimization iteration 8 -End of training - Time 0.05 sec -Model fitting time 0.0520 sec -Total RS time 0.0219 sec -Optimization function time 0.4987 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4047 sec -MSLS time 0.5046 sec -Local search time 1.0325 sec -Total iteration time 1.0880 sec -End of training - Time 0.07 sec -Model fitting time 0.0729 sec -Total RS time 0.0292 sec -Optimization function time 0.5135 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4065 sec -MSLS time 0.5467 sec -Local search time 1.0932 sec -Total iteration time 2.2564 sec -End of training - Time 0.04 sec -Model fitting time 0.0445 sec -Total RS time 0.0215 sec -Optimization function time 0.5130 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3935 sec -MSLS time 0.4879 sec -Local search time 1.0288 sec -Total iteration time 3.3336 sec -End of training - Time 0.05 sec -Model fitting time 0.0532 sec -Total RS time 0.0217 sec -Optimization function time 0.4903 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3731 sec -MSLS time 0.4733 sec -Local search time 0.9922 sec -Total iteration time 4.3826 sec -End of training - Time 0.05 sec -Model fitting time 0.0500 sec -0.0784493157373205 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 4.4345 sec -End of training - Time 0.05 sec -Model fitting time 0.0453 sec -Total RS time 0.0212 sec -Optimization function time 0.4952 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4108 sec -MSLS time 0.5071 sec -Local search time 1.0303 sec -Total iteration time 5.5138 sec -End of training - Time 0.05 sec -Model fitting time 0.0523 sec -Total RS time 0.0214 sec -Optimization function time 0.5221 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3818 sec -MSLS time 0.4779 sec -Local search time 1.0283 sec -Total iteration time 6.5983 sec -End of training - Time 0.05 sec -Model fitting time 0.0528 sec -0.022354881499119905 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 6.6531 sec -End of training - Time 0.05 sec -Model fitting time 0.0473 sec -Total RS time 0.0212 sec -Optimization function time 0.5131 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3893 sec -MSLS time 0.4354 sec -Local search time 0.9744 sec -Total iteration time 7.6770 sec -End of training - Time 0.05 sec -Model fitting time 0.0502 sec -Total RS time 0.0223 sec -Optimization function time 0.5003 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3641 sec -MSLS time 0.4568 sec -Local search time 0.9852 sec -x1,x2,f1,f2,Timestamp -0.9827197408827764,0.9868721459176836,28.09921153414492,29.09921153414492,73884 -0.9845704209671337,0.9866686104491197,28.068185921789805,29.068185921789805,73884 -0.9827197408827764,1,28.005550195353422,29.005550195353422,73884 -0.9845704209671337,1,27.973135728824285,28.973135728824285,73884 -0.49645207745219583,0.6117723670678431,39.87926180263925,40.87926180263925,73884 -0.4933371764838276,0.6587957702100777,39.502057191558904,40.502057191558904,73884 -0.4942480761133419,0.5457285071713736,40.53554741665836,41.53554741665836,73884 -0.3619395557677928,0.1190242401973967,47.30214197417614,48.30214197417614,73884 -0.43465916420502176,0.5725744153150478,41.367329496500254,42.367329496500254,73884 -0.4865936679679522,0.5640173205901252,40.50370867644633,41.50370867644633,73884 - -Black box function time 0.0008 sec -Total iteration time 8.7136 sec -Starting optimization iteration 9 -End of training - Time 0.05 sec -Model fitting time 0.0507 sec -Total RS time 0.0217 sec -Optimization function time 0.4972 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3649 sec -MSLS time 0.4599 sec -Local search time 0.9861 sec -Total iteration time 1.0404 sec -End of training - Time 0.05 sec -Model fitting time 0.0508 sec -0.07441298018197473 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 1.0931 sec -End of training - Time 0.05 sec -Model fitting time 0.0467 sec -Total RS time 0.0209 sec -Optimization function time 0.4912 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3691 sec -MSLS time 0.4656 sec -Local search time 0.9853 sec -Total iteration time 2.1289 sec -End of training - Time 0.06 sec -Model fitting time 0.0563 sec -Total RS time 0.0210 sec -Optimization function time 0.5305 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3883 sec -MSLS time 0.4398 sec -Local search time 0.9962 sec -Total iteration time 3.1839 sec -End of training - Time 0.05 sec -Model fitting time 0.0547 sec -Total RS time 0.0225 sec -Optimization function time 0.5018 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3727 sec -MSLS time 0.4800 sec -Local search time 1.0091 sec -Total iteration time 4.2500 sec -End of training - Time 0.05 sec -Model fitting time 0.0505 sec -Total RS time 0.0212 sec -Optimization function time 0.5227 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3493 sec -MSLS time 0.4459 sec -Local search time 0.9970 sec -Total iteration time 5.3016 sec -End of training - Time 0.06 sec -Model fitting time 0.0584 sec -Total RS time 0.0229 sec -Optimization function time 0.4943 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4000 sec -MSLS time 0.4489 sec -Local search time 0.9747 sec -Total iteration time 6.3386 sec -End of training - Time 0.08 sec -Model fitting time 0.0773 sec -Total RS time 0.0210 sec -Optimization function time 0.5021 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3791 sec -MSLS time 0.4274 sec -Local search time 0.9552 sec -Total iteration time 7.3735 sec -End of training - Time 0.08 sec -Model fitting time 0.0786 sec -Total RS time 0.0228 sec -Optimization function time 0.5095 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4084 sec -MSLS time 0.5056 sec -Local search time 1.0440 sec -Total iteration time 8.4996 sec -End of training - Time 0.06 sec -Model fitting time 0.0585 sec -Total RS time 0.1032 sec -Optimization function time 0.5267 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3854 sec -MSLS time 0.4340 sec -Local search time 1.0685 sec -x1,x2,f1,f2,Timestamp -1,0.9758550005738631,27.87432092337697,28.87432092337697,83512 -0.6096116222921689,0.009304352746095009,43.56194727917535,44.56194727917535,83512 -0.6184012584134283,0.009598192702931552,43.38283625338821,44.38283625338821,83512 -0.6369171221937815,0.009598192702931552,43.011525090331666,44.011525090331666,83512 -0.5959404847626898,0.009509456461058075,43.83364694065612,44.83364694065612,83512 -0.6087416476961186,0.010849694172661616,43.56370985259139,44.56370985259139,83512 -0.6422138692634455,0.011727425073792586,42.88385913852419,43.88385913852419,83512 -0.6701142073791195,0.009598192702931552,42.34491696075693,43.34491696075693,83512 -0.6698929909245027,0.01220367984629365,42.32340723069445,43.32340723069445,83512 -0.0171896673661826,0.011317224218921826,55.13853978645043,56.13853978645043,83512 - -Black box function time 0.0008 sec -Total iteration time 9.6278 sec -Starting optimization iteration 10 -End of training - Time 0.06 sec -Model fitting time 0.0577 sec -Total RS time 0.0214 sec -Optimization function time 0.4993 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3797 sec -MSLS time 0.4732 sec -Local search time 0.9992 sec -Total iteration time 1.0598 sec -End of training - Time 0.05 sec -Model fitting time 0.0530 sec -Total RS time 0.0211 sec -Optimization function time 0.4822 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3771 sec -MSLS time 0.4731 sec -Local search time 0.9836 sec -Total iteration time 2.1002 sec -End of training - Time 0.06 sec -Model fitting time 0.0570 sec -Total RS time 0.0216 sec -Optimization function time 0.5143 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3873 sec -MSLS time 0.4361 sec -Local search time 0.9770 sec -Total iteration time 3.1368 sec -End of training - Time 0.06 sec -Model fitting time 0.0645 sec -Total RS time 0.0224 sec -Optimization function time 0.5341 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3714 sec -MSLS time 0.4233 sec -Local search time 0.9850 sec -Total iteration time 4.1892 sec -End of training - Time 0.06 sec -Model fitting time 0.0647 sec -Total RS time 0.0227 sec -Optimization function time 0.5052 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3841 sec -MSLS time 0.4340 sec -Local search time 0.9669 sec -Total iteration time 5.2235 sec -End of training - Time 0.06 sec -Model fitting time 0.0622 sec -Total RS time 0.0261 sec -Optimization function time 0.5012 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3958 sec -MSLS time 0.4434 sec -Local search time 0.9756 sec -Total iteration time 6.2639 sec -End of training - Time 0.07 sec -Model fitting time 0.0656 sec -0.029452408471918767 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 6.3316 sec -End of training - Time 0.05 sec -Model fitting time 0.0531 sec -Total RS time 0.0214 sec -Optimization function time 0.5005 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4175 sec -MSLS time 0.4657 sec -Local search time 0.9922 sec -Total iteration time 7.3792 sec -End of training - Time 0.09 sec -Model fitting time 0.0865 sec -Total RS time 0.0223 sec -Optimization function time 0.5211 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3743 sec -MSLS time 0.4203 sec -Local search time 0.9684 sec -Total iteration time 8.4366 sec -End of training - Time 0.06 sec -Model fitting time 0.0595 sec -Total RS time 0.0216 sec -Optimization function time 0.5078 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4122 sec -MSLS time 0.5017 sec -Local search time 1.0455 sec -x1,x2,f1,f2,Timestamp -1,0.9346164404114993,28.169786906255887,29.169786906255887,93055 -1,0.9240258737015032,28.246215084841374,29.246215084841374,93055 -1,0.9224624327956095,28.257516858085374,29.257516858085374,93055 -0.9977105535358641,0.9271762166632412,28.26399589773291,29.26399589773291,93055 -0.9964815892193494,0.9320622983838859,28.25045360573755,29.25045360573755,93055 -0.99603208745113,0.9277757754948059,28.289381786842902,29.289381786842902,93055 -0.9297501859151863,0.9092708012972558,29.6005706783994,30.6005706783994,93055 -0.9141018930660765,0.9078334311860512,29.889176420952204,30.889176420952204,93055 -0.9138221705743103,0.9094802656009626,29.88180935977998,30.88180935977998,93055 -0.9239521020054388,0.9309949031550862,29.54191220341123,30.54191220341123,93055 - -Black box function time 0.0013 sec -Total iteration time 9.5434 sec -Starting optimization iteration 11 -End of training - Time 0.06 sec -Model fitting time 0.0623 sec -Total RS time 0.0273 sec -Optimization function time 0.5250 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4087 sec -MSLS time 0.4642 sec -Local search time 1.0231 sec -Total iteration time 1.0894 sec -End of training - Time 0.09 sec -Model fitting time 0.0928 sec -Total RS time 0.0224 sec -Optimization function time 0.5350 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3763 sec -MSLS time 0.4336 sec -Local search time 0.9985 sec -Total iteration time 2.1846 sec -End of training - Time 0.08 sec -Model fitting time 0.0789 sec -Total RS time 0.0212 sec -Optimization function time 0.5130 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3638 sec -MSLS time 0.4665 sec -Local search time 1.0077 sec -Total iteration time 3.2753 sec -End of training - Time 0.09 sec -Model fitting time 0.0902 sec -Total RS time 0.0361 sec -Optimization function time 0.5278 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3817 sec -MSLS time 0.4264 sec -Local search time 0.9952 sec -Total iteration time 4.3632 sec -End of training - Time 0.06 sec -Model fitting time 0.0636 sec -Total RS time 0.0261 sec -Optimization function time 0.5329 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3735 sec -MSLS time 0.4183 sec -Local search time 0.9821 sec -Total iteration time 5.4113 sec -End of training - Time 0.06 sec -Model fitting time 0.0613 sec -Total RS time 0.0213 sec -Optimization function time 0.5038 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3794 sec -MSLS time 0.4289 sec -Local search time 0.9594 sec -Total iteration time 6.4348 sec -End of training - Time 0.07 sec -Model fitting time 0.0709 sec -Total RS time 0.0225 sec -Optimization function time 0.5034 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4044 sec -MSLS time 0.5304 sec -Local search time 1.0604 sec -Total iteration time 7.5687 sec -End of training - Time 0.06 sec -Model fitting time 0.0591 sec -Total RS time 0.0209 sec -Optimization function time 0.4932 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4143 sec -MSLS time 0.4696 sec -Local search time 0.9912 sec -Total iteration time 8.6231 sec -End of training - Time 0.09 sec -Model fitting time 0.0915 sec -Total RS time 0.0218 sec -Optimization function time 0.5085 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3956 sec -MSLS time 0.4485 sec -Local search time 0.9877 sec -Total iteration time 9.7061 sec -End of training - Time 0.08 sec -Model fitting time 0.0782 sec -Total RS time 0.0212 sec -Optimization function time 0.5071 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3651 sec -MSLS time 0.4165 sec -Local search time 0.9496 sec -x1,x2,f1,f2,Timestamp -0.8933397805261193,0.9757927253053248,29.749530988785878,30.749530988785878,103791 -0.8958891736370584,0.9757086456972438,29.70536927280342,30.70536927280342,103791 -0.8355982861303594,0.9756357789594752,30.764176070743197,31.764176070743197,103791 -0.8939718167724013,0.9758175296479068,29.73824469664445,30.73824469664445,103791 -0.8392818041328757,0.9756357789594752,30.6995820723687,31.6995820723687,103791 -0.8399843008428933,0.9758107563670382,30.68593974400295,31.68593974400295,103791 -0.8657842551171901,0.9757108173727328,30.234017365648544,31.234017365648544,103791 -0.7787611237249739,0.9757136597859689,31.75891530352061,32.75891530352061,103791 -0.8053938058726275,0.9757833104572702,31.2923308846181,32.292330884618096,103791 -0.7880323429948228,0.9757698414234119,31.596317256300573,32.59631725630057,103791 - -Black box function time 0.0012 sec -Total iteration time 10.7357 sec -Starting optimization iteration 12 -End of training - Time 0.09 sec -Model fitting time 0.0948 sec -Total RS time 0.0220 sec -Optimization function time 0.5125 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3904 sec -MSLS time 0.4881 sec -Local search time 1.0303 sec -Total iteration time 1.1290 sec -End of training - Time 0.06 sec -Model fitting time 0.0649 sec -Total RS time 0.0213 sec -Optimization function time 0.4906 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3760 sec -MSLS time 0.4340 sec -Local search time 0.9508 sec -Total iteration time 2.1472 sec -End of training - Time 0.08 sec -Model fitting time 0.0826 sec -Total RS time 0.0240 sec -Optimization function time 0.5135 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4136 sec -MSLS time 0.5061 sec -Local search time 1.0503 sec -Total iteration time 3.2827 sec -End of training - Time 0.06 sec -Model fitting time 0.0614 sec -Total RS time 0.0215 sec -Optimization function time 0.5114 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3783 sec -MSLS time 0.4601 sec -Local search time 1.0007 sec -Total iteration time 4.3488 sec -End of training - Time 0.08 sec -Model fitting time 0.0763 sec -Total RS time 0.0215 sec -Optimization function time 0.4995 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3808 sec -MSLS time 0.4777 sec -Local search time 1.0048 sec -Total iteration time 5.4329 sec -End of training - Time 0.06 sec -Model fitting time 0.0636 sec -0.06921253504395464 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 5.4984 sec -End of training - Time 0.06 sec -Model fitting time 0.0598 sec -Total RS time 0.0211 sec -Optimization function time 0.4971 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3685 sec -MSLS time 0.4648 sec -Local search time 0.9882 sec -Total iteration time 6.5498 sec -End of training - Time 0.07 sec -Model fitting time 0.0692 sec -0.08658943286197229 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 6.6211 sec -End of training - Time 0.08 sec -Model fitting time 0.0787 sec -Total RS time 0.0232 sec -Optimization function time 0.5177 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3747 sec -MSLS time 0.4675 sec -Local search time 1.0154 sec -Total iteration time 7.7184 sec -End of training - Time 0.07 sec -Model fitting time 0.0669 sec -Total RS time 0.0215 sec -Optimization function time 0.5357 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3530 sec -MSLS time 0.4493 sec -Local search time 1.0143 sec -x1,x2,f1,f2,Timestamp -0.9912769502207386,0.9028554266872123,28.554697340796157,29.554697340796157,112593 -0.9971272077115196,0.9028038040575546,28.451097299638406,29.451097299638406,112593 -0.991750029381453,0.9040864066035945,28.537314851437706,29.537314851437706,112593 -0.991376001298997,0.9038767743327099,28.545489653860475,29.545489653860475,112593 -0.9885124195358901,0.9036449457392498,28.59807023863291,29.59807023863291,112593 -0.3667226316265216,0.028414832349335388,48.18092738150022,49.18092738150022,112593 -0.365821990695072,0.033833483618753345,48.14003693636969,49.14003693636969,112593 -0.7028779900111785,0.008179810968026863,41.70001063246993,42.70001063246993,112593 -0.365386317870105,0.043360508844012706,48.04574179303012,49.04574179303012,112593 -0.3671740628666979,0.023122005152814873,48.22930773749921,49.22930773749921,112593 - -Black box function time 0.0010 sec -Total iteration time 8.8010 sec -Starting optimization iteration 13 -End of training - Time 0.07 sec -Model fitting time 0.0678 sec -Total RS time 0.0213 sec -Optimization function time 0.5189 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3676 sec -MSLS time 0.4614 sec -Local search time 1.0081 sec -Total iteration time 1.0787 sec -End of training - Time 0.06 sec -Model fitting time 0.0650 sec -Total RS time 0.0210 sec -Optimization function time 0.5243 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4030 sec -MSLS time 0.5353 sec -Local search time 1.0852 sec -Total iteration time 2.2312 sec -End of training - Time 0.06 sec -Model fitting time 0.0634 sec -Total RS time 0.0215 sec -Optimization function time 0.5244 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3518 sec -MSLS time 0.4118 sec -Local search time 0.9631 sec -Total iteration time 3.2619 sec -End of training - Time 0.09 sec -Model fitting time 0.0905 sec -Total RS time 0.0232 sec -Optimization function time 0.5039 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4005 sec -MSLS time 0.4552 sec -Local search time 0.9875 sec -Total iteration time 4.3428 sec -End of training - Time 0.08 sec -Model fitting time 0.0805 sec -Total RS time 0.0219 sec -Optimization function time 0.5103 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4029 sec -MSLS time 0.5100 sec -Local search time 1.0486 sec -Total iteration time 5.4743 sec -End of training - Time 0.06 sec -Model fitting time 0.0646 sec -Total RS time 0.0209 sec -Optimization function time 0.5234 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4049 sec -MSLS time 0.5329 sec -Local search time 1.0820 sec -Total iteration time 6.6232 sec -End of training - Time 0.06 sec -Model fitting time 0.0647 sec -Total RS time 0.0210 sec -Optimization function time 0.4999 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4139 sec -MSLS time 0.4671 sec -Local search time 0.9927 sec -Total iteration time 7.6848 sec -End of training - Time 0.09 sec -Model fitting time 0.0925 sec -Total RS time 0.0230 sec -Optimization function time 0.5363 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3869 sec -MSLS time 0.4841 sec -Local search time 1.0507 sec -Total iteration time 8.8319 sec -End of training - Time 0.07 sec -Model fitting time 0.0739 sec -Total RS time 0.0218 sec -Optimization function time 0.5228 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3980 sec -MSLS time 0.4948 sec -Local search time 1.0470 sec -Total iteration time 9.9567 sec -End of training - Time 0.07 sec -Model fitting time 0.0705 sec -Total RS time 0.0214 sec -Optimization function time 0.5238 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3967 sec -MSLS time 0.4485 sec -Local search time 0.9990 sec -x1,x2,f1,f2,Timestamp -1,0.9411467073813734,28.122772203437876,29.122772203437876,123621 -0.6521256154389006,0.9411427325330044,34.244719577053786,35.244719577053786,123621 -0.6562370128342769,0.9411427325330044,34.173054450078574,35.173054450078574,123621 -0.6728865053392104,0.9411427325330044,33.882590010701215,34.882590010701215,123621 -0.6518124086089875,0.9413310445858117,34.248642800848344,35.248642800848344,123621 -0.7306560092726123,0.9413310445858117,32.87036828269044,33.87036828269044,123621 -0.6637366385126319,0.8943926444904821,34.424006366797315,35.424006366797315,123621 -0.6770694872955484,0.8941265491365513,34.191700005225336,35.191700005225336,123621 -0.6725304685949621,0.9392903878075599,33.903801508791055,34.903801508791055,123621 -0.6971495665929636,0.8941265491365513,33.838088604123286,34.838088604123286,123621 - -Black box function time 0.0104 sec -Total iteration time 11.0372 sec -Starting optimization iteration 14 -End of training - Time 0.10 sec -Model fitting time 0.1044 sec -Total RS time 0.0225 sec -Optimization function time 0.5257 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4652 sec -MSLS time 0.5602 sec -Local search time 1.1219 sec -Total iteration time 1.2308 sec -End of training - Time 0.10 sec -Model fitting time 0.1034 sec -Total RS time 0.0281 sec -Optimization function time 0.5212 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3863 sec -MSLS time 0.4400 sec -Local search time 0.9945 sec -Total iteration time 2.3316 sec -End of training - Time 0.08 sec -Model fitting time 0.0754 sec -Total RS time 0.0215 sec -Optimization function time 0.5320 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3688 sec -MSLS time 0.5031 sec -Local search time 1.0622 sec -Total iteration time 3.4735 sec -End of training - Time 0.07 sec -Model fitting time 0.0736 sec -Total RS time 0.0219 sec -Optimization function time 0.5201 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3760 sec -MSLS time 0.4202 sec -Local search time 0.9670 sec -Total iteration time 4.5168 sec -End of training - Time 0.08 sec -Model fitting time 0.0790 sec -Total RS time 0.0227 sec -Optimization function time 0.5389 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4581 sec -MSLS time 0.5075 sec -Local search time 1.0737 sec -Total iteration time 5.6738 sec -End of training - Time 0.09 sec -Model fitting time 0.0940 sec -Total RS time 0.0226 sec -Optimization function time 0.5145 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3891 sec -MSLS time 0.4848 sec -Local search time 1.0289 sec -Total iteration time 6.8001 sec -End of training - Time 0.07 sec -Model fitting time 0.0728 sec -Total RS time 0.0215 sec -Optimization function time 0.6009 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3915 sec -MSLS time 0.4887 sec -Local search time 1.1178 sec -Total iteration time 7.9940 sec -End of training - Time 0.07 sec -Model fitting time 0.0733 sec -Total RS time 0.0213 sec -Optimization function time 0.5034 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4326 sec -MSLS time 0.4861 sec -Local search time 1.0155 sec -Total iteration time 9.0853 sec -End of training - Time 0.07 sec -Model fitting time 0.0750 sec -Total RS time 0.0213 sec -Optimization function time 0.5139 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3974 sec -MSLS time 0.4946 sec -Local search time 1.0351 sec -Total iteration time 10.1983 sec -End of training - Time 0.07 sec -Model fitting time 0.0731 sec -Total RS time 0.0210 sec -Optimization function time 0.5169 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4417 sec -MSLS time 0.5396 sec -Local search time 1.0844 sec -x1,x2,f1,f2,Timestamp -0.9969198706099857,0.8794284741705825,28.625451371019683,29.625451371019683,134989 -0.9969198706099857,0.8815602927450622,28.609841136805112,29.609841136805112,134989 -0.6403498016160232,0.8599484088451457,35.12104049354884,36.12104049354884,134989 -0.6432083973833371,0.8676064281294951,35.006797590876886,36.006797590876886,134989 -0.644110919137377,0.869844067877182,34.97227482741198,35.97227482741198,134989 -0.6398249020625889,0.8580571706468844,35.14609444601098,36.14609444601098,134989 -0.6421093083706829,0.8058382813313872,35.544351600401754,36.544351600401754,134989 -0.6438927618136048,0.8700381324767346,34.97450436459667,35.97450436459667,134989 -0.6380277374744048,0.8710109039764197,35.069704009427895,36.069704009427895,134989 -0.645278673790733,0.8833471975191624,34.839617611858614,35.839617611858614,134989 - -Black box function time 0.0039 sec -Total iteration time 11.3606 sec -Starting optimization iteration 15 -End of training - Time 0.08 sec -Model fitting time 0.0832 sec -0.08731220445290211 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 0.0853 sec -End of training - Time 0.07 sec -Model fitting time 0.0713 sec -0.07390447099240682 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 0.1586 sec -End of training - Time 0.07 sec -Model fitting time 0.0710 sec -Total RS time 0.0225 sec -Optimization function time 0.5291 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3892 sec -MSLS time 0.4458 sec -Local search time 1.0026 sec -Total iteration time 1.2364 sec -End of training - Time 0.15 sec -Model fitting time 0.1464 sec -Total RS time 0.0230 sec -Optimization function time 0.5312 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3876 sec -MSLS time 0.4849 sec -Local search time 1.0460 sec -Total iteration time 2.4326 sec -End of training - Time 0.08 sec -Model fitting time 0.0795 sec -Total RS time 0.0223 sec -Optimization function time 0.5367 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3755 sec -MSLS time 0.4788 sec -Local search time 1.0464 sec -Total iteration time 3.5627 sec -End of training - Time 0.08 sec -Model fitting time 0.0810 sec -Total RS time 0.0210 sec -Optimization function time 0.5176 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3834 sec -MSLS time 0.4302 sec -Local search time 0.9737 sec -Total iteration time 4.6202 sec -End of training - Time 0.08 sec -Model fitting time 0.0823 sec -Total RS time 0.0229 sec -Optimization function time 0.6093 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4447 sec -MSLS time 0.5283 sec -Local search time 1.1670 sec -Total iteration time 5.8739 sec -End of training - Time 0.08 sec -Model fitting time 0.0844 sec -0.03698723306501439 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 5.9608 sec -End of training - Time 0.08 sec -Model fitting time 0.0795 sec -Total RS time 0.0251 sec -Optimization function time 0.5371 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3722 sec -MSLS time 0.4789 sec -Local search time 1.0503 sec -Total iteration time 7.0937 sec -End of training - Time 0.08 sec -Model fitting time 0.0778 sec -0.0870425908920276 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -x1,x2,f1,f2,Timestamp -0.12625725233844845,0.0425059861961142,52.68725496658545,53.68725496658545,142166 -0.6836589298825302,0.37695255065412847,38.56144044786669,39.56144044786669,142166 -0.1256185645409337,0.04035722864259465,52.72425080655081,53.72425080655081,142166 -0.14729223806546954,0.03779428621942843,52.33775199312543,53.33775199312543,142166 -0.1250010553837452,0.03591098802322025,52.78735228939206,53.78735228939206,142166 -0.183951789946716,0.03779428621942843,51.632216303636426,52.632216303636426,142166 -0.20856242459705085,0.03779428621942843,51.15725999116326,52.15725999116326,142166 -0.5711818085823056,0.7186966265857032,37.56469859075605,38.56469859075605,142166 -0.21746037476219351,0.03591098802322025,51.00645921924572,52.00645921924572,142166 -0.062168394592428594,0.5988269905424233,47.70248957588338,48.70248957588338,142166 - -Black box function time 0.0006 sec -Total iteration time 7.1729 sec -Starting optimization iteration 16 -End of training - Time 0.08 sec -Model fitting time 0.0769 sec -Total RS time 0.0220 sec -Optimization function time 0.5241 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3927 sec -MSLS time 0.4881 sec -Local search time 1.0408 sec -Total iteration time 1.1216 sec -End of training - Time 0.09 sec -Model fitting time 0.0852 sec -Total RS time 0.0224 sec -Optimization function time 0.5502 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3764 sec -MSLS time 0.4366 sec -Local search time 1.0169 sec -Total iteration time 2.2278 sec -End of training - Time 0.11 sec -Model fitting time 0.1055 sec -Total RS time 0.0226 sec -Optimization function time 0.4942 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3699 sec -MSLS time 0.4649 sec -Local search time 0.9893 sec -Total iteration time 3.3266 sec -End of training - Time 0.08 sec -Model fitting time 0.0848 sec -0.02857197536069289 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 3.4135 sec -End of training - Time 0.08 sec -Model fitting time 0.0754 sec -Total RS time 0.0211 sec -Optimization function time 0.5716 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3773 sec -MSLS time 0.4762 sec -Local search time 1.0758 sec -Total iteration time 4.5674 sec -End of training - Time 0.08 sec -Model fitting time 0.0782 sec -0.05870271102125302 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 4.6478 sec -End of training - Time 0.08 sec -Model fitting time 0.0781 sec -Total RS time 0.0211 sec -Optimization function time 0.5201 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4008 sec -MSLS time 0.4971 sec -Local search time 1.0462 sec -Total iteration time 5.7760 sec -End of training - Time 0.08 sec -Model fitting time 0.0825 sec -0.07501232386268053 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 5.8607 sec -End of training - Time 0.08 sec -Model fitting time 0.0790 sec -Total RS time 0.0217 sec -Optimization function time 0.5558 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4129 sec -MSLS time 0.5066 sec -Local search time 1.0890 sec -Total iteration time 7.0319 sec -End of training - Time 0.08 sec -Model fitting time 0.0811 sec -Total RS time 0.0229 sec -Optimization function time 0.5270 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3850 sec -MSLS time 0.4830 sec -Local search time 1.0403 sec -x1,x2,f1,f2,Timestamp -1,0.7990924314229778,29.164744723781908,30.164744723781908,150321 -1,0.7999139585854284,29.158602770024135,30.158602770024135,150321 -0.9971075047582015,0.7704321070947608,29.432288495870438,30.432288495870438,150321 -0.3621918448831458,0.017998991347437092,48.382674516756005,49.382674516756005,150321 -0.3819260690115399,0.017998991347437092,47.994613750391125,48.994613750391125,150321 -0.2695502002587836,0.8759971731916746,41.38664067934283,42.38664067934283,150321 -0.40362664022668354,0.017998991347437092,47.56713748606443,48.56713748606443,150321 -0.03861062347382699,0.38513303251792985,50.43752733008807,51.43752733008807,150321 -0.0379888652345362,0.38227147009756623,50.48047762028195,51.48047762028195,150321 -0.031166115087070765,0.3855723092887284,50.56612656822611,51.56612656822611,150321 - -Black box function time 0.0011 sec -Total iteration time 8.1551 sec -Starting optimization iteration 17 -End of training - Time 0.09 sec -Model fitting time 0.0910 sec -0.03782547433232819 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 0.0932 sec -End of training - Time 0.08 sec -Model fitting time 0.0803 sec -Total RS time 0.0214 sec -Optimization function time 0.5317 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3728 sec -MSLS time 0.4721 sec -Local search time 1.0324 sec -Total iteration time 1.2099 sec -End of training - Time 0.09 sec -Model fitting time 0.0863 sec -Total RS time 0.0232 sec -Optimization function time 0.5354 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3971 sec -MSLS time 0.4944 sec -Local search time 1.0593 sec -Total iteration time 2.3593 sec -End of training - Time 0.08 sec -Model fitting time 0.0829 sec -Total RS time 0.0212 sec -Optimization function time 0.5128 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4323 sec -MSLS time 0.4913 sec -Local search time 1.0305 sec -Total iteration time 3.4770 sec -End of training - Time 0.10 sec -Model fitting time 0.0957 sec -Total RS time 0.0227 sec -Optimization function time 0.5357 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3508 sec -MSLS time 0.4888 sec -Local search time 1.0515 sec -Total iteration time 4.6266 sec -End of training - Time 0.08 sec -Model fitting time 0.0812 sec -Total RS time 0.0211 sec -Optimization function time 0.5670 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4515 sec -MSLS time 0.5544 sec -Local search time 1.1498 sec -Total iteration time 5.8613 sec -End of training - Time 0.12 sec -Model fitting time 0.1215 sec -Total RS time 0.0216 sec -Optimization function time 0.5090 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3881 sec -MSLS time 0.4899 sec -Local search time 1.0281 sec -Total iteration time 7.0150 sec -End of training - Time 0.14 sec -Model fitting time 0.1361 sec -Total RS time 0.0213 sec -Optimization function time 0.5193 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4204 sec -MSLS time 0.4981 sec -Local search time 1.0456 sec -Total iteration time 8.2005 sec -End of training - Time 0.09 sec -Model fitting time 0.0913 sec -Total RS time 0.0230 sec -Optimization function time 0.5163 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3846 sec -MSLS time 0.4811 sec -Local search time 1.0248 sec -Total iteration time 9.3192 sec -End of training - Time 0.09 sec -Model fitting time 0.0853 sec -Total RS time 0.0213 sec -Optimization function time 0.5108 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3866 sec -MSLS time 0.4890 sec -Local search time 1.0285 sec -x1,x2,f1,f2,Timestamp -0.4449513583225474,0.29483117842495576,43.89350941614405,44.89350941614405,160755 -0.44541475178638207,0.30710050024540364,43.761640719389604,44.761640719389604,160755 -0.45351319893815156,0.2887754748215762,43.791781925996695,44.791781925996695,160755 -0.46353363166366857,0.3297461331909582,43.193200217807714,44.193200217807714,160755 -0.44404743798604185,0.32305010599448625,43.62790914173121,44.62790914173121,160755 -0.457522505952915,0.2880335679436628,43.72303456810215,44.72303456810215,160755 -0.4581212055692124,0.3303809096450403,43.28913149345628,44.28913149345628,160755 -0.4658635169637283,0.322009730316013,43.22593059334001,44.22593059334001,160755 -0.46562114000545257,0.35412068644918787,42.91268977135345,43.91268977135345,160755 -0.47041947872857787,0.32066589843442495,43.15303739391564,44.15303739391564,160755 - -Black box function time 0.0010 sec -Total iteration time 10.4346 sec -Starting optimization iteration 18 -End of training - Time 0.13 sec -Model fitting time 0.1351 sec -0.030740636688680212 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 0.1373 sec -End of training - Time 0.08 sec -Model fitting time 0.0839 sec -Total RS time 0.0217 sec -Optimization function time 0.5198 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3702 sec -MSLS time 0.4532 sec -Local search time 1.0025 sec -Total iteration time 1.2327 sec -End of training - Time 0.10 sec -Model fitting time 0.0968 sec -0.06258663288706678 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 1.3316 sec -End of training - Time 0.09 sec -Model fitting time 0.0855 sec -Total RS time 0.0217 sec -Optimization function time 0.5591 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4138 sec -MSLS time 0.4699 sec -Local search time 1.0558 sec -Total iteration time 2.4754 sec -End of training - Time 0.09 sec -Model fitting time 0.0929 sec -Total RS time 0.0333 sec -Optimization function time 0.4973 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4330 sec -MSLS time 0.5643 sec -Local search time 1.0990 sec -Total iteration time 3.6703 sec -End of training - Time 0.09 sec -Model fitting time 0.0861 sec -Total RS time 0.0215 sec -Optimization function time 0.5184 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3751 sec -MSLS time 0.4777 sec -Local search time 1.0253 sec -Total iteration time 4.7860 sec -End of training - Time 0.13 sec -Model fitting time 0.1292 sec -Total RS time 0.0214 sec -Optimization function time 0.4974 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3674 sec -MSLS time 0.4216 sec -Local search time 0.9452 sec -Total iteration time 5.8631 sec -End of training - Time 0.12 sec -Model fitting time 0.1225 sec -Total RS time 0.0235 sec -Optimization function time 0.4980 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3807 sec -MSLS time 0.4705 sec -Local search time 1.0013 sec -Total iteration time 6.9910 sec -End of training - Time 0.10 sec -Model fitting time 0.1045 sec -Total RS time 0.0222 sec -Optimization function time 0.5206 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4181 sec -MSLS time 0.5255 sec -Local search time 1.0731 sec -Total iteration time 8.1710 sec -End of training - Time 0.09 sec -Model fitting time 0.0883 sec -Total RS time 0.0213 sec -Optimization function time 0.5134 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3807 sec -MSLS time 0.4317 sec -Local search time 0.9712 sec -x1,x2,f1,f2,Timestamp -0.8803219827359133,0.9657244032587482,30.05324241099089,31.05324241099089,169988 -0.8764678953121091,0.9599225097857661,30.164439581110223,31.164439581110223,169988 -0.24131929420485396,0.9744218572927347,40.937353696637096,41.937353696637096,169988 -0.23959271538691657,0.9639024060013451,41.063838635267565,42.063838635267565,169988 -0.24071196350589405,0.9639024060013451,41.04532302307484,42.04532302307484,169988 -0.23761144657860034,0.9623626106738541,41.11097703591067,42.11097703591067,169988 -0.2089515848540169,0.9591683016446846,41.614253086805284,42.614253086805284,169988 -0.20718464007344256,0.962979731795083,41.60744274214283,42.60744274214283,169988 -0.19521096733251558,0.962507312553547,41.808984141972196,42.808984141972196,169988 -0.22148460994333774,0.9622084149869276,41.378901871946695,42.378901871946695,169988 - -Black box function time 0.0009 sec -Total iteration time 9.2320 sec -Starting optimization iteration 19 -End of training - Time 0.10 sec -Model fitting time 0.1014 sec -Total RS time 0.0280 sec -Optimization function time 0.5177 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3737 sec -MSLS time 0.4259 sec -Local search time 0.9764 sec -Total iteration time 1.0805 sec -End of training - Time 0.10 sec -Model fitting time 0.0956 sec -Total RS time 0.0215 sec -Optimization function time 0.5169 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3795 sec -MSLS time 0.4756 sec -Local search time 1.0212 sec -Total iteration time 2.2013 sec -End of training - Time 0.09 sec -Model fitting time 0.0907 sec -Total RS time 0.0215 sec -Optimization function time 0.5411 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3708 sec -MSLS time 0.4697 sec -Local search time 1.0394 sec -Total iteration time 3.3344 sec -End of training - Time 0.09 sec -Model fitting time 0.0902 sec -0.06181991800215625 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 3.4268 sec -End of training - Time 0.09 sec -Model fitting time 0.0874 sec -Total RS time 0.0211 sec -Optimization function time 0.5143 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3788 sec -MSLS time 0.4318 sec -Local search time 0.9721 sec -Total iteration time 4.4891 sec -End of training - Time 0.10 sec -Model fitting time 0.1020 sec -Total RS time 0.0230 sec -Optimization function time 0.5318 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3736 sec -MSLS time 0.4747 sec -Local search time 1.0367 sec -Total iteration time 5.6318 sec -End of training - Time 0.09 sec -Model fitting time 0.0935 sec -Total RS time 0.0217 sec -Optimization function time 0.5778 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3657 sec -MSLS time 0.4203 sec -Local search time 1.0250 sec -Total iteration time 6.7533 sec -End of training - Time 0.10 sec -Model fitting time 0.1030 sec -Total RS time 0.0288 sec -Optimization function time 0.5214 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3686 sec -MSLS time 0.4654 sec -Local search time 1.0231 sec -Total iteration time 7.8835 sec -End of training - Time 0.10 sec -Model fitting time 0.0954 sec -0.04692028858132535 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 7.9811 sec -End of training - Time 0.09 sec -Model fitting time 0.0905 sec -Total RS time 0.0213 sec -Optimization function time 0.5303 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4232 sec -MSLS time 0.4720 sec -Local search time 1.0328 sec -x1,x2,f1,f2,Timestamp -0.9534838830391351,0.7668281614101226,30.250803675384535,31.250803675384535,179094 -0.9570493563041824,0.7480276799133367,30.330418901521774,31.330418901521774,179094 -0.9584949187199617,0.7657012762161014,30.168516701523576,31.168516701523576,179094 -0.06348217915896538,0.032168053574092303,54.00818596806576,55.00818596806576,179094 -0.06677980128350933,0.031924532027771624,53.948071197534674,54.948071197534674,179094 -0.0908567973923993,0.03180982125548745,53.489095861021525,54.489095861021525,179094 -0.049852943795835325,0.03175570899700618,54.27311792382123,55.27311792382123,179094 -0.09766526155486038,0.030378415938988945,53.375402499854886,54.375402499854886,179094 -0.5613262471303229,0.9669825278743226,35.60393811903826,36.60393811903826,179094 -0.03916821064772539,0.03137290796586469,54.481338348012756,55.481338348012756,179094 - -Black box function time 0.0089 sec -Total iteration time 9.1139 sec -Starting optimization iteration 20 -End of training - Time 0.11 sec -Model fitting time 0.1113 sec -Total RS time 0.0214 sec -Optimization function time 0.5299 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3860 sec -MSLS time 0.4305 sec -Local search time 0.9864 sec -Total iteration time 1.1003 sec -End of training - Time 0.10 sec -Model fitting time 0.0960 sec -Total RS time 0.0215 sec -Optimization function time 0.5107 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3772 sec -MSLS time 0.4407 sec -Local search time 0.9804 sec -Total iteration time 2.1807 sec -End of training - Time 0.11 sec -Model fitting time 0.1097 sec -Total RS time 0.0216 sec -Optimization function time 0.5161 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3753 sec -MSLS time 0.4216 sec -Local search time 0.9638 sec -Total iteration time 3.2568 sec -End of training - Time 0.09 sec -Model fitting time 0.0949 sec -Total RS time 0.0218 sec -Optimization function time 0.5020 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3808 sec -MSLS time 0.4766 sec -Local search time 1.0079 sec -Total iteration time 4.3636 sec -End of training - Time 0.10 sec -Model fitting time 0.1007 sec -0.07625709945666148 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 4.4666 sec -End of training - Time 0.09 sec -Model fitting time 0.0936 sec -0.08093504342621483 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 4.5623 sec -End of training - Time 0.09 sec -Model fitting time 0.0944 sec -Total RS time 0.0208 sec -Optimization function time 0.4952 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3686 sec -MSLS time 0.4180 sec -Local search time 0.9386 sec -Total iteration time 5.5996 sec -End of training - Time 0.12 sec -Model fitting time 0.1207 sec -Total RS time 0.0224 sec -Optimization function time 0.5305 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4080 sec -MSLS time 0.5029 sec -Local search time 1.0633 sec -Total iteration time 6.7877 sec -End of training - Time 0.10 sec -Model fitting time 0.1021 sec -Total RS time 0.0215 sec -Optimization function time 0.6113 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4039 sec -MSLS time 0.4959 sec -Local search time 1.1356 sec -Total iteration time 8.0299 sec -End of training - Time 0.10 sec -Model fitting time 0.1042 sec -Total RS time 0.0228 sec -Optimization function time 0.5427 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3752 sec -MSLS time 0.4944 sec -Local search time 1.0662 sec -x1,x2,f1,f2,Timestamp -1,0.9689397131929001,27.923630210226026,28.923630210226026,188305 -0.9994054752146736,0.969687592124258,27.928752575836004,28.928752575836004,188305 -0.9993762411136508,0.9704800990904341,27.923610968311955,28.923610968311955,188305 -1,0.9673753711711485,27.934797977636684,28.934797977636684,188305 -0.8367564636716307,0.629884545974291,33.479416908733484,34.479416908733484,188305 -0.3648001068455062,0.9148059230101971,39.41681717611853,40.41681717611853,188305 -0.374859834074713,0.9123717559044506,39.267975563273914,40.267975563273914,188305 -0.3673926642967187,0.9295344091576121,39.23995614981956,40.23995614981956,188305 -0.8440722542610055,0.629884545974291,33.34415974551434,34.34415974551434,188305 -0.8427415156373378,0.6288276082423427,33.377476470322854,34.377476470322854,188305 - -Black box function time 0.0010 sec -Total iteration time 9.2020 sec -Starting optimization iteration 21 -End of training - Time 0.14 sec -Model fitting time 0.1440 sec -Total RS time 0.0229 sec -Optimization function time 0.5265 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3854 sec -MSLS time 0.4974 sec -Local search time 1.0523 sec -Total iteration time 1.1991 sec -End of training - Time 0.11 sec -Model fitting time 0.1138 sec -Total RS time 0.0227 sec -Optimization function time 0.5299 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3665 sec -MSLS time 0.4489 sec -Local search time 1.0092 sec -Total iteration time 2.3263 sec -End of training - Time 0.11 sec -Model fitting time 0.1096 sec -0.09458845521521486 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 2.4381 sec -End of training - Time 0.10 sec -Model fitting time 0.0952 sec -Total RS time 0.0207 sec -Optimization function time 0.5236 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3805 sec -MSLS time 0.4780 sec -Local search time 1.0293 sec -Total iteration time 3.5664 sec -End of training - Time 0.10 sec -Model fitting time 0.1007 sec -Total RS time 0.0216 sec -Optimization function time 0.5138 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3671 sec -MSLS time 0.4199 sec -Local search time 0.9602 sec -Total iteration time 4.6299 sec -End of training - Time 0.11 sec -Model fitting time 0.1072 sec -Total RS time 0.0217 sec -Optimization function time 0.5316 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3962 sec -MSLS time 0.5190 sec -Local search time 1.0781 sec -Total iteration time 5.8177 sec -End of training - Time 0.10 sec -Model fitting time 0.0989 sec -Total RS time 0.0213 sec -Optimization function time 0.5265 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3809 sec -MSLS time 0.4826 sec -Local search time 1.0376 sec -Total iteration time 6.9580 sec -End of training - Time 0.16 sec -Model fitting time 0.1565 sec -Total RS time 0.0214 sec -Optimization function time 0.5166 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3950 sec -MSLS time 0.4528 sec -Local search time 0.9955 sec -Total iteration time 8.1130 sec -End of training - Time 0.11 sec -Model fitting time 0.1129 sec -Total RS time 0.0360 sec -Optimization function time 0.5509 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3752 sec -MSLS time 0.4738 sec -Local search time 1.0670 sec -Total iteration time 9.2961 sec -End of training - Time 0.10 sec -Model fitting time 0.1019 sec -Total RS time 0.0215 sec -Optimization function time 0.5232 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3733 sec -MSLS time 0.4712 sec -Local search time 1.0213 sec -x1,x2,f1,f2,Timestamp -1,0.9614928090887722,27.976837130927244,28.976837130927244,198726 -1,0.962743238358674,27.967895268567283,28.967895268567283,198726 -0.4515901726943601,0.746407421699256,39.44417481077596,40.44417481077596,198726 -0.45213368750785876,0.7462012195533198,39.43646512384354,40.43646512384354,198726 -0.4514615689941356,0.728244444747833,39.61247104570738,40.61247104570738,198726 -0.4474189594927981,0.7275539345929115,39.690334863521976,40.690334863521976,198726 -0.44562523340538934,0.7325247321084463,39.67647099311023,40.67647099311023,198726 -0.44546572661772627,0.7432654696485524,39.580931610489024,40.580931610489024,198726 -0.4498061225918762,0.7256988215267245,39.66510120164733,40.66510120164733,198726 -0.9999997572877932,0.962561310985905,27.969200317754467,28.969200317754467,198726 - -Black box function time 0.0007 sec -Total iteration time 10.4206 sec -Starting optimization iteration 22 -End of training - Time 0.10 sec -Model fitting time 0.1010 sec -Total RS time 0.0214 sec -Optimization function time 0.5354 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4101 sec -MSLS time 0.5071 sec -Local search time 1.0710 sec -Total iteration time 1.1762 sec -End of training - Time 0.10 sec -Model fitting time 0.1043 sec -0.040679741900161326 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 1.2827 sec -End of training - Time 0.10 sec -Model fitting time 0.0996 sec -Total RS time 0.0209 sec -Optimization function time 0.5300 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4118 sec -MSLS time 0.4634 sec -Local search time 1.0213 sec -Total iteration time 2.4077 sec -End of training - Time 0.14 sec -Model fitting time 0.1372 sec -0.08815700704352458 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 2.5472 sec -End of training - Time 0.10 sec -Model fitting time 0.1001 sec -0.05330359985282662 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 2.6494 sec -End of training - Time 0.10 sec -Model fitting time 0.1005 sec -Total RS time 0.0211 sec -Optimization function time 0.5275 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3995 sec -MSLS time 0.4467 sec -Local search time 1.0002 sec -Total iteration time 3.7528 sec -End of training - Time 0.11 sec -Model fitting time 0.1113 sec -Total RS time 0.0226 sec -Optimization function time 0.5568 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3904 sec -MSLS time 0.5190 sec -Local search time 1.1032 sec -Total iteration time 4.9699 sec -End of training - Time 0.10 sec -Model fitting time 0.1034 sec -Total RS time 0.0211 sec -Optimization function time 0.5305 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3805 sec -MSLS time 0.4387 sec -Local search time 0.9958 sec -Total iteration time 6.0722 sec -End of training - Time 0.15 sec -Model fitting time 0.1464 sec -Total RS time 0.0382 sec -Optimization function time 0.5380 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4114 sec -MSLS time 0.5110 sec -Local search time 1.0914 sec -Total iteration time 7.3127 sec -End of training - Time 0.11 sec -Model fitting time 0.1072 sec -0.09952532982509099 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -x1,x2,f1,f2,Timestamp -1,0.9747902546041681,27.881906839026186,28.881906839026186,206147 -0.8426292243655512,0.5441914913297147,34.0844243390177,35.0844243390177,206147 -0.8407734452741108,0.5430323210171761,34.12893216299634,35.12893216299634,206147 -0.06464327292754178,0.13478541880468142,52.79274451591182,53.79274451591182,206147 -0.637969872884987,0.5155068773739598,38.15931288570596,39.15931288570596,206147 -0.06508101409939061,0.17427176323337368,52.331031427592265,53.331031427592265,206147 -0.06390071884066081,0.1542692823166437,52.58245101520885,53.58245101520885,206147 -0.06456869374471938,0.12540469512986138,52.902354490350774,53.902354490350774,206147 -0.06754215927651326,0.1676969898611272,52.36037425432289,53.36037425432289,206147 -0.5312728789586845,0.24719580058000196,42.71894857616049,43.71894857616049,206147 - -Black box function time 0.0005 sec -Total iteration time 7.4212 sec -Starting optimization iteration 23 -End of training - Time 0.10 sec -Model fitting time 0.1044 sec -Total RS time 0.0213 sec -Optimization function time 0.5174 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4066 sec -MSLS time 0.5037 sec -Local search time 1.0493 sec -Total iteration time 1.1573 sec -End of training - Time 0.11 sec -Model fitting time 0.1088 sec -Total RS time 0.0217 sec -Optimization function time 0.5244 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3603 sec -MSLS time 0.4724 sec -Local search time 1.0235 sec -Total iteration time 2.2922 sec -End of training - Time 0.11 sec -Model fitting time 0.1129 sec -Total RS time 0.0229 sec -Optimization function time 0.5181 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4312 sec -MSLS time 0.5278 sec -Local search time 1.0753 sec -Total iteration time 3.4846 sec -End of training - Time 0.12 sec -Model fitting time 0.1179 sec -Total RS time 0.0221 sec -Optimization function time 0.5494 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3660 sec -MSLS time 0.4188 sec -Local search time 0.9952 sec -Total iteration time 4.6005 sec -End of training - Time 0.12 sec -Model fitting time 0.1215 sec -0.00351933665583426 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 4.7244 sec -End of training - Time 0.11 sec -Model fitting time 0.1105 sec -0.004274942913646718 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 4.8374 sec -End of training - Time 0.11 sec -Model fitting time 0.1065 sec -Total RS time 0.0218 sec -Optimization function time 0.5235 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4120 sec -MSLS time 0.5113 sec -Local search time 1.0632 sec -Total iteration time 6.0099 sec -End of training - Time 0.11 sec -Model fitting time 0.1090 sec -Total RS time 0.0217 sec -Optimization function time 0.5245 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4199 sec -MSLS time 0.5173 sec -Local search time 1.0703 sec -Total iteration time 7.1933 sec -End of training - Time 0.11 sec -Model fitting time 0.1118 sec -Total RS time 0.0210 sec -Optimization function time 0.5189 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4177 sec -MSLS time 0.5175 sec -Local search time 1.0645 sec -Total iteration time 8.3726 sec -End of training - Time 0.11 sec -Model fitting time 0.1111 sec -Total RS time 0.0221 sec -Optimization function time 0.5411 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3731 sec -MSLS time 0.4703 sec -Local search time 1.0409 sec -x1,x2,f1,f2,Timestamp -0.9948643856330618,0.9662440310923441,28.03328001163998,29.03328001163998,215673 -0.994305557466429,0.9657911118464202,28.04635957568198,29.04635957568198,215673 -0.9942765791444645,0.959388047634525,28.09274999561066,29.09274999561066,215673 -0.9949811239403871,0.9560413151773107,28.104341479433955,29.104341479433955,215673 -0.5684319265805524,0.35860776199918215,40.92569092620842,41.92569092620842,215673 -0.03577955327038978,0.0940322202031841,53.80897006178708,54.80897006178708,215673 -0.03585804933833688,0.09111004003948346,53.84168267787459,54.84168267787459,215673 -0.03599766587442301,0.07640369716223143,54.01138145030258,55.01138145030258,215673 -0.5610859545939284,0.35023951024889977,41.14526823660814,42.14526823660814,215673 -0.032482450201222104,0.08657846776620219,53.958454924211104,54.958454924211104,215673 - -Black box function time 0.0082 sec -Total iteration time 9.5336 sec -Starting optimization iteration 24 -End of training - Time 0.12 sec -Model fitting time 0.1182 sec -Total RS time 0.0219 sec -Optimization function time 0.5531 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3543 sec -MSLS time 0.4523 sec -Local search time 1.0343 sec -Total iteration time 1.1561 sec -End of training - Time 0.12 sec -Model fitting time 0.1169 sec -Total RS time 0.0215 sec -Optimization function time 0.5354 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4221 sec -MSLS time 0.5209 sec -Local search time 1.0854 sec -Total iteration time 2.3626 sec -End of training - Time 0.11 sec -Model fitting time 0.1118 sec -0.09534099649613015 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 2.4768 sec -End of training - Time 0.11 sec -Model fitting time 0.1107 sec -Total RS time 0.0212 sec -Optimization function time 0.5606 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3865 sec -MSLS time 0.4852 sec -Local search time 1.0734 sec -Total iteration time 3.6652 sec -End of training - Time 0.12 sec -Model fitting time 0.1198 sec -Total RS time 0.0222 sec -Optimization function time 0.5540 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3840 sec -MSLS time 0.4822 sec -Local search time 1.0650 sec -Total iteration time 4.8536 sec -End of training - Time 0.12 sec -Model fitting time 0.1155 sec -Total RS time 0.0218 sec -Optimization function time 0.5340 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3771 sec -MSLS time 0.4736 sec -Local search time 1.0345 sec -Total iteration time 6.0064 sec -End of training - Time 0.11 sec -Model fitting time 0.1131 sec -Total RS time 0.0214 sec -Optimization function time 0.5490 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3791 sec -MSLS time 0.4741 sec -Local search time 1.0509 sec -Total iteration time 7.1748 sec -End of training - Time 0.12 sec -Model fitting time 0.1227 sec -Total RS time 0.0217 sec -Optimization function time 0.5352 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3731 sec -MSLS time 0.4201 sec -Local search time 0.9819 sec -Total iteration time 8.2821 sec -End of training - Time 0.12 sec -Model fitting time 0.1230 sec -Total RS time 0.0215 sec -Optimization function time 0.5209 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4040 sec -MSLS time 0.5013 sec -Local search time 1.0491 sec -Total iteration time 9.4570 sec -End of training - Time 0.11 sec -Model fitting time 0.1143 sec -0.04798880848915976 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -x1,x2,f1,f2,Timestamp -1,0.9638771596685921,27.959789262269062,28.959789262269062,225254 -1,0.9637240258604824,27.960883812037686,28.960883812037686,225254 -0.987218207154231,0.684835976106172,30.266713996087876,31.266713996087876,225254 -0.9876772149497277,0.6733268672088557,30.34748368508577,31.34748368508577,225254 -0.9872746959441694,0.6931559470751891,30.201353257348686,31.201353257348686,225254 -0.987388609363856,0.6931559470751891,30.19926469322769,31.19926469322769,225254 -0.9872070523601316,0.6535648795606486,30.50992714938036,31.50992714938036,225254 -0.9876772149497277,0.7332217541181356,29.886196160329604,30.886196160329604,225254 -0.987388609363856,0.7025506946989172,30.1268008334403,31.1268008334403,225254 -0.09594724600816038,0.13934739329015708,52.152194797108606,53.152194797108606,225254 - -Black box function time 0.0007 sec -Total iteration time 9.5730 sec -Starting optimization iteration 25 -End of training - Time 0.11 sec -Model fitting time 0.1138 sec -0.0664754978873554 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 0.1161 sec -End of training - Time 0.11 sec -Model fitting time 0.1131 sec -0.037364100554073576 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 0.2316 sec -End of training - Time 0.11 sec -Model fitting time 0.1128 sec -Total RS time 0.0213 sec -Optimization function time 0.5566 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3706 sec -MSLS time 0.4250 sec -Local search time 1.0080 sec -Total iteration time 1.3553 sec -End of training - Time 0.13 sec -Model fitting time 0.1282 sec -Total RS time 0.0219 sec -Optimization function time 0.5512 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3772 sec -MSLS time 0.4744 sec -Local search time 1.0548 sec -Total iteration time 2.5426 sec -End of training - Time 0.12 sec -Model fitting time 0.1184 sec -Total RS time 0.0214 sec -Optimization function time 0.5578 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3804 sec -MSLS time 0.4709 sec -Local search time 1.0549 sec -Total iteration time 3.7185 sec -End of training - Time 0.12 sec -Model fitting time 0.1168 sec -Total RS time 0.0221 sec -Optimization function time 0.5401 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3894 sec -MSLS time 0.4465 sec -Local search time 1.0139 sec -Total iteration time 4.8537 sec -End of training - Time 0.14 sec -Model fitting time 0.1430 sec -Total RS time 0.0228 sec -Optimization function time 0.5187 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3813 sec -MSLS time 0.4783 sec -Local search time 1.0275 sec -Total iteration time 6.0284 sec -End of training - Time 0.12 sec -Model fitting time 0.1237 sec -Total RS time 0.0221 sec -Optimization function time 0.6303 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3865 sec -MSLS time 0.4972 sec -Local search time 1.1544 sec -Total iteration time 7.3093 sec -End of training - Time 0.14 sec -Model fitting time 0.1365 sec -Total RS time 0.0235 sec -Optimization function time 0.5151 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4014 sec -MSLS time 0.5132 sec -Local search time 1.0565 sec -Total iteration time 8.5050 sec -End of training - Time 0.12 sec -Model fitting time 0.1166 sec -Total RS time 0.0214 sec -Optimization function time 0.5411 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3598 sec -MSLS time 0.4581 sec -Local search time 1.0276 sec -x1,x2,f1,f2,Timestamp -0.700345094738163,0.3050545760015974,38.90567786072409,39.90567786072409,234905 -0.03919821276602987,0.5730734903037253,48.375162466108826,49.375162466108826,234905 -0.04007244884196507,0.5800408515520221,48.28531057164513,49.28531057164513,234905 -0.03827256268182747,0.5822198295220167,48.29313770124668,49.29313770124668,234905 -0.038453400405193905,0.5757532231446859,48.35932880914626,49.35932880914626,234905 -0.02590917469564722,0.5776467219947262,48.55624929013489,49.55624929013489,234905 -0.01671928739338775,0.5796221927453076,48.69389594014761,49.69389594014761,234905 -0.03703501973559878,0.5926885824959713,48.20246771010966,49.20246771010966,234905 -0.026692316784971443,0.5243223157726035,49.1193061601547,50.1193061601547,234905 -0.0329705391912814,0.5916907520991711,48.283366965396375,49.283366965396375,234905 - -Black box function time 0.0025 sec -Total iteration time 9.6525 sec -Starting optimization iteration 26 -End of training - Time 0.13 sec -Model fitting time 0.1269 sec -Total RS time 0.0214 sec -Optimization function time 0.5280 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3792 sec -MSLS time 0.4764 sec -Local search time 1.0333 sec -Total iteration time 1.1644 sec -End of training - Time 0.12 sec -Model fitting time 0.1179 sec -Total RS time 0.0215 sec -Optimization function time 0.5138 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3801 sec -MSLS time 0.4342 sec -Local search time 0.9744 sec -Total iteration time 2.2612 sec -End of training - Time 0.14 sec -Model fitting time 0.1396 sec -Total RS time 0.0232 sec -Optimization function time 0.5552 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4022 sec -MSLS time 0.4602 sec -Local search time 1.0440 sec -Total iteration time 3.4481 sec -End of training - Time 0.14 sec -Model fitting time 0.1387 sec -Total RS time 0.1186 sec -Optimization function time 0.5508 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4192 sec -MSLS time 0.5106 sec -Local search time 1.1868 sec -Total iteration time 4.7773 sec -End of training - Time 0.13 sec -Model fitting time 0.1296 sec -Total RS time 0.0220 sec -Optimization function time 0.5387 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4089 sec -MSLS time 0.5456 sec -Local search time 1.1103 sec -Total iteration time 6.0198 sec -End of training - Time 0.12 sec -Model fitting time 0.1209 sec -Total RS time 0.0221 sec -Optimization function time 0.5508 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3881 sec -MSLS time 0.4859 sec -Local search time 1.0659 sec -Total iteration time 7.2107 sec -End of training - Time 0.13 sec -Model fitting time 0.1331 sec -Total RS time 0.0232 sec -Optimization function time 0.5460 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4171 sec -MSLS time 0.4744 sec -Local search time 1.0489 sec -Total iteration time 8.3959 sec -End of training - Time 0.14 sec -Model fitting time 0.1376 sec -Total RS time 0.0220 sec -Optimization function time 0.5118 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4595 sec -MSLS time 0.5483 sec -Local search time 1.0889 sec -Total iteration time 9.6267 sec -End of training - Time 0.13 sec -Model fitting time 0.1348 sec -Total RS time 0.0223 sec -Optimization function time 0.5322 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3679 sec -MSLS time 0.4433 sec -Local search time 1.0031 sec -Total iteration time 10.7676 sec -End of training - Time 0.13 sec -Model fitting time 0.1317 sec -Total RS time 0.0223 sec -Optimization function time 0.5234 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3879 sec -MSLS time 0.5202 sec -Local search time 1.0698 sec -x1,x2,f1,f2,Timestamp -1,0.9647077145317403,27.9538535480525,28.9538535480525,246877 -0.9752071014358289,0.964651346433059,28.39082931673671,29.39082931673671,246877 -0.9779216743220299,0.9645555940950892,28.343715280882286,29.343715280882286,246877 -1,0.9652069877992229,27.950286063087596,28.950286063087596,246877 -0.9753922456012535,0.9662429464719808,28.37609322243406,29.37609322243406,246877 -1,0.9645555940950892,27.9549406017345,28.9549406017345,246877 -0.9776270535007312,0.9660326945382793,28.3382619163349,29.3382619163349,246877 -1,0.9660326945382793,27.944387188981096,28.944387188981096,246877 -0.9795862480129564,0.9645555940950892,28.314401812236067,29.314401812236067,246877 -1,0.9661862310129198,27.94329047007693,28.94329047007693,246877 - -Black box function time 0.0007 sec -Total iteration time 11.9705 sec -Starting optimization iteration 27 -End of training - Time 0.12 sec -Model fitting time 0.1226 sec -Total RS time 0.0216 sec -Optimization function time 0.5026 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4004 sec -MSLS time 0.4967 sec -Local search time 1.0287 sec -Total iteration time 1.1555 sec -End of training - Time 0.13 sec -Model fitting time 0.1286 sec -Total RS time 0.0217 sec -Optimization function time 0.5656 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4204 sec -MSLS time 0.4716 sec -Local search time 1.0659 sec -Total iteration time 2.3543 sec -End of training - Time 0.14 sec -Model fitting time 0.1446 sec -Total RS time 0.0219 sec -Optimization function time 0.5326 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3716 sec -MSLS time 0.4250 sec -Local search time 0.9843 sec -Total iteration time 3.4902 sec -End of training - Time 0.14 sec -Model fitting time 0.1452 sec -Total RS time 0.0289 sec -Optimization function time 0.5311 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3647 sec -MSLS time 0.4637 sec -Local search time 1.0309 sec -Total iteration time 4.6711 sec -End of training - Time 0.12 sec -Model fitting time 0.1248 sec -Total RS time 0.0214 sec -Optimization function time 0.5517 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3900 sec -MSLS time 0.4384 sec -Local search time 1.0161 sec -Total iteration time 5.8164 sec -End of training - Time 0.14 sec -Model fitting time 0.1441 sec -Total RS time 0.0225 sec -Optimization function time 0.5269 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3924 sec -MSLS time 0.4456 sec -Local search time 1.0000 sec -Total iteration time 6.9637 sec -End of training - Time 0.14 sec -Model fitting time 0.1369 sec -Total RS time 0.0233 sec -Optimization function time 0.5130 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3806 sec -MSLS time 0.4769 sec -Local search time 1.0205 sec -Total iteration time 8.1252 sec -End of training - Time 0.13 sec -Model fitting time 0.1282 sec -Total RS time 0.0218 sec -Optimization function time 0.5351 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3777 sec -MSLS time 0.4738 sec -Local search time 1.0384 sec -Total iteration time 9.2960 sec -End of training - Time 0.13 sec -Model fitting time 0.1315 sec -Total RS time 0.0216 sec -Optimization function time 0.5265 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3792 sec -MSLS time 0.5084 sec -Local search time 1.0616 sec -Total iteration time 10.4921 sec -End of training - Time 0.13 sec -Model fitting time 0.1260 sec -Total RS time 0.0237 sec -Optimization function time 0.5241 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3761 sec -MSLS time 0.4297 sec -Local search time 0.9821 sec -x1,x2,f1,f2,Timestamp -1,0.974382295276895,27.884813997011847,28.884813997011847,258479 -0.9460003685215143,0.9739014008032002,28.837815969317614,29.837815969317614,258479 -1,0.9743926593818122,27.884740137267883,28.884740137267883,258479 -0.9469102169544753,0.9743823850632415,28.81832008590535,29.81832008590535,258479 -0.9455786121207038,0.9734410169781147,28.848581169775166,29.848581169775166,258479 -0.9524189440170745,0.973902881923614,28.724934363725012,29.724934363725012,258479 -1,0.9744669395603072,27.884210786219644,28.884210786219644,258479 -0.9397485066953403,0.9743319467692687,28.944612805543485,29.944612805543485,258479 -0.9628349985269914,0.9729927615068146,28.548340349642043,29.548340349642043,258479 -0.948541211129467,0.9726062016581797,28.80254738218849,29.80254738218849,258479 - -Black box function time 0.0110 sec -Total iteration time 11.6121 sec -Starting optimization iteration 28 -End of training - Time 0.16 sec -Model fitting time 0.1572 sec -Total RS time 0.0228 sec -Optimization function time 0.5060 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3866 sec -MSLS time 0.4370 sec -Local search time 0.9707 sec -Total iteration time 1.1325 sec -End of training - Time 0.16 sec -Model fitting time 0.1587 sec -Total RS time 0.0227 sec -Optimization function time 0.5180 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3701 sec -MSLS time 0.4182 sec -Local search time 0.9635 sec -Total iteration time 2.2575 sec -End of training - Time 0.13 sec -Model fitting time 0.1275 sec -Total RS time 0.0215 sec -Optimization function time 0.5279 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3723 sec -MSLS time 0.4264 sec -Local search time 0.9807 sec -Total iteration time 3.3685 sec -End of training - Time 0.14 sec -Model fitting time 0.1362 sec -Total RS time 0.0215 sec -Optimization function time 0.5402 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4081 sec -MSLS time 0.5276 sec -Local search time 1.0938 sec -Total iteration time 4.6012 sec -End of training - Time 0.13 sec -Model fitting time 0.1276 sec -0.03935373755264426 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 4.7313 sec -End of training - Time 0.12 sec -Model fitting time 0.1244 sec -Total RS time 0.0220 sec -Optimization function time 0.5452 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3801 sec -MSLS time 0.4307 sec -Local search time 1.0066 sec -Total iteration time 5.8668 sec -End of training - Time 0.15 sec -Model fitting time 0.1541 sec -Total RS time 0.0234 sec -Optimization function time 0.5374 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4174 sec -MSLS time 0.5149 sec -Local search time 1.0820 sec -Total iteration time 7.1061 sec -End of training - Time 0.13 sec -Model fitting time 0.1289 sec -Total RS time 0.0214 sec -Optimization function time 0.6104 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3764 sec -MSLS time 0.4665 sec -Local search time 1.1028 sec -Total iteration time 8.3407 sec -End of training - Time 0.13 sec -Model fitting time 0.1296 sec -Total RS time 0.0216 sec -Optimization function time 0.5343 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3901 sec -MSLS time 0.5326 sec -Local search time 1.0929 sec -Total iteration time 9.5659 sec -End of training - Time 0.13 sec -Model fitting time 0.1278 sec -Total RS time 0.0217 sec -Optimization function time 0.5389 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3715 sec -MSLS time 0.4748 sec -Local search time 1.0430 sec -x1,x2,f1,f2,Timestamp -0.9997099858846189,0.8620116972619273,28.703436430897373,29.703436430897373,269229 -0.9961918646156163,0.8622965687489719,28.764246867374005,29.764246867374005,269229 -0.998369430239751,0.863675140875771,28.715173639588798,29.715173639588798,269229 -0.9984498640574495,0.8627084019749416,28.72084422210504,29.72084422210504,269229 -0.29441690943012516,0.8925965070596784,40.81165570727537,41.81165570727537,269229 -0.27875381939283206,0.8924514098775918,41.07714206620833,42.07714206620833,269229 -0.2777148858907344,0.8925225826543828,41.09397768806494,42.09397768806494,269229 -0.27912161254934925,0.8899336576240269,41.09448506010665,42.09448506010665,269229 -0.3056903167617964,0.8891596755680553,40.65307400693948,41.65307400693948,269229 -0.29778111529042023,0.8620286848649774,41.0397590514436,42.0397590514436,269229 - -Black box function time 0.0010 sec -Total iteration time 10.7386 sec -Starting optimization iteration 29 -End of training - Time 0.19 sec -Model fitting time 0.1938 sec -Total RS time 0.0220 sec -Optimization function time 0.5306 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3759 sec -MSLS time 0.4285 sec -Local search time 0.9860 sec -Total iteration time 1.1844 sec -End of training - Time 0.16 sec -Model fitting time 0.1574 sec -Total RS time 0.0227 sec -Optimization function time 0.5351 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3955 sec -MSLS time 0.4454 sec -Local search time 1.0082 sec -Total iteration time 2.3528 sec -End of training - Time 0.14 sec -Model fitting time 0.1357 sec -0.03472814505836286 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 2.4910 sec -End of training - Time 0.13 sec -Model fitting time 0.1316 sec -Total RS time 0.0237 sec -Optimization function time 0.5598 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3944 sec -MSLS time 0.4899 sec -Local search time 1.0797 sec -Total iteration time 3.7066 sec -End of training - Time 0.14 sec -Model fitting time 0.1403 sec -Total RS time 0.0212 sec -Optimization function time 0.5311 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3774 sec -MSLS time 0.4361 sec -Local search time 0.9938 sec -Total iteration time 4.8436 sec -End of training - Time 0.14 sec -Model fitting time 0.1401 sec -Total RS time 0.0218 sec -Optimization function time 0.5518 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4422 sec -MSLS time 0.5056 sec -Local search time 1.0872 sec -Total iteration time 6.0752 sec -End of training - Time 0.15 sec -Model fitting time 0.1479 sec -0.057727820355282455 < 0.1 random sampling a configuration to run -Local search time 0.0003 sec -Total iteration time 6.2257 sec -End of training - Time 0.13 sec -Model fitting time 0.1318 sec -Total RS time 0.0213 sec -Optimization function time 0.5335 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4209 sec -MSLS time 0.5140 sec -Local search time 1.0794 sec -Total iteration time 7.4402 sec -End of training - Time 0.14 sec -Model fitting time 0.1354 sec -Total RS time 0.0219 sec -Optimization function time 0.5283 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4483 sec -MSLS time 0.5500 sec -Local search time 1.1069 sec -Total iteration time 8.6864 sec -End of training - Time 0.14 sec -Model fitting time 0.1401 sec -Total RS time 0.0229 sec -Optimization function time 0.5251 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3833 sec -MSLS time 0.4786 sec -Local search time 1.0322 sec -x1,x2,f1,f2,Timestamp -0.9845548792882187,0.7052110581362983,30.158178106746185,31.158178106746185,279090 -0.9838241494650158,0.7076512969307048,30.152749328465223,31.152749328465223,279090 -0.8846602159700045,0.0243312418539481,37.88105261811389,38.88105261811389,279090 -0.8846602159700045,0.041104300406898844,37.72471413884234,38.72471413884234,279090 -0.8847137975132304,0.07562406653545736,37.40366009697763,38.40366009697763,279090 -0.8846602159700045,0.05819034817200874,37.5660368651355,38.5660368651355,279090 -0.23866105545508964,0.4802412829818102,45.824395435258765,46.824395435258765,279090 -0.2374864286291556,0.4781564358319625,45.867034608547186,46.867034608547186,279090 -0.23866105545508964,0.48078665793695596,45.81878134176647,46.81878134176647,279090 -0.1844630389714178,0.47846941566313456,46.81663801898883,47.81663801898883,279090 - -Black box function time 0.0008 sec -Total iteration time 9.8603 sec -Starting optimization iteration 30 -End of training - Time 0.14 sec -Model fitting time 0.1365 sec -Total RS time 0.0220 sec -Optimization function time 0.5275 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4280 sec -MSLS time 0.5543 sec -Local search time 1.1088 sec -Total iteration time 1.2479 sec -End of training - Time 0.13 sec -Model fitting time 0.1325 sec -Total RS time 0.0218 sec -Optimization function time 0.5224 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4156 sec -MSLS time 0.4757 sec -Local search time 1.0264 sec -Total iteration time 2.4111 sec -End of training - Time 0.15 sec -Model fitting time 0.1544 sec -Total RS time 0.0213 sec -Optimization function time 0.5236 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3686 sec -MSLS time 0.4732 sec -Local search time 1.0252 sec -Total iteration time 3.5945 sec -End of training - Time 0.14 sec -Model fitting time 0.1374 sec -Total RS time 0.0216 sec -Optimization function time 0.5522 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3675 sec -MSLS time 0.4274 sec -Local search time 1.0061 sec -Total iteration time 4.7407 sec -End of training - Time 0.15 sec -Model fitting time 0.1547 sec -Total RS time 0.0346 sec -Optimization function time 0.5462 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4246 sec -MSLS time 0.5223 sec -Local search time 1.1082 sec -Total iteration time 6.0085 sec -End of training - Time 0.14 sec -Model fitting time 0.1374 sec -Total RS time 0.0213 sec -Optimization function time 0.5278 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4141 sec -MSLS time 0.5088 sec -Local search time 1.0628 sec -Total iteration time 7.2118 sec -End of training - Time 0.14 sec -Model fitting time 0.1360 sec -Total RS time 0.0218 sec -Optimization function time 0.5348 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3823 sec -MSLS time 0.4788 sec -Local search time 1.0400 sec -Total iteration time 8.3905 sec -End of training - Time 0.14 sec -Model fitting time 0.1355 sec -0.09994589809408239 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 8.5285 sec -End of training - Time 0.13 sec -Model fitting time 0.1337 sec -Total RS time 0.0217 sec -Optimization function time 0.5191 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3755 sec -MSLS time 0.4297 sec -Local search time 0.9805 sec -Total iteration time 9.6474 sec -End of training - Time 0.16 sec -Model fitting time 0.1614 sec -Total RS time 0.0215 sec -Optimization function time 0.5212 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4191 sec -MSLS time 0.5613 sec -Local search time 1.1083 sec -x1,x2,f1,f2,Timestamp -1,0.9744037895693158,27.88466081827928,28.88466081827928,290009 -1,0.9744295639104624,27.88447713906596,28.88447713906596,290009 -0.7109453486402781,0.9747698968651544,32.94980232261297,33.94980232261297,290009 -0.7089211002803113,0.9701368678324863,33.0217811270648,34.0217811270648,290009 -0.7161524605940671,0.9745226605593627,32.8610658919723,33.8610658919723,290009 -0.7173080733454328,0.9701368678324863,32.87560334339455,33.87560334339455,290009 -0.7212497412085525,0.9756266205644861,32.763543528555374,33.763543528555374,290009 -0.23712546359747874,0.019161033510313768,50.81343999456908,51.81343999456908,290009 -0.7089211002803113,0.9726063471949999,33.00219682435126,34.00219682435126,290009 -0.7087115669028987,0.9718709748650196,33.01167727717615,34.01167727717615,290009 - -Black box function time 0.0006 sec -Total iteration time 10.9185 sec -Starting optimization iteration 31 -End of training - Time 0.14 sec -Model fitting time 0.1399 sec -0.030549422186670894 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 0.1425 sec -End of training - Time 0.14 sec -Model fitting time 0.1369 sec -0.07151208020221389 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 0.2818 sec -End of training - Time 0.14 sec -Model fitting time 0.1376 sec -Total RS time 0.0218 sec -Optimization function time 0.7071 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3884 sec -MSLS time 0.4880 sec -Local search time 1.2231 sec -Total iteration time 1.6459 sec -End of training - Time 0.14 sec -Model fitting time 0.1385 sec -Total RS time 0.0211 sec -Optimization function time 0.5383 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3710 sec -MSLS time 0.4801 sec -Local search time 1.0446 sec -Total iteration time 2.8320 sec -End of training - Time 0.14 sec -Model fitting time 0.1397 sec -Total RS time 0.0216 sec -Optimization function time 0.5375 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4151 sec -MSLS time 0.4659 sec -Local search time 1.0296 sec -Total iteration time 4.0041 sec -End of training - Time 0.15 sec -Model fitting time 0.1462 sec -Total RS time 0.0219 sec -Optimization function time 0.5333 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3934 sec -MSLS time 0.4900 sec -Local search time 1.0512 sec -Total iteration time 5.2047 sec -End of training - Time 0.14 sec -Model fitting time 0.1430 sec -Total RS time 0.0217 sec -Optimization function time 0.5234 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3709 sec -MSLS time 0.4302 sec -Local search time 0.9803 sec -Total iteration time 6.3311 sec -End of training - Time 0.16 sec -Model fitting time 0.1633 sec -Total RS time 0.0229 sec -Optimization function time 0.5328 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4291 sec -MSLS time 0.5460 sec -Local search time 1.1056 sec -Total iteration time 7.6026 sec -End of training - Time 0.14 sec -Model fitting time 0.1442 sec -Total RS time 0.0218 sec -Optimization function time 0.5328 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4019 sec -MSLS time 0.4999 sec -Local search time 1.0610 sec -Total iteration time 8.8118 sec -End of training - Time 0.15 sec -Model fitting time 0.1454 sec -Total RS time 0.0219 sec -Optimization function time 0.5374 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4322 sec -MSLS time 0.4877 sec -Local search time 1.0521 sec -x1,x2,f1,f2,Timestamp -0.3498424772795634,0.4359079988283818,44.25212793570232,45.25212793570232,300020 -0.4684227234040761,0.3383245157750583,43.01589952804107,44.01589952804107,300020 -0.34785348254727816,0.43759074849985513,44.271753693593034,45.271753693593034,300020 -0.3341881328684013,0.4292862393002539,44.60637499092782,45.60637499092782,300020 -0.4684227234040761,0.3578158099706369,42.823529905129156,43.823529905129156,300020 -0.47925900550511996,0.35628392683977556,42.6345361253488,43.6345361253488,300020 -0.3404388392371869,0.3382738187851615,45.41795361577487,46.41795361577487,300020 -0.3518540494761465,0.3516813631971057,45.0679122732816,46.0679122732816,300020 -0.3330843537492291,0.3362865339906521,45.575503510406776,46.575503510406776,300020 -0.46756476830289245,0.3561065787431958,42.8565190679204,43.8565190679204,300020 - -Black box function time 0.0078 sec -Total iteration time 10.0205 sec -Starting optimization iteration 32 -End of training - Time 0.15 sec -Model fitting time 0.1508 sec -0.07263707205784531 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 0.1533 sec -End of training - Time 0.14 sec -Model fitting time 0.1402 sec -Total RS time 0.0215 sec -Optimization function time 0.5454 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4170 sec -MSLS time 0.5196 sec -Local search time 1.0929 sec -Total iteration time 1.3902 sec -End of training - Time 0.18 sec -Model fitting time 0.1844 sec -Total RS time 0.0219 sec -Optimization function time 0.5472 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3620 sec -MSLS time 0.4377 sec -Local search time 1.0162 sec -Total iteration time 2.5951 sec -End of training - Time 0.16 sec -Model fitting time 0.1573 sec -Total RS time 0.0216 sec -Optimization function time 0.5513 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4466 sec -MSLS time 0.5009 sec -Local search time 1.0792 sec -Total iteration time 3.8347 sec -End of training - Time 0.16 sec -Model fitting time 0.1560 sec -Total RS time 0.0211 sec -Optimization function time 0.5367 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4051 sec -MSLS time 0.4589 sec -Local search time 1.0542 sec -Total iteration time 5.0493 sec -End of training - Time 0.16 sec -Model fitting time 0.1567 sec -Total RS time 0.0222 sec -Optimization function time 0.5296 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3894 sec -MSLS time 0.4419 sec -Local search time 0.9989 sec -Total iteration time 6.2081 sec -End of training - Time 0.17 sec -Model fitting time 0.1661 sec -Total RS time 0.0239 sec -Optimization function time 0.5617 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4046 sec -MSLS time 0.4617 sec -Local search time 1.0551 sec -Total iteration time 7.4341 sec -End of training - Time 0.16 sec -Model fitting time 0.1645 sec -Total RS time 0.0224 sec -Optimization function time 0.5350 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4205 sec -MSLS time 0.5210 sec -Local search time 1.0848 sec -Total iteration time 8.6866 sec -End of training - Time 0.15 sec -Model fitting time 0.1497 sec -Total RS time 0.0213 sec -Optimization function time 0.5330 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3930 sec -MSLS time 0.4482 sec -Local search time 1.0118 sec -Total iteration time 9.8525 sec -End of training - Time 0.17 sec -Model fitting time 0.1668 sec -Total RS time 0.0223 sec -Optimization function time 0.5392 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4077 sec -MSLS time 0.4678 sec -Local search time 1.0345 sec -x1,x2,f1,f2,Timestamp -0.18219742016348564,0.40400518041324934,47.64253824987792,48.64253824987792,311086 -0.17294391921327817,0.40407743080037334,47.809573499820516,48.809573499820516,311086 -0.16480619448375064,0.40554506577743904,47.94134286138479,48.94134286138479,311086 -0.15921148088315593,0.4045762726611957,48.05296064206856,49.05296064206856,311086 -0.16937889562441744,0.40103971673072925,47.90656912658371,48.90656912658371,311086 -0.16673053585166672,0.3953875499571524,48.01493618165496,49.01493618165496,311086 -0.1535788750787931,0.393489028765447,48.27371076619641,49.27371076619641,311086 -0.15095845056034332,0.39052545665655636,48.35302135924708,49.35302135924708,311086 -0.15021769702634996,0.38926295339288347,48.38001629380685,49.38001629380685,311086 -0.14616475283938674,0.4076920031806189,48.25548191554476,49.25548191554476,311086 - -Black box function time 0.0134 sec -Total iteration time 11.0683 sec -Starting optimization iteration 33 -End of training - Time 0.17 sec -Model fitting time 0.1658 sec -Total RS time 0.0227 sec -Optimization function time 0.5427 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4037 sec -MSLS time 0.4550 sec -Local search time 1.0255 sec -Total iteration time 1.1943 sec -End of training - Time 0.18 sec -Model fitting time 0.1784 sec -Total RS time 0.0369 sec -Optimization function time 0.5387 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4178 sec -MSLS time 0.5293 sec -Local search time 1.1099 sec -Total iteration time 2.4855 sec -End of training - Time 0.15 sec -Model fitting time 0.1484 sec -Total RS time 0.0218 sec -Optimization function time 0.5345 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4126 sec -MSLS time 0.5143 sec -Local search time 1.0784 sec -Total iteration time 3.7152 sec -End of training - Time 0.15 sec -Model fitting time 0.1461 sec -Total RS time 0.0218 sec -Optimization function time 0.5332 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3880 sec -MSLS time 0.5292 sec -Local search time 1.0890 sec -Total iteration time 4.9531 sec -End of training - Time 0.15 sec -Model fitting time 0.1482 sec -Total RS time 0.0214 sec -Optimization function time 0.5270 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3690 sec -MSLS time 0.4705 sec -Local search time 1.0267 sec -Total iteration time 6.1323 sec -End of training - Time 0.15 sec -Model fitting time 0.1504 sec -Total RS time 0.0215 sec -Optimization function time 0.5490 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4300 sec -MSLS time 0.5040 sec -Local search time 1.0818 sec -Total iteration time 7.3682 sec -End of training - Time 0.16 sec -Model fitting time 0.1653 sec -Total RS time 0.0221 sec -Optimization function time 0.5177 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4555 sec -MSLS time 0.5689 sec -Local search time 1.1142 sec -Total iteration time 8.6504 sec -End of training - Time 0.17 sec -Model fitting time 0.1663 sec -Total RS time 0.0228 sec -Optimization function time 0.5379 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4004 sec -MSLS time 0.4965 sec -Local search time 1.0639 sec -Total iteration time 9.8850 sec -End of training - Time 0.15 sec -Model fitting time 0.1528 sec -Total RS time 0.0215 sec -Optimization function time 0.5296 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3957 sec -MSLS time 0.4962 sec -Local search time 1.0541 sec -Total iteration time 11.0962 sec -End of training - Time 0.15 sec -Model fitting time 0.1540 sec -Total RS time 0.0216 sec -Optimization function time 0.5680 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3880 sec -MSLS time 0.4407 sec -Local search time 1.0354 sec -x1,x2,f1,f2,Timestamp -0.9807269489924463,0.9639620725870855,28.298586298018648,29.298586298018648,323387 -0.9344394785291776,0.9639700160715884,29.11373371677155,30.11373371677155,323387 -0.9442474512971644,0.9639700160715884,28.941008957988984,29.941008957988984,323387 -0.9808515748520585,0.9639620725870855,28.296391437661118,29.296391437661118,323387 -0.954936725819772,0.9639700160715884,28.75275171496061,29.75275171496061,323387 -0.9802253860973464,0.9640024802645762,28.307128696069498,29.307128696069498,323387 -0.9795176085950599,0.9640651135314485,28.319142693650925,29.319142693650925,323387 -0.9795045362300008,0.9639700160715884,28.320057807985208,29.320057807985208,323387 -0.9620769225854096,0.9639700160715884,28.626996349377194,29.626996349377194,323387 -0.9520982399408403,0.9640134610854005,28.80242730522996,29.80242730522996,323387 - -Black box function time 0.0072 sec -Total iteration time 12.2938 sec -Starting optimization iteration 34 -End of training - Time 0.18 sec -Model fitting time 0.1756 sec -Total RS time 0.0233 sec -Optimization function time 0.5390 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3704 sec -MSLS time 0.4273 sec -Local search time 0.9951 sec -Total iteration time 1.1741 sec -End of training - Time 0.17 sec -Model fitting time 0.1660 sec -Total RS time 0.0387 sec -Optimization function time 0.5383 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3787 sec -MSLS time 0.4783 sec -Local search time 1.0624 sec -Total iteration time 2.4058 sec -End of training - Time 0.15 sec -Model fitting time 0.1518 sec -Total RS time 0.0222 sec -Optimization function time 0.5288 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3984 sec -MSLS time 0.4933 sec -Local search time 1.0496 sec -Total iteration time 3.6103 sec -End of training - Time 0.15 sec -Model fitting time 0.1502 sec -Total RS time 0.0218 sec -Optimization function time 0.5439 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4172 sec -MSLS time 0.5142 sec -Local search time 1.0858 sec -Total iteration time 4.8494 sec -End of training - Time 0.15 sec -Model fitting time 0.1517 sec -Total RS time 0.0215 sec -Optimization function time 0.5528 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3755 sec -MSLS time 0.5133 sec -Local search time 1.0926 sec -Total iteration time 6.0966 sec -End of training - Time 0.16 sec -Model fitting time 0.1567 sec -Total RS time 0.0217 sec -Optimization function time 0.5273 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4319 sec -MSLS time 0.4922 sec -Local search time 1.0513 sec -Total iteration time 7.3090 sec -End of training - Time 0.18 sec -Model fitting time 0.1762 sec -Total RS time 0.0219 sec -Optimization function time 0.5391 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3964 sec -MSLS time 0.5297 sec -Local search time 1.0953 sec -Total iteration time 8.5832 sec -End of training - Time 0.15 sec -Model fitting time 0.1522 sec -Total RS time 0.0215 sec -Optimization function time 0.5322 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4182 sec -MSLS time 0.5218 sec -Local search time 1.0820 sec -Total iteration time 9.8216 sec -End of training - Time 0.16 sec -Model fitting time 0.1561 sec -Total RS time 0.0216 sec -Optimization function time 0.5232 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4035 sec -MSLS time 0.5017 sec -Local search time 1.0517 sec -Total iteration time 11.0327 sec -End of training - Time 0.16 sec -Model fitting time 0.1602 sec -0.001699772600575855 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -x1,x2,f1,f2,Timestamp -0.9858952570456327,1,27.949931578282296,28.949931578282296,334588 -0.9978409430715366,1,27.740716500001906,28.740716500001906,334588 -0.9978116602246925,1,27.741229328151643,28.741229328151643,334588 -0.9861282242160386,1,27.94585124008626,28.94585124008626,334588 -0.9858635001197191,1,27.950487790156,28.950487790156,334588 -0.9863070862811758,1,27.94271853792867,28.94271853792867,334588 -0.9862928893421588,1,27.942967191872867,28.942967191872867,334588 -0.9877976916452599,1,27.916611286348264,28.916611286348264,334588 -0.9887886829350031,1,27.899254688713114,28.899254688713114,334588 -0.5856487534796689,0.14563698981701284,42.668896034734075,43.668896034734075,334588 - -Black box function time 0.0006 sec -Total iteration time 11.1946 sec -Starting optimization iteration 35 -End of training - Time 0.15 sec -Model fitting time 0.1534 sec -Total RS time 0.0216 sec -Optimization function time 0.5445 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4030 sec -MSLS time 0.5007 sec -Local search time 1.0743 sec -Total iteration time 1.2400 sec -End of training - Time 0.16 sec -Model fitting time 0.1606 sec -Total RS time 0.0221 sec -Optimization function time 0.5287 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4099 sec -MSLS time 0.4657 sec -Local search time 1.0217 sec -Total iteration time 2.4270 sec -End of training - Time 0.18 sec -Model fitting time 0.1821 sec -Total RS time 0.0231 sec -Optimization function time 0.5290 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3695 sec -MSLS time 0.4703 sec -Local search time 1.0303 sec -Total iteration time 3.6437 sec -End of training - Time 0.16 sec -Model fitting time 0.1608 sec -0.029670046785935766 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 3.8071 sec -End of training - Time 0.15 sec -Model fitting time 0.1535 sec -Total RS time 0.0222 sec -Optimization function time 0.5450 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4075 sec -MSLS time 0.5081 sec -Local search time 1.0812 sec -Total iteration time 5.0451 sec -End of training - Time 0.16 sec -Model fitting time 0.1596 sec -0.061059856346614705 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 5.2074 sec -End of training - Time 0.16 sec -Model fitting time 0.1554 sec -Total RS time 0.0212 sec -Optimization function time 0.5366 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3983 sec -MSLS time 0.5001 sec -Local search time 1.0636 sec -Total iteration time 6.4292 sec -End of training - Time 0.16 sec -Model fitting time 0.1591 sec -Total RS time 0.0912 sec -Optimization function time 0.5316 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3744 sec -MSLS time 0.4275 sec -Local search time 1.0551 sec -Total iteration time 7.6461 sec -End of training - Time 0.16 sec -Model fitting time 0.1581 sec -Total RS time 0.0214 sec -Optimization function time 0.5283 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4038 sec -MSLS time 0.5025 sec -Local search time 1.0618 sec -Total iteration time 8.8696 sec -End of training - Time 0.17 sec -Model fitting time 0.1666 sec -Total RS time 0.0214 sec -Optimization function time 0.5338 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4184 sec -MSLS time 0.4895 sec -Local search time 1.0514 sec -x1,x2,f1,f2,Timestamp -0.9925445185332465,0.706785368531172,29.999855322502775,30.999855322502775,344677 -0.9929556670584095,0.7574751775762713,29.605579302951178,30.605579302951178,344677 -0.9925445185332465,0.7567351067784939,29.618656749953438,30.618656749953438,344677 -0.28246393705320744,0.40042846336587645,45.85053301183997,46.85053301183997,344677 -0.3247410366829192,0.40042846336587645,45.07306172251779,46.07306172251779,344677 -0.640794525048685,0.47379704038503123,38.485312855462496,39.485312855462496,344677 -0.29578749691639716,0.4005568450754086,45.60456762966129,46.60456762966129,344677 -0.3671686404881559,0.3997985621920365,44.29571435418636,45.29571435418636,344677 -0.31269117850544365,0.39837495129380124,45.316018911207536,46.316018911207536,344677 -0.3673025227632896,0.39711333404047794,44.32027272077126,45.32027272077126,344677 - -Black box function time 0.0099 sec -Total iteration time 10.0985 sec -Starting optimization iteration 36 -End of training - Time 0.17 sec -Model fitting time 0.1728 sec -Total RS time 0.0214 sec -Optimization function time 0.5307 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3925 sec -MSLS time 0.4862 sec -Local search time 1.0445 sec -Total iteration time 1.2205 sec -End of training - Time 0.16 sec -Model fitting time 0.1609 sec -Total RS time 0.0215 sec -Optimization function time 0.5493 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3764 sec -MSLS time 0.4723 sec -Local search time 1.0502 sec -Total iteration time 2.4349 sec -End of training - Time 0.17 sec -Model fitting time 0.1683 sec -Total RS time 0.0217 sec -Optimization function time 0.5487 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3988 sec -MSLS time 0.4517 sec -Local search time 1.0269 sec -Total iteration time 3.6331 sec -End of training - Time 0.17 sec -Model fitting time 0.1742 sec -Total RS time 0.0214 sec -Optimization function time 0.5510 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4208 sec -MSLS time 0.5203 sec -Local search time 1.1003 sec -Total iteration time 4.9107 sec -End of training - Time 0.16 sec -Model fitting time 0.1575 sec -Total RS time 0.0215 sec -Optimization function time 0.5392 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4447 sec -MSLS time 0.5402 sec -Local search time 1.1097 sec -Total iteration time 6.1821 sec -End of training - Time 0.16 sec -Model fitting time 0.1643 sec -Total RS time 0.0221 sec -Optimization function time 0.5445 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3900 sec -MSLS time 0.5016 sec -Local search time 1.0746 sec -Total iteration time 7.4240 sec -End of training - Time 0.16 sec -Model fitting time 0.1625 sec -Total RS time 0.0230 sec -Optimization function time 0.5801 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4433 sec -MSLS time 0.5425 sec -Local search time 1.1536 sec -Total iteration time 8.7442 sec -End of training - Time 0.17 sec -Model fitting time 0.1675 sec -Total RS time 0.0225 sec -Optimization function time 0.5529 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4325 sec -MSLS time 0.4853 sec -Local search time 1.0683 sec -Total iteration time 9.9846 sec -End of training - Time 0.18 sec -Model fitting time 0.1789 sec -Total RS time 0.0218 sec -Optimization function time 0.5371 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4063 sec -MSLS time 0.4648 sec -Local search time 1.0291 sec -Total iteration time 11.1974 sec -End of training - Time 0.18 sec -Model fitting time 0.1787 sec -Total RS time 0.0232 sec -Optimization function time 0.5569 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4031 sec -MSLS time 0.5249 sec -Local search time 1.1099 sec -x1,x2,f1,f2,Timestamp -0.9952072420887216,0.7145932340815457,29.89127686496372,30.89127686496372,357176 -0.9952589278077927,0.7173212501487638,29.869446605873783,30.869446605873783,357176 -0.9951867368395525,0.7140684034661863,29.895671513102787,30.895671513102787,357176 -1,0.7165242378558694,29.78893165621093,30.78893165621093,357176 -1,0.714798355954641,29.802124206963228,30.802124206963228,357176 -1,0.7178150175000214,29.779068898141425,30.779068898141425,357176 -0.9960045907758766,0.7168373551101422,29.859527737142812,30.859527737142812,357176 -1,0.7181025789738962,29.77687211465983,30.77687211465983,357176 -1,0.7138909574412096,29.80906270305305,30.80906270305305,357176 -0.9967174987768059,0.7095239969777373,29.902507055125433,30.902507055125433,357176 - -Black box function time 0.0008 sec -Total iteration time 12.4878 sec -Starting optimization iteration 37 -End of training - Time 0.17 sec -Model fitting time 0.1677 sec -Total RS time 0.0229 sec -Optimization function time 0.5703 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4161 sec -MSLS time 0.5147 sec -Local search time 1.1150 sec -Total iteration time 1.2871 sec -End of training - Time 0.19 sec -Model fitting time 0.1862 sec -Total RS time 0.0226 sec -Optimization function time 0.5544 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3988 sec -MSLS time 0.4503 sec -Local search time 1.0345 sec -Total iteration time 2.5126 sec -End of training - Time 0.18 sec -Model fitting time 0.1836 sec -Total RS time 0.0220 sec -Optimization function time 0.5435 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4075 sec -MSLS time 0.4639 sec -Local search time 1.0536 sec -Total iteration time 3.7545 sec -End of training - Time 0.18 sec -Model fitting time 0.1792 sec -Total RS time 0.0219 sec -Optimization function time 0.5598 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4089 sec -MSLS time 0.4658 sec -Local search time 1.0552 sec -Total iteration time 4.9934 sec -End of training - Time 0.20 sec -Model fitting time 0.1982 sec -Total RS time 0.0220 sec -Optimization function time 0.5350 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3809 sec -MSLS time 0.4807 sec -Local search time 1.0437 sec -Total iteration time 6.2386 sec -End of training - Time 0.17 sec -Model fitting time 0.1664 sec -Total RS time 0.0219 sec -Optimization function time 0.5513 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3858 sec -MSLS time 0.4359 sec -Local search time 1.0184 sec -Total iteration time 7.4366 sec -End of training - Time 0.20 sec -Model fitting time 0.1962 sec -Total RS time 0.0214 sec -Optimization function time 0.5394 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4048 sec -MSLS time 0.4523 sec -Local search time 1.0179 sec -Total iteration time 8.6535 sec -End of training - Time 0.17 sec -Model fitting time 0.1750 sec -0.0981798760664725 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 8.8312 sec -End of training - Time 0.17 sec -Model fitting time 0.1688 sec -Total RS time 0.0218 sec -Optimization function time 0.5231 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4425 sec -MSLS time 0.5421 sec -Local search time 1.0941 sec -Total iteration time 10.0983 sec -End of training - Time 0.18 sec -Model fitting time 0.1819 sec -Total RS time 0.0219 sec -Optimization function time 0.5315 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4091 sec -MSLS time 0.5144 sec -Local search time 1.0745 sec -x1,x2,f1,f2,Timestamp -1,0.9639028146741281,27.959605893368874,28.959605893368874,368533 -0.6338261290059504,0.9639302532777138,34.37695999602474,35.37695999602474,368533 -0.6320817554356898,0.9560388934864831,34.471679107620474,35.471679107620474,368533 -0.6299851515541808,0.9670816631151438,34.41779732835838,35.41779732835838,368533 -0.6274553446234298,1,34.19373976020121,35.19373976020121,368533 -0.6319859522315326,0.9526720366612628,34.50089211147363,35.50089211147363,368533 -0.6209437313893089,1,34.30584934588587,35.30584934588587,368533 -0.09949542185935446,0.28207807110349786,50.47766490910111,51.47766490910111,368533 -0.6192551150746295,0.964791742302168,34.62232070356479,35.62232070356479,368533 -0.09943467583295355,0.27508745832445997,50.55657840778235,51.55657840778235,368533 - -Black box function time 0.0010 sec -Total iteration time 11.3567 sec -Starting optimization iteration 38 -End of training - Time 0.20 sec -Model fitting time 0.2052 sec -Total RS time 0.0218 sec -Optimization function time 0.5429 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3917 sec -MSLS time 0.4877 sec -Local search time 1.0580 sec -Total iteration time 1.2663 sec -End of training - Time 0.17 sec -Model fitting time 0.1732 sec -Total RS time 0.0235 sec -Optimization function time 0.5578 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3855 sec -MSLS time 0.5009 sec -Local search time 1.0878 sec -Total iteration time 2.5308 sec -End of training - Time 0.17 sec -Model fitting time 0.1695 sec -Total RS time 0.0226 sec -Optimization function time 0.5631 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3680 sec -MSLS time 0.4677 sec -Local search time 1.0616 sec -Total iteration time 3.7661 sec -End of training - Time 0.17 sec -Model fitting time 0.1731 sec -Total RS time 0.0216 sec -Optimization function time 0.5859 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3807 sec -MSLS time 0.4806 sec -Local search time 1.0959 sec -Total iteration time 5.0396 sec -End of training - Time 0.17 sec -Model fitting time 0.1725 sec -Total RS time 0.0215 sec -Optimization function time 0.5251 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3919 sec -MSLS time 0.4473 sec -Local search time 0.9991 sec -Total iteration time 6.2144 sec -End of training - Time 0.18 sec -Model fitting time 0.1798 sec -Total RS time 0.0219 sec -Optimization function time 0.5254 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4522 sec -MSLS time 0.5502 sec -Local search time 1.1018 sec -Total iteration time 7.4990 sec -End of training - Time 0.17 sec -Model fitting time 0.1715 sec -0.05082514411948613 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 7.6732 sec -End of training - Time 0.17 sec -Model fitting time 0.1667 sec -0.0167151584653229 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 7.8425 sec -End of training - Time 0.17 sec -Model fitting time 0.1686 sec -0.029608341100768043 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 8.0138 sec -End of training - Time 0.17 sec -Model fitting time 0.1698 sec -Total RS time 0.0212 sec -Optimization function time 0.5479 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3922 sec -MSLS time 0.5309 sec -Local search time 1.1040 sec -x1,x2,f1,f2,Timestamp -0.9984379801508327,0.9669116336420699,27.96560247356481,28.96560247356481,377822 -0.9984379801508327,0.9736718858847176,27.917342032133064,28.917342032133064,377822 -0.9984379801508327,1,27.730260671680426,28.730260671680426,377822 -0.9984379801508327,0.9937915045663176,27.774251861283794,28.774251861283794,377822 -1,0.9940156289816268,27.74528240288034,28.74528240288034,377822 -0.9994135109782172,0.9912619295427021,27.775090705411994,28.775090705411994,377822 -0.19089560050789892,0.49791388554995336,46.49862540221113,47.49862540221113,377822 -0.21090637917176502,0.8305345020709033,42.81052996787875,43.81052996787875,377822 -0.05323425486191736,0.15727381549197872,52.74730452379179,53.74730452379179,377822 -0.05515987314816939,0.15884570879157064,52.693236091148215,53.693236091148215,377822 - -Black box function time 0.0006 sec -Total iteration time 9.2891 sec -Starting optimization iteration 39 -End of training - Time 0.17 sec -Model fitting time 0.1723 sec -Total RS time 0.0216 sec -Optimization function time 0.5332 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4311 sec -MSLS time 0.5298 sec -Local search time 1.0894 sec -Total iteration time 1.2650 sec -End of training - Time 0.18 sec -Model fitting time 0.1799 sec -Total RS time 0.0226 sec -Optimization function time 0.5552 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3733 sec -MSLS time 0.4716 sec -Local search time 1.0570 sec -Total iteration time 2.5063 sec -End of training - Time 0.18 sec -Model fitting time 0.1784 sec -Total RS time 0.0213 sec -Optimization function time 0.5333 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3904 sec -MSLS time 0.4943 sec -Local search time 1.0569 sec -Total iteration time 3.7460 sec -End of training - Time 0.22 sec -Model fitting time 0.2205 sec -Total RS time 0.0217 sec -Optimization function time 0.5356 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4128 sec -MSLS time 0.4641 sec -Local search time 1.0263 sec -Total iteration time 4.9978 sec -End of training - Time 0.20 sec -Model fitting time 0.1989 sec -Total RS time 0.0228 sec -Optimization function time 0.5428 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4137 sec -MSLS time 0.4731 sec -Local search time 1.0553 sec -Total iteration time 6.2573 sec -End of training - Time 0.19 sec -Model fitting time 0.1874 sec -0.09343244606062517 < 0.1 random sampling a configuration to run -Local search time 0.0005 sec -Total iteration time 6.4474 sec -End of training - Time 0.17 sec -Model fitting time 0.1714 sec -Total RS time 0.0217 sec -Optimization function time 0.5318 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4262 sec -MSLS time 0.5487 sec -Local search time 1.1073 sec -Total iteration time 7.7289 sec -End of training - Time 0.17 sec -Model fitting time 0.1745 sec -Total RS time 0.0216 sec -Optimization function time 0.5455 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3593 sec -MSLS time 0.4651 sec -Local search time 1.0398 sec -Total iteration time 8.9471 sec -End of training - Time 0.22 sec -Model fitting time 0.2190 sec -Total RS time 0.0219 sec -Optimization function time 0.5520 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4077 sec -MSLS time 0.4804 sec -Local search time 1.0597 sec -Total iteration time 10.2300 sec -End of training - Time 0.20 sec -Model fitting time 0.2006 sec -Total RS time 0.0227 sec -Optimization function time 0.5264 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3701 sec -MSLS time 0.4690 sec -Local search time 1.0262 sec -x1,x2,f1,f2,Timestamp -1,0.9163646345362326,28.301643228158277,29.301643228158277,389281 -1,0.9131403840832752,28.32500538968595,29.32500538968595,389281 -0.8838727102171013,0.9163154903310934,30.361670262118466,31.361670262118466,389281 -1,0.9126597760398324,28.32848954327688,29.32848954327688,389281 -0.8840552253717082,0.9163165817835627,30.358427064301353,31.358427064301353,389281 -0.9524774653017268,0.6451918716161565,31.21667570490743,32.21667570490743,389281 -0.9496880008907359,0.6442498528895673,31.27565840182873,32.27565840182873,389281 -0.9508976292017859,0.645393226376965,31.244261166475063,32.24426116647506,389281 -0.9896613909209232,0.643603595835757,30.542423522890974,31.542423522890974,389281 -0.955418462794944,0.6417401505045125,31.18965166720236,32.189651667202355,389281 - -Black box function time 0.0032 sec -Total iteration time 11.4611 sec -Starting optimization iteration 40 -End of training - Time 0.18 sec -Model fitting time 0.1834 sec -Total RS time 0.0223 sec -Optimization function time 0.5565 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3938 sec -MSLS time 0.4539 sec -Local search time 1.0403 sec -Total iteration time 1.2281 sec -End of training - Time 0.20 sec -Model fitting time 0.1987 sec -Total RS time 0.0221 sec -Optimization function time 0.5527 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4297 sec -MSLS time 0.5304 sec -Local search time 1.1116 sec -Total iteration time 2.5429 sec -End of training - Time 0.18 sec -Model fitting time 0.1797 sec -Total RS time 0.0219 sec -Optimization function time 0.5387 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4342 sec -MSLS time 0.5517 sec -Local search time 1.1166 sec -Total iteration time 3.8422 sec -End of training - Time 0.18 sec -Model fitting time 0.1788 sec -Total RS time 0.0219 sec -Optimization function time 0.5207 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3849 sec -MSLS time 0.4631 sec -Local search time 1.0146 sec -Total iteration time 5.0402 sec -End of training - Time 0.19 sec -Model fitting time 0.1928 sec -Total RS time 0.0229 sec -Optimization function time 0.5607 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4125 sec -MSLS time 0.4670 sec -Local search time 1.0599 sec -Total iteration time 6.2974 sec -End of training - Time 0.21 sec -Model fitting time 0.2093 sec -Total RS time 0.0217 sec -Optimization function time 0.5140 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4046 sec -MSLS time 0.4587 sec -Local search time 0.9992 sec -Total iteration time 7.5107 sec -End of training - Time 0.21 sec -Model fitting time 0.2057 sec -Total RS time 0.0225 sec -Optimization function time 0.5424 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4440 sec -MSLS time 0.5347 sec -Local search time 1.1048 sec -Total iteration time 8.8240 sec -End of training - Time 0.18 sec -Model fitting time 0.1784 sec -Total RS time 0.0213 sec -Optimization function time 0.5497 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4146 sec -MSLS time 0.5328 sec -Local search time 1.1104 sec -Total iteration time 10.1162 sec -End of training - Time 0.19 sec -Model fitting time 0.1860 sec -Total RS time 0.0234 sec -Optimization function time 0.5062 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4278 sec -MSLS time 0.5277 sec -Local search time 1.0630 sec -Total iteration time 11.3683 sec -End of training - Time 0.18 sec -Model fitting time 0.1760 sec -Total RS time 0.0210 sec -Optimization function time 0.5354 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4042 sec -MSLS time 0.5010 sec -Local search time 1.0627 sec -x1,x2,f1,f2,Timestamp -0.9921840866042052,0.954985333640214,28.161243350023067,29.161243350023067,401892 -0.9921005064241342,0.9832076230774328,27.960697651498098,28.960697651498098,401892 -0.9950740007015519,0.9545682087393815,28.1132776600121,29.1132776600121,401892 -1,0.9545682087393815,28.026411793029904,29.026411793029904,401892 -0.9921840866042052,1,27.839787286168463,28.839787286168463,401892 -1,0.9536324109975931,28.0331187205176,29.0331187205176,401892 -0.9939453809623023,0.9516505533199431,28.15414454452798,29.15414454452798,401892 -0.9924757975291827,0.9548805264558419,28.156852400399067,29.156852400399067,401892 -1,0.9510191863306049,28.051857157813863,29.051857157813863,401892 -0.9926985586009087,0.952262844016437,28.1717390496115,29.1717390496115,401892 - -Black box function time 0.0010 sec -Total iteration time 12.6089 sec -Starting optimization iteration 41 -End of training - Time 0.18 sec -Model fitting time 0.1830 sec -Total RS time 0.0218 sec -Optimization function time 0.5297 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3739 sec -MSLS time 0.4712 sec -Local search time 1.0306 sec -Total iteration time 1.2175 sec -End of training - Time 0.18 sec -Model fitting time 0.1820 sec -Total RS time 0.0216 sec -Optimization function time 0.5575 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4147 sec -MSLS time 0.4661 sec -Local search time 1.0535 sec -Total iteration time 2.4576 sec -End of training - Time 0.21 sec -Model fitting time 0.2056 sec -Total RS time 0.0244 sec -Optimization function time 0.5942 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3810 sec -MSLS time 0.4827 sec -Local search time 1.1068 sec -Total iteration time 3.7733 sec -End of training - Time 0.18 sec -Model fitting time 0.1800 sec -Total RS time 0.0218 sec -Optimization function time 0.5563 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3952 sec -MSLS time 0.4462 sec -Local search time 1.0292 sec -Total iteration time 4.9854 sec -End of training - Time 0.18 sec -Model fitting time 0.1852 sec -Total RS time 0.0220 sec -Optimization function time 0.5409 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4046 sec -MSLS time 0.4610 sec -Local search time 1.0287 sec -Total iteration time 6.2074 sec -End of training - Time 0.25 sec -Model fitting time 0.2542 sec -Total RS time 0.0231 sec -Optimization function time 0.5316 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3831 sec -MSLS time 0.4302 sec -Local search time 0.9901 sec -Total iteration time 7.4547 sec -End of training - Time 0.21 sec -Model fitting time 0.2063 sec -0.08137656469447696 < 0.1 random sampling a configuration to run -Local search time 0.0005 sec -Total iteration time 7.6641 sec -End of training - Time 0.18 sec -Model fitting time 0.1810 sec -Total RS time 0.0228 sec -Optimization function time 0.5666 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4106 sec -MSLS time 0.5149 sec -Local search time 1.1112 sec -Total iteration time 8.9609 sec -End of training - Time 0.23 sec -Model fitting time 0.2284 sec -Total RS time 0.0215 sec -Optimization function time 0.5620 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4240 sec -MSLS time 0.4853 sec -Local search time 1.0762 sec -Total iteration time 10.2702 sec -End of training - Time 0.20 sec -Model fitting time 0.1998 sec -Total RS time 0.0221 sec -Optimization function time 0.5388 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4167 sec -MSLS time 0.5328 sec -Local search time 1.0997 sec -x1,x2,f1,f2,Timestamp -1,0.96210257848041,27.97247625855423,28.97247625855423,413464 -1,0.9622153784463369,27.97166963138978,28.97166963138978,413464 -0.9657983372177982,0.9618019690176836,28.577152106904077,29.577152106904077,413464 -0.9670931033289285,0.9621284642853487,28.551977032577202,29.551977032577202,413464 -1,0.9620165440623922,27.97309150367159,28.97309150367159,413464 -0.9876363015877624,0.9621284642853487,28.190073516032022,29.190073516032022,413464 -0.9887213922976403,0.8941601017756241,28.663667580558766,29.663667580558766,413464 -0.9885844063928739,0.961955106161249,28.174617066396657,29.174617066396657,413464 -1,0.9618019690176836,27.974626026727698,28.974626026727698,413464 -0.9651284297412157,0.9621708795491939,28.586282078006732,29.586282078006732,413464 - -Black box function time 0.0007 sec -Total iteration time 11.5714 sec -Starting optimization iteration 42 -End of training - Time 0.19 sec -Model fitting time 0.1862 sec -Total RS time 0.0218 sec -Optimization function time 0.5331 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3955 sec -MSLS time 0.4450 sec -Local search time 1.0047 sec -Total iteration time 1.1939 sec -End of training - Time 0.19 sec -Model fitting time 0.1886 sec -Total RS time 0.0217 sec -Optimization function time 0.5250 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4277 sec -MSLS time 0.4958 sec -Local search time 1.0502 sec -Total iteration time 2.4372 sec -End of training - Time 0.20 sec -Model fitting time 0.1969 sec -0.04179597123594192 < 0.1 random sampling a configuration to run -Local search time 0.0005 sec -Total iteration time 2.6370 sec -End of training - Time 0.19 sec -Model fitting time 0.1913 sec -Total RS time 0.0214 sec -Optimization function time 0.5518 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4326 sec -MSLS time 0.4813 sec -Local search time 1.0593 sec -Total iteration time 3.8908 sec -End of training - Time 0.20 sec -Model fitting time 0.1972 sec -Total RS time 0.0363 sec -Optimization function time 0.5418 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4139 sec -MSLS time 0.5144 sec -Local search time 1.0979 sec -Total iteration time 5.1893 sec -End of training - Time 0.19 sec -Model fitting time 0.1906 sec -Total RS time 0.0216 sec -Optimization function time 0.5489 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3855 sec -MSLS time 0.5210 sec -Local search time 1.0965 sec -Total iteration time 6.4792 sec -End of training - Time 0.19 sec -Model fitting time 0.1883 sec -Total RS time 0.0217 sec -Optimization function time 0.5463 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4118 sec -MSLS time 0.4706 sec -Local search time 1.0466 sec -Total iteration time 7.7189 sec -End of training - Time 0.20 sec -Model fitting time 0.2053 sec -Total RS time 0.0216 sec -Optimization function time 0.5361 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4075 sec -MSLS time 0.4576 sec -Local search time 1.0205 sec -Total iteration time 8.9477 sec -End of training - Time 0.20 sec -Model fitting time 0.1979 sec -Total RS time 0.0218 sec -Optimization function time 0.5823 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3937 sec -MSLS time 0.4918 sec -Local search time 1.1027 sec -Total iteration time 10.2529 sec -End of training - Time 0.20 sec -Model fitting time 0.1975 sec -Total RS time 0.0213 sec -Optimization function time 0.5770 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4062 sec -MSLS time 0.5062 sec -Local search time 1.1111 sec -x1,x2,f1,f2,Timestamp -0.9895485468906516,0.9413823530745647,28.30575311655522,29.30575311655522,425027 -1,0.9413823530745647,28.121077264976364,29.121077264976364,425027 -0.6832616020215344,0.3951556668237864,38.402028540789814,39.402028540789814,425027 -0.690597641374576,0.39422875367429994,38.27095737102406,39.27095737102406,425027 -0.6828889393485204,0.402779520374294,38.33936595349922,39.33936595349922,425027 -0.6909436599653004,0.4056782460099411,38.159907146223915,39.159907146223915,425027 -0.6887143969858638,0.4122997513921107,38.14191325540434,39.14191325540434,425027 -0.6903907835569102,0.39068217999538857,38.30731135244279,39.30731135244279,425027 -0.6890115067953166,0.3946909067556427,38.296911951732106,39.296911951732106,425027 -0.6939334243783597,0.4151911879076665,38.016593032247336,39.016593032247336,425027 - -Black box function time 0.0015 sec -Total iteration time 11.5640 sec -Starting optimization iteration 43 -End of training - Time 0.20 sec -Model fitting time 0.1955 sec -Total RS time 0.0213 sec -Optimization function time 0.5283 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4033 sec -MSLS time 0.4675 sec -Local search time 1.0233 sec -Total iteration time 1.2227 sec -End of training - Time 0.20 sec -Model fitting time 0.2041 sec -0.08291276585915264 < 0.1 random sampling a configuration to run -Local search time 0.0005 sec -Total iteration time 1.4298 sec -End of training - Time 0.19 sec -Model fitting time 0.1884 sec -Total RS time 0.0236 sec -Optimization function time 0.5479 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3987 sec -MSLS time 0.4988 sec -Local search time 1.0776 sec -Total iteration time 2.7000 sec -End of training - Time 0.24 sec -Model fitting time 0.2362 sec -Total RS time 0.0217 sec -Optimization function time 0.5516 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3832 sec -MSLS time 0.4801 sec -Local search time 1.0612 sec -Total iteration time 4.0021 sec -End of training - Time 0.20 sec -Model fitting time 0.1964 sec -Total RS time 0.0213 sec -Optimization function time 0.5466 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3996 sec -MSLS time 0.4973 sec -Local search time 1.0719 sec -Total iteration time 5.2751 sec -End of training - Time 0.20 sec -Model fitting time 0.2003 sec -Total RS time 0.0219 sec -Optimization function time 0.5486 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4050 sec -MSLS time 0.4564 sec -Local search time 1.0325 sec -Total iteration time 6.5112 sec -End of training - Time 0.21 sec -Model fitting time 0.2099 sec -Total RS time 0.0320 sec -Optimization function time 0.5838 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3788 sec -MSLS time 0.4777 sec -Local search time 1.1004 sec -Total iteration time 7.8287 sec -End of training - Time 0.20 sec -Model fitting time 0.1992 sec -0.08111691627673412 < 0.1 random sampling a configuration to run -Local search time 0.0005 sec -Total iteration time 8.0308 sec -End of training - Time 0.19 sec -Model fitting time 0.1921 sec -Total RS time 0.0211 sec -Optimization function time 0.5570 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3953 sec -MSLS time 0.4893 sec -Local search time 1.0728 sec -Total iteration time 9.2985 sec -End of training - Time 0.20 sec -Model fitting time 0.1953 sec -Total RS time 0.0220 sec -Optimization function time 0.5505 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3794 sec -MSLS time 0.4759 sec -Local search time 1.0556 sec -x1,x2,f1,f2,Timestamp -1,0.941597611176425,28.11952906624157,29.11952906624157,435579 -0.4631561032098779,0.15963957953969113,44.917008758331356,45.917008758331356,435579 -0.46235821643470376,0.16259791093204215,44.90212645459275,45.90212645459275,435579 -0.4632840582818779,0.1663059240782152,44.846164922114724,45.846164922114724,435579 -0.4617371873275241,0.23386933785726716,44.18798959052958,45.18798959052958,435579 -0.4607869033536422,0.1983011235131269,44.56743830053533,45.56743830053533,435579 -0.4608788283771181,0.28746509419118155,43.664923756523315,44.664923756523315,435579 -0.2631949582370336,0.802050798321345,42.196493249247986,43.196493249247986,435579 -0.26088029528251233,0.7991753115413557,42.26360396485681,43.26360396485681,435579 -0.4607869033536422,0.28741585130089153,43.66716470778369,44.66716470778369,435579 - -Black box function time 0.0017 sec -Total iteration time 10.5521 sec -Starting optimization iteration 44 -End of training - Time 0.20 sec -Model fitting time 0.1981 sec -Total RS time 0.0218 sec -Optimization function time 0.5588 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4182 sec -MSLS time 0.5222 sec -Local search time 1.1096 sec -Total iteration time 1.3122 sec -End of training - Time 0.24 sec -Model fitting time 0.2385 sec -Total RS time 0.0211 sec -Optimization function time 0.5522 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4408 sec -MSLS time 0.5415 sec -Local search time 1.1202 sec -Total iteration time 2.6743 sec -End of training - Time 0.20 sec -Model fitting time 0.1984 sec -Total RS time 0.0215 sec -Optimization function time 0.5684 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4507 sec -MSLS time 0.5007 sec -Local search time 1.0957 sec -Total iteration time 3.9714 sec -End of training - Time 0.20 sec -Model fitting time 0.2006 sec -Total RS time 0.0221 sec -Optimization function time 0.5595 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4158 sec -MSLS time 0.4780 sec -Local search time 1.0671 sec -Total iteration time 5.2436 sec -End of training - Time 0.22 sec -Model fitting time 0.2153 sec -Total RS time 0.0226 sec -Optimization function time 0.5353 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4318 sec -MSLS time 0.5317 sec -Local search time 1.0945 sec -Total iteration time 6.5568 sec -End of training - Time 0.21 sec -Model fitting time 0.2139 sec -Total RS time 0.0227 sec -Optimization function time 0.5521 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4360 sec -MSLS time 0.5427 sec -Local search time 1.1242 sec -Total iteration time 7.8984 sec -End of training - Time 0.27 sec -Model fitting time 0.2684 sec -Total RS time 0.0219 sec -Optimization function time 0.5827 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4118 sec -MSLS time 0.5082 sec -Local search time 1.1202 sec -Total iteration time 9.2909 sec -End of training - Time 0.20 sec -Model fitting time 0.2031 sec -Total RS time 0.0238 sec -Optimization function time 0.5523 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4129 sec -MSLS time 0.5132 sec -Local search time 1.0960 sec -Total iteration time 10.5936 sec -End of training - Time 0.20 sec -Model fitting time 0.2045 sec -Total RS time 0.0224 sec -Optimization function time 0.5534 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3969 sec -MSLS time 0.4973 sec -Local search time 1.0808 sec -Total iteration time 11.8835 sec -End of training - Time 0.20 sec -Model fitting time 0.2020 sec -Total RS time 0.0219 sec -Optimization function time 0.5429 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3878 sec -MSLS time 0.4400 sec -Local search time 1.0102 sec -x1,x2,f1,f2,Timestamp -0.9895656763554924,0.9700860220678624,28.099023638145805,29.099023638145805,448678 -0.9901656068112863,0.9701979025925546,28.087667202535393,29.087667202535393,448678 -0.9973639582093933,0.9700860220678624,27.961824546604753,28.961824546604753,448678 -1,0.9700860220678624,27.915449869912116,28.915449869912116,448678 -0.9938555775835526,0.9701757755882636,28.022906093787128,29.022906093787128,448678 -1,0.9701979025925546,27.91465160390883,28.91465160390883,448678 -0.9897723842629501,0.9702234332451439,28.094402619301064,29.094402619301064,448678 -0.9896880598423844,0.9700303625507386,28.09726907828526,29.09726907828526,448678 -0.9973330292142525,0.9701498743818029,27.961912631865157,28.961912631865157,448678 -1,0.9702256656262642,27.91445351892249,28.91445351892249,448678 - -Black box function time 0.0010 sec -Total iteration time 13.0977 sec -Starting optimization iteration 45 -End of training - Time 0.22 sec -Model fitting time 0.2252 sec -Total RS time 0.0219 sec -Optimization function time 0.5603 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4227 sec -MSLS time 0.5221 sec -Local search time 1.1112 sec -Total iteration time 1.3409 sec -End of training - Time 0.20 sec -Model fitting time 0.2028 sec -Total RS time 0.0216 sec -Optimization function time 0.5538 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4094 sec -MSLS time 0.5309 sec -Local search time 1.1105 sec -Total iteration time 2.6570 sec -End of training - Time 0.20 sec -Model fitting time 0.1985 sec -Total RS time 0.0222 sec -Optimization function time 0.5486 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4037 sec -MSLS time 0.5042 sec -Local search time 1.0829 sec -Total iteration time 3.9427 sec -End of training - Time 0.20 sec -Model fitting time 0.1996 sec -Total RS time 0.0214 sec -Optimization function time 0.5407 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4728 sec -MSLS time 0.5315 sec -Local search time 1.0988 sec -Total iteration time 5.2443 sec -End of training - Time 0.22 sec -Model fitting time 0.2185 sec -Total RS time 0.0226 sec -Optimization function time 0.5562 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4195 sec -MSLS time 0.5498 sec -Local search time 1.1337 sec -Total iteration time 6.5998 sec -End of training - Time 0.20 sec -Model fitting time 0.2013 sec -Total RS time 0.0217 sec -Optimization function time 0.5563 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3936 sec -MSLS time 0.5081 sec -Local search time 1.0946 sec -Total iteration time 7.8992 sec -End of training - Time 0.22 sec -Model fitting time 0.2218 sec -Total RS time 0.0237 sec -Optimization function time 0.5803 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4258 sec -MSLS time 0.5563 sec -Local search time 1.1645 sec -Total iteration time 9.2886 sec -End of training - Time 0.20 sec -Model fitting time 0.2013 sec -Total RS time 0.0214 sec -Optimization function time 0.5501 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4046 sec -MSLS time 0.5032 sec -Local search time 1.0818 sec -Total iteration time 10.5755 sec -End of training - Time 0.20 sec -Model fitting time 0.2030 sec -Total RS time 0.0223 sec -Optimization function time 0.5535 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4001 sec -MSLS time 0.4782 sec -Local search time 1.0602 sec -Total iteration time 11.8435 sec -End of training - Time 0.20 sec -Model fitting time 0.2049 sec -Total RS time 0.0225 sec -Optimization function time 0.5580 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4622 sec -MSLS time 0.5572 sec -Local search time 1.1432 sec -x1,x2,f1,f2,Timestamp -1,0.9530436304291846,28.037339449435336,29.037339449435336,461871 -1,0.9530224318558538,28.037491426334046,29.037491426334046,461871 -1,0.9534461554975602,28.034453835626522,29.034453835626522,461871 -0.09807219423560588,0.9534030053497319,43.48521746442801,44.48521746442801,461871 -0.09836229871624674,1,43.02683100964267,44.02683100964267,461871 -0.12429623479038239,0.9982521279425477,42.62490204678469,43.62490204678469,461871 -0.10798029737983336,0.9969744021473529,42.900944038944786,43.900944038944786,461871 -0.10326401008924427,0.9985180487783047,42.96213865629657,43.96213865629657,461871 -0.10651141624304945,0.9528268226363923,43.35351500596058,44.35351500596058,461871 -0.09782998360316522,0.9532799342593448,43.49036070259318,44.49036070259318,461871 - -Black box function time 0.0008 sec -Total iteration time 13.1935 sec -Starting optimization iteration 46 -End of training - Time 0.20 sec -Model fitting time 0.2044 sec -Total RS time 0.0218 sec -Optimization function time 0.5782 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4256 sec -MSLS time 0.4836 sec -Local search time 1.0927 sec -Total iteration time 1.3021 sec -End of training - Time 0.23 sec -Model fitting time 0.2258 sec -Total RS time 0.0221 sec -Optimization function time 0.5560 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4418 sec -MSLS time 0.5463 sec -Local search time 1.1310 sec -Total iteration time 2.6627 sec -End of training - Time 0.26 sec -Model fitting time 0.2570 sec -0.08211441906921813 < 0.1 random sampling a configuration to run -Local search time 0.0005 sec -Total iteration time 2.9227 sec -End of training - Time 0.20 sec -Model fitting time 0.1996 sec -0.05480767835300637 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 3.1250 sec -End of training - Time 0.20 sec -Model fitting time 0.2005 sec -Total RS time 0.0221 sec -Optimization function time 0.5494 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4101 sec -MSLS time 0.5080 sec -Local search time 1.0875 sec -Total iteration time 4.4174 sec -End of training - Time 0.21 sec -Model fitting time 0.2121 sec -Total RS time 0.0222 sec -Optimization function time 0.5715 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4326 sec -MSLS time 0.5736 sec -Local search time 1.1728 sec -Total iteration time 5.8062 sec -End of training - Time 0.24 sec -Model fitting time 0.2409 sec -0.060173129223032196 < 0.1 random sampling a configuration to run -Local search time 0.0005 sec -Total iteration time 6.0502 sec -End of training - Time 0.21 sec -Model fitting time 0.2052 sec -0.05495454641958675 < 0.1 random sampling a configuration to run -Local search time 0.0006 sec -Total iteration time 6.2586 sec -End of training - Time 0.23 sec -Model fitting time 0.2326 sec -Total RS time 0.0325 sec -Optimization function time 0.5519 sec -{'application_name': 'test', 'optimization_objectives': ['Value', 'Energy'], 'optimization_iterations': 10, 'evaluations_per_optimization_iteration': 10, 'input_parameters': {'x1': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}, 'x2': {'parameter_type': 'real', 'values': [0, 1], 'prior': 'uniform', 'custom_gaussian_prior_means': False, 'custom_gaussian_prior_stds': False}}, 'log_file': 'hypermapper_logfile.log', 'verbose_logging': False, 'profiling': False, 'noise': True, 'profiling_file': 'profiles/profile.csv', 'append_profiles': False, 'number_of_cpus': 0, 'max_number_of_predictions': 1000000, 'time_budget': -1, 'number_of_repetitions': 1, 'hypermapper_mode': {'mode': 'default'}, 'models': {'model': 'random_forest', 'number_of_trees': 10, 'max_features': 0.5, 'bootstrap': False, 'min_samples_split': 5}, 'output_image': {'output_image_pdf_file': 'output_pareto.pdf', 'image_xlog': False, 'image_ylog': False}, 'feasible_output': {'name': 'Valid', 'true_value': 'True', 'false_value': 'False', 'enable_feasible_predictor': False, 'enable_feasible_predictor_grid_search_on_recall_and_precision': False, 'feasible_predictor_grid_search_validation_file': '/home/lnardi/spatial-lang/results/apps_classification_test_set/BlackScholes.csv'}, 'timestamp': 'Timestamp', 'run_directory': '.', 'output_data_file': 'output_samples.csv', 'output_pareto_file': 'output_pareto.csv', 'design_of_experiment': {'doe_type': 'random sampling', 'number_of_samples': 10}, 'acquisition_function': 'EI', 'scalarization_method': 'tchebyshev', 'weight_sampling': 'flat', 'bounding_box_limits': [0, 1], 'optimization_method': 'bayesian_optimization', 'local_search_starting_points': 10, 'local_search_random_points': 10000, 'local_search_evaluation_limit': -1, 'scalarization_key': 'scalarization', 'local_search_scalarization_weights': [1], 'print_parameter_importance': False, 'normalize_inputs': False, 'epsilon_greedy_threshold': 0.1, 'prior_method': 'pibo', 'prior_beta': -1, 'prior_floor': 1e-06, 'model_posterior_weight': 10, 'model_good_quantile': 0.05, 'prior_estimation_file': 'samples.csv', 'prior_estimation_quantile': 0.1, 'estimate_multivariate_priors': False, 'resume_optimization': False, 'resume_optimization_data': 'output_samples.csv', 'bandwidth_parameter': 0, 'bandwidth_n_factor': 100, 'prior_limit_estimation_points': 10000, 'posterior_computation_lower_limit': 1e-08, 'custom_gaussian_prior_means': [0], 'custom_gaussian_prior_stds': [-1], 'acquisition_function_optimizer': 'local_search', 'evolution_population_size': 50, 'evolution_generations': 150, 'mutation_rate': 1, 'evolution_crossover': False, 'regularize_evolution': False, 'batch_size': 2, 'print_best': 'auto', 'print_posterior_best': False, 'cma_es_sigma': 0.2, 'cma_es_starting_points': 1, 'cma_es_random_points': 10000} -Design of experiment phase, number of new doe samples = 10 ....... -x1,x2,Value,Energy,Timestamp -0.8239476685195956,0.7085343609669257,33.07011178773364,1.5324820294865211,1 -0.6311429366613207,0.511471712339945,38.32299398806657,1.1426146490012656,1 -0.4384703271558135,0.08498352040163487,46.17239832859195,0.5234538475574484,1 -0.690818092677685,0.25330423054284634,39.574094992594176,0.9441223232205314,1 -0.4710339242464425,0.7029188070685253,39.49685471821011,1.1739527313149678,1 -0.32370623016242867,0.33105328959215446,45.80428310339347,0.6547595197545831,1 -0.7436452672003222,0.05727308718102165,40.40208775185947,0.8009183543813438,1 -0.032858622783933075,0.752738573344585,46.58604317068568,0.7855971961285181,1 -0.5331999390388923,0.24103886335696284,42.74274143111486,0.7742388023958551,1 -0.4784464472078061,0.46023835408515795,41.63944264956219,0.938684801292964,1 - - -End of doe/resume phase, the number of evaluated configurations is: 10 - -End of DoE - Time 0.0010 sec -Starting optimization iteration 1 -End of training - Time 0.02 sec -Model fitting time 0.0157 sec -Total RS time 0.0240 sec -Optimization function time 0.4799 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4317 sec -MSLS time 0.5106 sec -Local search time 1.0192 sec -Total iteration time 1.0372 sec -End of training - Time 0.02 sec -Model fitting time 0.0163 sec -Total RS time 0.0225 sec -Optimization function time 0.4797 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3762 sec -MSLS time 0.4361 sec -Local search time 0.9515 sec -Total iteration time 2.0099 sec -End of training - Time 0.02 sec -Model fitting time 0.0252 sec -Total RS time 0.0249 sec -Optimization function time 0.5747 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4234 sec -MSLS time 0.5223 sec -Local search time 1.1276 sec -Total iteration time 3.1655 sec -End of training - Time 0.02 sec -Model fitting time 0.0185 sec -Total RS time 0.0219 sec -Optimization function time 0.4539 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3850 sec -MSLS time 0.4874 sec -Local search time 0.9694 sec -Total iteration time 4.1568 sec -End of training - Time 0.03 sec -Model fitting time 0.0294 sec -Total RS time 0.0433 sec -Optimization function time 0.5319 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3743 sec -MSLS time 0.4759 sec -Local search time 1.0581 sec -Total iteration time 5.2483 sec -End of training - Time 0.03 sec -Model fitting time 0.0294 sec -Total RS time 0.0455 sec -Optimization function time 0.5035 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3852 sec -MSLS time 0.4821 sec -Local search time 1.0374 sec -Total iteration time 6.3176 sec -End of training - Time 0.02 sec -Model fitting time 0.0212 sec -Total RS time 0.0231 sec -Optimization function time 0.6055 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4246 sec -MSLS time 0.4788 sec -Local search time 1.1127 sec -Total iteration time 7.4540 sec -End of training - Time 0.03 sec -Model fitting time 0.0268 sec -Total RS time 0.0344 sec -Optimization function time 0.5067 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3463 sec -MSLS time 0.3946 sec -Local search time 0.9422 sec -Total iteration time 8.4270 sec -End of training - Time 0.04 sec -Model fitting time 0.0404 sec -Total RS time 0.0264 sec -Optimization function time 0.4958 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3733 sec -MSLS time 0.5154 sec -Local search time 1.0421 sec -Total iteration time 9.5118 sec -End of training - Time 0.02 sec -Model fitting time 0.0200 sec -Total RS time 0.0215 sec -Optimization function time 0.5217 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3687 sec -MSLS time 0.4181 sec -Local search time 0.9660 sec -x1,x2,Value,Energy,Timestamp -0.890609807333163,0.7199579131911491,31.76083378431751,1.610567720524312,10500 -1,0.9254831213491251,28.235685361386647,1.9254831213491252,10500 -1,0.8025539636450876,29.13887453431596,1.8025539636450876,10500 -1,0.7471776099077675,29.555611420946327,1.7471776099077676,10500 -0.9267329172501575,0.8208904397020677,30.320698851099056,1.747623356952225,10500 -0.9382977560022369,0.7351581247322021,30.771406899543962,1.6734558807344388,10500 -1,0.726641119815964,29.71171855285462,1.7266411198159641,10500 -0.9538838759039643,0.7127688966084804,30.660840269886716,1.6666527725124447,10500 -0.8755307157765523,0.7856249192957875,31.517032122372097,1.6611556350723398,10500 -1,0.7107585110993475,29.833027861839867,1.7107585110993475,10500 - -Black box function time 0.0017 sec -Total iteration time 10.4999 sec -Starting optimization iteration 2 -End of training - Time 0.07 sec -Model fitting time 0.0707 sec -Total RS time 0.0222 sec -Optimization function time 0.5216 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4168 sec -MSLS time 0.4704 sec -Local search time 1.0193 sec -Total iteration time 1.0943 sec -End of training - Time 0.04 sec -Model fitting time 0.0384 sec -0.03617729338806297 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 1.1350 sec -End of training - Time 0.02 sec -Model fitting time 0.0218 sec -Total RS time 0.0221 sec -Optimization function time 0.5037 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3684 sec -MSLS time 0.4932 sec -Local search time 1.0233 sec -Total iteration time 2.1823 sec -End of training - Time 0.03 sec -Model fitting time 0.0279 sec -Total RS time 0.0225 sec -Optimization function time 0.4959 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4184 sec -MSLS time 0.5758 sec -Local search time 1.0985 sec -Total iteration time 3.3110 sec -End of training - Time 0.02 sec -Model fitting time 0.0227 sec -Total RS time 0.0213 sec -Optimization function time 0.5191 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4422 sec -MSLS time 0.5105 sec -Local search time 1.0575 sec -Total iteration time 4.3948 sec -End of training - Time 0.03 sec -Model fitting time 0.0323 sec -Total RS time 0.0215 sec -Optimization function time 0.5048 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4088 sec -MSLS time 0.4564 sec -Local search time 0.9895 sec -Total iteration time 5.4257 sec -End of training - Time 0.05 sec -Model fitting time 0.0463 sec -Total RS time 0.0220 sec -Optimization function time 0.5223 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3595 sec -MSLS time 0.4566 sec -Local search time 1.0084 sec -Total iteration time 6.4841 sec -End of training - Time 0.02 sec -Model fitting time 0.0239 sec -Total RS time 0.0205 sec -Optimization function time 0.5152 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4004 sec -MSLS time 0.5030 sec -Local search time 1.0455 sec -Total iteration time 7.5573 sec -End of training - Time 0.04 sec -Model fitting time 0.0397 sec -Total RS time 0.0390 sec -Optimization function time 0.5264 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4207 sec -MSLS time 0.4725 sec -Local search time 1.0425 sec -Total iteration time 8.6419 sec -End of training - Time 0.02 sec -Model fitting time 0.0242 sec -Total RS time 0.0217 sec -Optimization function time 0.4813 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3459 sec -MSLS time 0.4426 sec -Local search time 0.9536 sec -x1,x2,Value,Energy,Timestamp -1,0.7526462979607367,29.5141836685508,1.7526462979607367,20121 -0.8236060552766329,0.47795349587095526,35.00565550949892,1.3015595511475881,20121 -0.82091385304231,0.4702730930584738,35.122681756251474,1.2911869461007839,20121 -0.8166346884426202,0.48624569849474625,35.066005049197344,1.3028803869373664,20121 -0.7938541769332,0.46581798191329316,35.67315512778902,1.2596721588464932,20121 -0.7977595151472295,0.4629562536130733,35.62416785324005,1.2607157687603028,20121 -0.7650826875247941,0.4844595959638887,36.05367561947372,1.249542283488683,20121 -0.9544932856280308,0.4618940374505295,32.662333898818744,1.4163873230785602,20121 -0.7587592765539837,0.49194441411414397,36.10726374698068,1.2507036906681277,20121 -0.7862915595301049,0.4612423742146781,35.856126669649484,1.247533933744783,20121 - -Black box function time 0.0048 sec -Total iteration time 9.6248 sec -Starting optimization iteration 3 -End of training - Time 0.03 sec -Model fitting time 0.0265 sec -0.0788703282915475 < 0.1 random sampling a configuration to run -Local search time 0.0001 sec -Total iteration time 0.0284 sec -End of training - Time 0.02 sec -Model fitting time 0.0242 sec -Total RS time 0.0211 sec -Optimization function time 0.5209 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3742 sec -MSLS time 0.4963 sec -Local search time 1.0440 sec -Total iteration time 1.0989 sec -End of training - Time 0.03 sec -Model fitting time 0.0279 sec -0.040167634123932205 < 0.1 random sampling a configuration to run -Local search time 0.0001 sec -Total iteration time 1.1287 sec -End of training - Time 0.03 sec -Model fitting time 0.0258 sec -Total RS time 0.0215 sec -Optimization function time 0.5034 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4097 sec -MSLS time 0.4630 sec -Local search time 0.9924 sec -Total iteration time 2.1496 sec -End of training - Time 0.04 sec -Model fitting time 0.0421 sec -Total RS time 0.0263 sec -Optimization function time 0.5056 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4213 sec -MSLS time 0.5206 sec -Local search time 1.0600 sec -Total iteration time 3.2557 sec -End of training - Time 0.03 sec -Model fitting time 0.0284 sec -Total RS time 0.0209 sec -Optimization function time 0.5004 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3918 sec -MSLS time 0.4865 sec -Local search time 1.0153 sec -Total iteration time 4.3032 sec -End of training - Time 0.03 sec -Model fitting time 0.0296 sec -Total RS time 0.0209 sec -Optimization function time 0.5074 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4001 sec -MSLS time 0.4508 sec -Local search time 0.9836 sec -Total iteration time 5.3191 sec -End of training - Time 0.05 sec -Model fitting time 0.0505 sec -Total RS time 0.0238 sec -Optimization function time 0.5065 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3961 sec -MSLS time 0.4477 sec -Local search time 0.9833 sec -Total iteration time 6.3555 sec -End of training - Time 0.06 sec -Model fitting time 0.0561 sec -Total RS time 0.0216 sec -Optimization function time 0.5096 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3951 sec -MSLS time 0.5046 sec -Local search time 1.0429 sec -Total iteration time 7.4577 sec -End of training - Time 0.04 sec -Model fitting time 0.0432 sec -Total RS time 0.0389 sec -Optimization function time 0.5162 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3831 sec -MSLS time 0.4758 sec -Local search time 1.0354 sec -x1,x2,Value,Energy,Timestamp -0.5573970615672109,0.6429890775790778,38.488990459025715,1.2003861391462887,28663 -0.4666913893933489,0.7051713300004399,39.55353752792997,1.1718627193937887,28663 -0.6498668779724773,0.9093133351236661,34.5447656604463,1.5591802130961434,28663 -0.45900547221753996,0.7057540761370483,39.684901720683214,1.1647595483545883,28663 -0.46811336874171244,0.6601335566725522,39.942635777328135,1.1282469254142646,28663 -0.5638270434586806,0.6607441664257283,38.212835695961445,1.224571209884409,28663 -0.470033299649372,0.7044680341895195,39.50049378097497,1.1745013338388914,28663 -0.44423054075108215,0.706326236810998,39.942095684818014,1.1505567775620802,28663 -0.5737249448423698,0.7064388327648107,37.62735181263574,1.2801637776071804,28663 -0.6016348500250397,0.7033184511083325,37.152299042804785,1.3049533011333723,28663 - -Black box function time 0.0007 sec -Total iteration time 8.5373 sec -Starting optimization iteration 4 -End of training - Time 0.03 sec -Model fitting time 0.0285 sec -Total RS time 0.0204 sec -Optimization function time 0.5064 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3503 sec -MSLS time 0.5128 sec -Local search time 1.0442 sec -Total iteration time 1.0748 sec -End of training - Time 0.03 sec -Model fitting time 0.0273 sec -Total RS time 0.0208 sec -Optimization function time 0.5037 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3871 sec -MSLS time 0.4374 sec -Local search time 0.9668 sec -Total iteration time 2.0717 sec -End of training - Time 0.06 sec -Model fitting time 0.0623 sec -Total RS time 0.0222 sec -Optimization function time 0.5138 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4005 sec -MSLS time 0.4503 sec -Local search time 0.9913 sec -Total iteration time 3.1296 sec -End of training - Time 0.06 sec -Model fitting time 0.0599 sec -Total RS time 0.0219 sec -Optimization function time 0.5046 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3911 sec -MSLS time 0.4864 sec -Local search time 1.0174 sec -Total iteration time 4.2090 sec -End of training - Time 0.03 sec -Model fitting time 0.0288 sec -Total RS time 0.0205 sec -Optimization function time 0.5149 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3964 sec -MSLS time 0.5146 sec -Local search time 1.0571 sec -Total iteration time 5.2979 sec -End of training - Time 0.05 sec -Model fitting time 0.0469 sec -Total RS time 0.0388 sec -Optimization function time 0.5115 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3971 sec -MSLS time 0.4881 sec -Local search time 1.0431 sec -Total iteration time 6.3902 sec -End of training - Time 0.03 sec -Model fitting time 0.0302 sec -Total RS time 0.0205 sec -Optimization function time 0.5176 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3838 sec -MSLS time 0.4814 sec -Local search time 1.0269 sec -Total iteration time 7.4505 sec -End of training - Time 0.03 sec -Model fitting time 0.0334 sec -Total RS time 0.0211 sec -Optimization function time 0.5379 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4096 sec -MSLS time 0.4781 sec -Local search time 1.0487 sec -Total iteration time 8.5368 sec -End of training - Time 0.05 sec -Model fitting time 0.0476 sec -Total RS time 0.0206 sec -Optimization function time 0.6431 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3665 sec -MSLS time 0.4215 sec -Local search time 1.0904 sec -Total iteration time 9.6776 sec -End of training - Time 0.05 sec -Model fitting time 0.0526 sec -Total RS time 0.0218 sec -Optimization function time 0.4932 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3793 sec -MSLS time 0.5122 sec -Local search time 1.0315 sec -x1,x2,Value,Energy,Timestamp -1,0.7599985177839338,29.458581584605454,1.759998517783934,39425 -1,0.7607149436179614,29.453169305024495,1.7607149436179614,39425 -0.9949570128145546,0.7623004562148235,29.53271059569371,1.757257469029378,39425 -0.9914032817114923,0.7609178969969012,29.60767774429081,1.7523211787083934,39425 -1,0.7623004562148235,29.441195109973165,1.7623004562148235,39425 -0.9780150067974763,0.7673020173965698,29.802173683974804,1.745317024194046,39425 -0.9772598605735505,0.8415691647125171,29.256849771283935,1.8188290252860675,39425 -0.9771403623705257,0.7738202436119872,29.76853098746894,1.750960605982513,39425 -0.9827455891387906,0.7802668537062242,29.618177543229827,1.7630124428450147,39425 -0.9898930703722834,0.7588140517081169,29.651044597997775,1.7487071220804002,39425 - -Black box function time 0.0006 sec -Total iteration time 10.7627 sec -Starting optimization iteration 5 -End of training - Time 0.03 sec -Model fitting time 0.0326 sec -Total RS time 0.0204 sec -Optimization function time 0.4930 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4028 sec -MSLS time 0.4996 sec -Local search time 1.0250 sec -Total iteration time 1.0610 sec -End of training - Time 0.05 sec -Model fitting time 0.0542 sec -0.014082960427275415 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 1.1179 sec -End of training - Time 0.05 sec -Model fitting time 0.0543 sec -Total RS time 0.0341 sec -Optimization function time 0.5349 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4000 sec -MSLS time 0.4816 sec -Local search time 1.0576 sec -Total iteration time 2.2332 sec -End of training - Time 0.05 sec -Model fitting time 0.0527 sec -Total RS time 0.0210 sec -Optimization function time 0.4910 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3787 sec -MSLS time 0.4311 sec -Local search time 0.9482 sec -Total iteration time 3.2368 sec -End of training - Time 0.05 sec -Model fitting time 0.0464 sec -Total RS time 0.0228 sec -Optimization function time 0.5000 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4364 sec -MSLS time 0.5342 sec -Local search time 1.0619 sec -Total iteration time 4.3477 sec -End of training - Time 0.04 sec -Model fitting time 0.0380 sec -Total RS time 0.0224 sec -Optimization function time 0.5401 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3804 sec -MSLS time 0.4391 sec -Local search time 1.0069 sec -Total iteration time 5.3955 sec -End of training - Time 0.05 sec -Model fitting time 0.0476 sec -Total RS time 0.0235 sec -Optimization function time 0.5011 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3845 sec -MSLS time 0.4420 sec -Local search time 0.9717 sec -Total iteration time 6.4176 sec -End of training - Time 0.08 sec -Model fitting time 0.0761 sec -Total RS time 0.0218 sec -Optimization function time 0.4833 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3698 sec -MSLS time 0.4369 sec -Local search time 0.9491 sec -Total iteration time 7.4466 sec -End of training - Time 0.06 sec -Model fitting time 0.0578 sec -0.08831659261409874 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 7.5063 sec -End of training - Time 0.04 sec -Model fitting time 0.0364 sec -Total RS time 0.0219 sec -Optimization function time 0.5232 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4140 sec -MSLS time 0.4671 sec -Local search time 1.0394 sec -x1,x2,Value,Energy,Timestamp -0.09681468819899984,0.03474262875460453,53.340941665077,0.13155731695360437,48009 -0.22898265963268616,0.5102769904446935,45.68950952157661,0.7392596500773797,48009 -0.20805887614920002,0.5097472289524191,46.069249709660035,0.7178061051016191,48009 -0.05677956171851519,0.499591606845562,48.85968780146168,0.5563711685640772,48009 -0.10589449322654372,0.47687233743738755,48.23548977086457,0.5827668306639313,48009 -0.1652218673651788,0.6203721872459586,45.68775254474667,0.7855940546111373,48009 -0.1242171041264828,0.7076685752827842,45.50373553045623,0.8318856794092669,48009 -0.048381980755769716,0.5662723022165023,48.28862309120705,0.614654282972272,48009 -0.9033892320315374,0.26904134482581005,35.2909161326141,1.1724305768573475,48009 -0.039006277153474635,0.4410750956308911,49.81226899594779,0.48008137278436575,48009 - -Black box function time 0.0011 sec -Total iteration time 8.5835 sec -Starting optimization iteration 6 -End of training - Time 0.07 sec -Model fitting time 0.0691 sec -Total RS time 0.0211 sec -Optimization function time 0.4943 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3730 sec -MSLS time 0.4932 sec -Local search time 1.0134 sec -Total iteration time 1.0848 sec -End of training - Time 0.04 sec -Model fitting time 0.0362 sec -Total RS time 0.0202 sec -Optimization function time 0.4849 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4066 sec -MSLS time 0.4550 sec -Local search time 0.9771 sec -Total iteration time 2.1035 sec -End of training - Time 0.05 sec -Model fitting time 0.0552 sec -0.053768941275444626 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 2.1606 sec -End of training - Time 0.04 sec -Model fitting time 0.0367 sec -Total RS time 0.0206 sec -Optimization function time 0.4936 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4205 sec -MSLS time 0.4730 sec -Local search time 0.9929 sec -Total iteration time 3.1929 sec -End of training - Time 0.07 sec -Model fitting time 0.0667 sec -Total RS time 0.0220 sec -Optimization function time 0.5183 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3923 sec -MSLS time 0.4966 sec -Local search time 1.0446 sec -Total iteration time 4.3069 sec -End of training - Time 0.04 sec -Model fitting time 0.0407 sec -Total RS time 0.0213 sec -Optimization function time 0.6054 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3577 sec -MSLS time 0.4593 sec -Local search time 1.0904 sec -Total iteration time 5.4407 sec -End of training - Time 0.04 sec -Model fitting time 0.0393 sec -Total RS time 0.0215 sec -Optimization function time 0.5267 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4298 sec -MSLS time 0.5094 sec -Local search time 1.0655 sec -Total iteration time 6.5493 sec -End of training - Time 0.05 sec -Model fitting time 0.0497 sec -Total RS time 0.0218 sec -Optimization function time 0.5076 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3913 sec -MSLS time 0.5257 sec -Local search time 1.0597 sec -Total iteration time 7.6608 sec -End of training - Time 0.04 sec -Model fitting time 0.0382 sec -0.04372727717536573 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 7.7007 sec -End of training - Time 0.04 sec -Model fitting time 0.0370 sec -Total RS time 0.0208 sec -Optimization function time 0.5087 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3935 sec -MSLS time 0.5128 sec -Local search time 1.0467 sec -x1,x2,Value,Energy,Timestamp -0.11306917807455866,0.030686163229793097,53.0765976971628,0.14375534130435175,56794 -0.09065875977311255,0.028701139145016038,53.529111500049595,0.11935989891812859,56794 -0.35002883098325194,0.554347387198955,43.072929891377456,0.904376218182207,56794 -0.0986532510939391,0.03522386416786364,53.30015978142481,0.13387711526180274,56794 -0.08651105146371652,0.03299063220238716,53.55847987668951,0.11950168366610367,56794 -0.10136490478577113,0.03676790358118437,53.23032861926281,0.13813280836695552,56794 -0.0835401342717947,0.03408580107654161,53.60251931930442,0.11762593534833632,56794 -0.11557734726024264,0.03522386416786364,52.97599018842265,0.1508012114281063,56794 -0.09407384193605087,0.8385541334669169,44.688480345118734,0.9326279754029677,56794 -0.07074045638433257,0.03654347714961448,53.81833731398605,0.10728393353394705,56794 - -Black box function time 0.0007 sec -Total iteration time 8.7854 sec -Starting optimization iteration 7 -End of training - Time 0.05 sec -Model fitting time 0.0459 sec -Total RS time 0.0203 sec -Optimization function time 0.5016 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4051 sec -MSLS time 0.5300 sec -Local search time 1.0566 sec -Total iteration time 1.1047 sec -End of training - Time 0.04 sec -Model fitting time 0.0400 sec -0.008463675572091711 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 1.1465 sec -End of training - Time 0.04 sec -Model fitting time 0.0396 sec -Total RS time 0.0205 sec -Optimization function time 0.5056 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4269 sec -MSLS time 0.4797 sec -Local search time 1.0143 sec -Total iteration time 2.2045 sec -End of training - Time 0.07 sec -Model fitting time 0.0685 sec -Total RS time 0.0210 sec -Optimization function time 0.5090 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4033 sec -MSLS time 0.5022 sec -Local search time 1.0396 sec -Total iteration time 3.3162 sec -End of training - Time 0.05 sec -Model fitting time 0.0460 sec -Total RS time 0.0216 sec -Optimization function time 0.5108 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4025 sec -MSLS time 0.4543 sec -Local search time 0.9923 sec -Total iteration time 4.3589 sec -End of training - Time 0.06 sec -Model fitting time 0.0636 sec -Total RS time 0.0219 sec -Optimization function time 0.5123 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3717 sec -MSLS time 0.4721 sec -Local search time 1.0121 sec -Total iteration time 5.4371 sec -End of training - Time 0.04 sec -Model fitting time 0.0441 sec -Total RS time 0.0207 sec -Optimization function time 0.5109 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3775 sec -MSLS time 0.4277 sec -Local search time 0.9641 sec -Total iteration time 6.4493 sec -End of training - Time 0.07 sec -Model fitting time 0.0720 sec -Total RS time 0.0224 sec -Optimization function time 0.4804 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3849 sec -MSLS time 0.4358 sec -Local search time 0.9433 sec -Total iteration time 7.4673 sec -End of training - Time 0.05 sec -Model fitting time 0.0524 sec -Total RS time 0.0211 sec -Optimization function time 0.5125 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3847 sec -MSLS time 0.4936 sec -Local search time 1.0317 sec -Total iteration time 8.5535 sec -End of training - Time 0.04 sec -Model fitting time 0.0425 sec -Total RS time 0.0201 sec -Optimization function time 0.4945 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3730 sec -MSLS time 0.4245 sec -Local search time 0.9439 sec -x1,x2,Value,Energy,Timestamp -1,0.9280275975499532,28.217309766369695,1.9280275975499532,66335 -0.2928725003969428,0.8879082435967189,40.881368325799144,1.1807807439936617,66335 -0.23707556294166768,0.9663226843009324,41.08288901741197,1.2033982472426001,66335 -0.233138823575596,0.9341234660238069,41.449695230802796,1.167262289599403,66335 -0.23210447046124524,0.7501891851127415,43.23095929315893,0.9822936555739867,66335 -0.2849936324286316,0.750832406379802,42.31318878481426,1.0358260388084335,66335 -0.3000990176731332,0.7510594372139598,42.04960145085007,1.051158454887093,66335 -0.24917803108178985,0.752378040819581,42.916057062954394,1.001556071901371,66335 -0.23082218589229203,0.749599444793093,43.258753798823186,0.980421630685385,66335 -0.30157991901041187,0.752714926605415,42.008121706853515,1.0542948456158268,66335 - -Black box function time 0.0007 sec -Total iteration time 9.5410 sec -Starting optimization iteration 8 -End of training - Time 0.05 sec -Model fitting time 0.0518 sec -Total RS time 0.0231 sec -Optimization function time 0.5086 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4031 sec -MSLS time 0.4999 sec -Local search time 1.0425 sec -Total iteration time 1.0968 sec -End of training - Time 0.04 sec -Model fitting time 0.0445 sec -Total RS time 0.0204 sec -Optimization function time 0.4943 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4139 sec -MSLS time 0.4719 sec -Local search time 0.9923 sec -Total iteration time 2.1374 sec -End of training - Time 0.07 sec -Model fitting time 0.0662 sec -Total RS time 0.0223 sec -Optimization function time 0.4922 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4012 sec -MSLS time 0.5021 sec -Local search time 1.0227 sec -Total iteration time 3.2292 sec -End of training - Time 0.05 sec -Model fitting time 0.0473 sec -Total RS time 0.0208 sec -Optimization function time 0.5175 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3951 sec -MSLS time 0.4973 sec -Local search time 1.0422 sec -Total iteration time 4.3224 sec -End of training - Time 0.05 sec -Model fitting time 0.0485 sec -Total RS time 0.0204 sec -Optimization function time 0.5089 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3668 sec -MSLS time 0.4677 sec -Local search time 1.0050 sec -Total iteration time 5.3797 sec -End of training - Time 0.05 sec -Model fitting time 0.0509 sec -Total RS time 0.0201 sec -Optimization function time 0.5235 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4029 sec -MSLS time 0.4627 sec -Local search time 1.0140 sec -Total iteration time 6.4483 sec -End of training - Time 0.06 sec -Model fitting time 0.0630 sec -Total RS time 0.0209 sec -Optimization function time 0.4992 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3709 sec -MSLS time 0.4734 sec -Local search time 0.9996 sec -Total iteration time 7.5134 sec -End of training - Time 0.05 sec -Model fitting time 0.0468 sec -Total RS time 0.0205 sec -Optimization function time 0.5029 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4263 sec -MSLS time 0.4779 sec -Local search time 1.0060 sec -Total iteration time 8.5685 sec -End of training - Time 0.08 sec -Model fitting time 0.0809 sec -0.010719063778304116 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 8.6516 sec -End of training - Time 0.05 sec -Model fitting time 0.0521 sec -Total RS time 0.0214 sec -Optimization function time 0.5206 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3880 sec -MSLS time 0.4382 sec -Local search time 0.9853 sec -x1,x2,Value,Energy,Timestamp -0.9764782193140399,0.053105669276442294,35.77053621134223,1.0295838885904822,76026 -0.968487174642278,0.04773221970435159,35.97946374337377,1.0162193943466296,76026 -1,0.04361404635193795,35.3842686367419,1.0436140463519379,76026 -0.9851340172900782,0.03301398874672721,35.77839835665697,1.0181480060368053,76026 -1,0.025258802861173525,35.54958325229081,1.0252588028611735,76026 -0.9829035663353014,0.035110000926165286,35.80425825144766,1.0180135672614667,76026 -0.981451092760277,0.02720636780963613,35.90507480348336,1.008657460569913,76026 -0.9729615719384352,0.03060824659072626,36.04496735465749,1.0035698185291615,76026 -0.9366259753493915,0.7613045342049268,30.599276020303442,1.6979305095543182,76026 -0.9559563532342157,0.02893438468939166,36.40236951781655,0.9848907379236074,76026 - -Black box function time 0.0012 sec -Total iteration time 9.6905 sec -Starting optimization iteration 9 -End of training - Time 0.05 sec -Model fitting time 0.0542 sec -0.08115753302436557 < 0.1 random sampling a configuration to run -Local search time 0.0004 sec -Total iteration time 0.0571 sec -End of training - Time 0.05 sec -Model fitting time 0.0485 sec -Total RS time 0.0215 sec -Optimization function time 0.5081 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3876 sec -MSLS time 0.4893 sec -Local search time 1.0261 sec -Total iteration time 1.1350 sec -End of training - Time 0.05 sec -Model fitting time 0.0501 sec -Total RS time 0.0208 sec -Optimization function time 0.5020 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3924 sec -MSLS time 0.4433 sec -Local search time 0.9750 sec -Total iteration time 2.1642 sec -End of training - Time 0.08 sec -Model fitting time 0.0833 sec -Total RS time 0.0201 sec -Optimization function time 0.5315 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4286 sec -MSLS time 0.5280 sec -Local search time 1.0848 sec -Total iteration time 3.3349 sec -End of training - Time 0.05 sec -Model fitting time 0.0519 sec -Total RS time 0.0205 sec -Optimization function time 0.5037 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3938 sec -MSLS time 0.4491 sec -Local search time 1.0119 sec -Total iteration time 4.4024 sec -End of training - Time 0.06 sec -Model fitting time 0.0602 sec -0.05043195787280441 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 4.4646 sec -End of training - Time 0.05 sec -Model fitting time 0.0548 sec -Total RS time 0.0202 sec -Optimization function time 0.5005 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3811 sec -MSLS time 0.5160 sec -Local search time 1.0413 sec -Total iteration time 5.5629 sec -End of training - Time 0.05 sec -Model fitting time 0.0509 sec -Total RS time 0.0206 sec -Optimization function time 0.5147 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3846 sec -MSLS time 0.5203 sec -Local search time 1.0615 sec -Total iteration time 6.6780 sec -End of training - Time 0.05 sec -Model fitting time 0.0503 sec -Total RS time 0.0205 sec -Optimization function time 0.5187 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3646 sec -MSLS time 0.4881 sec -Local search time 1.0318 sec -Total iteration time 7.7622 sec -End of training - Time 0.05 sec -Model fitting time 0.0500 sec -Total RS time 0.0209 sec -Optimization function time 0.5323 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3992 sec -MSLS time 0.5017 sec -Local search time 1.0637 sec -x1,x2,Value,Energy,Timestamp -0.6039196660189895,0.729362641216955,36.8834895588944,1.3332823072359445,84903 -0.08354111727718701,0.026786622371282407,53.68771851770734,0.11032773964846941,84903 -0.08368945358152981,0.021482428398190166,53.746869941845894,0.10517188197971997,84903 -0.0833000055633624,0.03483378413605084,53.59838306826217,0.11813378969941324,84903 -0.07734458777813996,0.034859191757065616,53.7119035476037,0.11220377953520558,84903 -0.09606826961798823,0.0750339004043601,52.88837931182408,0.17110217002234834,84903 -0.0817063405652387,0.034859191757065616,53.62854958346245,0.11656553232230432,84903 -0.09606826961798823,0.0781034308693427,52.8529463330549,0.17417170048733094,84903 -0.08061255332165257,0.07547382286438298,53.17691396089619,0.15608637618603555,84903 -0.09606826961798823,0.13285280534751553,52.2241150844944,0.22892107496550376,84903 - -Black box function time 0.0008 sec -Total iteration time 8.8773 sec -Starting optimization iteration 10 -End of training - Time 0.05 sec -Model fitting time 0.0551 sec -Total RS time 0.0200 sec -Optimization function time 0.5136 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4134 sec -MSLS time 0.4687 sec -Local search time 1.0098 sec -Total iteration time 1.0691 sec -End of training - Time 0.09 sec -Model fitting time 0.0857 sec -0.052768427577096766 < 0.1 random sampling a configuration to run -Local search time 0.0002 sec -Total iteration time 1.1569 sec -End of training - Time 0.06 sec -Model fitting time 0.0584 sec -Total RS time 0.0209 sec -Optimization function time 0.5089 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4007 sec -MSLS time 0.5305 sec -Local search time 1.0644 sec -Total iteration time 2.2820 sec -End of training - Time 0.05 sec -Model fitting time 0.0536 sec -Total RS time 0.0983 sec -Optimization function time 0.5186 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3855 sec -MSLS time 0.4861 sec -Local search time 1.1087 sec -Total iteration time 3.4469 sec -End of training - Time 0.05 sec -Model fitting time 0.0532 sec -Total RS time 0.0200 sec -Optimization function time 0.5021 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3612 sec -MSLS time 0.4627 sec -Local search time 0.9924 sec -Total iteration time 4.4964 sec -End of training - Time 0.06 sec -Model fitting time 0.0605 sec -Total RS time 0.0210 sec -Optimization function time 0.4980 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.4169 sec -MSLS time 0.4654 sec -Local search time 0.9893 sec -Total iteration time 5.5490 sec -End of training - Time 0.07 sec -Model fitting time 0.0708 sec -Total RS time 0.0256 sec -Optimization function time 0.4890 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3933 sec -MSLS time 0.5006 sec -Local search time 1.0225 sec -Total iteration time 6.6456 sec -End of training - Time 0.09 sec -Model fitting time 0.0911 sec -Total RS time 0.0432 sec -Optimization function time 0.5006 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3897 sec -MSLS time 0.4413 sec -Local search time 0.9899 sec -Total iteration time 7.7295 sec -End of training - Time 0.07 sec -Model fitting time 0.0673 sec -Total RS time 0.0252 sec -Optimization function time 0.5288 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3750 sec -MSLS time 0.4721 sec -Local search time 1.0335 sec -Total iteration time 8.8332 sec -End of training - Time 0.06 sec -Model fitting time 0.0565 sec -Total RS time 0.0201 sec -Optimization function time 0.5089 sec -Starting local search iteration: , #configs:30 -0, -1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12, -13, -14, -15, -16, -17, -18, -19, -20, -21, -22, -23, -24, -25, -26, -27, -28, -29, -Multi-start LS time 0.3994 sec -MSLS time 0.4582 sec -Local search time 1.0037 sec -x1,x2,Value,Energy,Timestamp -0.05380638236146842,0.03888903939925,54.113823074854665,0.09269542176071842,94798 -0.5205058947024255,0.9537566133354347,36.41706295320645,1.4742625080378602,94798 -0.05255862376331358,0.036282397291571566,54.16824922384741,0.08884102105488514,94798 -0.5232465927634227,0.9485604328016047,36.41418430662442,1.4718070255650275,94798 -0.06625787938751583,0.02533994725173724,54.03529584026835,0.09159782663925307,94798 -0.06088119556053298,0.0013257279021322789,54.42096846999767,0.06220692346266526,94798 -0.06617688842153599,0.008492516214551969,54.23491366408276,0.07466940463608795,94798 -0.05698919460847092,0.01578012919825674,54.325032967982246,0.07276932380672765,94798 -0.09347581163131571,0.004391418543586642,53.75889902762544,0.09786723017490236,94798 -0.05476049861056455,0.00703798582951574,54.47086289493651,0.061798484440080285,94798 - -Black box function time 0.0068 sec -Total iteration time 9.9008 sec -End of BO phase - Time 94.8038 sec -End of Bayesian Optimization -Total script time 94.81 sec -End of HyperMapper diff --git a/opt.json b/opt.json new file mode 100644 index 00000000..9789eed2 --- /dev/null +++ b/opt.json @@ -0,0 +1,21 @@ +{ + "design": "apps/fft/versions/flopoco/fft.fil", + "optimization_iterations": 30, + "evaluations_per_optimization_iteration": 10, + "input_parameters": { + "target_frequency": { + "type": "integer", + "values": [ + 50, + 1000 + ] + }, + "clock_period": { + "type": "integer", + "values": [ + 1, + 100 + ] + } + } +} \ No newline at end of file diff --git a/tools/hypermapper/flopocofft.fil b/tools/hypermapper/flopocofft.fil new file mode 100644 index 00000000..c73fbf11 --- /dev/null +++ b/tools/hypermapper/flopocofft.fil @@ -0,0 +1,22 @@ +import "apps/fft/versions/flopoco/fft.fil"; + +comp main<'G: II>( + go: interface['G], + in: ['G, 'G+1] NPoints*2*32 // 16 complex numbers bundled together +) -> ( + out: ['G+L, 'G+L+1] NPoints*2*32, // output from pipelined pease fft with 1 butterfly +) with { + let NStages = 4; + let NPoints = pow2(NStages); + some II where II > 0; + some L where L >= II; +} where + II > 0 +{ + FP_Stream := new BundledFFT[4, 1, 0]; + fp_stream := FP_Stream<'G>(in); + out = fp_stream.out; + + L := FP_Stream::L; + II := FP_Stream::II; +} \ No newline at end of file diff --git a/tools/hypermapper/opt.py b/tools/hypermapper/opt.py new file mode 100644 index 00000000..f8786ded --- /dev/null +++ b/tools/hypermapper/opt.py @@ -0,0 +1,136 @@ +import json +from hypermapper import optimizer +import math +import subprocess +from os import path +import logging as log +from tempfile import TemporaryDirectory +import os + + +# Interface +def gen_interface(tmpdir: TemporaryDirectory, filamentfile: str, gen_config: str): + out = path.join(tmpdir.name, "interface.json") + + # run the fft file + with open(out, "w") as f: + subprocess.run( + [ + "/home/filament/target/debug/filament", + filamentfile, + "--gen-config", + gen_config, + "--preserve-names", + "--dump-interface", + "--library", + "/home/filament", + ], + stdout=f, + ) + + # Change the current working directory back because fud messes with it + os.chdir(path.dirname(__file__)) + + with open(out) as f: + ret = json.load(f) + ret = ret["interfaces"][0] + return (ret["states"], ret["delay"]) + + +# Generates verilog +def compile( + tmpdir: TemporaryDirectory, filamentfile: str, params: dict[str, dict[str, str]] +): + # Create the globals configuration + conf_file = open(path.join(tmpdir.name, "conf.toml"), "w") + + # Generate a file that looks like + # [globals.] + # = + # ... + for k, v in params.items(): + conf_file.write(f"[globals.{k}]\n") + for subkey, value in v.items(): + conf_file.write(f'{subkey} = "{value}"\n') + + latency = gen_interface(tmpdir, filamentfile, conf_file.name) + + subprocess.run( + [ + "fud", + "e", + "-s", + "filament.flags", + f" --gen-config {conf_file.name}", + "--from", + "filament", + "--to", + "icarus-verilog", + filamentfile, + "-o", + path.join(tmpdir.name, "fft.sv"), + ] + ) + + return latency + + +# Synthesize a design and get the resource estimate +def synth(verilog_file, clock_period=7): + tmpdir = TemporaryDirectory() + log.info(f"Synthesizing {verilog_file} to {tmpdir.name} with period {clock_period}") + # Write xdc file + constraint_xdc = open(path.join(tmpdir.name, "constraints.xdc"), "w") + constraint_xdc.write( + f""" +create_clock -period {clock_period:.2f} -name clk [get_ports clk] +""" + ) + constraint_xdc.flush() + + # run the fft file through fud to get a synthesis estimate + # Load the local synth.tcl file + subprocess.run( + [ + "fud", + "e", + "-s", + "synth-verilog.tcl", + path.join(path.dirname(__file__), "synth.tcl"), + "-s", + "synth-verilog.constraints", + constraint_xdc.name, + "--from", + "synth-verilog", + "--to", + "resource-estimate", + verilog_file, + "-o", + path.join(tmpdir.name, "resources.json"), + ] + ) + + # Read the resource estimate + with open(path.join(tmpdir.name, "resources.json")) as f: + resources = json.load(f) + + print(resources) + tmpdir.cleanup() + # Loop through resources and set -1 values to infinity + # This is to make failing designs bad + for k, v in resources.items(): + if v == -1: + resources[k] = float("inf") + return resources + + +if __name__ == "__main__": + root = os.path.dirname(__file__) + tmpdir = TemporaryDirectory() + print( + compile( + tmpdir, + path.join(root, "flopocofft.fil"), + {"flopoco": {"conf": "target=Virtex6 frequency=800"}}, + ) + ) diff --git a/tools/hypermapper/synth.tcl b/tools/hypermapper/synth.tcl new file mode 100644 index 00000000..f244e695 --- /dev/null +++ b/tools/hypermapper/synth.tcl @@ -0,0 +1,47 @@ +# Run this by typing: +# +# vivado -mode batch -source synth.tcl +# +# Then see the resource utilization (i.e., area) report dumped at: +# +# out/FutilBuild.runs/synth_1/main_utilization_synth.rpt +# +# And if you also do implementation (see below), see the timing report: +# +# out/FutilBuild.runs/impl_1/main_timing_summary_routed.rpt + +# Settings: the output directory and the part number (which is a Zynq +# XC7Z020, found on our ZedBoard). +set outdir ./out +# set partname xc7z020clg484-1 +# You can also use part name "xcu250-figd2104-2-e", which we get on havarti. +# This is a bigger device (larger memory, etc.) and also supports URAM memory, which +# "xczu3eg-sbva484-1-e" does not support. For more information on +# this part type look here: https://docs.xilinx.com/r/en-US/ds962-u200-u250/Summary +set partname "xcu250-figd2104-2-e" + +# Create the project (forcibly overwriting) and add sources SystemVerilog +# (*.sv) and Xilinx constraint files (*.xdc), which contain directives for +# connecting design signals to physical FPGA pins. +create_project -force -part $partname FutilBuild $outdir +add_files [glob ./*.sv] +add_files -fileset constrs_1 [glob ./*.xdc] +set_property top main [current_fileset] + +# Switch the project to "out-of-context" mode, which frees us from the need to +# hook up every input & output wire to a physical device pin. +set_property \ + -name {STEPS.SYNTH_DESIGN.ARGS.MORE OPTIONS} \ + -value {-mode out_of_context -flatten_hierarchy "rebuilt"} \ + -objects [get_runs synth_1] + +# Run synthesis. This is enough to generate the utilization report mentioned +# above but does not include timing information. +launch_runs synth_1 +wait_on_run synth_1 + +# Run implementation to do place & route. This also produces the timing +# report mentioned above. Removing this step makes things go quite a bit +# faster if you just need the resource report! +launch_runs impl_1 -to_step route_design +wait_on_run impl_1 \ No newline at end of file From e72d96451e375ad734610725c767356c75fe4cfc Mon Sep 17 00:00:00 2001 From: UnsignedByte Date: Sun, 24 Mar 2024 22:27:19 +0000 Subject: [PATCH 03/11] working gen and genconfig --- crates/ast/src/component.rs | 8 ++++++-- crates/filament/src/cmdline.rs | 4 ++++ crates/filament/src/main.rs | 2 +- crates/gen/src/config_schema.rs | 10 ++++++++++ crates/gen/src/exec.rs | 20 ++++++++++++++++++-- crates/gen/src/lib.rs | 2 ++ crates/gen/src/main.rs | 2 +- tools/hypermapper/opt.py | 16 ++++++++++++---- 8 files changed, 54 insertions(+), 10 deletions(-) create mode 100644 crates/gen/src/config_schema.rs diff --git a/crates/ast/src/component.rs b/crates/ast/src/component.rs index 8ca58423..bff8c054 100644 --- a/crates/ast/src/component.rs +++ b/crates/ast/src/component.rs @@ -74,8 +74,12 @@ impl Namespace { /// REQUIRES: The tools definitions must be in files with absolute paths. /// The folder containing the generated files is deleted when the destructor /// for GenExec runs. - pub fn init_gen(&self, out_dir: Option) -> gen::GenExec { - let mut gen_exec = gen::GenExec::new(false, out_dir); + pub fn init_gen( + &self, + out_dir: Option, + config: Option, + ) -> gen::GenExec { + let mut gen_exec = gen::GenExec::new(false, out_dir, config); for Extern { path, gen, .. } in &self.externs { let Some(tool_name) = gen else { continue; diff --git a/crates/filament/src/cmdline.rs b/crates/filament/src/cmdline.rs index 1753d8de..b5a48662 100644 --- a/crates/filament/src/cmdline.rs +++ b/crates/filament/src/cmdline.rs @@ -96,6 +96,10 @@ pub struct Opts { #[argh(option, long = "out-dir")] pub out_dir: Option, + /// file path to the config file + #[argh(option, long = "gen-config")] + pub gen_config: Option, + // Backend options /// backend to use (default: verilog): calyx, verilog #[argh(option, long = "backend", default = "Backend::Verilog")] diff --git a/crates/filament/src/main.rs b/crates/filament/src/main.rs index cd41f178..7ea0cfe1 100644 --- a/crates/filament/src/main.rs +++ b/crates/filament/src/main.rs @@ -37,7 +37,7 @@ fn run(opts: &cmdline::Opts) -> Result<(), u64> { "`--out-dir ` to store the generated files." )) } - Some(ns.init_gen(opts.out_dir.clone())) + Some(ns.init_gen(opts.out_dir.clone(), opts.gen_config.clone())) } else { None }; diff --git a/crates/gen/src/config_schema.rs b/crates/gen/src/config_schema.rs new file mode 100644 index 00000000..7eb7ae3a --- /dev/null +++ b/crates/gen/src/config_schema.rs @@ -0,0 +1,10 @@ +//! Defines the schema for a config configuration file +use serde::Deserialize; +use std::collections::HashMap; + +#[derive(Clone, Debug, Deserialize)] +/// A tool that can generate external modules for Filament +pub struct Config { + /// Globals map + pub globals: HashMap>, +} diff --git a/crates/gen/src/exec.rs b/crates/gen/src/exec.rs index c6d1e87c..b847a34a 100644 --- a/crates/gen/src/exec.rs +++ b/crates/gen/src/exec.rs @@ -1,4 +1,4 @@ -use crate::{Instance, Tool, ToolOutput}; +use crate::{Config, Instance, Tool, ToolOutput}; use itertools::Itertools; use std::{ collections::HashMap, @@ -64,17 +64,27 @@ pub struct GenExec { /// Directory to store all the generated files output_dir: OutDir, + /// Config file + config: Option, + /// Dry-run instead of executing commands dry_run: bool, } impl GenExec { - pub fn new(dry_run: bool, out_dir: Option) -> Self { + pub fn new( + dry_run: bool, + out_dir: Option, + config: Option, + ) -> Self { GenExec { tools: HashMap::default(), generated: HashMap::default(), output_dir: OutDir::opt(out_dir), dry_run, + config: config.map(|path| { + toml::from_str(&fs::read_to_string(path).unwrap()).unwrap() + }), } } @@ -94,6 +104,12 @@ impl GenExec { let desc = fs::read_to_string(path.clone()).unwrap(); let mut tool: Tool = toml::from_str(&desc).unwrap(); + // Replace the globals with the ones from the config file if it exists + if let Some(config) = &mut self.config { + if let Some(globals) = config.globals.remove(&tool.name) { + tool.globals = globals; + } + } // Get the absolute path to the binary if it is relative let tool_path = PathBuf::from(&tool.path); if !tool_path.is_absolute() { diff --git a/crates/gen/src/lib.rs b/crates/gen/src/lib.rs index cce5e039..764c398c 100644 --- a/crates/gen/src/lib.rs +++ b/crates/gen/src/lib.rs @@ -1,7 +1,9 @@ mod cmdline; +mod config_schema; mod exec; mod tool_schema; pub use cmdline::Opts; +pub use config_schema::Config; pub use exec::GenExec; pub use tool_schema::{Instance, Manifest, Module, Tool, ToolOutput}; diff --git a/crates/gen/src/main.rs b/crates/gen/src/main.rs index 250abdde..7dca29db 100644 --- a/crates/gen/src/main.rs +++ b/crates/gen/src/main.rs @@ -11,7 +11,7 @@ fn main() { .filter_level(opts.log_level) .target(env_logger::Target::Stderr) .init(); - let mut gen = GenExec::new(opts.dry_run, None); + let mut gen = GenExec::new(opts.dry_run, None, None); // Deserialize the tool description let desc = fs::read_to_string(opts.tool).unwrap(); diff --git a/tools/hypermapper/opt.py b/tools/hypermapper/opt.py index f8786ded..c8800166 100644 --- a/tools/hypermapper/opt.py +++ b/tools/hypermapper/opt.py @@ -34,7 +34,7 @@ def gen_interface(tmpdir: TemporaryDirectory, filamentfile: str, gen_config: str with open(out) as f: ret = json.load(f) ret = ret["interfaces"][0] - return (ret["states"], ret["delay"]) + return {"latency": ret["states"], "ii": ret["delay"]} # Generates verilog @@ -53,6 +53,8 @@ def compile( for subkey, value in v.items(): conf_file.write(f'{subkey} = "{value}"\n') + conf_file.flush() + latency = gen_interface(tmpdir, filamentfile, conf_file.name) subprocess.run( @@ -124,13 +126,19 @@ def synth(verilog_file, clock_period=7): return resources +def compile_and_synth(filamentfile: str, params: dict[str, dict[str, str]]): + tmpdir = TemporaryDirectory() + latency = compile(tmpdir, filamentfile, params) + resources = synth(path.join(tmpdir.name, "fft.sv")) + return {**latency, **resources} + + if __name__ == "__main__": root = os.path.dirname(__file__) tmpdir = TemporaryDirectory() print( - compile( - tmpdir, + compile_and_synth( path.join(root, "flopocofft.fil"), - {"flopoco": {"conf": "target=Virtex6 frequency=800"}}, + {"flopoco": {"conf": "frequency=800 target=Virtex6"}}, ) ) From 35836bf57b4aa87247031f071ea0f1d7cd8a026a Mon Sep 17 00:00:00 2001 From: UnsignedByte Date: Sun, 24 Mar 2024 23:09:07 +0000 Subject: [PATCH 04/11] add multithreaded synth --- opt.json | 2 +- tools/hypermapper/opt.py | 35 ++++++++++++++++++++++++++++++----- tools/hypermapper/utils.py | 9 +++++++++ 3 files changed, 40 insertions(+), 6 deletions(-) create mode 100644 tools/hypermapper/utils.py diff --git a/opt.json b/opt.json index 9789eed2..25e1c689 100644 --- a/opt.json +++ b/opt.json @@ -1,5 +1,5 @@ { - "design": "apps/fft/versions/flopoco/fft.fil", + "design": "tools/hypermapper/flopocofft.fil", "optimization_iterations": 30, "evaluations_per_optimization_iteration": 10, "input_parameters": { diff --git a/tools/hypermapper/opt.py b/tools/hypermapper/opt.py index c8800166..e54ab075 100644 --- a/tools/hypermapper/opt.py +++ b/tools/hypermapper/opt.py @@ -6,6 +6,8 @@ import logging as log from tempfile import TemporaryDirectory import os +from utils import dl_to_ld +from multiprocessing import Pool # Interface @@ -126,19 +128,42 @@ def synth(verilog_file, clock_period=7): return resources -def compile_and_synth(filamentfile: str, params: dict[str, dict[str, str]]): +def compile_and_synth( + filamentfile: str, clock_period: int, params: dict[str, dict[str, str]] +): tmpdir = TemporaryDirectory() latency = compile(tmpdir, filamentfile, params) - resources = synth(path.join(tmpdir.name, "fft.sv")) + resources = synth(path.join(tmpdir.name, "fft.sv"), clock_period) return {**latency, **resources} +def compile_flopoco_fft(target_frequency: int, clock_period: int): + print( + f"Synthesizing with target frequency {target_frequency} and clock period {clock_period}" + ) + return compile_and_synth( + path.join(path.dirname(__file__), "flopocofft.fil"), + clock_period, + {"flopoco": {"conf": f"frequency={target_frequency} target=Virtex6"}}, + ) + + +def compile_and_synth_parallel(args): + args = list(zip(args["target_freq"], args["clock_period"])) + with Pool() as p: + ret = p.starmap(compile_flopoco_fft, args) + ret = dl_to_ld(ret) + return ret + + if __name__ == "__main__": root = os.path.dirname(__file__) tmpdir = TemporaryDirectory() print( - compile_and_synth( - path.join(root, "flopocofft.fil"), - {"flopoco": {"conf": "frequency=800 target=Virtex6"}}, + compile_and_synth_parallel( + { + "target_freq": [100, 200, 300, 400, 500], + "clock_period": [20, 15, 10, 8, 7], + } ) ) diff --git a/tools/hypermapper/utils.py b/tools/hypermapper/utils.py new file mode 100644 index 00000000..36127acb --- /dev/null +++ b/tools/hypermapper/utils.py @@ -0,0 +1,9 @@ +# Take a list of dictionaries and return a dictionary of lists +def dl_to_ld(dl): + ld = {} + for d in dl: + for k, v in d.items(): + if k not in ld: + ld[k] = [] + ld[k].append(v) + return ld From cea6e47fa8b0e323192a69e9820fe141ebda3387 Mon Sep 17 00:00:00 2001 From: UnsignedByte Date: Mon, 25 Mar 2024 00:49:45 +0000 Subject: [PATCH 05/11] more updates to opt --- .gitignore | 6 ++- hm.py | 53 ------------------- opt.json | 21 -------- test_output_samples.csv | 111 --------------------------------------- tools/hypermapper/opt.py | 34 ++++++++---- 5 files changed, 29 insertions(+), 196 deletions(-) delete mode 100644 hm.py delete mode 100644 opt.json delete mode 100644 test_output_samples.csv diff --git a/.gitignore b/.gitignore index e11673fc..18b8cec9 100644 --- a/.gitignore +++ b/.gitignore @@ -29,4 +29,8 @@ book/ evaluation/iterative-divider/data.json # Prevents editing vscode extensions further -/.vscode/settings.json \ No newline at end of file +/.vscode/settings.json + +# Ignore hypermapper output files +*_output_samples.csv +hypermapper_logfile.log \ No newline at end of file diff --git a/hm.py b/hm.py deleted file mode 100644 index ae116687..00000000 --- a/hm.py +++ /dev/null @@ -1,53 +0,0 @@ -import json -from hypermapper import optimizer -import math - -scenario = { - "application_name": "test", - "optimization_objectives": ["Value", "Energy"], - "optimization_iterations": 10, - "evaluations_per_optimization_iteration": 10, - "input_parameters": { - "x1": { - "parameter_type": "real", - "values": [0, 1] - }, - "x2": { - "parameter_type": "real", - "values": [0, 1] - } - }, -} - -with open('out.json', 'w') as f: - json.dump(scenario, f) - -def Branin(X): - x1 = X['x1'] - x2 = X['x2'] - a = 1.0 - b = 5.1 / (4.0 * math.pi * math.pi) - c = 5.0 / math.pi - r = 6.0 - s = 10.0 - t = 1.0 / (8.0 * math.pi) - y_value = a * (x2 - b * x1 * x1 + c * x1 - r) ** 2 + s * (1 - t) * math.cos(x1) + s - y_energy = x1 + x2 - - optimization_metrics = {} - optimization_metrics["Value"] = y_value - optimization_metrics["Energy"] = y_energy - - return optimization_metrics - -def batch_test(X): - results = {"Value": [], "Energy": []} - for x1, x2 in zip(X['x1'], X['x2']): - in_ = {"x1": x1, "x2": x2} - result = Branin(in_) - results["Value"].append(result["Value"]) - results["Energy"].append(result["Energy"]) - return results - - -optimizer.optimize('out.json', batch_test) \ No newline at end of file diff --git a/opt.json b/opt.json deleted file mode 100644 index 25e1c689..00000000 --- a/opt.json +++ /dev/null @@ -1,21 +0,0 @@ -{ - "design": "tools/hypermapper/flopocofft.fil", - "optimization_iterations": 30, - "evaluations_per_optimization_iteration": 10, - "input_parameters": { - "target_frequency": { - "type": "integer", - "values": [ - 50, - 1000 - ] - }, - "clock_period": { - "type": "integer", - "values": [ - 1, - 100 - ] - } - } -} \ No newline at end of file diff --git a/test_output_samples.csv b/test_output_samples.csv deleted file mode 100644 index 360492c1..00000000 --- a/test_output_samples.csv +++ /dev/null @@ -1,111 +0,0 @@ -x1,x2,Value,Energy,Timestamp -0.8239476685195956,0.7085343609669257,33.07011178773364,1.5324820294865211,1 -0.6311429366613207,0.511471712339945,38.32299398806657,1.1426146490012656,1 -0.4384703271558135,0.08498352040163487,46.17239832859195,0.5234538475574484,1 -0.690818092677685,0.25330423054284634,39.574094992594176,0.9441223232205314,1 -0.4710339242464425,0.7029188070685253,39.49685471821011,1.1739527313149678,1 -0.32370623016242867,0.33105328959215446,45.80428310339347,0.6547595197545831,1 -0.7436452672003222,0.05727308718102165,40.40208775185947,0.8009183543813438,1 -0.032858622783933075,0.752738573344585,46.58604317068568,0.7855971961285181,1 -0.5331999390388923,0.24103886335696284,42.74274143111486,0.7742388023958551,1 -0.4784464472078061,0.46023835408515795,41.63944264956219,0.938684801292964,1 -0.890609807333163,0.7199579131911491,31.76083378431751,1.610567720524312,10500 -1,0.9254831213491251,28.235685361386647,1.9254831213491252,10500 -1,0.8025539636450876,29.13887453431596,1.8025539636450876,10500 -1,0.7471776099077675,29.555611420946327,1.7471776099077676,10500 -0.9267329172501575,0.8208904397020677,30.320698851099056,1.747623356952225,10500 -0.9382977560022369,0.7351581247322021,30.771406899543962,1.6734558807344388,10500 -1,0.726641119815964,29.71171855285462,1.7266411198159641,10500 -0.9538838759039643,0.7127688966084804,30.660840269886716,1.6666527725124447,10500 -0.8755307157765523,0.7856249192957875,31.517032122372097,1.6611556350723398,10500 -1,0.7107585110993475,29.833027861839867,1.7107585110993475,10500 -1,0.7526462979607367,29.5141836685508,1.7526462979607367,20121 -0.8236060552766329,0.47795349587095526,35.00565550949892,1.3015595511475881,20121 -0.82091385304231,0.4702730930584738,35.122681756251474,1.2911869461007839,20121 -0.8166346884426202,0.48624569849474625,35.066005049197344,1.3028803869373664,20121 -0.7938541769332,0.46581798191329316,35.67315512778902,1.2596721588464932,20121 -0.7977595151472295,0.4629562536130733,35.62416785324005,1.2607157687603028,20121 -0.7650826875247941,0.4844595959638887,36.05367561947372,1.249542283488683,20121 -0.9544932856280308,0.4618940374505295,32.662333898818744,1.4163873230785602,20121 -0.7587592765539837,0.49194441411414397,36.10726374698068,1.2507036906681277,20121 -0.7862915595301049,0.4612423742146781,35.856126669649484,1.247533933744783,20121 -0.5573970615672109,0.6429890775790778,38.488990459025715,1.2003861391462887,28663 -0.4666913893933489,0.7051713300004399,39.55353752792997,1.1718627193937887,28663 -0.6498668779724773,0.9093133351236661,34.5447656604463,1.5591802130961434,28663 -0.45900547221753996,0.7057540761370483,39.684901720683214,1.1647595483545883,28663 -0.46811336874171244,0.6601335566725522,39.942635777328135,1.1282469254142646,28663 -0.5638270434586806,0.6607441664257283,38.212835695961445,1.224571209884409,28663 -0.470033299649372,0.7044680341895195,39.50049378097497,1.1745013338388914,28663 -0.44423054075108215,0.706326236810998,39.942095684818014,1.1505567775620802,28663 -0.5737249448423698,0.7064388327648107,37.62735181263574,1.2801637776071804,28663 -0.6016348500250397,0.7033184511083325,37.152299042804785,1.3049533011333723,28663 -1,0.7599985177839338,29.458581584605454,1.759998517783934,39425 -1,0.7607149436179614,29.453169305024495,1.7607149436179614,39425 -0.9949570128145546,0.7623004562148235,29.53271059569371,1.757257469029378,39425 -0.9914032817114923,0.7609178969969012,29.60767774429081,1.7523211787083934,39425 -1,0.7623004562148235,29.441195109973165,1.7623004562148235,39425 -0.9780150067974763,0.7673020173965698,29.802173683974804,1.745317024194046,39425 -0.9772598605735505,0.8415691647125171,29.256849771283935,1.8188290252860675,39425 -0.9771403623705257,0.7738202436119872,29.76853098746894,1.750960605982513,39425 -0.9827455891387906,0.7802668537062242,29.618177543229827,1.7630124428450147,39425 -0.9898930703722834,0.7588140517081169,29.651044597997775,1.7487071220804002,39425 -0.09681468819899984,0.03474262875460453,53.340941665077,0.13155731695360437,48009 -0.22898265963268616,0.5102769904446935,45.68950952157661,0.7392596500773797,48009 -0.20805887614920002,0.5097472289524191,46.069249709660035,0.7178061051016191,48009 -0.05677956171851519,0.499591606845562,48.85968780146168,0.5563711685640772,48009 -0.10589449322654372,0.47687233743738755,48.23548977086457,0.5827668306639313,48009 -0.1652218673651788,0.6203721872459586,45.68775254474667,0.7855940546111373,48009 -0.1242171041264828,0.7076685752827842,45.50373553045623,0.8318856794092669,48009 -0.048381980755769716,0.5662723022165023,48.28862309120705,0.614654282972272,48009 -0.9033892320315374,0.26904134482581005,35.2909161326141,1.1724305768573475,48009 -0.039006277153474635,0.4410750956308911,49.81226899594779,0.48008137278436575,48009 -0.11306917807455866,0.030686163229793097,53.0765976971628,0.14375534130435175,56794 -0.09065875977311255,0.028701139145016038,53.529111500049595,0.11935989891812859,56794 -0.35002883098325194,0.554347387198955,43.072929891377456,0.904376218182207,56794 -0.0986532510939391,0.03522386416786364,53.30015978142481,0.13387711526180274,56794 -0.08651105146371652,0.03299063220238716,53.55847987668951,0.11950168366610367,56794 -0.10136490478577113,0.03676790358118437,53.23032861926281,0.13813280836695552,56794 -0.0835401342717947,0.03408580107654161,53.60251931930442,0.11762593534833632,56794 -0.11557734726024264,0.03522386416786364,52.97599018842265,0.1508012114281063,56794 -0.09407384193605087,0.8385541334669169,44.688480345118734,0.9326279754029677,56794 -0.07074045638433257,0.03654347714961448,53.81833731398605,0.10728393353394705,56794 -1,0.9280275975499532,28.217309766369695,1.9280275975499532,66335 -0.2928725003969428,0.8879082435967189,40.881368325799144,1.1807807439936617,66335 -0.23707556294166768,0.9663226843009324,41.08288901741197,1.2033982472426001,66335 -0.233138823575596,0.9341234660238069,41.449695230802796,1.167262289599403,66335 -0.23210447046124524,0.7501891851127415,43.23095929315893,0.9822936555739867,66335 -0.2849936324286316,0.750832406379802,42.31318878481426,1.0358260388084335,66335 -0.3000990176731332,0.7510594372139598,42.04960145085007,1.051158454887093,66335 -0.24917803108178985,0.752378040819581,42.916057062954394,1.001556071901371,66335 -0.23082218589229203,0.749599444793093,43.258753798823186,0.980421630685385,66335 -0.30157991901041187,0.752714926605415,42.008121706853515,1.0542948456158268,66335 -0.9764782193140399,0.053105669276442294,35.77053621134223,1.0295838885904822,76026 -0.968487174642278,0.04773221970435159,35.97946374337377,1.0162193943466296,76026 -1,0.04361404635193795,35.3842686367419,1.0436140463519379,76026 -0.9851340172900782,0.03301398874672721,35.77839835665697,1.0181480060368053,76026 -1,0.025258802861173525,35.54958325229081,1.0252588028611735,76026 -0.9829035663353014,0.035110000926165286,35.80425825144766,1.0180135672614667,76026 -0.981451092760277,0.02720636780963613,35.90507480348336,1.008657460569913,76026 -0.9729615719384352,0.03060824659072626,36.04496735465749,1.0035698185291615,76026 -0.9366259753493915,0.7613045342049268,30.599276020303442,1.6979305095543182,76026 -0.9559563532342157,0.02893438468939166,36.40236951781655,0.9848907379236074,76026 -0.6039196660189895,0.729362641216955,36.8834895588944,1.3332823072359445,84903 -0.08354111727718701,0.026786622371282407,53.68771851770734,0.11032773964846941,84903 -0.08368945358152981,0.021482428398190166,53.746869941845894,0.10517188197971997,84903 -0.0833000055633624,0.03483378413605084,53.59838306826217,0.11813378969941324,84903 -0.07734458777813996,0.034859191757065616,53.7119035476037,0.11220377953520558,84903 -0.09606826961798823,0.0750339004043601,52.88837931182408,0.17110217002234834,84903 -0.0817063405652387,0.034859191757065616,53.62854958346245,0.11656553232230432,84903 -0.09606826961798823,0.0781034308693427,52.8529463330549,0.17417170048733094,84903 -0.08061255332165257,0.07547382286438298,53.17691396089619,0.15608637618603555,84903 -0.09606826961798823,0.13285280534751553,52.2241150844944,0.22892107496550376,84903 -0.05380638236146842,0.03888903939925,54.113823074854665,0.09269542176071842,94798 -0.5205058947024255,0.9537566133354347,36.41706295320645,1.4742625080378602,94798 -0.05255862376331358,0.036282397291571566,54.16824922384741,0.08884102105488514,94798 -0.5232465927634227,0.9485604328016047,36.41418430662442,1.4718070255650275,94798 -0.06625787938751583,0.02533994725173724,54.03529584026835,0.09159782663925307,94798 -0.06088119556053298,0.0013257279021322789,54.42096846999767,0.06220692346266526,94798 -0.06617688842153599,0.008492516214551969,54.23491366408276,0.07466940463608795,94798 -0.05698919460847092,0.01578012919825674,54.325032967982246,0.07276932380672765,94798 -0.09347581163131571,0.004391418543586642,53.75889902762544,0.09786723017490236,94798 -0.05476049861056455,0.00703798582951574,54.47086289493651,0.061798484440080285,94798 diff --git a/tools/hypermapper/opt.py b/tools/hypermapper/opt.py index e54ab075..4b8f1a9f 100644 --- a/tools/hypermapper/opt.py +++ b/tools/hypermapper/opt.py @@ -118,13 +118,13 @@ def synth(verilog_file, clock_period=7): with open(path.join(tmpdir.name, "resources.json")) as f: resources = json.load(f) - print(resources) tmpdir.cleanup() # Loop through resources and set -1 values to infinity # This is to make failing designs bad for k, v in resources.items(): if v == -1: resources[k] = float("inf") + print(resources) return resources @@ -149,21 +149,35 @@ def compile_flopoco_fft(target_frequency: int, clock_period: int): def compile_and_synth_parallel(args): - args = list(zip(args["target_freq"], args["clock_period"])) - with Pool() as p: + args = list(zip(args["target_frequency"], args["clock_period"])) + with Pool(10) as p: ret = p.starmap(compile_flopoco_fft, args) ret = dl_to_ld(ret) + print(ret) return ret if __name__ == "__main__": root = os.path.dirname(__file__) tmpdir = TemporaryDirectory() - print( - compile_and_synth_parallel( - { - "target_freq": [100, 200, 300, 400, 500], - "clock_period": [20, 15, 10, 8, 7], - } - ) + + scenario = { + "application_name": "flopocofft", + "optimization_objectives": ["latency", "period", "lut", "registers"], + "resume_optimization": True, + "resume_optimization_data": "flopocofft_output_samples.csv", + "optimization_iterations": 10, + "evaluations_per_optimization_iteration": 10, + "input_parameters": { + "target_frequency": {"parameter_type": "integer", "values": [50, 1000]}, + "clock_period": {"parameter_type": "integer", "values": [1, 100]}, + }, + } + with open(path.join(tmpdir.name, "scenario.json"), "w") as f: + json.dump(scenario, f) + + optimizer.optimize( + path.join(tmpdir.name, "scenario.json"), compile_and_synth_parallel ) + + tmpdir.cleanup() From 7a75029560db758be711d7950659266786fb0c32 Mon Sep 17 00:00:00 2001 From: UnsignedByte Date: Mon, 25 Mar 2024 00:54:08 +0000 Subject: [PATCH 06/11] more bugfixes --- tools/hypermapper/opt.py | 13 +++++++++---- 1 file changed, 9 insertions(+), 4 deletions(-) diff --git a/tools/hypermapper/opt.py b/tools/hypermapper/opt.py index 4b8f1a9f..7c454011 100644 --- a/tools/hypermapper/opt.py +++ b/tools/hypermapper/opt.py @@ -122,7 +122,7 @@ def synth(verilog_file, clock_period=7): # Loop through resources and set -1 values to infinity # This is to make failing designs bad for k, v in resources.items(): - if v == -1: + if v == -1 or resources["meet_timing"] == 0: resources[k] = float("inf") print(resources) return resources @@ -164,15 +164,20 @@ def compile_and_synth_parallel(args): scenario = { "application_name": "flopocofft", "optimization_objectives": ["latency", "period", "lut", "registers"], - "resume_optimization": True, - "resume_optimization_data": "flopocofft_output_samples.csv", "optimization_iterations": 10, "evaluations_per_optimization_iteration": 10, "input_parameters": { - "target_frequency": {"parameter_type": "integer", "values": [50, 1000]}, + "target_frequency": {"parameter_type": "integer", "values": [50, 950]}, "clock_period": {"parameter_type": "integer", "values": [1, 100]}, }, } + if os.path.exists("flopocofft_output_samples.csv"): + scenario = { + **scenario, + "resume_optimization": True, + "resume_optimization_data": "flopocofft_output_samples.csv", + } + with open(path.join(tmpdir.name, "scenario.json"), "w") as f: json.dump(scenario, f) From 2616a98a6a625da497ab8919218e4ae9722dfe44 Mon Sep 17 00:00:00 2001 From: UnsignedByte Date: Mon, 25 Mar 2024 19:39:03 +0000 Subject: [PATCH 07/11] add graphs --- .gitignore | 3 +- tools/hypermapper/.gitignore | 1 + tools/hypermapper/opt.py | 68 ++++++++++++++++++++++++++++++++---- 3 files changed, 64 insertions(+), 8 deletions(-) create mode 100644 tools/hypermapper/.gitignore diff --git a/.gitignore b/.gitignore index 18b8cec9..ea9b25a3 100644 --- a/.gitignore +++ b/.gitignore @@ -33,4 +33,5 @@ evaluation/iterative-divider/data.json # Ignore hypermapper output files *_output_samples.csv -hypermapper_logfile.log \ No newline at end of file +hypermapper_logfile.log +log.txt \ No newline at end of file diff --git a/tools/hypermapper/.gitignore b/tools/hypermapper/.gitignore new file mode 100644 index 00000000..872aa273 --- /dev/null +++ b/tools/hypermapper/.gitignore @@ -0,0 +1 @@ +results \ No newline at end of file diff --git a/tools/hypermapper/opt.py b/tools/hypermapper/opt.py index 7c454011..c8d42313 100644 --- a/tools/hypermapper/opt.py +++ b/tools/hypermapper/opt.py @@ -1,5 +1,8 @@ import json +import shutil +from argparse import ArgumentParser from hypermapper import optimizer +import hypermapper import math import subprocess from os import path @@ -73,6 +76,7 @@ def compile( filamentfile, "-o", path.join(tmpdir.name, "fft.sv"), + "--quiet", ] ) @@ -111,6 +115,7 @@ def synth(verilog_file, clock_period=7): verilog_file, "-o", path.join(tmpdir.name, "resources.json"), + "--quiet", ] ) @@ -119,11 +124,11 @@ def synth(verilog_file, clock_period=7): resources = json.load(f) tmpdir.cleanup() - # Loop through resources and set -1 values to infinity + # Loop through resources and set -1 values to a very large number # This is to make failing designs bad - for k, v in resources.items(): - if v == -1 or resources["meet_timing"] == 0: - resources[k] = float("inf") + # for k, v in resources.items(): + # if v == -1 or resources["meet_timing"] == 0: + # resources[k] = 1e12 print(resources) return resources @@ -161,6 +166,11 @@ def compile_and_synth_parallel(args): root = os.path.dirname(__file__) tmpdir = TemporaryDirectory() + parser = ArgumentParser() + parser.add_argument("--graphs-only", action="store_true") + + args = parser.parse_args() + scenario = { "application_name": "flopocofft", "optimization_objectives": ["latency", "period", "lut", "registers"], @@ -168,7 +178,12 @@ def compile_and_synth_parallel(args): "evaluations_per_optimization_iteration": 10, "input_parameters": { "target_frequency": {"parameter_type": "integer", "values": [50, 950]}, - "clock_period": {"parameter_type": "integer", "values": [1, 100]}, + "clock_period": {"parameter_type": "integer", "values": [1, 20]}, + }, + "feasible_output": { + "name": "meet_timing", + "true_value": 1, + "false_value": 0, }, } if os.path.exists("flopocofft_output_samples.csv"): @@ -181,8 +196,47 @@ def compile_and_synth_parallel(args): with open(path.join(tmpdir.name, "scenario.json"), "w") as f: json.dump(scenario, f) - optimizer.optimize( - path.join(tmpdir.name, "scenario.json"), compile_and_synth_parallel + if not args.graphs_only: + optimizer.optimize( + path.join(tmpdir.name, "scenario.json"), compile_and_synth_parallel + ) + + # Generate the graphs + # Make results directory + resdir = path.join(root, "results") + os.makedirs(resdir, exist_ok=True) + + # Copy csv file to results directory + shutil.copyfile( + "flopocofft_output_samples.csv", + path.join(resdir, "flopocofft_output_samples.csv"), + ) + + # Also copy to tmpdir for plotting + shutil.copyfile( + "flopocofft_output_samples.csv", + path.join(tmpdir.name, "flopocofft_output_samples.csv"), + ) + + hypermapper.plot_optimization_results.plot_regret( + path.join(tmpdir.name, "scenario.json"), [tmpdir.name], out_dir=resdir + ) + + hypermapper.compute_pareto.compute( + path.join(tmpdir.name, "scenario.json"), + path.join(resdir, "flopocofft_output_samples.csv"), + path.join(resdir, "pareto.csv"), + ) + + hypermapper.plot_pareto.plot( + path.join(tmpdir.name, "scenario.json"), + [ + ( + path.join(resdir, "pareto.csv"), + path.join(resdir, "flopocofft_output_samples.csv"), + ) + ], + path.join(resdir, "pareto.pdf"), ) tmpdir.cleanup() From 33f9c9ad08bfb3b714313214aca756b190e542d3 Mon Sep 17 00:00:00 2001 From: UnsignedByte Date: Thu, 28 Mar 2024 20:06:20 +0000 Subject: [PATCH 08/11] change optimization targets --- .gitignore | 2 +- tools/hypermapper/opt.py | 27 +++++++++++++++++++++++++-- 2 files changed, 26 insertions(+), 3 deletions(-) diff --git a/.gitignore b/.gitignore index ea9b25a3..0ff4352f 100644 --- a/.gitignore +++ b/.gitignore @@ -32,6 +32,6 @@ evaluation/iterative-divider/data.json /.vscode/settings.json # Ignore hypermapper output files -*_output_samples.csv +*_output_samples.csv* hypermapper_logfile.log log.txt \ No newline at end of file diff --git a/tools/hypermapper/opt.py b/tools/hypermapper/opt.py index c8d42313..0c4dee6f 100644 --- a/tools/hypermapper/opt.py +++ b/tools/hypermapper/opt.py @@ -1,4 +1,5 @@ import json +import pandas import shutil from argparse import ArgumentParser from hypermapper import optimizer @@ -11,6 +12,7 @@ import os from utils import dl_to_ld from multiprocessing import Pool +import matplotlib.pyplot as plt # Interface @@ -146,11 +148,14 @@ def compile_flopoco_fft(target_frequency: int, clock_period: int): print( f"Synthesizing with target frequency {target_frequency} and clock period {clock_period}" ) - return compile_and_synth( + synth_results = compile_and_synth( path.join(path.dirname(__file__), "flopocofft.fil"), clock_period, {"flopoco": {"conf": f"frequency={target_frequency} target=Virtex6"}}, ) + # We care about the time interval between operations + synth_results["time_ii"] = synth_results["ii"] * synth_results["period"] + return synth_results def compile_and_synth_parallel(args): @@ -173,7 +178,7 @@ def compile_and_synth_parallel(args): scenario = { "application_name": "flopocofft", - "optimization_objectives": ["latency", "period", "lut", "registers"], + "optimization_objectives": ["time_ii", "lut", "registers"], "optimization_iterations": 10, "evaluations_per_optimization_iteration": 10, "input_parameters": { @@ -239,4 +244,22 @@ def compile_and_synth_parallel(args): path.join(resdir, "pareto.pdf"), ) + df = pandas.read_csv(path.join(resdir, "pareto.csv")) + + print(df) + + df["frequency"] = 1000 / df["period"] + + for objective in scenario["optimization_objectives"]: + # Plot a scatter plot of all the points + fig = plt.figure() + ax = fig.add_subplot() + + ax.scatter(df["frequency"], df[objective]) + + ax.set_xlabel("Frequency") + ax.set_ylabel(objective) + + plt.savefig(path.join(resdir, f"{objective}_scatter.pdf")) + tmpdir.cleanup() From 0bdeece7e3dc782563e215374a7a6a07b37cef44 Mon Sep 17 00:00:00 2001 From: UnsignedByte Date: Thu, 11 Apr 2024 19:02:10 +0000 Subject: [PATCH 09/11] fix opt to deal with timing meeting --- tools/hypermapper/opt.py | 2 ++ 1 file changed, 2 insertions(+) diff --git a/tools/hypermapper/opt.py b/tools/hypermapper/opt.py index 0c4dee6f..7172e5e4 100644 --- a/tools/hypermapper/opt.py +++ b/tools/hypermapper/opt.py @@ -189,8 +189,10 @@ def compile_and_synth_parallel(args): "name": "meet_timing", "true_value": 1, "false_value": 0, + "enable_feasible_predictor": True, }, } + if os.path.exists("flopocofft_output_samples.csv"): scenario = { **scenario, From 3f1a33e7dbcf613c2d3101020365b571ff25ebd7 Mon Sep 17 00:00:00 2001 From: UnsignedByte Date: Mon, 29 Apr 2024 18:57:02 +0000 Subject: [PATCH 10/11] update opt --- apps/fft/cmn/bundled.fil | 1 + tools/hypermapper/flopocofft.fil | 9 ++++++--- tools/hypermapper/opt.py | 27 +++++++++++++++++---------- 3 files changed, 24 insertions(+), 13 deletions(-) diff --git a/apps/fft/cmn/bundled.fil b/apps/fft/cmn/bundled.fil index 9c1b27eb..3d37a11e 100644 --- a/apps/fft/cmn/bundled.fil +++ b/apps/fft/cmn/bundled.fil @@ -5,6 +5,7 @@ import "primitives/reshape.fil"; /// FFT that takes in a single wire and outputs a single wire /// Wraps the normal bundle-based fft component +/// N is the number of butterflies in the FFT comp BundledFFT[NStages, N, ?Iterative=1]<'G: II>( go: interface['G], in: ['G, 'G+1] NPoints*2*32, // input bundle diff --git a/tools/hypermapper/flopocofft.fil b/tools/hypermapper/flopocofft.fil index c73fbf11..276b19f5 100644 --- a/tools/hypermapper/flopocofft.fil +++ b/tools/hypermapper/flopocofft.fil @@ -1,6 +1,6 @@ import "apps/fft/versions/flopoco/fft.fil"; -comp main<'G: II>( +comp main[Butterflies, Iterative]<'G: II>( go: interface['G], in: ['G, 'G+1] NPoints*2*32 // 16 complex numbers bundled together ) -> ( @@ -11,9 +11,12 @@ comp main<'G: II>( some II where II > 0; some L where L >= II; } where - II > 0 + II > 0, + Butterflies > 0, + Butterflies <= 8, + NPoints % (2*Butterflies) == 0 { - FP_Stream := new BundledFFT[4, 1, 0]; + FP_Stream := new BundledFFT[4, Butterflies, Iterative]; fp_stream := FP_Stream<'G>(in); out = fp_stream.out; diff --git a/tools/hypermapper/opt.py b/tools/hypermapper/opt.py index 7172e5e4..2270f634 100644 --- a/tools/hypermapper/opt.py +++ b/tools/hypermapper/opt.py @@ -25,7 +25,7 @@ def gen_interface(tmpdir: TemporaryDirectory, filamentfile: str, gen_config: str [ "/home/filament/target/debug/filament", filamentfile, - "--gen-config", + "--bindings", gen_config, "--preserve-names", "--dump-interface", @@ -46,16 +46,19 @@ def gen_interface(tmpdir: TemporaryDirectory, filamentfile: str, gen_config: str # Generates verilog def compile( - tmpdir: TemporaryDirectory, filamentfile: str, params: dict[str, dict[str, str]] + tmpdir: TemporaryDirectory, filamentfile: str, main_params: list[int], gen_params: dict[str, dict[str, str]] ): # Create the globals configuration conf_file = open(path.join(tmpdir.name, "conf.toml"), "w") + # Add main parameters + conf_file.write(f"params.main = {main_params}\n") + # Generate a file that looks like # [globals.] # = # ... - for k, v in params.items(): + for k, v in gen_params.items(): conf_file.write(f"[globals.{k}]\n") for subkey, value in v.items(): conf_file.write(f'{subkey} = "{value}"\n') @@ -70,7 +73,7 @@ def compile( "e", "-s", "filament.flags", - f" --gen-config {conf_file.name}", + f" --bindings {conf_file.name}", "--from", "filament", "--to", @@ -136,22 +139,23 @@ def synth(verilog_file, clock_period=7): def compile_and_synth( - filamentfile: str, clock_period: int, params: dict[str, dict[str, str]] + filamentfile: str, clock_period: int, main_params: list[int], gen_params: dict[str, dict[str, str]] ): tmpdir = TemporaryDirectory() - latency = compile(tmpdir, filamentfile, params) + latency = compile(tmpdir, filamentfile, main_params, gen_params) resources = synth(path.join(tmpdir.name, "fft.sv"), clock_period) return {**latency, **resources} -def compile_flopoco_fft(target_frequency: int, clock_period: int): +def compile_flopoco_fft(iterative: int, num_butterflies: int, target_frequency: int, clock_period: int): print( - f"Synthesizing with target frequency {target_frequency} and clock period {clock_period}" + f"Synthesizing {'Iterative' if iterative > 0 else 'Streaming'} with {num_butterflies} butterflies, target frequency {target_frequency} and clock period {clock_period}" ) synth_results = compile_and_synth( path.join(path.dirname(__file__), "flopocofft.fil"), clock_period, - {"flopoco": {"conf": f"frequency={target_frequency} target=Virtex6"}}, + [num_butterflies, iterative], + {"globals.flopoco": {"conf": f"frequency={target_frequency} target=Virtex6"}}, ) # We care about the time interval between operations synth_results["time_ii"] = synth_results["ii"] * synth_results["period"] @@ -159,7 +163,8 @@ def compile_flopoco_fft(target_frequency: int, clock_period: int): def compile_and_synth_parallel(args): - args = list(zip(args["target_frequency"], args["clock_period"])) + args = list(zip(args["iterative"], [2**x for x in args["num_butterflies_log2"]], args["target_frequency"], args["clock_period"])) + print(args) with Pool(10) as p: ret = p.starmap(compile_flopoco_fft, args) ret = dl_to_ld(ret) @@ -182,6 +187,8 @@ def compile_and_synth_parallel(args): "optimization_iterations": 10, "evaluations_per_optimization_iteration": 10, "input_parameters": { + "iterative": {"parameter_type": "integer", "values": [0, 1]}, + "num_butterflies_log2": {"parameter_type": "integer", "values": [1, 3]}, "target_frequency": {"parameter_type": "integer", "values": [50, 950]}, "clock_period": {"parameter_type": "integer", "values": [1, 20]}, }, From 148c527c4776bf7008c1d091c0ed3025f545ad0d Mon Sep 17 00:00:00 2001 From: UnsignedByte Date: Tue, 30 Apr 2024 12:36:12 +0000 Subject: [PATCH 11/11] update graph gen --- tools/hypermapper/opt.py | 37 ++++++++++++++++++++++++++++++++----- 1 file changed, 32 insertions(+), 5 deletions(-) diff --git a/tools/hypermapper/opt.py b/tools/hypermapper/opt.py index 2270f634..984f48af 100644 --- a/tools/hypermapper/opt.py +++ b/tools/hypermapper/opt.py @@ -46,7 +46,10 @@ def gen_interface(tmpdir: TemporaryDirectory, filamentfile: str, gen_config: str # Generates verilog def compile( - tmpdir: TemporaryDirectory, filamentfile: str, main_params: list[int], gen_params: dict[str, dict[str, str]] + tmpdir: TemporaryDirectory, + filamentfile: str, + main_params: list[int], + gen_params: dict[str, dict[str, str]], ): # Create the globals configuration conf_file = open(path.join(tmpdir.name, "conf.toml"), "w") @@ -139,7 +142,10 @@ def synth(verilog_file, clock_period=7): def compile_and_synth( - filamentfile: str, clock_period: int, main_params: list[int], gen_params: dict[str, dict[str, str]] + filamentfile: str, + clock_period: int, + main_params: list[int], + gen_params: dict[str, dict[str, str]], ): tmpdir = TemporaryDirectory() latency = compile(tmpdir, filamentfile, main_params, gen_params) @@ -147,7 +153,9 @@ def compile_and_synth( return {**latency, **resources} -def compile_flopoco_fft(iterative: int, num_butterflies: int, target_frequency: int, clock_period: int): +def compile_flopoco_fft( + iterative: int, num_butterflies: int, target_frequency: int, clock_period: int +): print( f"Synthesizing {'Iterative' if iterative > 0 else 'Streaming'} with {num_butterflies} butterflies, target frequency {target_frequency} and clock period {clock_period}" ) @@ -163,7 +171,14 @@ def compile_flopoco_fft(iterative: int, num_butterflies: int, target_frequency: def compile_and_synth_parallel(args): - args = list(zip(args["iterative"], [2**x for x in args["num_butterflies_log2"]], args["target_frequency"], args["clock_period"])) + args = list( + zip( + args["iterative"], + [2**x for x in args["num_butterflies_log2"]], + args["target_frequency"], + args["clock_period"], + ) + ) print(args) with Pool(10) as p: ret = p.starmap(compile_flopoco_fft, args) @@ -215,6 +230,18 @@ def compile_and_synth_parallel(args): path.join(tmpdir.name, "scenario.json"), compile_and_synth_parallel ) + # Now we are generating graphs + # Handle a bug in hypermapper where "true_value" and "false_value" for feasability must be strings now + scenario["feasible_output"]["true_value"] = str( + scenario["feasible_output"]["true_value"] + ) + scenario["feasible_output"]["false_value"] = str( + scenario["feasible_output"]["false_value"] + ) + + with open(path.join(tmpdir.name, "scenario.json"), "w") as f: + json.dump(scenario, f) + # Generate the graphs # Make results directory resdir = path.join(root, "results") @@ -257,7 +284,7 @@ def compile_and_synth_parallel(args): print(df) - df["frequency"] = 1000 / df["period"] + df["frequency"] = 1000 / df["clock_period"] for objective in scenario["optimization_objectives"]: # Plot a scatter plot of all the points