From c467b6f6c22b6dad256e5acd633d4f545edc9ea3 Mon Sep 17 00:00:00 2001 From: Lan Dang Date: Thu, 14 Dec 2023 17:55:55 +0700 Subject: [PATCH 1/3] Update --- .../edabk_firmware_demo/C_data_struct_def.c | 2 +- .../edabk_firmware_demo/edabk_firmware_demo.c | 2 +- .../edabk_firmware_demo_tb.v | 2 +- verilog/dv/firmware_demo/Makefile | 32 - verilog/dv/firmware_demo/README.md | 32 - verilog/dv/firmware_demo/SNN_data.c | 37 - verilog/dv/firmware_demo/SNN_data.h | 7 - verilog/dv/firmware_demo/convert.c | 116 -- verilog/dv/firmware_demo/firmware_demo.c | 145 -- verilog/dv/firmware_demo/input_packets.txt | 1367 ----------------- verilog/dv/firmware_demo/neuron_data.txt | 160 -- verilog/dv/firmware_demo/tb_firmware_demo.v | 242 --- 12 files changed, 3 insertions(+), 2141 deletions(-) delete mode 100755 verilog/dv/firmware_demo/Makefile delete mode 100644 verilog/dv/firmware_demo/README.md delete mode 100644 verilog/dv/firmware_demo/SNN_data.c delete mode 100644 verilog/dv/firmware_demo/SNN_data.h delete mode 100644 verilog/dv/firmware_demo/convert.c delete mode 100644 verilog/dv/firmware_demo/firmware_demo.c delete mode 100644 verilog/dv/firmware_demo/input_packets.txt delete mode 100644 verilog/dv/firmware_demo/neuron_data.txt delete mode 100644 verilog/dv/firmware_demo/tb_firmware_demo.v diff --git a/verilog/dv/edabk_firmware_demo/C_data_struct_def.c b/verilog/dv/edabk_firmware_demo/C_data_struct_def.c index f067098..9f36346 100644 --- a/verilog/dv/edabk_firmware_demo/C_data_struct_def.c +++ b/verilog/dv/edabk_firmware_demo/C_data_struct_def.c @@ -31,5 +31,5 @@ typedef struct { } Packet; // SNN data array initialization -Packet packet_data[NUM_CORES][1000]; +Packet packet_data[1024]; Core core_data[NUM_CORES]; \ No newline at end of file diff --git a/verilog/dv/edabk_firmware_demo/edabk_firmware_demo.c b/verilog/dv/edabk_firmware_demo/edabk_firmware_demo.c index 900bef9..d94d2e1 100644 --- a/verilog/dv/edabk_firmware_demo/edabk_firmware_demo.c +++ b/verilog/dv/edabk_firmware_demo/edabk_firmware_demo.c @@ -63,7 +63,7 @@ typedef struct { uint8_t axon_dest; } Packet; -Core cores[NUM_CORES] = { +const Core cores[NUM_CORES] = { { // Core 0 .neurons = { { 0, 0, 0, {1, -1, 1, -1}, 0, 0, 0, 0 }, diff --git a/verilog/dv/edabk_firmware_demo/edabk_firmware_demo_tb.v b/verilog/dv/edabk_firmware_demo/edabk_firmware_demo_tb.v index b3b12e3..2055ffb 100644 --- a/verilog/dv/edabk_firmware_demo/edabk_firmware_demo_tb.v +++ b/verilog/dv/edabk_firmware_demo/edabk_firmware_demo_tb.v @@ -143,7 +143,7 @@ module edabk_firmware_demo_tb; $dumpvars(0, edabk_firmware_demo_tb); // Repeat cycles of 1000 clock edges as needed to complete testbench - repeat (70) begin + repeat (700) begin repeat (1000) @(posedge clock); // $display("+1000 cycles"); end diff --git a/verilog/dv/firmware_demo/Makefile b/verilog/dv/firmware_demo/Makefile deleted file mode 100755 index 3fd0b56..0000000 --- a/verilog/dv/firmware_demo/Makefile +++ /dev/null @@ -1,32 +0,0 @@ -# SPDX-FileCopyrightText: 2020 Efabless Corporation -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# -# SPDX-License-Identifier: Apache-2.0 - - - -PWDD := $(shell pwd) -BLOCKS := $(shell basename $(PWDD)) - -# ---- Include Partitioned Makefiles ---- - -CONFIG = caravel_user_project - - -include $(MCW_ROOT)/verilog/dv/make/env.makefile -include $(MCW_ROOT)/verilog/dv/make/var.makefile -include $(MCW_ROOT)/verilog/dv/make/cpu.makefile -include $(MCW_ROOT)/verilog/dv/make/sim.makefile - - diff --git a/verilog/dv/firmware_demo/README.md b/verilog/dv/firmware_demo/README.md deleted file mode 100644 index 9b167a3..0000000 --- a/verilog/dv/firmware_demo/README.md +++ /dev/null @@ -1,32 +0,0 @@ -# Hardware/Software Co-Simulation of SNN Chip - -We run the `stimulus.c` file to process `neuron_data.txt` file as input, which contains information of neuron cores. The neuron cores' data is trained in advance in Tensorflow. The stimulus file would output sequentially: - -- information of a virtual core (synapse_matrix, neuron_parameters) into the physical core in SoC. -- the 256-bit input spike of that corresponding core - -After the core returns output_spike of 32 neurons (via Wishbone bus), the C Code would save the output_spike into a Queue and repeat the above steps (send data of a virtual core to SoC => send input spike of that core to SoC => save returned output_spike ) - -### `neuron_data.txt` -- The neuron_data.txt file contains 160 lines, grouped into 5 cores (each core has 32 neurons => 32 lines) -- Each line has total 336-bits, containing information of 1 neuron - + 256-bit: synap connection (will be sent to synapse_matrix of DUT) - + **Next 80-bit will be processed to send 11 fields to neuron_parameter of DUT** - + (1)8-bit: current_membrane_potential - - We let reset_posi_potential = reset_nega_potential, hence we need only 8-bit in neuron_data.txt to represent (2)&(3) - - + (2)8-bit: reset_posi_potential - + (3)8-bit: reset_nega_potential - + (4)8-bit: weights[0] - + (5)8-bit: weights[1] - + (6)8-bit: weights[2] - + (7)8-bit: weights[3] - + (8)8-bit: leakage_value - + (9)8-bit: positive_threshold - + (10)8-bit: negative_threshold - + (11)8-bit: destination_axon - - - - diff --git a/verilog/dv/firmware_demo/SNN_data.c b/verilog/dv/firmware_demo/SNN_data.c deleted file mode 100644 index 04730aa..0000000 --- a/verilog/dv/firmware_demo/SNN_data.c +++ /dev/null @@ -1,37 +0,0 @@ -#include - -#define NUM_CORES 5 -#define NEURONS_PER_CORE 32 -#define AXONS_PER_CORE 256 -#define NEURONS_PER_CORE 32 - -////////////////////////////////////////////// Neuron data structure -typedef struct { - int8_t membrane_potential; // Current membrane potential - int8_t reset_posi_potential; - int8_t reset_nega_potential; - int8_t weights[4]; // Weights for each input axon - int8_t leakage_value; - int8_t positive_threshold; - int8_t negative_threshold; - uint8_t axon_dest; // Index of the destination axon (of destination core) if Neuron creates spike - // uint8_t core_dest; // Don't need since we fixed the destination core of each neuron in C code -} Neuron; - -////////////////////////////////////////////// Core data structure -typedef struct { - Neuron neurons[NEURONS_PER_CORE]; // Neurons in the core - // uint8_t instruction[AXONS_PER_CORE]; // Instruction (2-bit) for axon to choose 1 in 4 weights - uint32_t synapse_connection[AXONS_PER_CORE]; // A (256 x 32) binary matrix to represent synaptic connection -} Core; - -////////////////////////////////////////////// Packet data structure -typedef struct { - uint8_t axon_dest; - int8_t dx; - int8_t dy; -} Packet; - -// SNN data array initialization -Packet packet_data[NUM_CORES][1000]; -Core core_data[NUM_CORES]; \ No newline at end of file diff --git a/verilog/dv/firmware_demo/SNN_data.h b/verilog/dv/firmware_demo/SNN_data.h deleted file mode 100644 index 85c9331..0000000 --- a/verilog/dv/firmware_demo/SNN_data.h +++ /dev/null @@ -1,7 +0,0 @@ -#ifndef SNN_DATA_H -#define SNN_DATA_H - -extern Packet packet_data[NUM_CORES][1000]; -extern Core core_data[NUM_CORES]; - -#endif \ No newline at end of file diff --git a/verilog/dv/firmware_demo/convert.c b/verilog/dv/firmware_demo/convert.c deleted file mode 100644 index 1f7f12c..0000000 --- a/verilog/dv/firmware_demo/convert.c +++ /dev/null @@ -1,116 +0,0 @@ -#include -#include -#include - -#define NUM_CORES 5 -#define NEURONS_PER_CORE 32 -#define BITS_PER_NEURON 336 -#define AXONS_PER_CORE 256 - -////////////////////////////////////////////// Neuron data structure -typedef struct { - int8_t membrane_potential; // Current membrane potential - int8_t reset_posi_potential; - int8_t reset_nega_potential; - int8_t weights[4]; // Weights for each input axon - int8_t leakage_value; - int8_t positive_threshold; - int8_t negative_threshold; - uint8_t axon_dest; // Index of the destination axon (of destination core) if Neuron creates spike - // uint8_t core_dest; // Don't need since we fixed the destination core of each neuron in C code -} Neuron; - -////////////////////////////////////////////// Core data structure -typedef struct { - Neuron neurons[NEURONS_PER_CORE]; // Neurons in the core - uint8_t instruction[AXONS_PER_CORE]; // Instruction (2-bit) for axon to choose 1 in 4 weights - uint8_t synapse_connection[NEURONS_PER_CORE][32]; // since each uint_8 already can store 8-bit -} Core; - -////////////////////////////////////////////// Packet data structure -typedef struct { - uint8_t axon_dest; - int8_t dx; - int8_t dy; -} Packet; - -// SNN data array initialization -Packet packet_data[NUM_CORES][1000]; -Core core_data[NUM_CORES]; - -// Function to convert neuron_data.txt to C file -void convertNeuronData(const char* inputFileName, const char* outputFileName) { - FILE* inputFile = fopen(inputFileName, "r"); - if (!inputFile) { - printf("Error opening input file %s\n", inputFileName); - return; - } - - FILE* outputFile = fopen(outputFileName, "w"); - if (!outputFile) { - printf("Error opening output file %s\n", outputFileName); - fclose(inputFile); - return; - } - - fprintf(outputFile, "#include \n\n"); - fprintf(outputFile, "NeuronData neuronDataArray[NUM_CORES][NEURONS_PER_CORE] = {\n"); - - for (int coreIndex = 0; coreIndex < NUM_CORES; coreIndex++) { - fprintf(outputFile, " { // Core %d\n", coreIndex); - - for (int neuronIndex = 0; neuronIndex < NEURONS_PER_CORE; neuronIndex++) { - NeuronData neuron = {0}; // Initialize with zeros - - // Read and write synapse connection data (256 bits) - for (int col = 0; col < SYNAPSE_COLS; col++) { - for (int batch = 0; batch < 32; batch++) { - int synapseBit; - fscanf(inputFile, "%1d", &synapseBit); - neuron.synapse_connection[neuronIndex][batch] |= - (synapseBit << (7 - (col % 8))); - } - } - - // Read and write other neuron parameters - fscanf(inputFile, "%" SCNu8 "%" SCNu8 "%" SCNu8 "%" SCNu8 "%" SCNu8 - "%" SCNu8 "%" SCNu8 "%" SCNu8 "%" SCNu8 "%" SCNu8, - &neuron.current_membrane_potential, &neuron.reset_posi_potential, - &neuron.reset_nega_potential, &neuron.weights[0], &neuron.weights[1], - &neuron.weights[2], &neuron.weights[3], &neuron.leakage_value, - &neuron.positive_threshold, &neuron.negative_threshold, - &neuron.destination_axon); - - fprintf(outputFile, " {"); - - // Write synapse connection data to the C file - for (int col = 0; col < SYNAPSE_COLS / 8; col++) { - fprintf(outputFile, "0x%02X, ", neuron.synapse_connection[neuronIndex][col]); - } - - // Write other neuron parameters to the C file - fprintf(outputFile, "%" PRIu8 ", %" PRIu8 ", %" PRIu8 ", %" PRIu8 ", %" PRIu8 - ", %" PRIu8 ", %" PRIu8 ", %" PRIu8 ", %" PRIu8 ", %" PRIu8 - ", %" PRIu8 "},\n", - neuron.current_membrane_potential, neuron.reset_posi_potential, - neuron.reset_nega_potential, neuron.weights[0], neuron.weights[1], - neuron.weights[2], neuron.weights[3], neuron.leakage_value, - neuron.positive_threshold, neuron.negative_threshold, - neuron.destination_axon); - } - - fprintf(outputFile, " },\n"); - } - - fprintf(outputFile, "};\n"); - - fclose(inputFile); - fclose(outputFile); - - printf("Conversion successful!\n"); -} - -int main() { - convertNeuronData("neuron_data.txt", "neuron_data_array.c"); - return 0; -} diff --git a/verilog/dv/firmware_demo/firmware_demo.c b/verilog/dv/firmware_demo/firmware_demo.c deleted file mode 100644 index 9657e1f..0000000 --- a/verilog/dv/firmware_demo/firmware_demo.c +++ /dev/null @@ -1,145 +0,0 @@ -/* User Project Memory Mapping - => the #define directives help in creating symbolic names for memory addresses - - | Address (Bytes) | Function | - |------------------------|------------------------| - | 0x30000000 - 0x30003FFF| synap_matrix | - | 0x30004000 - 0x3000400B| param0 | - | 0x30004010 - 0x3000401B| param1 | - | 0x30004020 - 0x3000402B| param2 | - | ... | ... | - | 0x300040F0 - 0x300040FB| param31 | - | 0x30008000 - 0x30008003| neuron_spike_out | -*/ -#include -#include - -#include -#define SYNAP_MATRIX_BASE 0x30000000 -#define PARAM_BASE 0x30004000 -#define NEURON_SPIKE_OUT_BASE 0x30008000 - -#include "SNN_data.h" -#include "SNN_data.c" - -/* Pointers for User Project Memory Mapping - - Each pointer is assigned to the corresponding user project address on the wishbone bus - - we can read from/write to the wishbone bus through the pointers -*/ -#define SYNAP_MATRIX_PTR ((volatile uint32_t*)SYNAP_MATRIX_BASE) -#define PARAM_PTR ((volatile uint32_t*)PARAM_BASE) -#define NEURON_SPIKE_OUT_PTR ((volatile uint32_t*)NEURON_SPIKE_OUT_BASE) - - -/* FUNCTIONS FOR READ/WRITE OPERATION VIA WB PORT */ - -uint32_t read_32bit_from_mem(const volatile uint32_t* base, uint32_t offset) { - return *(base + offset); -} - -void write_32_bit_to_mem(volatile uint32_t* base, uint32_t offset, uint32_t data) { - *(base + offset) = data; -} - -/* FUNCTIONS FOR SENDING NEURON_DATA TO SOC */ - -void send_synapse_connection_to_mem(uint8_t core_index, volatile uint32_t* base_addr) { - // Assuming core_index is within valid range (0 to NUM_CORES - 1) - - // Calculate the offset based on the core_index - uint32_t offset = core_index * (4 * AXONS_PER_CORE); - - // Send synapse connection data to memory in 32-bit batch - for (uint32_t i = 0; i < AXONS_PER_CORE; ++i) { - uint32_t synapse_connection_value = core_data[core_index].synapse_connection[i]; - write_32_bit_to_mem(base_addr, offset + i * 4, synapse_connection_value); - } -} - -void send_neuron_params_to_mem(uint8_t core_index, volatile uint32_t* base_addr) { - // Assuming core_index is within valid range (0 to NUM_CORES - 1) - - // Calculate the offset based on the core_index and adjusted formula - uint32_t offset = core_index * (11 * NEURONS_PER_CORE); - - // Send neuron parameters to memory in 32-bit batches - for (uint32_t i = 0; i < NEURONS_PER_CORE; ++i) { - Neuron* current_neuron = &core_data[core_index].neurons[i]; - - // Calculate the offset for the current neuron - uint32_t neuron_offset = offset + i * 11; - - // Concatenate parameters based on reversed hardware bit order - uint32_t batch1 = ((uint32_t)current_neuron->leakage_value << 24) | - ((uint32_t)current_neuron->negative_threshold << 16) | - ((uint32_t)current_neuron->positive_threshold << 8) | - (uint32_t)current_neuron->membrane_potential; - - uint32_t batch2 = ((uint32_t)current_neuron->weights[3] << 24) | - ((uint32_t)current_neuron->weights[2] << 16) | - ((uint32_t)current_neuron->weights[1] << 8) | - (uint32_t)current_neuron->weights[0]; - - uint32_t batch3 = ((uint32_t)current_neuron->reset_nega_potential << 24) | - ((uint32_t)current_neuron->reset_posi_potential << 16) | - (uint32_t)current_neuron->axon_dest; - - // Write the concatenated batches to memory - write_32_bit_to_mem(base_addr, neuron_offset, batch1); - write_32_bit_to_mem(base_addr, neuron_offset + 4, batch2); - write_32_bit_to_mem(base_addr, neuron_offset + 8, batch3); - } -} -/* FUNCTIONS FOR SENDING INPUT PACKETS TO SOC */ -// Converting the axon_number into the address of synapse_connection correspondingly. -uint32_t read_32bit_output_spike_from_mem(const volatile uint32_t* base, uint32_t offset) { - return *(base + offset); -} - -void main() { - - ///////////////////////////////// CONFIG FOR SOC - // Set up the housekeeping SPI to be connected internally, so that it doesn't conflict with user area GPIO pins - reg_spi_enable = 1; - // Setup reg_wb_enable = 1 to enable connection to wishbone port in user project - reg_wb_enable = 1; - - // Set config registers of I/O pads to mode GPIO_MODE_MGMT_STD_OUTPUT - // <=> configures the upper 16 of the first 32 GPIO pads as outputs from the managent SoC - reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT; - reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT; - - /* Apply I/O mode configuration by initiating the serial transfer on the shift register - (reg_mprj_xfer=1) and wait till it finishes (reg_mprj_xfer == 0)*/ - reg_mprj_xfer = 1; - while (reg_mprj_xfer == 1); - - ////////////////////////////////// - - // Reference for each pointer's value in memory - uint32_t synapMatrixValue = *SYNAP_MATRIX_PTR; - uint32_t paramValue = *PARAM_PTR; - uint32_t neuronSpikeOutValue = *NEURON_SPIKE_OUT_PTR; - - // - - // Flag start of the test - reg_mprj_datal = 0xAB600000; - - - -} \ No newline at end of file diff --git a/verilog/dv/firmware_demo/input_packets.txt b/verilog/dv/firmware_demo/input_packets.txt deleted file mode 100644 index 11f6040..0000000 --- a/verilog/dv/firmware_demo/input_packets.txt +++ /dev/null @@ -1,1367 +0,0 @@ -000000000000000000110010110000 -000000000000000000110011000000 -000000000000000000110011010000 -000000000000000000111001100000 -000000000000000000111001110000 -000000000000000000111010000000 -000000000000000000111010010000 -000000000000000000111010100000 -000000000000000000111010110000 -000000000000000000111011000000 -000000000000000000111011010000 -000000000000000000111011100000 -000000000000000000111011110000 -000000000000000000111100000000 -000000000000000000111100010000 -000000000000000000111100100000 -000000000000000000111100110000 -000000000000000000111101000000 -000000001000000000000110110000 -000000001000000000000111000000 -000000001000000000000111010000 -000000001000000000001101100000 -000000001000000000001101110000 -000000001000000000001110000000 -000000001000000000001110010000 -000000001000000000001110100000 -000000001000000000001110110000 -000000001000000000001111000000 -000000001000000000001111010000 -000000001000000000001111100000 -000000001000000000001111110000 -000000001000000000010000000000 -000000001000000000010000010000 -000000001000000000010000100000 -000000001000000000010000110000 -000000001000000000010001000000 -000000001000000000010101100000 -000000001000000000010101110000 -000000001000000000010110000000 -000000001000000000010110010000 -000000001000000000010110100000 -000000001000000000010110110000 -000000001000000000010111000000 -000000001000000000010111010000 -000000001000000000010111100000 -000000001000000000010111110000 -000000001000000000011000000000 -000000001000000000011000010000 -000000001000000000011110110000 -000000001000000000011111000000 -000000001000000000100101110000 -000000001000000000100110000000 -000000001000000000101100100000 -000000001000000000101100110000 -000000001000000000110011010000 -000000001000000000110011100000 -000000001000000000110011110000 -000000001000000000111010010000 -000000001000000000111010100000 -000000010000000000000000100000 -000000010000000000000000110000 -000000010000000000000111010000 -000000010000000000000111100000 -000000010000000000000111110000 -000000010000000000001110010000 -000000010000000000001110100000 -000000010000000000010101000000 -000000010000000000010101010000 -000000010000000000010101100000 -000000010000000000011100000000 -000000010000000000011100010000 -000000010000000000100011000000 -000000010000000000100011010000 -000000010000000000101001110000 -000000010000000000101010000000 -000000010000000000110000100000 -000000010000000000110000110000 -000000010000000000110001000000 -000000010000000000110111010000 -000000010000000000110111100000 -000000010000000000110111110000 -000000010000000000111110010000 -000000010000000000111110100000 -000000011000000000000100100000 -000000011000000000000100110000 -000000011000000000000101000000 -000000011000000000001011010000 -000000011000000000001011100000 -000000011000000000001011110000 -000000011000000000010010010000 -000000011000000000010010100000 -000000011000000000011001000000 -000000011000000000011001010000 -000000011000000000011111110000 -000000011000000000100000000000 -000000011000000000100000010000 -000000011000000000100110110000 -000000011000000000100111000000 -000000011000000000100111010000 -000000011000000000101101110000 -000000011000000000101110000000 -000000011000000000101110010000 -000000011000000000110100110000 -000000011000000000110101000000 -000000000000000000011000000000 -000000000000000000011000010000 -000000000000000000011000100000 -000000000000000000011000110000 -000000000000000000011110010000 -000000000000000000011110100000 -000000000000000000011110110000 -000000000000000000011111000000 -000000000000000000011111010000 -000000000000000000011111100000 -000000000000000000011111110000 -000000000000000000100000000000 -000000000000000000100101000000 -000000000000000000100101010000 -000000000000000000100101100000 -000000000000000000100101110000 -000000000000000000100110000000 -000000000000000000100110010000 -000000000000000000100110100000 -000000000000000000100110110000 -000000000000000000100111000000 -000000000000000000101100000000 -000000000000000000101100010000 -000000000000000000101100100000 -000000000000000000101101110000 -000000000000000000101110000000 -000000000000000000101110010000 -000000000000000000110011000000 -000000000000000000110011010000 -000000000000000000110100110000 -000000000000000000110101000000 -000000000000000000111011100000 -000000000000000000111011110000 -000000000000000000111100000000 -000000001000000000000000000000 -000000001000000000000000010000 -000000001000000000000000100000 -000000001000000000000001110000 -000000001000000000000010000000 -000000001000000000000010010000 -000000001000000000000111000000 -000000001000000000000111010000 -000000001000000000001000110000 -000000001000000000001001000000 -000000001000000000001111100000 -000000001000000000001111110000 -000000001000000000010000000000 -000000001000000000010110100000 -000000001000000000010110110000 -000000001000000000010111000000 -000000001000000000011101010000 -000000001000000000011101100000 -000000001000000000011101110000 -000000001000000000100100000000 -000000001000000000100100010000 -000000001000000000100100100000 -000000001000000000100100110000 -000000001000000000101010110000 -000000001000000000101011000000 -000000001000000000101011010000 -000000001000000000101011100000 -000000001000000000110001110000 -000000001000000000110010000000 -000000001000000000110010010000 -000000001000000000111000100000 -000000001000000000111000110000 -000000001000000000111001000000 -000000001000000000111001010000 -000000001000000000111111100000 -000000001000000000111111110000 -000000010000000000000101110000 -000000010000000000000110000000 -000000010000000000000110010000 -000000010000000000001100100000 -000000010000000000001100110000 -000000010000000000001101000000 -000000010000000000001101010000 -000000010000000000010011100000 -000000010000000000010011110000 -000000010000000000010100000000 -000000010000000000011010010000 -000000010000000000011010100000 -000000010000000000011010110000 -000000010000000000011011000000 -000000010000000000100001000000 -000000010000000000100001010000 -000000010000000000100001100000 -000000010000000000100001110000 -000000010000000000101000000000 -000000010000000000101000010000 -000000010000000000101000100000 -000000010000000000101111000000 -000000010000000000101111010000 -000000010000000000101111100000 -000000010000000000110010100000 -000000010000000000110010110000 -000000010000000000110011000000 -000000010000000000110011010000 -000000010000000000110110000000 -000000010000000000110110010000 -000000010000000000110110100000 -000000010000000000110110110000 -000000010000000000110111000000 -000000010000000000110111010000 -000000010000000000110111100000 -000000010000000000110111110000 -000000010000000000111000000000 -000000010000000000111000010000 -000000010000000000111000100000 -000000010000000000111000110000 -000000010000000000111001000000 -000000010000000000111001010000 -000000010000000000111001100000 -000000010000000000111001110000 -000000010000000000111010000000 -000000010000000000111010010000 -000000010000000000111101000000 -000000010000000000111101010000 -000000010000000000111101100000 -000000010000000000111101110000 -000000010000000000111110000000 -000000010000000000111110010000 -000000010000000000111110100000 -000000010000000000111110110000 -000000010000000000111111000000 -000000010000000000111111010000 -000000010000000000111111100000 -000000010000000000111111110000 -000000011000000000000011000000 -000000011000000000000011010000 -000000011000000000000011100000 -000000011000000000000110100000 -000000011000000000000110110000 -000000011000000000000111000000 -000000011000000000000111010000 -000000011000000000001010000000 -000000011000000000001010010000 -000000011000000000001010100000 -000000011000000000001010110000 -000000011000000000001011000000 -000000011000000000001011010000 -000000011000000000001011100000 -000000011000000000001011110000 -000000011000000000001100000000 -000000011000000000001100010000 -000000011000000000001100100000 -000000011000000000001100110000 -000000011000000000001101000000 -000000011000000000001101010000 -000000011000000000001101100000 -000000011000000000001101110000 -000000011000000000001110000000 -000000011000000000001110010000 -000000011000000000010001000000 -000000011000000000010001010000 -000000011000000000010001100000 -000000011000000000010001110000 -000000011000000000010010000000 -000000011000000000010010010000 -000000011000000000010010100000 -000000011000000000010010110000 -000000011000000000010011000000 -000000011000000000010011010000 -000000011000000000010011100000 -000000011000000000010011110000 -000000011000000000010100000000 -000000011000000000010100010000 -000000011000000000010100100000 -000000011000000000010100110000 -000000011000000000011001010000 -000000011000000000011001100000 -000000011000000000011001110000 -000000011000000000011010000000 -000000011000000000011010010000 -000000000000000000100000010000 -000000000000000000100111010000 -000000000000000000101110000000 -000000000000000000101110010000 -000000000000000000110101000000 -000000000000000000110101010000 -000000000000000000111100000000 -000000001000000000000010000000 -000000001000000000000010010000 -000000001000000000001001000000 -000000001000000000001001010000 -000000001000000000010000000000 -000000001000000000010110110000 -000000001000000000010111000000 -000000001000000000011101110000 -000000001000000000011110000000 -000000001000000000100100110000 -000000001000000000100101000000 -000000001000000000101011100000 -000000001000000000101011110000 -000000001000000000110010100000 -000000001000000000110010110000 -000000001000000000111001100000 -000000001000000000111001110000 -000000010000000000000110100000 -000000010000000000000110110000 -000000010000000000001101100000 -000000010000000000001101110000 -000000010000000000010100010000 -000000010000000000010100100000 -000000010000000000011011010000 -000000010000000000011011100000 -000000010000000000100010010000 -000000010000000000100010100000 -000000010000000000101001000000 -000000010000000000101001010000 -000000010000000000101001100000 -000000010000000000110000000000 -000000010000000000110000010000 -000000010000000000110111000000 -000000010000000000110111010000 -000000010000000000111101110000 -000000010000000000111110000000 -000000010000000000111110010000 -000000011000000000000100000000 -000000011000000000000100010000 -000000011000000000001011000000 -000000011000000000001011010000 -000000011000000000010001110000 -000000011000000000010010000000 -000000011000000000010010010000 -000000011000000000011000110000 -000000011000000000011001000000 -000000011000000000011111110000 -000000011000000000100000000000 -000000000000000000011111010000 -000000000000000000011111100000 -000000000000000000011111110000 -000000000000000000100110010000 -000000000000000000100110100000 -000000000000000000100110110000 -000000000000000000101101000000 -000000000000000000101101010000 -000000000000000000101101100000 -000000000000000000101101110000 -000000000000000000110011110000 -000000000000000000110100000000 -000000000000000000110100010000 -000000000000000000110100100000 -000000000000000000110100110000 -000000000000000000110101000000 -000000000000000000111010100000 -000000000000000000111010110000 -000000000000000000111011000000 -000000000000000000111011010000 -000000000000000000111011100000 -000000000000000000111011110000 -000000000000000000111100000000 -000000000000000000111100010000 -000000000000000000111100100000 -000000001000000000000001000000 -000000001000000000000001010000 -000000001000000000000001100000 -000000001000000000000001110000 -000000001000000000000111110000 -000000001000000000001000000000 -000000001000000000001000010000 -000000001000000000001000100000 -000000001000000000001000110000 -000000001000000000001001000000 -000000001000000000001110100000 -000000001000000000001110110000 -000000001000000000001111000000 -000000001000000000001111010000 -000000001000000000001111100000 -000000001000000000001111110000 -000000001000000000010000000000 -000000001000000000010000010000 -000000001000000000010000100000 -000000001000000000010101010000 -000000001000000000010101100000 -000000001000000000010101110000 -000000001000000000010110000000 -000000001000000000010110010000 -000000001000000000010110100000 -000000001000000000010110110000 -000000001000000000010111000000 -000000001000000000010111010000 -000000001000000000010111100000 -000000001000000000010111110000 -000000001000000000011100010000 -000000001000000000011100100000 -000000001000000000011100110000 -000000001000000000011101000000 -000000001000000000011101010000 -000000001000000000011101100000 -000000001000000000011110010000 -000000001000000000011110100000 -000000001000000000011110110000 -000000001000000000100011000000 -000000001000000000100011010000 -000000001000000000100011100000 -000000001000000000100011110000 -000000001000000000100100000000 -000000001000000000100100010000 -000000001000000000100101100000 -000000001000000000100101110000 -000000001000000000100110000000 -000000001000000000101010000000 -000000001000000000101010010000 -000000001000000000101010100000 -000000001000000000101010110000 -000000001000000000101100110000 -000000001000000000101101000000 -000000001000000000101101010000 -000000001000000000110001000000 -000000001000000000110001010000 -000000001000000000110001100000 -000000001000000000110011110000 -000000001000000000110100000000 -000000001000000000110100010000 -000000001000000000111000000000 -000000001000000000111000010000 -000000001000000000111010110000 -000000001000000000111011000000 -000000001000000000111011010000 -000000001000000000111011100000 -000000001000000000111110110000 -000000001000000000111111000000 -000000001000000000111111010000 -000000010000000000000000110000 -000000010000000000000001000000 -000000010000000000000001010000 -000000010000000000000101000000 -000000010000000000000101010000 -000000010000000000000101100000 -000000010000000000000111110000 -000000010000000000001000000000 -000000010000000000001000010000 -000000010000000000001100000000 -000000010000000000001100010000 -000000010000000000001110110000 -000000010000000000001111000000 -000000010000000000001111010000 -000000010000000000001111100000 -000000010000000000010010110000 -000000010000000000010011000000 -000000010000000000010011010000 -000000010000000000010101110000 -000000010000000000010110000000 -000000010000000000010110010000 -000000010000000000011001110000 -000000010000000000011010000000 -000000010000000000011010010000 -000000010000000000011100100000 -000000010000000000011100110000 -000000010000000000011101000000 -000000010000000000011101010000 -000000010000000000100000110000 -000000010000000000100001000000 -000000010000000000100001010000 -000000010000000000100011000000 -000000010000000000100011010000 -000000010000000000100011100000 -000000010000000000100011110000 -000000010000000000100100000000 -000000010000000000100111110000 -000000010000000000101000000000 -000000010000000000101000010000 -000000010000000000101001110000 -000000010000000000101010000000 -000000010000000000101010010000 -000000010000000000101010100000 -000000010000000000101010110000 -000000010000000000101011000000 -000000010000000000101110110000 -000000010000000000101111000000 -000000010000000000101111010000 -000000010000000000110000000000 -000000010000000000110000010000 -000000010000000000110000100000 -000000010000000000110000110000 -000000010000000000110001000000 -000000010000000000110001010000 -000000010000000000110001100000 -000000010000000000110001110000 -000000010000000000110110000000 -000000010000000000110110010000 -000000010000000000110110100000 -000000010000000000110110110000 -000000010000000000110111000000 -000000010000000000110111010000 -000000010000000000110111100000 -000000010000000000110111110000 -000000010000000000111000000000 -000000010000000000111000010000 -000000010000000000111000100000 -000000010000000000111000110000 -000000010000000000111101000000 -000000010000000000111101010000 -000000010000000000111101100000 -000000010000000000111101110000 -000000010000000000111110000000 -000000010000000000111110010000 -000000010000000000111110100000 -000000010000000000111110110000 -000000010000000000111111000000 -000000010000000000111111010000 -000000011000000000000010110000 -000000011000000000000011000000 -000000011000000000000011010000 -000000011000000000000100000000 -000000011000000000000100010000 -000000011000000000000100100000 -000000011000000000000100110000 -000000011000000000000101000000 -000000011000000000000101010000 -000000011000000000000101100000 -000000011000000000000101110000 -000000011000000000001010000000 -000000011000000000001010010000 -000000011000000000001010100000 -000000011000000000001010110000 -000000011000000000001011000000 -000000011000000000001011010000 -000000011000000000001011100000 -000000011000000000001011110000 -000000011000000000001100000000 -000000011000000000001100010000 -000000011000000000001100100000 -000000011000000000001100110000 -000000011000000000010001000000 -000000011000000000010001010000 -000000011000000000010001100000 -000000011000000000010001110000 -000000011000000000010010000000 -000000011000000000010010010000 -000000011000000000010010100000 -000000011000000000010010110000 -000000011000000000010011000000 -000000011000000000010011010000 -000000011000000000011000010000 -000000011000000000011000100000 -000000011000000000011000110000 -000000011000000000011001000000 -000000011000000000011001010000 -000000011000000000011001100000 -000000011000000000011001110000 -000000011000000000011111110000 -000000011000000000100000000000 -000000011000000000100000010000 -000000000000000000100101110000 -000000000000000000101100110000 -000000000000000000101110110000 -000000000000000000101111000000 -000000000000000000110011100000 -000000000000000000110011110000 -000000000000000000110110000000 -000000000000000000111010100000 -000000000000000000111010110000 -000000000000000000111101000000 -000000001000000000000000110000 -000000001000000000000010110000 -000000001000000000000011000000 -000000001000000000000111100000 -000000001000000000000111110000 -000000001000000000001010000000 -000000001000000000001110100000 -000000001000000000001110110000 -000000001000000000010001000000 -000000001000000000010101010000 -000000001000000000010101100000 -000000001000000000010111110000 -000000001000000000011000000000 -000000001000000000011100000000 -000000001000000000011100010000 -000000001000000000011110110000 -000000001000000000011111000000 -000000001000000000100011000000 -000000001000000000100011010000 -000000001000000000100101100000 -000000001000000000100101110000 -000000001000000000100110000000 -000000001000000000101001110000 -000000001000000000101010000000 -000000001000000000101100100000 -000000001000000000101100110000 -000000001000000000110000110000 -000000001000000000110001000000 -000000001000000000110011010000 -000000001000000000110011100000 -000000001000000000110011110000 -000000001000000000110111110000 -000000001000000000111000000000 -000000001000000000111010010000 -000000001000000000111010100000 -000000001000000000111010110000 -000000001000000000111110110000 -000000001000000000111111000000 -000000010000000000000000100000 -000000010000000000000000110000 -000000010000000000000100110000 -000000010000000000000101000000 -000000010000000000000111010000 -000000010000000000000111100000 -000000010000000000000111110000 -000000010000000000001011110000 -000000010000000000001100000000 -000000010000000000001110010000 -000000010000000000001110100000 -000000010000000000001110110000 -000000010000000000010010110000 -000000010000000000010011000000 -000000010000000000010101010000 -000000010000000000010101100000 -000000010000000000011001110000 -000000010000000000011010000000 -000000010000000000011010010000 -000000010000000000011011100000 -000000010000000000011011110000 -000000010000000000011100000000 -000000010000000000011100010000 -000000010000000000011100100000 -000000010000000000100001000000 -000000010000000000100001010000 -000000010000000000100001100000 -000000010000000000100001110000 -000000010000000000100010000000 -000000010000000000100010010000 -000000010000000000100010100000 -000000010000000000100010110000 -000000010000000000100011000000 -000000010000000000100011010000 -000000010000000000100011100000 -000000010000000000101010000000 -000000010000000000101010010000 -000000010000000000101010100000 -000000010000000000110001010000 -000000010000000000110001100000 -000000010000000000111000000000 -000000010000000000111000010000 -000000010000000000111000100000 -000000010000000000111111010000 -000000010000000000111111100000 -000000011000000000000101010000 -000000011000000000000101100000 -000000011000000000001100000000 -000000011000000000001100010000 -000000011000000000001100100000 -000000011000000000010011010000 -000000011000000000010011100000 -000000011000000000011010000000 -000000011000000000011010010000 -000000011000000000011010100000 -000000011000000000100001000000 -000000011000000000100001010000 -000000011000000000101000000000 -000000000000000000100111010000 -000000000000000000101110000000 -000000000000000000101110010000 -000000000000000000101110100000 -000000000000000000110101000000 -000000000000000000110101010000 -000000000000000000110101100000 -000000000000000000111011110000 -000000000000000000111100000000 -000000000000000000111100010000 -000000001000000000000010000000 -000000001000000000000010010000 -000000001000000000000010100000 -000000001000000000001001000000 -000000001000000000001001010000 -000000001000000000001001100000 -000000001000000000001111110000 -000000001000000000010000000000 -000000001000000000010000010000 -000000001000000000010110110000 -000000001000000000010111000000 -000000001000000000010111010000 -000000001000000000011101100000 -000000001000000000011101110000 -000000001000000000011110000000 -000000001000000000011110010000 -000000001000000000100100100000 -000000001000000000100100110000 -000000001000000000100101000000 -000000001000000000101011100000 -000000001000000000101011110000 -000000001000000000101100000000 -000000001000000000110010100000 -000000001000000000110010110000 -000000001000000000110011000000 -000000001000000000111001010000 -000000001000000000111001100000 -000000001000000000111001110000 -000000010000000000000000000000 -000000010000000000000110100000 -000000010000000000000110110000 -000000010000000000000111000000 -000000010000000000001101010000 -000000010000000000001101100000 -000000010000000000001101110000 -000000010000000000010100010000 -000000010000000000010100100000 -000000010000000000010100110000 -000000010000000000011011010000 -000000010000000000011011100000 -000000010000000000011011110000 -000000010000000000100010000000 -000000010000000000100010010000 -000000010000000000100010100000 -000000010000000000101001000000 -000000010000000000101001010000 -000000010000000000101001100000 -000000010000000000110000000000 -000000010000000000110000010000 -000000010000000000110000100000 -000000010000000000110111000000 -000000010000000000110111010000 -000000010000000000111101110000 -000000010000000000111110000000 -000000010000000000111110010000 -000000011000000000000100000000 -000000011000000000000100010000 -000000011000000000000100100000 -000000011000000000001011000000 -000000011000000000001011010000 -000000011000000000010001110000 -000000011000000000010010000000 -000000011000000000010010010000 -000000011000000000011000110000 -000000011000000000011001000000 -000000011000000000011001010000 -000000011000000000011111110000 -000000011000000000100000000000 -000000011000000000100000010000 -000000011000000000100111000000 -000000000000000000100101100000 -000000000000000000100101110000 -000000000000000000101100010000 -000000000000000000101100100000 -000000000000000000101100110000 -000000000000000000101111010000 -000000000000000000101111100000 -000000000000000000110011000000 -000000000000000000110011010000 -000000000000000000110011100000 -000000000000000000110110000000 -000000000000000000110110010000 -000000000000000000111010000000 -000000000000000000111010010000 -000000000000000000111101000000 -000000000000000000111101010000 -000000001000000000000000010000 -000000001000000000000000100000 -000000001000000000000000110000 -000000001000000000000011010000 -000000001000000000000011100000 -000000001000000000000111000000 -000000001000000000000111010000 -000000001000000000000111100000 -000000001000000000001010000000 -000000001000000000001010010000 -000000001000000000001110000000 -000000001000000000001110010000 -000000001000000000010001000000 -000000001000000000010001010000 -000000001000000000010100110000 -000000001000000000010101000000 -000000001000000000010111110000 -000000001000000000011000000000 -000000001000000000011011100000 -000000001000000000011011110000 -000000001000000000011100000000 -000000001000000000011110110000 -000000001000000000011111000000 -000000001000000000100010100000 -000000001000000000100010110000 -000000001000000000100011000000 -000000001000000000100101010000 -000000001000000000100101100000 -000000001000000000100101110000 -000000001000000000101001100000 -000000001000000000101001110000 -000000001000000000101010000000 -000000001000000000101010010000 -000000001000000000101010100000 -000000001000000000101011110000 -000000001000000000101100000000 -000000001000000000101100010000 -000000001000000000101100100000 -000000001000000000101100110000 -000000001000000000110000110000 -000000001000000000110001000000 -000000001000000000110001010000 -000000001000000000110001100000 -000000001000000000110001110000 -000000001000000000110010000000 -000000001000000000110010010000 -000000001000000000110010100000 -000000001000000000110010110000 -000000001000000000110011000000 -000000001000000000110011010000 -000000001000000000110011100000 -000000001000000000111000010000 -000000001000000000111000100000 -000000001000000000111000110000 -000000001000000000111001000000 -000000001000000000111001010000 -000000001000000000111001100000 -000000001000000000111010000000 -000000001000000000111010010000 -000000001000000000111010100000 -000000010000000000000000000000 -000000010000000000000000010000 -000000010000000000000000100000 -000000010000000000000000110000 -000000010000000000000100110000 -000000010000000000000101000000 -000000010000000000000101010000 -000000010000000000000101100000 -000000010000000000000101110000 -000000010000000000000110000000 -000000010000000000000110010000 -000000010000000000000110100000 -000000010000000000000110110000 -000000010000000000000111000000 -000000010000000000000111010000 -000000010000000000000111100000 -000000010000000000001100010000 -000000010000000000001100100000 -000000010000000000001100110000 -000000010000000000001101000000 -000000010000000000001101010000 -000000010000000000001101100000 -000000010000000000001110000000 -000000010000000000001110010000 -000000010000000000001110100000 -000000010000000000010101000000 -000000010000000000010101010000 -000000010000000000011011110000 -000000010000000000011100000000 -000000010000000000100010110000 -000000010000000000100011000000 -000000010000000000101001100000 -000000010000000000101001110000 -000000010000000000101010000000 -000000010000000000110000100000 -000000010000000000110000110000 -000000010000000000110111100000 -000000010000000000110111110000 -000000010000000000111110010000 -000000010000000000111110100000 -000000010000000000111110110000 -000000011000000000000100100000 -000000011000000000000100110000 -000000011000000000001011100000 -000000011000000000001011110000 -000000011000000000010010010000 -000000011000000000010010100000 -000000011000000000010010110000 -000000011000000000011001010000 -000000011000000000011001100000 -000000011000000000011001110000 -000000011000000000011010010000 -000000011000000000011010100000 -000000011000000000100000010000 -000000011000000000100000100000 -000000011000000000100000110000 -000000011000000000100001000000 -000000011000000000100001010000 -000000011000000000100111100000 -000000011000000000100111110000 -000000000000000000101101000000 -000000000000000000101101010000 -000000000000000000110011110000 -000000000000000000110100000000 -000000000000000000110100010000 -000000000000000000111010100000 -000000000000000000111010110000 -000000000000000000111011000000 -000000000000000000111011010000 -000000000000000000111011100000 -000000001000000000000001000000 -000000001000000000000001010000 -000000001000000000000111110000 -000000001000000000001000000000 -000000001000000000001000010000 -000000001000000000001110100000 -000000001000000000001110110000 -000000001000000000001111000000 -000000001000000000001111010000 -000000001000000000001111100000 -000000001000000000010101010000 -000000001000000000010101100000 -000000001000000000010101110000 -000000001000000000010110000000 -000000001000000000010110010000 -000000001000000000010110100000 -000000001000000000010110110000 -000000001000000000011100010000 -000000001000000000011100100000 -000000001000000000011100110000 -000000001000000000011101000000 -000000001000000000011101010000 -000000001000000000011101100000 -000000001000000000011101110000 -000000001000000000011110000000 -000000001000000000100011010000 -000000001000000000100011100000 -000000001000000000100100100000 -000000001000000000100100110000 -000000001000000000100101000000 -000000001000000000100101010000 -000000001000000000101010010000 -000000001000000000101011100000 -000000001000000000101011110000 -000000001000000000101100000000 -000000001000000000101100010000 -000000001000000000110001000000 -000000001000000000110001010000 -000000001000000000110001100000 -000000001000000000110010100000 -000000001000000000110010110000 -000000001000000000110011000000 -000000001000000000110011010000 -000000001000000000111000010000 -000000001000000000111000100000 -000000001000000000111001010000 -000000001000000000111001100000 -000000001000000000111001110000 -000000001000000000111010000000 -000000001000000000111010010000 -000000001000000000111111010000 -000000001000000000111111100000 -000000001000000000111111110000 -000000010000000000000000000000 -000000010000000000000000010000 -000000010000000000000101000000 -000000010000000000000101010000 -000000010000000000000101100000 -000000010000000000000110100000 -000000010000000000000110110000 -000000010000000000000111000000 -000000010000000000000111010000 -000000010000000000001100010000 -000000010000000000001100100000 -000000010000000000001101010000 -000000010000000000001101100000 -000000010000000000001101110000 -000000010000000000001110000000 -000000010000000000001110010000 -000000010000000000010011010000 -000000010000000000010011100000 -000000010000000000010011110000 -000000010000000000010100000000 -000000010000000000010100010000 -000000010000000000010100100000 -000000010000000000010101000000 -000000010000000000010101010000 -000000010000000000010101100000 -000000010000000000011010100000 -000000010000000000011010110000 -000000010000000000011011000000 -000000010000000000011011010000 -000000010000000000011100000000 -000000010000000000011100010000 -000000010000000000011100100000 -000000010000000000100001110000 -000000010000000000100010000000 -000000010000000000100011010000 -000000010000000000100011100000 -000000010000000000100011110000 -000000010000000000101010100000 -000000010000000000101010110000 -000000010000000000110001100000 -000000010000000000110001110000 -000000010000000000111000110000 -000000010000000000111001000000 -000000011000000000000101100000 -000000011000000000000101110000 -000000011000000000001100110000 -000000011000000000001101000000 -000000011000000000010100000000 -000000011000000000010100010000 -000000011000000000011011000000 -000000011000000000011011010000 -000000011000000000100010010000 -000000011000000000100010100000 -000000011000000000101001010000 -000000011000000000101001100000 -000000011000000000110000100000 -000000000000000000100001100000 -000000000000000000100111000000 -000000000000000000100111010000 -000000000000000000100111100000 -000000000000000000100111110000 -000000000000000000101000000000 -000000000000000000101000010000 -000000000000000000101000100000 -000000000000000000101000110000 -000000000000000000101001000000 -000000000000000000101101010000 -000000000000000000101101100000 -000000000000000000101101110000 -000000000000000000101110000000 -000000000000000000101110010000 -000000000000000000101110100000 -000000000000000000101110110000 -000000000000000000101111000000 -000000000000000000101111010000 -000000000000000000101111100000 -000000000000000000101111110000 -000000000000000000110000000000 -000000000000000000110100010000 -000000000000000000110100100000 -000000000000000000110100110000 -000000000000000000110101000000 -000000000000000000110101010000 -000000000000000000110101100000 -000000000000000000110101110000 -000000000000000000110110000000 -000000000000000000110110010000 -000000000000000000110110100000 -000000000000000000110110110000 -000000000000000000110111000000 -000000000000000000111010010000 -000000000000000000111011010000 -000000000000000000111011100000 -000000000000000000111011110000 -000000000000000000111100000000 -000000001000000000000001010000 -000000001000000000000001100000 -000000001000000000000001110000 -000000001000000000000010000000 -000000001000000000000010010000 -000000001000000000000010100000 -000000001000000000000010110000 -000000001000000000000011000000 -000000001000000000000011010000 -000000001000000000000011100000 -000000001000000000000011110000 -000000001000000000000100000000 -000000001000000000001000010000 -000000001000000000001000100000 -000000001000000000001000110000 -000000001000000000001001000000 -000000001000000000001001010000 -000000001000000000001001100000 -000000001000000000001001110000 -000000001000000000001010000000 -000000001000000000001010010000 -000000001000000000001010100000 -000000001000000000001010110000 -000000001000000000001011000000 -000000001000000000001110010000 -000000001000000000001111010000 -000000001000000000001111100000 -000000001000000000001111110000 -000000001000000000010000000000 -000000001000000000010101000000 -000000001000000000010101010000 -000000001000000000011011110000 -000000001000000000011100000000 -000000001000000000011100010000 -000000001000000000100010100000 -000000001000000000100010110000 -000000001000000000100011000000 -000000001000000000101001100000 -000000001000000000101001110000 -000000001000000000110000010000 -000000001000000000110000100000 -000000001000000000110000110000 -000000001000000000110111010000 -000000001000000000110111100000 -000000001000000000110111110000 -000000001000000000111110010000 -000000001000000000111110100000 -000000001000000000111110110000 -000000001000000000111111000000 -000000001000000000111111010000 -000000001000000000111111100000 -000000010000000000000100010000 -000000010000000000000100100000 -000000010000000000000100110000 -000000010000000000001011010000 -000000010000000000001011100000 -000000010000000000001011110000 -000000010000000000010010010000 -000000010000000000010010100000 -000000010000000000010010110000 -000000010000000000010011000000 -000000010000000000010011010000 -000000010000000000010011100000 -000000010000000000011001010000 -000000010000000000011001100000 -000000010000000000011001110000 -000000010000000000011010000000 -000000010000000000011010010000 -000000010000000000011010100000 -000000010000000000011010110000 -000000010000000000011011000000 -000000010000000000011011010000 -000000010000000000011011100000 -000000010000000000011011110000 -000000010000000000011100000000 -000000010000000000011100010000 -000000010000000000011100100000 -000000010000000000100000110000 -000000010000000000100001000000 -000000010000000000100001010000 -000000010000000000100001100000 -000000010000000000100001110000 -000000010000000000100010000000 -000000010000000000100010010000 -000000010000000000100010100000 -000000010000000000100010110000 -000000010000000000100011000000 -000000010000000000100011010000 -000000010000000000100011100000 -000000010000000000101000110000 -000000010000000000101001000000 -000000010000000000101001010000 -000000010000000000101001100000 -000000010000000000101001110000 -000000010000000000101010000000 -000000010000000000101010010000 -000000010000000000101010100000 -000000010000000000101010110000 -000000010000000000110000000000 -000000010000000000110000010000 -000000010000000000110000100000 -000000010000000000110001000000 -000000010000000000110001010000 -000000010000000000110001100000 -000000010000000000110001110000 -000000010000000000110111000000 -000000010000000000110111010000 -000000010000000000110111100000 -000000010000000000110111110000 -000000010000000000111000000000 -000000010000000000111000010000 -000000010000000000111000100000 -000000010000000000111000110000 -000000010000000000111110000000 -000000010000000000111110010000 -000000010000000000111110100000 -000000010000000000111110110000 -000000010000000000111111000000 -000000010000000000111111010000 -000000010000000000111111100000 -000000011000000000000100000000 -000000011000000000000100010000 -000000011000000000000100100000 -000000011000000000000101000000 -000000011000000000000101010000 -000000011000000000000101100000 -000000011000000000000101110000 -000000011000000000001011000000 -000000011000000000001011010000 -000000011000000000001011100000 -000000011000000000001011110000 -000000011000000000001100000000 -000000011000000000001100010000 -000000011000000000001100100000 -000000011000000000001100110000 -000000011000000000010010000000 -000000011000000000010010010000 -000000011000000000010010100000 -000000011000000000010010110000 -000000011000000000010011000000 -000000011000000000010011010000 -000000011000000000010011100000 -000000011000000000011001010000 -000000011000000000011001100000 -000000011000000000011001110000 -000000011000000000011010000000 -000000011000000000011010010000 -000000011000000000100000110000 -000000000000000000110100110000 -000000000000000000110101000000 -000000000000000000110101010000 -000000000000000000111011000000 -000000000000000000111011010000 -000000000000000000111011100000 -000000000000000000111011110000 -000000000000000000111100000000 -000000000000000000111100010000 -000000000000000000111100100000 -000000000000000000111100110000 -000000000000000000111101000000 -000000000000000000111101010000 -000000001000000000001000110000 -000000001000000000001001000000 -000000001000000000001001010000 -000000001000000000001111000000 -000000001000000000001111010000 -000000001000000000001111100000 -000000001000000000001111110000 -000000001000000000010000000000 -000000001000000000010000010000 -000000001000000000010000100000 -000000001000000000010000110000 -000000001000000000010001000000 -000000001000000000010001010000 -000000001000000000010101100000 -000000001000000000010101110000 -000000001000000000010110000000 -000000001000000000010110010000 -000000001000000000010110100000 -000000001000000000010110110000 -000000001000000000010111000000 -000000001000000000010111010000 -000000001000000000010111100000 -000000001000000000010111110000 -000000001000000000011000000000 -000000001000000000011000010000 -000000001000000000011100000000 -000000001000000000011100010000 -000000001000000000011100100000 -000000001000000000011100110000 -000000001000000000011101000000 -000000001000000000011101010000 -000000001000000000011110010000 -000000001000000000011110110000 -000000001000000000011111000000 -000000001000000000011111010000 -000000001000000000011111100000 -000000001000000000100011000000 -000000001000000000100011010000 -000000001000000000100011100000 -000000001000000000100011110000 -000000001000000000100101010000 -000000001000000000100110000000 -000000001000000000100110010000 -000000001000000000100110100000 -000000001000000000101001110000 -000000001000000000101010000000 -000000001000000000101010010000 -000000001000000000101100100000 -000000001000000000101100110000 -000000001000000000101101000000 -000000001000000000101101010000 -000000001000000000101101100000 -000000001000000000110000110000 -000000001000000000110001000000 -000000001000000000110001010000 -000000001000000000110001110000 -000000001000000000110010000000 -000000001000000000110010010000 -000000001000000000110010100000 -000000001000000000110010110000 -000000001000000000110011000000 -000000001000000000110011010000 -000000001000000000110011100000 -000000001000000000110011110000 -000000001000000000110100000000 -000000001000000000110100010000 -000000001000000000110111110000 -000000001000000000111000000000 -000000001000000000111000010000 -000000001000000000111000100000 -000000001000000000111000110000 -000000001000000000111001000000 -000000001000000000111001010000 -000000001000000000111001100000 -000000001000000000111001110000 -000000001000000000111010000000 -000000001000000000111010010000 -000000001000000000111010100000 -000000001000000000111010110000 -000000001000000000111011000000 -000000001000000000111111010000 -000000001000000000111111100000 -000000001000000000111111110000 -000000010000000000000000100000 -000000010000000000000000110000 -000000010000000000000001000000 -000000010000000000000001010000 -000000010000000000000001100000 -000000010000000000000100110000 -000000010000000000000101000000 -000000010000000000000101010000 -000000010000000000000101110000 -000000010000000000000110000000 -000000010000000000000110010000 -000000010000000000000110100000 -000000010000000000000110110000 -000000010000000000000111000000 -000000010000000000000111010000 -000000010000000000000111100000 -000000010000000000000111110000 -000000010000000000001000000000 -000000010000000000001000010000 -000000010000000000001011110000 -000000010000000000001100000000 -000000010000000000001100010000 -000000010000000000001100100000 -000000010000000000001100110000 -000000010000000000001101000000 -000000010000000000001101010000 -000000010000000000001101100000 -000000010000000000001101110000 -000000010000000000001110000000 -000000010000000000001110010000 -000000010000000000001110100000 -000000010000000000001110110000 -000000010000000000001111000000 -000000010000000000010011010000 -000000010000000000010011100000 -000000010000000000010011110000 -000000010000000000010100000000 -000000010000000000010100010000 -000000010000000000010100100000 -000000010000000000010100110000 -000000010000000000010101000000 -000000010000000000010101010000 -000000010000000000010101100000 -000000010000000000010101110000 -000000010000000000011011100000 -000000010000000000011011110000 -000000010000000000011100000000 -000000010000000000011100010000 -000000010000000000100010100000 -000000010000000000100010110000 -000000010000000000100011000000 -000000010000000000101001010000 -000000010000000000101001100000 -000000010000000000101001110000 -000000010000000000101010000000 -000000010000000000110000000000 -000000010000000000110000010000 -000000010000000000110000100000 -000000010000000000110000110000 -000000010000000000110110110000 -000000010000000000110111000000 -000000010000000000110111010000 -000000010000000000110111100000 -000000010000000000111101110000 -000000010000000000111110000000 -000000010000000000111110010000 -000000010000000000111110100000 -000000011000000000000100000000 -000000011000000000000100010000 -000000011000000000000100100000 -000000011000000000000100110000 -000000011000000000001010110000 -000000011000000000001011000000 -000000011000000000001011010000 -000000011000000000001011100000 -000000011000000000010001110000 -000000011000000000010010000000 -000000011000000000010010010000 -000000011000000000010010100000 -000000011000000000011000100000 -000000011000000000011000110000 -000000011000000000011001000000 -000000011000000000011001010000 -000000011000000000011111100000 -000000011000000000011111110000 -000000011000000000100000000000 -000000011000000000100110010000 -000000011000000000100110100000 -000000011000000000100110110000 -000000011000000000100111000000 -000000011000000000101101010000 -000000011000000000101101100000 -000000011000000000101101110000 -000000011000000000110100100000 \ No newline at end of file diff --git a/verilog/dv/firmware_demo/neuron_data.txt b/verilog/dv/firmware_demo/neuron_data.txt deleted file mode 100644 index 7ed91c9..0000000 --- a/verilog/dv/firmware_demo/neuron_data.txt +++ /dev/null @@ -1,160 +0,0 @@ -101001000011000100001010101011101110011101010000011010100011100010010010001110101010111110110100101010111110100010011010100011101010101010100101100100100110101000101010011011101010111110101010011101111100000110001111100110010101110010101000000101100001100100000000000000000000000111111111000000011111111100000000000000000000000000000000 -101010101010100100110101100011111011100011010110010101000110001010100101010011010001011110100101010110100001010110000010010101010011010101010010001100111101101001010100110011010101110110010001010010000100100101011011011100010010010000001011010011110101000100000000000000000000000111111111000000011111111100000000000000000000000000000001 -110010101010000010110010100100001000100101101011011010101010000001110110100101001010100100000001011010010110101011111010010101010001111110100001001011001001010101011010110100101000000101010011100111111001010010000110101011001100110010010000110101000000001100000000000000000000000111111111000000011111111100000000000000000000000000000010 -110010010101010110100100100101110010000010001010000100000111001000010000101000010101011101110000000010101000010111110011000001101001000100111001011111010010100101110000000111100101001011010111011100101010010100101000110100001001001000011101000111011110110000000000000000000000000111111111000000011111111100000000000000000000000000000011 -101010101010101010100101100110101011111010101001011010111101001011100011010001000101100001101001010101110100011100111110101100010101010101001101110010001101010100010101101011011000110101010001011101001000111001011011010100100000110111111010110001110001100100000000000000000000000111111111000000011111111100000000000000000000000000000100 -001111010100101000011101111010010101010010101010110010101100011101010000011110001011101101010101010010000100101011101101010101011110001011100100000101010101011111001110101110111101010101110101010111100001010101010000010101001011100111110100110001010000011000000000000000000000000111111111000000011111111100000000000000000000000000000101 -101101000100111010111101001010100100001001001001010001101001001011011001110101001010100001010101100011010110101001101101010011101011010010101101100000101000111100100011101011001000001111010010001000011111101010111110001010111011011100010011001111010110101000000000000000000000000111111111000000011111111100000000000000000000000000000110 -100010101110100010100101010101000000111010101011010111011010001001000001000001010101010101001001010101000101010101011010010101000011110100011000111111010001010101010100001110010101010001010111001101111010110110011100010100100101110011000100000101000000011000000000000000000000000111111111000000011111111100000000000000000000000000000111 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -101000110000111000110100011010101011001100001000110111101010101101111100101101000010101010010010001010101100000010001111011101101010100011001000010010111010101010000101000001010010101000101001110000000100000001000001111001010101010100001101010101010101010100000000000000000000000111111111000000011111111100000000000000000000000001000000 -101010110100010000101011000010000111010000100010000101001010000101101110100001010100100010010100011010010101010011001101010100101001010101001111110101000010101101010110000001010101111010001010000010110101010100111010101111101110010100011000100110001000010100000000000000000000000111111111000000011111111100000000000000000000000001000001 -101101011101010000010101010000010000010101011101100101100110100011101100101010100101101010101101001010110111011010101000010111101101010101101000111101001010010101010010100111010000110101010110101000110101011011000100001010001100010100001110110011100011100000000000000000000000000111111111000000011111111100000000000000000000000001000010 -010000100011001000010100101100010000011110101010111011010101010010100010101010100101010101011100011110101001010101000110111101011010110101010000100011001101101011010101000101010100100100101000001101010101011100010000101000000101010000011111011010100000010100000000000000000000000111111111000000011111111100000000000000000000000001000011 -101000100100001010000011010010101001100110100001100100001010110111000010010101010101101101010000100101001101010000010101010001010000000101001001010100110110010010000010110101001010110010001010111101010100100010000000111000010101101010010111001110010101101000000000000000000000000111111111000000011111111100000000000000000000000001000100 -101010100101110000000010111010101011010010001001100000101010100101100100101001000110100101011111010011100101010101010101010001010001100101010000010100110101000000000101000001011101010110011000101010100110000101100100001010101010101100001101000000001011101000000000000000000000000111111111000000011111111100000000000000000000000001000101 -000101110101110110010010011101101010100001010000001011001010101010101000110011110110101010101000001000000011001010101010101011101000010100101010100001110010111001000010100101010011101011110001011001010001011110101100101011000111110111001000110110010000101000000000000000000000000111111111000000011111111100000000000000000000000001000110 -110110100010010101100100001010101011010100010110100001100010101101101000111000101010100100010110111010101000110101000100010111011101101101010100101101010101011000010101011010000101010101011101010111101110000100010001000101101001011100010110101011011010101000000000000000000000000111111111000000011111111100000000000000000000000001000111 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -010101011010100010011001010101010101101011100101010000010111010010111101001001010101010101001010110110000000101010001100101000010100101010101000101010101001010100101011100110101011010101010110101100101010111101010101010101000010101011111101010101010110101000000000000000000000000111111111000000011111111100000000000000000000000001001000 -010100101001010000101101011001101111111011010110010001100010010000100101010101010010100101010011111101010101101001010101010010110101011010110101010000100101010101011001010101001011110101011110100101010110110001110100010100001011101000000111010100001110101100000000000000000000000111111111000000011111111100000000000000000000000001001001 -100101011101100101010101100001000100100001010101001000100100011011110011010011101011010110101101100101010110101110101010010110010100010110111010101001011111010101001011001010101101100101000010101100001010101010100100101010010110011010000010111010101001011000000000000000000000000111111111000000011111111100000000000000000000000001001010 -011010110100011100001001010101101010000110011010111101010110101001000111101110010101010010111101110101001110010100101001110111000101010101010010011000000000010001110101000010100100010100111001010110111111010110000000001011111010001010100101001001111110101000000000000000000000000111111111000000011111111100000000000000000000000001001011 -010101000000010101010110100101010101110001010101000010100101010100000000101001111010110101000100101011110001101011010101011110101010010100101101010100100010110111010110110101010101011010110001011101010001011000010010010110011001010101111100100100101101010100000000000000000000000111111111000000011111111100000000000000000000000001001100 -110001010101010101010010101011000001011101010101100000100101010111100011010010001011110101111100101001001011001011000101010010100000111100100101000011001011000010000010010100000101101110001111001011011010110100101010100000100110000011011110101001111010100100000000000000000000000111111111000000011111111100000000000000000000000001001101 -000101011101000101010100011101010101110011010100000000110101010110001110111101011001010101100000101101100010100101010010101111010100101000011010101011001001010010100010101010101111100101110001101010100001001000010101010010101000000011011011010100101010101000000000000000000000000111111111000000011111111100000000000000000000000001001110 -101010100110010101010100111101101010011101010101010110101100100110001001010101010010110100011100101001010101000101000100000000101101010111011111010100010010100001001110010101010011101010100000010111010101010000100010101100101101010100000010001000010000010100000000000000000000000111111111000000011111111100000000000000000000000001001111 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -001110101011001101011111110101001010101011001011111011010000011000100100101110100101010110101000010100101010010101011001101001010101101011010000101010100101010110101000001001001010010100010010110100010110101101010010000011010101001110001101010000101101010100000000000000000000000111111111000000011111111100000000000000000000000001010000 -100010111010110010110100000000000010101111101011001011110110001001100110101010101101001000101111001010101010100000011110101001001010101010110100001010000110101010101011000100101111110100100010001100110010101011010101010110000000001010010101010101010100101100000000000000000000000111111111000000011111111100000000000000000000000001010001 -010101010001101001000001011000010101100010110000000101100101010110011011010101010011000101001000001101010101001011010101000010100101010100101001010001011010100101010110111001010101101010101000011110110101011010101010100111010001010101010010101010100111100100000000000000000000000111111111000000011111111100000000000000000000000001010010 -101001010010001001010111100101010101001000110101011011010101010101000011010101110101010101010010010101010101010101010101001011111100011101010100011010111011100000101010101011000010000110001011101010101110001101100010001111001000101010111000101001000110100000000000000000000000000111111111000000011111111100000000000000000000000001010011 -100001011011111111000010100101010010110101100010101010010100101011011110010110101010101010101101100101011010000010101010110011010100010000101010101010100011010101010110101010101011001001010101101110101010101100100101100100000101010111101110101001110111010100000000000000000000000111111111000000011111111100000000000000000000000001010100 -010101100011110101000101001001010101010001010100000010100001010101011101010000101010101001010101001001000000101001000101010110101100010010110100000101000110001101011101001001001100101001110100011111001100000110110101001111101001010011110000100010001011000000000000000000000000000111111111000000011111111100000000000000000000000001010101 -100000010110110101010010001011101110110000110000000000100000111011100100100010101010100110101010101010101010101000110110101010111010101010100001100010101010100010000100101010001101100010010101010101011000100110111101010101010100111111100101010101010101110000000000000000000000000111111111000000011111111100000000000000000000000001010110 -101001000010010100101101010010101101010101010110001101001010010101101101010101010010100101110100110100010101001011010100111011010101010010110101010011011001010101101101010111101001100100011010100101010110110000110000001110110101101001111010010100001010010100000000000000000000000111111111000000011111111100000000000000000000000001010111 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -001001011000111010010011000010011010001010001010000011101010101000101110100100001100101010101010000111010100011010101010101110001101010101101010101000101010110101000010100100101010011001010101000011001010001001100010000101011010101110001110101001010101100100000000000000000000000111111111000000011111111100000000000000000000000001011000 -100100010010110010110110101010010101110001001001001010101010010111111111100101101001101001111011100011100100100100100110101101101000010010010000110011110110101101110001011110000100001010000100101100101100110100101010011110110110011001100100110001000000000100000000000000000000000111111111000000011111111100000000000000000000000001011001 -110000111000111010101010101100001010001101001001000111010010101000101100011000000100101011010010110101100100011010101110101000010100010110101000011010111001010101010010011011101010010101010101011110100000101011000101010101000101101011011101010101010001010000000000000000000000000111111111000000011111111100000000000000000000000001011010 -010111111000010011010100001010100110101010000101011010010100010010001001010101001001110100001011011011110100101001111000101000101000101010110010101011011010011100001011101000101010101110001001100001011100011000011001010101010001010000000101011101010101010100000000000000000000000111111111000000011111111100000000000000000000000001011011 -001100000110011110100010010100000011011011110000001101000110000000001101010000001100101011101011110100011010001010100000101101110101111010101100011010111011010001001010001011111011001001010001001111011010111100101101010101010110100010110011000001110101010000000000000000000000000111111111000000011111111100000000000000000000000001011100 -101010101110110010101011010100101010111001001010110010111010101011001110101000001010101010100111110010100010101000101001000101011101000000010101010101100101010101001101010111000001010101010110010100010000010111011011001010110100010101011111010000101011010100000000000000000000000111111111000000011111111100000000000000000000000001011101 -101010101010111010001010000010101000011001101011101111011010100001010101001000100101001011010101110101010100010111000101010001010101001101011001100100100101010100010110000101011011010101010101011100000011111010100001100110111111101010000000000101011000101000000000000000000000000111111111000000011111111100000000000000000000000001011110 -101101010001001010000101010101010101111011110001010101010101010100010011000100010101010000111100011101100101010110101001101011010011100010101011001100101101011010101010101000101011110111000110101000001100010011010011000010000000111000000000000100001010101000000000000000000000000111111111000000011111111100000000000000000000000001011111 -111000010011001010101000101101010101001010101111111010110101010100111010111000100010010101010111101101001101001101000101111101010101010100110101000100011111010101011010010000100010010110101010101000001110001001111010101100000001001010011111101010101110101000000000000000000000000111111111000000011111111100000000000000000000000001100000 -001010101001000101000011101010101010101111101011111010101000101110101100110111010001010101011010010010011101000101010100101100001110010101010101011010110000101101001101010101101100100001110101010101010010111001001101010101010101101000101100111110111101001100000000000000000000000111111111000000011111111100000000000000000000000000000000 -101010100100010100011110001000101000010011011101011101101111100000111101010111101101001000101010110111011011010101011010101010011101001001010100101010110011101111110101010000101001010111000110010101000101110111011100111010101111011001000101110000101010000100000000000000000000000111111111000000011111111100000000000000000000000000000001 -101010100010001100000101101010101010101010100100100010101010101010101010101111101010101010101010101010010010101010100001001010101111001010101101010101011000111111101010100100010001001100001000010101010101000001010010111001010101010110100001101110100101110100000000000000000000000111111111000000011111111100000000000000000000000000000010 -100111100010000101100000100100101010110010110111101010100000101101001010001100101010010000110101001011010000000101110001010100000101010100110100100101011101011110001101010110010110100100001110010101010101101010101000000101010001010110101010101100100100000100000000000000000000000111111111000000011111111100000000000000000000000000000011 -100000011010101010010000101000101001001110110011010000100001000100000010100000111010100101101001101110111001110111010010101000101010001010001101001000110101011110101111010110110110110101110110110101001010000001010111111011010100101001010101011100101101010000000000000000000000000111111111000000011111111100000000000000000000000000000100 -101100100000101000111011101010001111010010100100110110001001100101101100100111010101010100000001001010111001010101011101100000101101100101010101001010110000101001110101000010101010000111101111010110101010101100001101011101010001101001000101110000110011010000000000000000000000000111111111000000011111111100000000000000000000000000000101 -000100010100101000011111000010001001000101001001011000011000000101011001100100001011110100110101010110100101010101010001010101010110111010110101001011010101001001110101010010101001010100110111010100001010101000011110011101000001101010101010000011110110100000000000000000000000000111111111000000011111111100000000000000000000000000000110 -101010100011100100100010001001101010000010100110011010100010101001001010101010100100100010100101011010111011010000001010010101001011001101011100000101010100100010010101100100110101010010011101010100101101010100010011100101010101010101001000001011010110010000000000000000000000000111111111000000011111111100000000000000000000000000000111 -001010101010101000001011101010111010101011000110101100000010110011101110011101010000100011010000010011010000001010010101001001010111000010101101110110000100000110101101001101011010101110000000000101110100101010001011010001010101011010010001001101000101010100000000000000000000000111111111000000011111111100000000000000000000000000001000 -001001011110010100000001101010010101001000000001110111101000010110010001001101010101101101001001010101100101001110110100100101010000010101010001110010100101000101010101000100111010100010100101010001101011101010110101110101011101010100101011011001111101010000000000000000000000000111111111000000011111111100000000000000000000000000001001 -101001011010101011101010101011110010001010001110101000100000001010010010101110101000000001100101010011111010101011010010010101001101101010110101001001110101100010100100011110100101010100101101010100011010010101010010011101011010101101010101101001010100101000000000000000000000000111111111000000011111111100000000000000000000000010001010 -100101010111011110110000001111101111010100101110111010001010101010011010011111011000101110100101000011010001010010001010010011000101100110000010101001010101101010010010101010100101010001101101011010101011010111111110000101001010101001011110000101110100110000000000000000000000000111111111000000011111111100000000000000000000000010001011 -010100010101010001101111010000111101010101101100100100011100011011010101110100001000010100101010100100010010101001100010101000110111111110010000101010100001101010011001101010101000010010001001000000101010110101000101101000101110101001010100011101010000110000000000000000000000000111111111000000011111111100000000000000000000000010001100 -001010001011101001000110011100100100010000101011111000100001010110101110100010100010101001010010101001110110001000100100001010101011101011101011010100101010111110100110100001011010101011010100010100110100101010001110111101010111010010010101100001010101010100000000000000000000000111111111000000011111111100000000000000000000000010001101 -010101001110011001011101011101010101110101111111100100110101000010000100110111001001101010001110011101011010001110101011101011010011101100101010110110101010011110001010101110000101001011010000101010111101100110100011101010100001010101011010101011001010110100000000000000000000000111111111000000011111111100000000000000000000000010001110 -111100011011001001000101010101111101011010100101110101001011000101001010000001010100101001010101010111100101011000000001010101010111010101000010000101010101001101010101011011101101010100110111010100111010100101010111000101010100101010100111011100101110000000000000000000000000000111111111000000011111111100000000000000000000000010001111 -010101110111010010110011110000011011110010011011000111010110011010011101100111101101001000101010111000101011010010100010101010101001101001001010001010010010111110010100101000101000001101000001010101101010110101001010001000010101101001010101010101110111110100000000000000000000000111111111000000011111111100000000000000000000000010010000 -000111110111110001111100011111100100000110000101110000001101000001000011010001110000010110010010100100010111101001011001010010100010010001100101110101001010011001011000010100110111101001101110101001011001010000111001010010010101010101001010100001001000010100000000000000000000000111111111000000011111111100000000000000000000000010010001 -100010011001010101010010101010101110100101011111101001001000001010110101011110101100000100101001010101011010010101001000110101010100000101010100000001010101011001010101010000110101010010010101010110111001010101101011010110000100010101001010101011011010110100000000000000000000000111111111000000011111111100000000000000000000000010010010 -100110110001010101111000010001011010101000010100010010100011101010101010010111101000101010101010101000100010010110100100101010101101101100000111010100101010110111110111110001010100001011011011010011111110010101111010111010010010110001010101101100101010000000000000000000000000000111111111000000011111111100000000000000000000000010010011 -101010100101001010110101100110101001110000101110111100101010100001011100110101010000100101110111010000100001010000110110101001111101001010010101011000011110110000101000010100101111111010110010101001011010010100101100111110110100010101001010001111001000001100000000000000000000000111111111000000011111111100000000000000000000000010010100 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 \ No newline at end of file diff --git a/verilog/dv/firmware_demo/tb_firmware_demo.v b/verilog/dv/firmware_demo/tb_firmware_demo.v deleted file mode 100644 index cc6e8ad..0000000 --- a/verilog/dv/firmware_demo/tb_firmware_demo.v +++ /dev/null @@ -1,242 +0,0 @@ -// SPDX-FileCopyrightText: 2020 Efabless Corporation -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. -// SPDX-License-Identifier: Apache-2.0 - -`default_nettype none - -`timescale 1 ns / 1 ps - -module firmware_demo_tb; - reg clock; - reg RSTB; - reg CSB; - reg power1, power2; - reg power3, power4; - - wire gpio; - wire [37:0] mprj_io; - wire [7:0] mprj_io_0; - wire [15:0] checkbits; - - assign checkbits = mprj_io[31:16]; - - assign mprj_io[3] = 1'b1; - - // External clock is used by default. Make this artificially fast for the - // simulation. Normally this would be a slow clock and the digital PLL - // would be the fast clock. - - always #12.5 clock <= (clock === 1'b0); - - initial begin - clock = 0; - end - - `ifdef ENABLE_SDF - initial begin - $sdf_annotate("../../../sdf/user_proj_example.sdf", uut.mprj) ; - $sdf_annotate("../../../sdf/user_project_wrapper.sdf", uut.mprj.mprj) ; - $sdf_annotate("../../../mgmt_core_wrapper/sdf/DFFRAM.sdf", uut.soc.DFFRAM_0) ; - $sdf_annotate("../../../mgmt_core_wrapper/sdf/mgmt_core.sdf", uut.soc.core) ; - $sdf_annotate("../../../caravel/sdf/housekeeping.sdf", uut.housekeeping) ; - $sdf_annotate("../../../caravel/sdf/chip_io.sdf", uut.padframe) ; - $sdf_annotate("../../../caravel/sdf/mprj_logic_high.sdf", uut.mgmt_buffers.mprj_logic_high_inst) ; - $sdf_annotate("../../../caravel/sdf/mprj2_logic_high.sdf", uut.mgmt_buffers.mprj2_logic_high_inst) ; - $sdf_annotate("../../../caravel/sdf/mgmt_protect_hv.sdf", uut.mgmt_buffers.powergood_check) ; - $sdf_annotate("../../../caravel/sdf/mgmt_protect.sdf", uut.mgmt_buffers) ; - $sdf_annotate("../../../caravel/sdf/caravel_clocking.sdf", uut.clocking) ; - $sdf_annotate("../../../caravel/sdf/digital_pll.sdf", uut.pll) ; - $sdf_annotate("../../../caravel/sdf/xres_buf.sdf", uut.rstb_level) ; - $sdf_annotate("../../../caravel/sdf/user_id_programming.sdf", uut.user_id_value) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_bidir_1[0] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_bidir_1[1] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_bidir_2[0] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_bidir_2[1] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_bidir_2[2] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[0] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[1] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[2] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[3] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[4] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[5] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[6] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[7] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[8] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[9] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1[10] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[0] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[1] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[2] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[3] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[4] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_1a[5] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[0] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[1] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[2] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[3] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[4] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[5] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[6] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[7] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[8] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[9] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[10] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[11] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[12] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[13] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[14] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_control_block.sdf", uut.\gpio_control_in_2[15] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.\gpio_defaults_block_0[0] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.\gpio_defaults_block_0[1] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.\gpio_defaults_block_2[0] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.\gpio_defaults_block_2[1] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.\gpio_defaults_block_2[2] ) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_5) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_6) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_7) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_8) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_9) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_10) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_11) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_12) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_13) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_14) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_15) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_16) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_17) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_18) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_19) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_20) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_21) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_22) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_23) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_24) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_25) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_26) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_27) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_28) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_29) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_30) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_31) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_32) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_33) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_34) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_35) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_36) ; - $sdf_annotate("../../../caravel/sdf/gpio_defaults_block.sdf", uut.gpio_defaults_block_37) ; - end - `endif - - initial begin - $dumpfile("firmware.vcd"); - $dumpvars(0, firmware_demo_tb); - - // Repeat cycles of 1000 clock edges as needed to complete testbench - repeat (70) begin - repeat (1000) @(posedge clock); - // $display("+1000 cycles"); - end - $display("%c[1;31m",27); - `ifdef GL - $display ("Monitor: Timeout, Test Firmware Demo (GL) Failed"); - `else - $display ("Monitor: Timeout, Test Firmware Demo (RTL) Failed"); - `endif - $display("%c[0m",27); - $finish; - end - - initial begin - wait(checkbits == 16'hAB60); - $display("Monitor: Firmware Demo Started"); - wait(checkbits == 16'hAB61); - `ifdef GL - $display("Monitor: Firmware Demo (GL) Passed"); - `else - $display("Monitor: Firmware Demo (RTL) Passed"); - `endif - $finish; - end - - initial begin - RSTB <= 1'b0; - CSB <= 1'b1; // Force CSB high - #2000; - RSTB <= 1'b1; // Release reset - #100000; - CSB = 1'b0; // CSB can be released - end - - initial begin // Power-up sequence - power1 <= 1'b0; - power2 <= 1'b0; - #200; - power1 <= 1'b1; - #200; - power2 <= 1'b1; - end - - wire flash_csb; - wire flash_clk; - wire flash_io0; - wire flash_io1; - - wire VDD3V3 = power1; - wire VDD1V8 = power2; - wire USER_VDD3V3 = power3; - wire USER_VDD1V8 = power4; - wire VSS = 1'b0; - - caravel uut ( - .vddio (VDD3V3), - .vddio_2 (VDD3V3), - .vssio (VSS), - .vssio_2 (VSS), - .vdda (VDD3V3), - .vssa (VSS), - .vccd (VDD1V8), - .vssd (VSS), - .vdda1 (VDD3V3), - .vdda1_2 (VDD3V3), - .vdda2 (VDD3V3), - .vssa1 (VSS), - .vssa1_2 (VSS), - .vssa2 (VSS), - .vccd1 (VDD1V8), - .vccd2 (VDD1V8), - .vssd1 (VSS), - .vssd2 (VSS), - .clock (clock), - .gpio (gpio), - .mprj_io (mprj_io), - .flash_csb(flash_csb), - .flash_clk(flash_clk), - .flash_io0(flash_io0), - .flash_io1(flash_io1), - .resetb (RSTB) - ); - - spiflash #( - .FILENAME("firmware_demo.hex") - ) spiflash ( - .csb(flash_csb), - .clk(flash_clk), - .io0(flash_io0), - .io1(flash_io1), - .io2(), // not used - .io3() // not used - ); - -endmodule -`default_nettype wire From babbe88e7db9835cfad2c9a5767d1498828cf03a Mon Sep 17 00:00:00 2001 From: Lan Dang <30523095+hl271@users.noreply.github.com> Date: Sat, 23 Dec 2023 00:44:32 +0700 Subject: [PATCH 2/3] Update README.md --- README.md | 2 ++ 1 file changed, 2 insertions(+) diff --git a/README.md b/README.md index 2d78456..f839933 100644 --- a/README.md +++ b/README.md @@ -2,6 +2,8 @@ [![License](https://img.shields.io/badge/License-Apache%202.0-blue.svg)](https://opensource.org/licenses/Apache-2.0) [![UPRJ_CI](https://github.com/efabless/caravel_project_example/actions/workflows/user_project_ci.yml/badge.svg)](https://github.com/efabless/caravel_project_example/actions/workflows/user_project_ci.yml) +> Our Project proudly achieves **1st prize** of the [**eFabless 3rd AI Generated Design Contest**](https://efabless.com/genai/challenges/3-winners)! + A project dedicated to developing a hardware-software co-design for neurosynaptic core based on Spike Neural Network (SNN) architecture, integrated with RISC-V based SoC, powered by the RTL code generated by ChatGPT-4 with advanced optimizations. ## Contributor From 8ca5041fe961e1d655250c34ac6a5970b064a52f Mon Sep 17 00:00:00 2001 From: Lan Dang Date: Wed, 27 Dec 2023 22:04:12 +0700 Subject: [PATCH 3/3] Update diagram picture --- edabk-brain-architect.png | Bin 1998374 -> 2003832 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/edabk-brain-architect.png b/edabk-brain-architect.png index ccaaf8fd82d241fb066eff8cf867675bfd998af6..d111ba5794a6382e048c5d2f2f06876e04ae4ee2 100755 GIT binary patch delta 65976 zcmbrm2RxPU|34lnRF0j!LkI`il}+|0>&VW|&b$+{M;$sUDrlPZ_x=6;KHu-Z_v0?keV^BLU9amkp0DTiy5G-tJ%2p+fT%8>qz>nZ_dq;C?OKS`BqkFt?UOrA9UQS*?EgpVmK8dq% z9`HA>AeR6S?}LZ_kh!yo2bZ-YGp{T!FBcCN4-b$ab<5e&-U58Y!*iJn&c!dt$Irzp zBn`vx6D0{zAV5ijN*9=dsTAoq=YDn{yh~P z()SuA>hvMR-^?*4-!xIUP+atJSI|%;vDM561amS|MTHG@%$MNmMStJQ~SSjTP0uN!3%LtNsiF znMijIML$M0fJEpJmMmyK_~(-ngih)ozfIm4_$~Du@{2wtnC|A9!WrOwnW9a77HyMsfMdja?9KW<8VcHC1AwJvzAooFQXj6tV=d5KV$xS z4yk8FP$Fj|{m-z-Pj&<)zIJwpgOnIMFrf!vKwfh?jnu}KMGu5jF-9UALr9USw{QJ% zPo)HM;`seA%Hk!JN(r4y+@WOjln#etbTd1e7Fq*`(?K=NQ4ylLx9~JdhCRd%Cw8cw zk{z#4hs&o!j)jvV>3vV3n8&DkOJ;pZPX5y2hmvvsKXpVFK#D2Ak75|38bpr!12ch$ zke^hrOH_goM}lv@q|z!m7ZQH7T=Z|qb0PRBhzo{Wf*aL9F{KEub zvD7G>WvcFy2QkVgf0+^bVOI1655GVVm->5t1E^&Jpz!p^^?%6tZC!SW>%Vm|SR$Qr z_V*k$OA1nBe$P=8S&&LtQjmTR19edqM);7B7&YEPB@z(zJUHq(2DmW6brM`y;5r4a z)8N7e7Y?{^!F2{)XTf!@BI-Gw8wV>dA0Pj5kKy4L{^>(j&elI;0K7uw6;%%g3SSF$ z9!1oLr^XF}iJg?Aoul)ioAFs%TJoBiG4n~ffG}cf@y8u=0aF2f0hCiKl~u*-K0JGj zzrSkEZy{uU`QN@O#B0hYaP(EwVmu8#YHtz`2L*pk)q?uO10zH&orB?`A_wtQP~ZCT zB>%T^E5MXG|I2JF`O*LV+iV05|DXstVb-Vvei&(m(Jk=(`o**O8ML zJQeucR5vkyPv`=HfQkKWpjih~7xX`TJjjVt*Z#Mw{5=yQl*$|xc10H+>>kD87`S}s zlwfM@>Vnc^g>j+yHta=~L0C14m>*_~irm1vU9rp$W5Ph?pM*J7fIG}bPa-QL2vK(hVO6LO zVc78R6oVQNfk~ot-csE_ebt8nClQ5}9EAvUxJK=Zz$lOI-c^NJR@@eYt^E$>oPXTV zmxTRHP#~OYp&CxX96@p-Kv_$|%usA{FoKFZvM{wH!M~okT@f!2YdQXzBPv-L?B-oD zm{|q*>CW%4bSU#S>XsUe26aUl+_h4J-9LWU5p`7)MtgJ@{1oxm-HLc^7{TA8DI5U9 zbU?r?Di&|Rp8ov}9Rt`a3}Rk@I3z6`T%A4jK)6BU2|*--uq^6OLE_(l3n|awHKSC~ z76?RHV)F8$z7fE5k$3OlAR{A6e1}7!!2rtR4(vQ0KrCoOb2V|cvT#Lpi@+F>RusyI3P%Zh%$q&#D`njJtgj0YY`97rtMQ;rwLDdJrPNT@pU{_FQ$MJ|!@DF%|DDkr}&5Cw2 z7&QjcK=|Av4;bmuW92(|78NcQuzQE9Is6=M13PtyU@D9qVAnBFm#txMet!vl6De>S zot+a4`t5S{vi|NG8(5;+;o89WBz&;e5Q`8@Q<7br+<~B=lqWx zG%V>sOOeAsQM$uge~O|+v0MbmBbvGkJ)`re1CM`|)NuzE@XspuJzzLMv)w<%Mf<=8 zf6WX9?%^VD;3`xM`@-=4tHLZRTp^g-Z&4V)=}BP&6%+n2hTp5h1RIN9-fe-rqe+d2 z0mbPu(;{QeoJMt-!^nS+_Dg4$6$e3o&JZ4SY=%vturI&A1MVHokTx6!!#KXr8WpJ# zAU+%-7uy)vq0&&?(J<_a<|tU$Kc620#R}O6FpR@lqtMSDYVt7WS5zZm$%kwI`$k6` zEF1%$PtwxS!Bx}R%L4ce58qMX1i>p2^@0sXL1N{qAnA5P$Xoy}Xzr!VuV%@wWs7?D zhKl$U7y1v9Fjx~M9tM-<_(KY>0C0|5j?UIzK(dJ)S}yp&<+h2LwS$$G<835Qx-Ai( zFyArD7v@Frgu{q``OPg0YpYwXs77ztd8F7W`+uDW@*C{`?=&#^gi#F#cw{KSa2Vdd zjE%x?qN)pSjE8{>A6x|BA_Nx^xQM|;0xnW;od*{gxX8gp0WL~#QGtuPqA{L^6$9el zR$@GHg8qcOw1n0j#9|_LC285feKPkK&*)`HFs4nV{0QytA~VK*9K7j<5AleCk1zx3Kl#+s?@N~r59?O5#S5ba6}PLS8p8Ot-@ z*jHi6F7nx0oo+gXfg^qbli=b`w>ns;dZ1U{&ob*I10Y4Mt`^nzU@#Sr!$Z zOGldbWvOfA>f}o=JTIOELxr6C{ncNy5m&igo5rb87p zeV((aFHcXiFtF-NYB-UtC@Dh|!O5RH^v{(1rEy3K?m4k5+3uYCCrZqRwlzl@7YaGXoSAW$zd-6MkO4_qnpgD?GtIRz}}% zEo8+5`nGe`P`|CbjU#!%Dxr8F8=be!{lA3!pCeM^xVgQtl&f2t;JY!TktU0`XVV=i zICjw{sN*ii$?swDLR{LJ!@;tNZ(QFn1YZw7&pFkrDi)VpP_3{cm*(?jtj?QS+pHHG zLz)KF`b~8tE`Fe(U~_G)NlzGlS=-N9(_3IsV?0++lkLe=O+K@N4WquO_~;TFdvmN} zpn4&rJ+P(Kt=Z(v2xs?EnLswPaS?SAof@uk_+ zs3e`j4HCa#j&<*r6hp=c5$0_Bk#c?N$>M+$j7m*=Db0@xjB0fHa>hM29itnBvbdr~)vgcNG@svL zTm}!ti7n^W-E<#y)77iJQ)K1IdqL_1Aqz#P*QPE1oocs*=a6ij(n$C`{`6nUmagKgtRCAj6*UV61* z{UQFZ`1H$q!-($yds&ZkUmBiEHj#!Aib#VLCbLc4dOA7r7|5{==XH(W-a^Hi&<#t=|@My7p=!S^f`~^C{A0}fp z%}+L%nl5}KjZ)*a>ZG>r&(UrX4Kx{r+jHm9#RmLsn50-rq43TLj<)AJKNjYfg~0}( zPU2rtThV)E0cwOgP1YNzN*x5Xn6aoJqtvM@4EXHkj=S#<;hP1n8fQ-fg=Ku4+I zNT@ghH=e|VL~4_6ynkia&hc3@$}IY-&Y0Iieyw|u+mdWxQGw#3(;LrLH5w~Rg$u=* zZg>9jCwf}U=Q8mx5IJ?%qeYj6JlEa{zIu|9V1gL}zwiHucXn}t*P<=M^aT$ zS$>}*1*g)HDi4QBtXP}wE7aoBH{IdmeI$iTohBQZL!eL1kYP~a(37EvYFv*mCF@5u zc4{8aHqc%1Gq^&I=PujyUElsb$E30i;vt*ow!IeN^S;xy2&%QtC;W;kVtcNhd+URm z*F~Ok0UPNnG{IJ8+f*8HnP)S^bpZi`LijBkL$lV&KgFF%xr+eX0x%$NKYn~OtP-`h-q9;0G&`I|+rO6yGhM4yWB z-|BAMwAH|!NT>X3>n&JQ>bv-D2Rhc?-FFU!+qkI|BI>55QN~=N9Mm@?fSbO&emgfK zXZ~WYveJm=KFM(mFi9w zVB@3%Sw1OURDN<9x0W3{@UP%Rul7V7d~dEdQ*mXiZaRnzk>Y)c0W3&fF3?Ge#(SXN z*E`>4Am2b&#AEr=JPvQ1!(f3CIa0ebdDb;u4I*d5I;J!8_`6;KDOO!cymlYp*6&k; z#_FGaB{=WV`zj2st}}j-E(zNAoEt1mzOwnzg$0!So)t| zN3MJO&Brn`zm63- !@FB;Y6$e#@|6l(--+p+WrVG_JKhhb%av%Z)6HF|tr6shmY z>-t44Td%}2W8*%lNi1PzJ&Z==&hpjI_jeady4px}4H>$wtSMRk$gE=sf#a^HSiev2 zPO`bjRGS6mPFn_?#*@4(pXHc+`dA!$gYOS#?UyAqAsK2~fo!HD$8G2PvYnxK{DW^m zx>~Bh*)j!oK+Jcu)rUG&euAZXo-`e(ZGn`>grp~{{UcG+b^gC}-=-p-??rLt&Wi{d zQJsdUDW7Bw4l`iInk@}kj7lF)i>>!*Is@$VHkM7Z>9-f^Saqh~A_^W^-Xu>9g`_!4 z7J!3LYnu`uo9|t5c3cv_22DKjt6r{eSCrMIe`TXk2U()-Cf%M9Q8wK_FT!xh|Ok0$*#h!~c(t0oQRDF&THJ@w_ z&9;h<)ChBNtM^ezt{FTsd$cx)gcLTV^ULWUm z^KdK@ zD}1rM%^V6bKcDB@^zR}i0z<1d4|US=(WgV|cw~D+;@_lux9@d@O`0KdjMk=&8VMoG znc84luj?yxYo7w}WqG9Z0w927&NW@KY%9Gr`9X8GZgXL9xG$Kx4P-UZkU}~JLW^;kN|Gr-u}!YHlIZsi7LEB;FM0TGmG+* z^v$4&pTG+4I93}r=%dwdV!W;%a3fBe!?Z8sw05RSA|ozKP(9m7zCpzRhp7mN8m=zc zf$!C6{<23cg4+i?*Ya?P%ZldLvoe$Y^q1>4$FiPZbPPEGF-9=N_;qBB76XlQoIHK5 zn@Ui)^@*^xm_Wi68v>3D%{tyGKYSzwmJkZ zk%c5X9zO00q6Lbs)M_Gp*R|1pSDVNp$B3>AUtZeo_`zjVecg?U9U>jMo*nDmxp2K@ zkTDw>S!s?3f|Brus= zV7heN1-32_1ml$04e|QkR(O_@TAoEN;+h3&t&)ph(6MFAZ^s_gUylq{hX~J?Qxd*z zQu+3oh%tgrVd|H5ekv#C3Pvwu1XCj#B+#u+8rrmnqvI5bsYCsirRTxkrp1r16K|zb zUZmmK&s$q@+F0uJ3rN>X{vb~5?Lw6Dt*28os9ymUrPKO`ckr;W*PLBtKOtM;~E2X_E z9G+UPRnp|^vH`2>VH^c-%*E1+)X|_tzer-fpt@QDTIe*eAs|~8MXL4s=$avKY24A* zrq$W{v3!A7@>rzrC%Rof2s|LGhwgU&1cwc=VVRf`I$sT4MB$|QY=4dx`~J2XUDE~1 zXVL8@EEE`K1DlM)Fz9H}2oPFqqs)YDw#8(zGCkI}CPLlP_+m^zcnNy;;@NRr+sKRQ zS3lC?CZgeXF{~~s9j2!~PP4PVU`5fgTO9zB$T%4lj(U#kVzzSfBW`2b7Ol8q1!nDy zmD)8G3IV%#YVXA&=MnpgP=kqeO>0USVk^}IP!zskjYw8u!T(cP&I;mt5RYzR*wiBP z-1=UoA%_Vd8aQ$b>qQK>ZjfY#zyJPSTN-sDe->j!V@9lTWCP#kVIPQI~Dw=y-pjhR_;7%+t&dvph~?Q7{e_tmuaE2SlYHYAQ)%0cMf_@Y8S++i z*^v7~Bk_dma@B0x^QR8%bzbYMb|)zwf@*`9Z?-f9?)Rw5GrPu5ZJ6=Ng?@yX(wpPu zSbDU0Og>p^7<_(5F`D-3_YFduaj5g^=10xG1-1Dd?Gnp(>53>W8H^;CPT`(XYfa&u z#gblZp)^{iru0&?G}vV2Q+xd>xP6!U!vJayGC{t@;|XVj7^h@ivTwyPsE|oH>5AS= zS90NI+BYxdY*5ei)OxxIFXWXhVm~FuM~C?@^U_#lP?I?b{m!7aY)_F0`2JcRfud~A z`3L}ejyaxb*LQ_PNvl5ID@ev*d2bUBvdww2<>O;+(Z@_F#5eWYjg(q5DHy7cGgx&d z=*@|up89Mqk6JvnFMq(-b%l>E@!>rk#-{WXWLOosw!E9&Yv!i-^TJBdhzm=RY$T=j z@OwkfS%YG8+*X}TSHn*Ne>zH=MB|oU(d~(*4;z6_h4-B{f zo%-IZ%8h+~{*ol9Ix|d6YH-ZZic)o}G1H`JSu#|Qo>H+Enm8tvo*+hi0-C#?^X1F? zG{xn2rt>=n;$$+u4MV^orusBh@$_SNc>pgMifi}LQWH*Gq}%i%N~f&OcV{DY>{;GFDKV`#V=TM0PWcD+ zvHJCcTx0WQg=wpL?#g8Vk#bABEKC+aNWU`#sFbP7&rv1#3MyA60doJmcM%l@ot@WW za>G<}%Vc_7J{tlD$ukbP2}PRI)-DoNDCh~a1J!X7 zBJU>R-A()sm{^5^K#=mnsc$!8I4>5pTHZAkcmfcHn%EO^*Pw#uFO!&_#1jagks;UI znCVP3m^&2o2US5kIih5FI!XI+cx^(cY7D9W9 z2NF*YHyC$4fYKFsZNKqyzs8Fu?kyX7Hqzb&?F$t+fB|*maJy%!vBb~j+-%Zi?j5ko zAoizW)5kz`n9rr-Q=0qgQNeV6m1xpTBK)Bk3pz&oyFJwoP)U4WfE920w4R;85}Z2x zN|-6I{&>$eGtm&jL1xav)%Z%k_H0-J)5b7KA^#30+c zd;nE*&-CjlG1*l>9d7g33<>9h1hQ&4CXLU)~AowD;2grf z%rz0y&eR)^xQy5VZ~nfd^TuGwKb(dnryLXU>iYQ~-O=PaCyH(E#$4 zjoDUfa}S3m3K)3O@C$id`1~8Y&5Y(4aPDwQr0%Wna8I#C`}fz)XUVv}k9JDFm&Uzd z^U8R=#9_30+cjI*W#)@3Pjc>pem|X!8D!qi6Dq$O_<99;g@3*`5cp^tCkYCatCnzRKKmj`DJrX<0bs z6d(@YV+NP_J5Km=KadKAFUPSc{7^%RcPo}{eu2`W2MQhd9X{R&7gm64m@0i3topd5 zeeIOJRkwQ#-EnWC^UoR|KhuzT(d(+$_wMn4KJC%XXXwL(gKv6!Q$H(T0=Mp}(TG<` zM9gS^kRh_tegjzWJlzJRcsN5hhh}FR-Da+`i4$2EJcu|F+6Lr69Y?5~-@Mv}mbWM< z;-~v_={fv%`($X3@vZdZSgC%HCa42zv+OS97**_ zpaOB$+PNFPkg@lKOk;^<6?E{ISDH z5_^82>?vL-sOe!qbN=#KObKyh%Y&Xx_4}r#1W%JlY8QobZ7DC`BCt^<-=yVJuP%T{ z#L6(7Ca&?Q&!=2E78*}r$TWW66l~Dye~Ksg@peE~@-J+!gkLQrO@s7K7g}-)BrEfG z=MiyaX@GEMZ$7nryrRrjv7=u_kB;j9YyfJ6f%`Ba`#deSD~|16}{#7K5}R&MOoHr~qwJ zln)6}{ptd6`w}WbD!-SfnHe?qgTO=~oF!+aL&8GWwwrRD+>UUB@q=Pb<*bMkAJ7P3MJ#+_2Sy=KVcbWFwUP6dd2vcJBKd9aquo;f<7|%j zHQYc_lhmGJ%cu5J&`WH(*!+qyk>AI7=_m@h%j#Pnsrp3S`AB13YY>`n-1LX-*S#&8A(ii@IcIJaJVI8cz5RBATzuzkulgpbcXOZ zy>?VV^D5Bi;C#NxwtG!))b_f{KD7IpZnc?M_4?Wj^o~zK!1o;*4|Y*M5T`k-GrGVn z(SoHJP^lKHw*QzU6ksI|G&lM(0J|NWJv@t+UGt78tuaW56O)KGS5)W=6^?)(KjuBk zgJ*(DL}d4B`jX%Vc11yBJtp8RPL-$5t||(YUeNZ?^|wwhqoIe^`h7h1U7YjrO}Q-j z#(rBe;2=Dsg?cuOeICu;$~l1rn)Pw0F6+KlDmy89oXOh9i6=5SgRk+;<*owycz?Lm zI@Qnl5NAH~gY$oxByfwxQ6IfuAtBAkY?_q|P;`I6?ziyK(mKfpw*Xv(;-XwNiS14)DR+UTuD3WyX!7LN$ z*o!2DN=eJaZW_0Dc{!haaNvIS^)G6Hz6DFkcl=B>k!7#4U>wTWPfq|EGDnj1wm(`x zlOmbf62Umc`LC41Mc&swyL{Y=urZ%E;ImtHvef{8zEs89@tVr<5}#Ek$zi3Decl9A zd)&5#b>)49#^bOj{dY{fx`%AK&^Yeu!byDWW5lh0F)@67@11W9)CP*5+^a)n5&@^d zsdIvsj}@0UYuxQmAig|$#A!h3!tKTw;dN>@1BD=3pWYpDY={H>Bbv$eW;+%(-NH+y z{Yguyq1Fd>(W1M@o}>E|~qUU)b4{R6%)RQ>HjZg<26o=6 zd-TrdNHj+Il9tjXx^iV)`+3V4TV?{I9IdB$%j;V85!>9W<1})z$5ZGg7O1{WFa|)Y z$h9AzPJ-A8-6OuU`>k~v9D$LRQOe@-u)uB?Ap#%s+devPe4ZPT7euz6oHog3@>+** z26UA4`PX-fEWDw#&0_$znt{OF*jZ_4R~6e!?{YQv7&+7G0c}00I|krd4T7D zdWF;?kHn+IJ`}-Y|`RQ48wLD8*}AF28*(O9pqYIbzgS-a&4i#b<~4>oR9t_f1b6Uz;c!cQ-C_Q7YezPeO5#BD#pC3rfAFFN8$7q znaMrA>Rlc|Cx$r7qx@6=&wiMla0bA_UhlfB$N=|YYgW$sET%FAqw;XLf~$J2yWh@I zMHtJkKBZIor#_RYq}7@FW@6s?gI`eKisTqT^lqenm;0hFCjmAGoPYkB6}>JN`ou<% zul~p6*}eOxb#G$^F-EZGgKA&MDfPUmz=&PRr_(a&i=g*Jr(JKoxQ#vc)`SdzpK<34 z+uaFew+eL%v-S-8h*jFoBo`P zil!{R5*8Z5dv^^2)mhL729GaWKLkSp?`+g*XKBj%oDugUb$~ zRz7*voO5yfpeKjx#3-^)RVUcj`YJ8!)0`~XRxS|9Fwid9W1P+ccB>RSh2 z*DZ$UCeW+<{^wY^nuKx@TJ+$rdvUsigPc>9~yDp>aJrg}YgeJgWGK0WUjxYUz%mwAMR3H%Dr-h zH$x_n=eWaz#?VrT}}b-qu&Z-TSm z2+e2!(^fpogJ|`qA~qR=4t(q8r{4*Ve|M`b^}M`QT>0%aRk%n`4e0OLvJuJ;I?i_j zg7Sv!O;GmmdMq1qHs{rC3^Uh-UufC|jzik2nCtPePqt>h0d&^A;-wyPkz2}78-uRq zg6_TzO1bdAI3IBK&&HGzRj?1z{}eisx$$Zjam3=3+9{ z=v3rF)7kQ%^!oIZ;dw*quU}@9QD4`vyQ(-pB7X9h_>0P)KqJ?qLa1S1|13|Ct~}uk zIGpdE4EI{Um6_ymN9$A?G6+pDT)pYFDIa;i^7cM&b z`2Co`o&ybZGED5Da8L#twE$iB(X5|p0C;`Q-s%3;anO4>^-z)P0RX6+ukzEa(efbB zY?XsmP_rzePSxiz*CR8o1zoloudnL>egR@FD=>Z}=n;IYE=R)*FclJ%rgP&p-0OpE z7HI&Z#Vw0YE5`A?XUz-bksze{=>$8tSzV0tB`p+I3C|MyK@>vhFKzOAZ!Cssb4OAM zmN)PVZ+z{R!tYOnDp%@vX5ulG4{1XGD@1DT-m`#=Z6S8UqZU#-a1EqjF8B`4tUy1J z@zR)e2;4J*cKF;8k#{|*5%h7nnYs zSo8ZWWX6}~`J$?I|2Sb^$rJzwczdE{M6&r0=SC_VTUPq%Qu`F10Dg9EJh#2B&9XU! zkaVk`WovWPBUvf=n$dYK!;6~Fl^$T6Jgr3(N-YZ7?FQV6i6EADgdrJn1W`Gj^0(_pU3@8>pDw)C!N&ojSI%oabr(SFFF4Orf+JQ0895>?h{AYEHp#6WdwhI;T;GI}Z8;q_-yXhS?0CmCQYV$`Tar_1lK|{1rG% z`WCK7i7n!4&LrWg)os7X-gnNtcEkB6N4P32Zr_pH9F3;#3$Li7^o=HWRdBiH5euL! zkS33lgO;d1=iO7!)%{q=Bs>|x)a3f5TVlkmnYgTY1^}M?4`$ERwA4+*^+734Ro2$x zNuyAQy_|Q?Y5RKqef2_8mtSOLj4!6lW+2;uor+wsD0%0#9lIe=4EZTos*2&A4GwpIi9GmS!L^{s?Bc<1X{gfHw_JV$4%>B_vPgM5Z2|k`LfH$*vgAyzwEUk2^N~;u8#hb4WS(@oDYu8PAE6aX zkan%o2gEb|2=xO{t$86@D(OyQtaH__z2rN+7XK4l-B1({g-10`)Fh^`htN~(w?w!w`L&t(2jeLH$dZBy~Phr_apxI9^|BS_=l5i27 zF3;c8F>>qw${3;P{PNx@(peHmDu;12cCCLqe z@hW}-c?E@T>+a*x{cnRKj?$CM@+-QT8@G*Y^UK0SXypUhN`HWY*_LMpWH!gxME7C& zv%J&|eV`k9JeXx_#>O208KnDcS7p#5{66b?!VcX`U! z(&U-bVWc6$)Cv9 z%xnWq4jHG&3w>Q8!{I?m??9VCVU+rcRCXMXLJYbVh)@Ua9M5CME>Oc~DRk;S=t@C& z&OEl7O7dQo_phjoC&dIcQdO4nv&8nqP6bhGY^)}Nn?8ZhWGO&vqY5Ty#2jRG5$baA z5b3Ng!h`(NalY;+?s)>Nysp$D%Y1*xv>kYtM6!m)@w@ z+j@FF3gLHcy)Ha9=`>0w<6$rXn~&L`8*dJU6d6Y-U;|_}=wr9Yil6Umt?5 z$9PvMPy0W_D&}f}7ZUD<-CgP$&-<={5Y}aFLMOjNP}m46vMvu@H0(@zmn>$yLX)G{+Lw28XumD@eeD<8I@ED}v5@tBv##u#bE{+19W z)TD?Le<8+=@V@6e$hVe^g7Z+*LShNe#3)J|C?tHb`A|}In2p0F&1N0=uqTjz5xM7AD`5{pyOmsax;O^4r zfIBL3)Dz5DND$BzpBo zG;Vy8xRS{f^_2*d1=rjt&;-Fp!8uoEv9l&vXHIAm20C6(BFdOUh;E`Q6SYyuHV)@= zY0B651;AIT6-KeSqcuwIwyRy?Fj{)E!SFh3@X`M6nkr~Jy=_il&G&WuU|(0i+>Xr{ z0u{)xVe2>v@tcvUnwO`k-rPHNHXVTa>cNk5Bp%EKt>Q;xchAKK-kttAHtk*9Dl0HH z!?_||q;4nZJ&PSQhK$a_LG&sk?93)MIb)C?Ri(3XZvgNrI1!gAwgAL6M-w>cHhE=- z&$ey2Q}(O*nowqx&yR^%+aVu|u z@i4GLwH{b?g6>596DIQtWjJPMBq^76K?wIsKC_NIiz!bCmXW)W>;=+Z2EeEP&)tK5 zx}I>tyY&l<5yFo`4fO5H`ll+!{gB$aM*QEZv*F2Ds{&g&+U^Ql1-gYv%eOs5lGVj? z6$xLUV@ER=)~X!+0^_+g{e9Ew8{ziQzGVjLlU)HTp-D%P(#MAYu55t!NX(ri=yB1J zfl9#cqGRp1`&pyi{nIKC>&pa@9;qX@VSV(K1oJu0k=u~6&6S&hHIKR;Y|wVjco7l4 zrfzTM%+|foN7z5_dxHz-f&{%Ia^*LprD+sN$=^feB0P5?UQ^EN$UD9_6D3JDk{o%* zb4KP-ceHmrx|466p#!5_0v4vgs2MLJ7+I zHzQSr9@-yD<+o)4E#nbDYKBhY)xGb%seT|ll!n5a`FPIy?-Cw{(?<>QvVm;I$+Jt| z;tA=5CX?w@B}*GiBkkyhv!&s(@G6!Lshg+#YnAJlb@#zBFBj+$B3k$6!bw*SWJn5y z^l+x4#Rp`_XUcY4E;A}^ZC`O5KRJ29z7A(?KfTkbT&%xbwf4KG@Ol=f#q2?D^1S;e zA1@rcx&tB4mA7f^)b5$Ry+lybvPav6s(i?MDDQoBb>n`f(nEvo3KR=R?|xnk2RD`- z9bK~vg7Ja7LxfDG_mh$2Ud&UARcR|v%eI7Sm@ldAIU`eq2 z(oIc#aSC!843Xp_LjOCIlv7uY^-af}A@D zX{NtIOWOPDq1aXQF=cNDb9>7jywfA-eDm%4OhT`YfR2)tjKg|TD&cKyKw>yT6Q=cx}&A_`r6QIASG z=`QUomE;1R#-LMZmVGE?!;9B;`%7Mg%diQ9f1YwZBBWw8yCh<-E3WvR4o8%~W0j^= z)q$99TRBHBV!A4s`_K}FjlW&DWr)EBc|TG}E>NE9wA}%eKpcz3c#bh*C4R2^o4Kd` zK+S4H!#-=IpgnzVetC}1ev8J|T4BRfITcqNMCWqX(ZD=VTn$t2sZZT-!zhC>RLtix03EbDusKT)t5? zTfX0uGcD#iurxx$;W0j7yzgi+oO@++P3AC)&2rFMb7HO1qoEY&jd|O0cPZKB-b3*~ zi9lQuzJqIJ$sZ58&9~ON8eFT&2B8ABliZ?4UT!NyZ(xrZ2x8F#6>d~4cWcYS8kEW% z%Q8BvrGg8bTferaueX)zdM?j~AEKI0-77u%I8zb;VlZ_Qnmi207!jMrV)n-Y?S>aE z&vWe?J}J9$9W)!N+b!wyPmic3#etVWIb&#erRXmnZrYM#i8mxj;q)q__|=BNT%Nth z3QNVNVz}&jPc&&|O5 z_zEEQPJN^`nribKJvowc0_z^po8hU2e!nupjdxO9vE`z6X(c(|zcP@uMg3_L(7zeQ zPn%Hcj(-4Na?_i4tdHK^9$8s!X;qcqixfC#7l28Cqd;$qEY#ic7+bhg^=*F5BC~vC zK9Ak^RsU>z=bt0|w*x5MW9(>zW*zZ|#Rg^|)8_EiC6t)LV0~iV`g_aP?M1(wcguQn z2I!4{Q?u-wYUnX7T-+WtGM87g?2>w}YLa@$EtAxm3x|a0C=+skOnCFh(r5EA?6cF) z8OY{e*YsMmHq}1bpuPOLVX4cQFaJ_QT%MC`p!|(M;adByRXHArHa4#D$6zonqtaI{ zw8mso_Uc*N`A>RXuSq;mwQ>WX-$l&$D1g;ZJ{XWpiU0DD|ImeWu|O^Z$+q}41>tEz z77jB${w481+(B)$b6i{;m?NR++fnUhovUdo&f#Pjfx9 zO-PIA(c!;@K>vdk|1HJ$>tpA)RjuuagOBR}q8NI707598qHvIg=RWKUvtGoNCI?+o zJW~51;Hb0-qXVs7lX0o)_ET36ICmDSke$^l5X04}dr3wX$yYr#*xUA~kG4|yoWhd? zZ%jQgnov*9O@;!D5Bt0C6u2!S`9P>r(s^xZGwhE;T##EVu;r!x#r1<;&q9Y;#^<=R~?W4Fr-lOO+{Y^9dgu-=r~LQyE0EL z{JEKF>aNO?+kYSgItomGOIh|QNO0a|aPIc2nft%)I<<3$r?cc>z_c;;Vu2Q6|L(7T zff8EhjxBKKB)9|Z_U2yPbh&;MI^h?sotJUVeN_P*_!wMy`j6xk0@tH>nr)5Es8m_d zhTh4E7hGi5E7CSmQuxDC|6&f}M*u}O0lfN1cCsAm#8tpVyUDGN7%@h00DJpH3D`YI zT_|-#2ISM7wuysb#eb3WADPue)@QCqO!z|vQCD0Y6Z;eY)A)G~+F}B%3NAJr1T(Ur zG}THi*wwGeJzHOW|C8PO*UgK%nq5KNXDui^^Cn7Up@`Lp1>4PryOnzA?Z0Rm`cG8- zY=O%-+^ z`YOWvYX2L1I%ZD2T~!L@zkU@peFnWJiMk`z>P@ZAk3-j#qL z=iZpJZl6czN{LMnir)B7zKw6p7CzR29*rvu2r+@o8w+Vocbo)qi6#la`S7N#I>(s% zc=K(ZR&M=&DD0QMF0xdcD<5LN|Jf|bHYixA`tv!-688QrFhTzvvO9Ndwc$IX7pVFW zs$BDK37zvQ{~5fNFuT7?2;OcFJQ;tuq72mdrG)}bMK0kLtY5na{RbfP_*B|YkFoO) z>PvYU-+uUior>hRM8(_r+ah)YE8KMVTtv0>maaVOF6&Wz0JWC?fMm(Vox9hwU6 zp@*%lVq6}h&J~6pJ8nI$YbD`mde%QVBnjL*t&_bQ{io9@W2n1kn=C0=y>gP2$Pi*WXR>Ns4pkG znMB*PU{L|?5~JB#Q9}{{m2T^%s9iJy%Ys$Tz_u2;4&F}JsUC~q(0}iKEE$X)_JiXr zCB~2xrTy^rM?S^U1$EPu*>w_2VFOt^f<~f-`gXmN!%OwKwLf+hNjXenFS@92hPxq{ zKV|vY@W0a;vF9B8UMK6fJt612@)>`2fp^?@FCW2~R^aYknyZD4z;-Kza#CC}2Su$Ya+ z?aZF~21$t|L)H!7oO`BMKIVqrgNf)gVKJ98LWfaV_Z%%-!`^nyxkZ=z|7I=FQDJx` zI$5>7e*ujW+D;L&1OY-lrbu%qF{f5VtFqX9<>bbD$)*H7m(r9}F6O93@5XCh>k&+; zBw=tB1hat9WJ{=35`7e>g;Xf#O2axrX0&Xt2l*NeqQ(=pI#HEVA=+oJ8K&&H>kyF6aF)94K4#T2CDZnC zlFXMY{5&W>tpeLo_X`yTRQz$w8QrkGfRgk zp}}(A9l(dPfj7hSgHE#7y`Ik{bIW~5#*t9ys$FkfWv{)mXH*I`=8`sCdXu-Sj@poy z*7B;lg#O?CJSbRfY14Yi9qy&aZ}8y0*0-F8jvPuPb2XIAKF&5-&JCaL7vH!-tz(&^ zzO+ZWhZt@guh?zw9bd|QW7Ox`AI~1B7pm!G$WgT&%G$uAoxYOO9GF}GkVjMaMi|rW z$hy>%Y0_8n?KIxrfpT0Mob$BO6~2cPr}j%rzrC%uz3!2^|M{LcEzWMqE7pdY znD1Jm!pa#V238^F9+pnpMi9XvV1ahnn(utrr*CM zO^wta{fxt%xhZ0_oiHK?yEd7^zSCN{S?=Vc=0@wg``-Dj9_p6=^(E2I8!z9rXRjnE zc0HgfsFm2f|BA_ZHuUFXF1A^snJ!#GaOe*?uN&+&C>&(HG1&5(zS2+9D?4g2m{n4;yT8ss%Ac=i zWwm8L*PgN0)34p9F_g*9b&y!7fvcMuR(8+$T$ObxcwttRv=DT?^^6}FJ}5ABx5!zI zZnJ0mT4%$tKU4ouOB)tvM^^NrHf14f-8gQiU?1X`?;F1vp5oCWV|_BN{XB!NvfTg2 z+j~bvxn%3ZN8}tqMLg;Iyv}PDB_+ zvaP6wCOeTN+{Dh^u3*sp-Z6P}>uktmvC*gPn->ioE8o<|qBH|?q@xxm^Y09woIX=$q?z1XfIrQ?(6mO}wKGi8T)sJ0ODXX@_E zH9fZ=xYRK+*Z0oOL2ozTjge~U5l{7Njs?~D=``FyKJY9IbX;V+-~@=LN-cmyaoy6D?)ACK53tjO z#i{r};IdRuWGv^=LFC!`N!y=x){Lm2#7`e}K3jHcHN9yi0w0Hr5+%$9rF3b8vAEdO z<76Dq^!YBZ0^l$Wqf$nw&mYgeG{E*N0Gixa{0r=(2$@h?lWlymCx3*(ViBySZg{#N zOx+wl81&NDxXvj3W`PunL(32+Sv))9P>=W=+|0g`JW7%MJUDcL<(qfIQn|N&%KqoV zE0|RPPUR5)ur_Xg;z;>b;~#|Wst-z4sN3JDi6N)uK8u>@EMdRe$J!X5PCszJutJ;> zmRmfxRH;!Cbp7Ccl@HQhoGM`p-}r0hDDHGR?%u)-+jd}{y?Aod&Pr}ck1|G7E)0FY z+DYK~b?^5VNQuUL6CC!2&afC<)s9TKdG}_C)_Ma(sg$s)?W27|z2fbF|G~T6(UaNp z_cXPX1RS1e^5svQoOP&`5gIo&emz-ZCxK3g$XeXFptn#Fbw#_y+xb$w?|9P*q9%!V zs8hh+UX5QawmP7D@g!Cdb9lY`5yV<%RZ%-?6Dn%Gd+zC|Y zu927$H6MbE`@7t7GTwFoPx!-Rkp4=NOt^U5Ygl^D57t0O8h{b_tdQut6$>)3`87{4 z0;39kd+Q&b9`#Fh_hIfOOhq{^b^T`8L{O8)ngz8i~`AytqfeA64 zi_m_S0ne9PsAnx*?jqO2#rF)*_ONHlZN8#9y@u6$GOhcT{I3Qsyp_dKIC*n4f`U{YOUj=D;oClEF z`eK)cCxeact-6x%q3avxO?rhMeXqS8a4Vck{HR13#0-&#n|m%}^PtR-Wvg;mn1=Zx zK3r2wQ|?yJJu8Sl zD5&h&F4kPx1+hYYN!tYn%|g7-$xW&Bc};=7gQ2r>0(b(p_DZM)-DU?xPAk zfxKm3y&>VbgW7Z2*t+yHbkidBYF4(ky)s>l)uymIsOTL$Do4iwflm$%LA9i9Cr5(;-M*izmyjGn%d23K;cj4C94) z67}Z~s@5Cvs#p&gXbRs$Kz_n|USB5`);*X9(y!bVNn*R5E6_vOjvej9XX|ywKWVS* zy6HKD)6FbL?%MPKn*f4(yK*FaS`5$4$!F2VzKrbdVtVz5yEn!x+=Udcn;p;LV2re* zKwu({Twr_PhxZg6{BD?JxC6G4qgV)<_?;eI@UY&hfUNP^R@A}st7Kd%uSPc{@u?`j zK62_#qv|PYa+dp$OqZP!Bxe__NeiM!&04oiT3GQ)mJStbxqU7~_-wy7`^yHb)XkB5 zy3PtawWuWhxd9L0K{V6h(P^=+14Vgk_bW|K?bXrKs1=SwI(8s6Rq9R`dy*iVINsan zN0EZi!>2=R?f{7izaew0?G{#ZL1cGL;$sD4zHgOs;srANJ}g)sB^Yjt7>T7EWUdf{ zweS2``(SS9`Xrk}d+UpX#UEaOi_`;Dqpc zcf0{kJ!g)S&B}7NT;4NL4${dFu#|k2>{yy|nh-8AB8G-tf9b?`geA8cZsDfSEPPxr z)7@N|O6_=~R{wlV7=G&vEc;~R&FlDNRQLyI#alToom+pUzC8UW?-n5(a44(2czICY z-OeyT6@8Qms>h))mue1tS%^VV>#K1Qit9Ud$NA5~x(vkV8feU9doGon&fRRi!9b*6q_3AY`G@m-epNlFg`T&nAUbI&E zdl0InYh z00IhUC=zDdVU@LWneYGC<+*S*!tZ;i}REjEZ ztx_K&X`lMou7^L6&bp0H+np7E0Ydruxq@RoD8V`?K`*TN{-Oi3b=ZKb?p~1R#s}`> zT~PmIi}$+ki)^;?+;(fwT>pX^{KfgDUg2gB9>o-o%Th0%UUY!!kryw+|3{M(NkmbA zeluH|M499v=h^lfqD9Yj`{Zn{tdyxqhj206HkstDb{I9g zEWWSTW!lhWIaBYb*1r4cX~u(0TWJE4iuvo#MpqcOzCoBziK7c4vr?BTfi|pNt+Ba5 z6!7tH%0QDUdpZ%X3=u9F4vat<9D+q}Gk|baav1Z{F8(CWZVjy}>^LJ62h(a{eUEc| z86*SAW8`Qd)s@Q|Rrvh(>{nGe6NRDggje`inH)N)*hv-#F+X(>!Yko_q=N_~n$gl& zL>g58iKl*M1Ha8($jo?v&+>eDI2p&;+UiXgBS%+d^fSCeOAv`GPOrl?ph_H{M!Nj| z!_Gth%FzESsV5o187~>|D;xQEWoCa>J&@MoJx&!~j8;qIU|dP1kB+4N8NvZ%Or>Jf z*a*H&XI17XY8W7lNB$qc5B;0S{~fCUH%Dw=+HYOp?07flkkmM`y_u9^kiv`y!+j+O z`PCDZt!Ls^KVs)prFqD=MPw&Qw}l)&FCL0{py=Sex^%rAKJk!;Z4Ou>I* z2}A@;6Ak=kme-f!73Pb*jt%k< zt}+X)Ql2^(lYcD~oPy4`n#YjD(}U)KovT(#^IXyyGfSwqZZ*8^YA&S6bZisX`paO` zH6|J6?Tn2-6Dw2KKAOFF3Qg~|Rd!gs;P3wlNdF3t{*@s}OWV#rOY4Rd*=p@dZSLzc zih18CtDWh~a5I(tm;~napjZYCj_5qSCfR@HW7o(YLBs10Jh#_IGTSVuzy0JS|1Kpt z#SlUIq#$tZMCh#mVl&;qyc*uhbvC{L6UqztO!9|^!yCwsS5LHMj?=b)18gkgm^E3) z8B|{I_WnEg`Ut02QDSOn$HP6HM)V!D6g}4f?hI6f8U6fal z6j^lZMsDFc!Sk>teutV+*{`Ze;nB-4fHp5zAHBY@{xR2v?^v);j;men*KIE9JH0m-Xbo4J$og~9?Cy6Akek+@ ze0ctXEB_{}kU?N{rV>IHJc=Bf%#1#>B~h3a$BN5?`+^ruj6AV+3$ANh>+3x3Hm6ry zE+od2s69aN%grYk>Ekj&`6pF_E3X!29`EiWtdbQ`;I&`=l3sEyFDx6+;!KM;vG+@| z(AeEYR#GIRwiGdWvl>wh>-7K#Y%=IsZmL#KZ@O|Nv$(2HLYH#3=h&?+*IjMboik=b zig`!>DeJ#gIlamIizoA69T|Mt?f>k^@OUjTLFz49(eQi3=Y2jIZ@9fzAxS9BbA_+k zvS}tYT$qMRN8-3J=axlJ3R})*m869VUE!ZQoha;{c(7WpQ88xi{2%T0-?n;oX##`T7)0vf# z{f?vZ!{Gnx_P^sIxX=m#O~-8R%yuU+9{Cp69Sq<3(_JZB`6wehJ_@UtD0=pvj1@vO zb@IF}0R<>8h)}+CTw7$a0xFX}n)W2^Sc+vH%NVK#?1aYc+fDbgwaR)&6Nxnh?m}sL zs6u>*({fde=@g;zpH5kqOVb~vFnmygc)(cQ88~L@BLPHHPaj-mh={gs11_wun>gk^ z8z!^K{89iu=DYOq6dilw(zMCS|02}XQE>n-}xk5 zwL$Z(z1&vHw-%ce6sfIJObwZ<^5HSRMBaQsA?8X4DC4&8e(9-{Ekm_I`;eS~4HoiQ zG~NlL!e`x2MnT#bJqs}$&F|Mgq)p&d+F$ zZ*s^XkSVT5ON4dGZdVV4YfGg9xm(UDk>%3AYy4RBfNP7(plTQ588SWUGk-5k;d*k+ zs#XtPC(z`(-kAZOW%%odMRHI?+EBVjRCAAumFAl5FlXO3nMo(PIWs8QzTeJ$f;Py_ zwLUNS>m+c;&%sp_Wmd(CsH=ASI5QhTLD`!foy-kC@*OuBf7mERkK5LzaV91Iy_=Bk zxKX)h7tCk|e&7io46#I$$100yuDy(?{AvR#7JIr4@rBn)w`P)mBbBNZn&@x*-p7oO zJBS&Hc^)Z@-E|)>(qUaCaZDH4ShU{6%en~H@WPCk%b2-i1i_EoYe&>Miz7F#tSt#h z#)lR2N@U((S@@%-&#hd@ z(;?(wIz^7$B*e0I|BOQ{Gtib>4#;5-Rd4cqoY>mc$n=HY?8%`rksuR9H0Up+}8_#neKIlvulto4Em~4LYRzFIHtw72_hC@w$it>h@KXlz+d-DY9TYnWEwFxE zgz!ozNGeG0@lpU~8uXbF7+4oVlJ^WnSfU+`i+n%{cq?N=vT116RSNlz#*fmMD8sl| zmMBZfcaqf6ew^5S;i3F4@^#FUyqXZ<d(V47XEGav)I$RDRaK1nLGu?Kc?F^g9t4Zax(kPykozX+yPT+ggEZzZaGCD(wr=hwKJb_re9hqA{Lu2;mcTJ;M)K1R5rwV~H{X(?E-@SC2%a z*qKiY&Ln)%Ty)L6CKaT$u1PGVSfvj8=ye)rzQG z(74xYE8MvnLiUP!Z^xT72DO2@37hQTVL2Zq3pY-Ne~SZNT2OM zhu!jn+B*d5U@Glw$ap1ARM|u1WoRzkE%+QwCg|4?@GbP9BX{B+_G?SJc^~^u(rS_j zGQ6tKZ`q8G&N00RNVrt!yj2n%K__ehAn2x5@tl)UT3?eh|J0DfXc8M8kT5W_v-cm~ zXd!G6Ig3HaJcCHwzk_)i=`McC2=>d83*~x7y>hWg(GlG4+k5G450T3bxk$fiP;pD( ze1^QAi|@&|iBQ8|^_)m|=~dXTFLnCRmILZIw>~p89B%e;_P+IG-CNtZ`4a5u`)kNlen`UdVKPD(^gNI?k7XWxxIub~M3_YcPVuJ~7kO{0|m(m0}{X4DP zXK?A+EGvO4t0{(5{?^e<}`=t*_cAWKzZU5G}(u2&yi z15-r)LFo+A__;;cz&gdwcn;6!3p-8D1G4nX#FD_s z7;Yp?)OUTWlNGV#Ny9e#R4~nSQB}tEKg!T@6r8kj{%u-EvD1ulLkG z_@>%29CCq*b!B;x2?^A1d6BbDA@R2j?UFi_^+qbPu3dO%0}qjYj7%v$*^`2o9U2Eg zkJdDJ?eSfv|7sSGa696RS78Y)Ub<#ZIPRcc;*5aK?!2wuoLDd+C2r9eh?XDww@9(XC`tE zrZmtze85wbPzd-3^*Pxlje@{XqUV#KkkW%{nDP`0T@Yfxo(=NZNG&K)BpGYfwpqOn zehVuA?MvMFYR-a*oBJE7B=}9Hc~l^JzOx8|Guc+Wtr?fT*B%Y0?3pHxZ~Qs{$Hp;^v=PE52GgU;hOH5cvUqzdq6_S;nWr~qmK6{*&aly* zn4)RGX(BmxJoAsUWbC)QR->Lh%fNpkcc4aaT=k zYcxK;RZv`GpDAny>xkP5s#3!fB>a@O-?U;2j^$$sfwTHg1at<}j@yF+RAoX;kVj_n z%jAmb>CsrxY=-HHJ@U<7f8j$lF!~6#x7{~qR>(K_aV4)Ww%+jYWkLBsm`fU`zBr+5 zA48{~6mMOu@(Ty0OmQmC|bL@fUEJ{<&JOfu$y_LSyjV$$F>zWIdsz6gSHPf7?i4rYY_vN$dNx57Pd4 z0vQJgq(B@XkWl9*GgG4fGhqnXy%PJAN5Fl>sjNz-n9;7<~6PW5BB(Hm_w3 z?a3_~0*P-!I3GB8VZak_*Fjs#D)7)lOHG;pm>T-i%6i)VG8|b+0eAmoTCwA8dg^B_MURH^KIH-1Sr3{TKO;waNA=R z{u#$rhwtFjtHharZ@7Ws1Z=DCp%UI`fp>}$bZRfG1b;0EbWEy5AymZ93Ve1U)&1Tr zorl8J)C{eZE#I2ljIN4~vwu8-*Mf813Fn9C-}#us#P?Hx7XZC%!Mg#}G{6hE47`A= zMZgPqJPJm4Y&=hRll(2#Bv%Ld5?CTH%J7ZTv0mk%>5oMX4d_pb{9IR=Or%***LCf| zy2*XZQqh@40lF9xf%|F#L-2|esnL4!9Y>0D787c~xW7-16&40ay|k$GUlj!U=NghP zO{LQiNcuSvpQz~ZkzX9hD~zn_`^uh!2B8*$(1Uz#S&0ecxz{s(t%Q-Ay zJ#@lsX&rmssucgA^ZLaazbHZPcP_25DbOPw-+LLfGV0G^sD=b)3ybRP48ic9-+Ib< znl@8e-Ge^-(X>)#XQ$RnHz}qtJno7W_qHTJYpbsw6_4J#aiLO@#O{3-Qv=W=d7NzzX(9Wrm5cZxVJ|$)^{1$& zuHbCH(adBzFZ9gx-!Z-s!nId*t)Xg4R+VgC^4(xJS9HpB0o7h>SW>>9fm;x2+&Oc9 zFSJRs`+LkJVdis2N>AZNX~hR2Sna0epsRBVAaBB{wsKA_ zu`d}|?#*;_NtaeHot-G&`DFb8Zme0mT;lxXCRSA1ufncj)lMNHt{e1Jnsc(1xPc?b zi;3dD7ZaxTG*O@KskoM+@$pquX@=#a>Ulcb~^tGpATwPTt31^@hxsmXMC@vbnw6Og&A$WQ( z+V`EgZYRi61Tz~)PQKFfBU#8<@DP%??XWfjq|6Z@q*>Hn@q5a zG>x#?EiH79kL%77Ptu(7$)azV21ar!idqo#vTin|12f$og5K`0L~cMB@9}c|-bqjj z!+pVs?~j0IjNMxPkzl)0p2yyyCum|rM_5rHZEdca8Z@Tcp)y{&aA2!nUYTP9I7ufU zP31n?N(|Z*(;oPDbU#L(6i3u!Bg6aCRu|x9cd%ooXP?0$B_4bY;h}thUq4GG)47n} z*4jBuhnv_&uC!1=gWoOnSFf|el^~9s<~>Dluc3tm?3RGjlXtQVXXOtWz7IPi;98H; zpW-yJgRYnIQ9GleZJgLKg=>^u(n6+Ue&mm4c6LjRx8Ly308L0}8Lr6GS!?G{|8-=t zoM(DwFn7E`o8X}ozM3bMjMb(Js${vh0zN*;hm@r1R;8pc0?4$&@!B2XINQDn!$cXQ zne!o^@cQK&(M$g&>~6$bdm@cvS7N;Q!gou^HYSVZ_0aPB1TdSZx@%_$EW!H$FJCh; z5@{vfeI$X&V&3=Lb+%p@w8-_{@pO;1+pp~?X+;T zB6ihVEtUg2X0AJ}%@II_rG+>KTdtE36cII1A#I1q2W=Jh;?6*z?@E^J zid$X#@)AEi=2s`r(Pr1zJPsR1L#t^D6$`5z9Sle(;--19Mb%=mR%DN5=$k#vJEE#A zl-ko>c1y)8S~cQ6E(PI};2(|@&YYMdlsuEqal-eDX$zubPEF&~N+s-Ht@9t2N#9_$ z2K7op?*#GNpmdi`WctivNq95j>ABCWZT$cF+DPfVVrw>^@bm|ZeXnO4*>q1bkAYPa zKHT&^tTv#_;3cYJATzBiF_$waWu8%kgbs)3^v&`V9=S<@5`(tMqs(Xi&IGT2*G}@^ zr|~OEb;m6%GSZC-{9mT@^pvl9SBjYI*3tKazf&;iUuhT#{=QiFQQSp>ZsNd;T80Gs zpJR+Qcyb~%=$0#u{}XZll6J7qq?YSS=GR4N3QH>oIY@YmIXcY7hDn;Yhd7bZT1O>)~PW<}+Md}hq+_G>%x8y5#zDWJIGlKpNC8rVG z<=vW5Plw4}-TwMNYSh0_sHpuVVEevG^|Su5tyH1{x$9npJQI}YWzj9^9b4RrPyN{l zxSQGZ-J1CHHE@}Y>DXeK#MD3w0JGA`w{U8DM0Z#L7Pu16$4NEkX{yl{M2Ss)`M=Ca z402t%yckb`lcL!Ojb<6jM%Ug7uWSFY|1&q#{{L zjlYO`@rae3@FfKidu|Qk=0Z<;R{i(A3=DJ?yY_F-3HsZ)+j{=4^QgMFQEv#gFzzs# zb8heOMERNCiDl>0oHJueP|-N9Xc;q3H!f;5dNk^R9yS@IEnoH27uhmx+%Q_~a@|D< zWWOyU!r4ZK1qJ|9@Vmvm3_;x~J<9!w`~w>{hj7PH-QUqR<^s~mI)O{j#58EB19^9e zdG6hytFy};MjY*~pUIXcGNY%5&sd6a@i$U3MW(z{5jTD%IUlihkc`@mtO%a$xzA%u z(1duqY%@}m-^6x^8F+({hf$(!WGKk9DX$$&kee*&#+)Z0A^xa4c~zGvu=n!Rj&1?1 z{qVg*L4z}&ZeP1w*FYM0S9_8YcLhpB>wH+%Q;RFp$-!dwz;OqLKp(uJ;C?ttUX_1J`u6-Ebl?5&UdMvCiLj+)pyM$O zd}qe{aBA+67Z8MBdgJa1qw`_nrygBN4J3L>O5gD1BrVxbDJcJvLUM%cKa~QI8K6@> z?@kiD-UPi&R$dbSWQ5Rfai2mW(=!%cyEO0mo|`)5p>Q2{^_A75^I#AB!8jgw>Er!C z3Ifgp*dE{w14^C|WGGBh5OhI6Aeh$=FR5 z)9CcU_|3Pf#c}QY9x>nk4=|$wH^_2z@{D~(;Jw3Y5<&w#=mbIi2ZZ<`PTh6(JCF9n z$^Cp{9+q78%2CM$G+bnn$#v5*YCCqZP+%;dB|?lfPaiF{kC{Xi6|6c|++1rHfVO%s zvuWk!?;5gYhHw=U4IP0e4tm1k$sWo3cb}hYm83tOr@)7Z>TnKu7T$Wbb4|gC1|`y> zxX%-@3eZq_I8xol&+kY!?&Ym|9a*5H)n|3$KM8_)v9VW)9KHy~l;|hsW6uruc!OkE z=sxLuI?-5mu3g1K*_vtHg<8aUq|e4+_gJ+_yaE0cQ2*r=gmCTpQxE{@ijkiGR(O5L zt(KNr0vX6q7wHtPq<@K)fiASxyjQDwLzWvCi znC7>bV&)oQL~Pp3Eioitz-{`$=wJojguImIE%y58U_vyuAQ$ReQ_~q@=3a4i+z0I? z^FP$uRSfAFqIOej*bI8Jm0Q?KMPo0LXN%75bQzD>kidd_)fgJ8Hq606y47~$A%ROG zIkmW4pVUHx@3yz7ga>%1wm%MnG)P1iAG35YLS*xp}7(4o*W2eKCjAtWFjY579RvrKHZ zn}G`{tm&#c-SezHvktSKoPZ*%F!V~&Q=gcaOsJm_cb_a?3@{eW9l(0RuL#-)rV6e> zhi1$XH(FcW6yZjb_oLHBDlV9~9NvaaEhL*4`F&bbZBN=L?PYLcY`37@SEg1#dk{wF^W7NS)@#JPjyNDQ8Qr@LU?Xw*0{01_j$fx)>;QEmVxE#~HlGSLJk$Kme(9ma z&_%9|*vr;!S+M)zTw7_U2Pq(vO+q4amKK#pX0k_d{tj#H5!8B*7pkRt%x2O;xKM7( z2_cmWYF-~AhcfB19HxJ>m6I%S1bTEsw*Q0dc_&FP{e>NLm zFV`^7?QqW^C6t}S0&87lcVJu1c_{l_#JuvaVuA#s#uP3=dlrrWe`X*vZM{0a9+1B) zOYTxO9#ITbNavgZKani)LJSS}h0Mau>h};YbUwULx;_Ph?e1a`zmUEA_D|p}?(s{% z$lH061N7=rRqo_5>7A=M{OS(n(g4p6Bg_R2&MGJCFho?v?zu4uo}5f{@J*^@oT+N5 zA|$ZFLzCDK$PuI@kuy4yv+Uk&BnkGN!o9%nIgW{FTuzX(@}>Ux;18cZ}bJHF9n zJXUsd+(7d34#3I{lYe$Z4!q{dGD%x0`!L?Zu`gdgfa({d#$A}^nCD@|{9ZOOdrTuf zJn}-m8O!n-bl5<>3Wcqq9JS>KU03aOhMB?PuzGhrmyysX92Qx@txDyqx_p=`>maAt z!hy}MkY$L-bclx`5+Ey6KCd&NDnP$-8%(N#qaMI1Xb3jC$MJVt1N}Yj$4dBnJQF4g zz*}~{TM+)3yaCO{|M@9dJGiAoDqsgJM$xlJDP;6UUrzzNyPE@S{xM3>{}RF36$XC4 zsO(@o6%dS|`+{GIfA(vI0x0nPDGkE>^T>JQMyD}6iZA{J#UC*LD=L6Doi1TN%_ixe zX7dZjKQ)_8ic~)S2>_2zffJ6Gh9Ct4^z+=XWc&$Z8{Qj{ve~+uh}X`^`5%=s>yJ_7 zYd^(l_MG`nskON`f-#UKF&T1rO0CX1+IRo~&SJq9t1s-QaTTHdGu&d{^pjsq9ExGv zVc11&Pt@kK@VR{2k?mHK!iOAC-p=Xehl)4F27%r2(1`!20I1dBW+-fLXa?0Q0CkO= zD;oJ_g5?;0n&4NZ5ubMjQ)v|~mtIWd$WU>2Va02WNrY!TvS5w3s?9=cmKvUgV)kiz zIms<6mIhS1;QTPu_tA~E3FxR+?${FhZG;;mh@p%g1>AsTx>(x;FwG9G-&88|5tocJ z!~j-V?+?u}qt(*gi@d(TNb{+YwZ)0Z5HYXy5@694gz{SO<|LlgYKCVz@S1f)1o7f~ zW3lJ`n1UD6uSXsa?{X`Q&7hBYz(!a#pjI3~=c@N5{XJyr@_Wrb1Is-lRs>m#{@4po z`oGgmpqTZ0zHH>O%B~%i^)HBMA;TRQo+M!2Wt@YtMhV>@ml+tZtY9gVHwvF)*NB@_ zR=p+S*H4JC88m7ucZXJsq+h1g&J(zPRu3K|q$I!ZwK?jR;WOjq3l9-sn6<4njArVA zu;Oox8FG_d2R#?RmEDw0t?}ytT8!>u>Qf#S^()h=4M|; zfR<@$y}=Ww`aRUL8LV2*a`3s4)S+i?)+O)$0}XT{!hh-dg|FX5+Z$% z{Oio;xTwNV`YmZH)bH|vNf4*nRYd)5ONoaIn_-C-ajB%+M-S}0V-{FSo@5{S;|#%V z2dZ8x*RgcZbt~!Qt2>vI?!IHXTW8JDK!!Z5G4|Pjq35-b{6CSO_MeZwEI%x=|J;Z@ zv#!d|SChQiN3WnKqU~lwCJbswE{YYKzl}QIto%Z3kR`Z-(hD$9gX>JUSMPwZY()ZB z6QaAOzyUX`dj-QO`h$yd0t#}>MgMXT1Sm|Jl(XNKtfwWk@n^`6#3-vvBcXj@ccgb_OSe>-yr@1Et}*vnz7+?DFZX%mGiGkn*_nT z>3FB8^uFk58GzlYi*L-qA$&%M_aNX91DT?DDA82+Q$Qn%nQ}sixvIi{VoSut9G9Pe zQs89IiPRvLV&J0uE2rofS&y0S+4Jx!sTm&P59w?UL{~n>|F(AelnKD`k2ih+B&etO z5s!Wf)&AlufAv0q4|PK4|BZ|DUpyS`4R24Gtx3=D{%OIugt~oQF=(If)4Ib(`A4hL zc0_iPaj60P&SK^?<-kJp-u}01tY`C3i^Iw=q!0E053ytGg{v%shPAs_$~%PJ)a9Vr z`K#mFIJ`OAo4#JG48U995d|_RX~CDjR{bOuj0{5@qxOhY?fy~Wt5 z5yY8GJu1>vp9j^}L>x*%gW0I9Y<-NcxM7Cdu&3PC!354IQpme(7z;<) zBwh-zILsxso~|OdMnBzF3WR%s+9eZZf!J^4CQhUGDXYz9N0E6}rHQ!`!G8A*A zoSQ=-#s{M|kdHg5rcjTB>Naj-R;7Gx(4vR6;`y&xRkduOE&cK(8y<|KR8-l%9duEDm^s1ze;{#pbh38<^x$Im8HrJE-7bGf~cY?vi9&lgZNi8OJi& zzSLHV$<&QI?Esf5clKb9@_z$s<2&=(3htNtZx)b6SyqTiE9G?=DS&W zr0)1rw2%L?ywOp>ogriHzJokue~j1aP5*OZZ4d zQR)N)K&w|RxKt=C?enO|SR@JTZDz-FyA!1>-HH_gq8rnFz=?PrM@w)S7^882nYxF< z8bSKOndgXppv0H6NJ~%xdK}MqW&N}^L}f+>?~Ea4cOs>!e2qH$1vO)N3`_hbdbVi)02DO zHc{kU?09qkBW;!a)me9)hUsfPd}MErzZd55jK~xkeBMj>Lj{UNBtgBy51rSh2GYLC z`BT_x;ato1620A8t591>oxL9VS(`GeWy4DmaP*d_x&zlH-7?R|06uBQ#S1o&C02hN zVG;BL7Il7sb3T6+q9o7kx9Z6ix;zS1(bx7oX6B7=O`~8P_PVJyHVT(*fg=Y5VMQ)0 z{hT6c;~?#OSHdxf6Y+ zpf^Ij5A*nt!nN%lMR%jC*bw+hH|Cs!Ui=;pNs0ulyAp$sPVul%E~T z!`mF4`fB^=onPCCdHy~z9)J;x0rua3^{LI0w6DkK>?~rs`=OkhYo{T*CA$5q0;v2l zp0u*pS|c@DAS6~S{a-_3cclp6E4N%(zkhe2Rb9M}xVt^L5C=qm3C}WT0Cx^I9HeTWIYY zT<9*sY}3Ix*mGN5y{r~~k<+|6?sTkK30z+2n=n3Ban%m+O^&C3un&anzIm3t>Bi)X zBI3IZ-M7;2xjiZp-(3~wXB-5--WYZFI?i@bPeVHaFoXO=XMNDT1);;xIeaj{GR3f$ ztPdWu{kQ_&pX9gbHDyyszeq8CE%ipje6aOxzuqZH(Tn|TF?|bNWug_!pFTc{Y`TDb zrBbOBz0+%|=G=Lm%>=jJg6?k)sYRE%7t+K+-L_Easb>q?0~R!Wq`ZBTpo`EsEu?7W z@Un{DLwnMqx_is6(2(1E^80yT=C)@#et*?xd> z;`}D^?GKG;1Aqf0@-V)i7}!wNdppR^<7Gz}VP0I6>wOsF105El^L|k-s>~FkK3iLu z1D|Utx0&d-7jxt(q#t@oFHjeb^UF{>&cwV3(MbRdiJIEhfFevX`l zQ?Tf%zr?G)r^2P0P@HvEQu<2SMwE4u`;vw}Wt^WLJsgJW)?VLRZz^77bH3OwwDJZ- zt?D*H(V{UTGkaZ}eufBlT5?(U_7d0jU~Mjq^!&2~`eW1Z?E{^ysU0UGV1#BqzKK@_ zhuk%+&Ui+L*{J-y$ztdYuk!Hmio&)Ix2%+;&U;E6s0K(cFprg^pPF^iQ5$v2bL*Ca z*qwf~<&dPYTA)I-=eKh75dWsj7`e%niBeDV9)T3wQ<={`K` zH||9ite@c~1CDffq%9=rQ)jhxOW! z=pO1mIHu0U@vW#nK#AcM{&X`iW+TvZz^p?h!%m{Bo1eRq(cF+YPCJkrbr)Gt#Cm?H zATWk~Y29CaoF(_0NiBPOft=Ux_)EFUmp=h}d$v8ETqHpC+)C=Qc>*QJtlQO%FJmq_ zreQR*ixj^8NWb1!u!i~7eb<^oNGIj9z1)?}JVxu0?9BihFKuNVa@o~W7f&pH2X3D6 zm3?M}RA9KyB#w1@71PyFqlP091Wlbjh+|9x5f?8oFa;Zz~53 z>-hCxqPV21gA(uPKQ6pC+_#gQC0^G1G#CtD*f2^B<c(SEyRJXVLsrA-$rjtx{&19HhiR3WajzAbXyysIIIqO)-Eg&ODU@2*FFUwTAzbI zp2n}Gc%cleg$_a|N#Pe0d@;)<3@k=-8hf+ycrlD+)F_(kzOrc%F}J}k>H`N4Rt}n7jJ0<=DAb7-Ihq2nzHaS1R-=+2Zq0- zTbvlqvTVeGe2}B|sZc*J8CM(1Fmc!*LH}qFsHF(f*tPoM1NjvHqYs47e6Zppy5`S6 zxAmYvUG5Fs^B%g7ZPxcHG^`h)mY~KH1V+Hrd)lRGu)&@I4x^c`biwHUkC0-~lYa;) zI!0v+qo(Twsij{zvo^E+=Ce??LGgz%$~H{1!t9R|L{%V5r4i;~zN#6)wydjt&HTrg z#_<&>$7!cOgOlJ(kT8mATcK;C=Sa#9ePBY|$BKJgxj61?ljGBNmVoTB96nsqKB*GH zR{C*W-0sJx>VJLel{GwT&+D!yrnJthrlmsk{FzjA+YU%{+*?2WZqs3QVg}>afz&Nh zz3Lh}3|`eq&BmNMuy$8KpHs&bk*W*NmO|-T2Q+2ImwIBQCNB2qXR`F96yOsF!)RA5 z;!6++Zon;Q4l*s)BV-oe{N54orIJ@#FQVL)%3ssR^4f>!?yD2P3thX}(WPGQnB__D zQ0i#DFVNL=btJ3B`L=!CjQ)f+g@y9HL5_Oa<@G2->#5#b%EBr9@s4zWXL3qHKf@{a zvbr^dO4ExD^R75Hhos(TIC{R?)kNyq*9&}~IF~1!MaD=Am6utva8W(%>?O1+uD-8Z zIDg`3d#7z8&2xQ0?=r*32s%EA$g2X9_&5p%Hhb%yaoQbza^Fl2ylW9o)UO!G4PGSA zSuPb0>b>lq>ev}~1=9V!v~eXkFUiP{nY;1g3x{kRZhkEPfWIJUq0CrAjBpWvI61Op z^s@F9So>$kPtVR^zD$W_OtZh7^;iS(u8)s9Q!GVTNl%lTlz7CBlmT|?@hdu!t6`bK zA9o|L)j`jI+t?Dm^5Dgcx`T{jhHBr1N1c?MdS{2B17Ghv2cud8QIKe|VRI_`e%qpf ziqEFkwj7@}`xHlMjK2UBY5p*+e_or56V1_JTR&t`5ac+eGffa6364|ZjmZR*jkc%3 zkhQiFD%fE^^_Q!Zu($XKb>3nEyQ8hCI`J5nQhjA4&3aDbCzF-}bA^tRr}?A0scN@y zH7m%)&aaj%Z-Vi(FSBA@M(1q^7b84l=!9a6=ij?V*7~tbhK#Re{NijZ)zS)Cx>Dn( z3&r&UK_4;KwwsrS+%o$Vu2e9}_>^8&iFVc4I6QNtCA=xd3nc#G;tN)3Bd>*-X=nmU zDmAGXI(-T}b1cGmw~oQc+_CLmbAnfRjeV`)EHBhIlJW|w{O|AsxMyH@(68bn#Qrq96%4yna;LMX_RZ~t?JzV*`yf;Bvt&d(;4pf7i`=kcWTlWe7QC#(PyVp` zYR3@QC@tCdnBUgIJQ6-|BJLFRla84^b3$$4QX^^l&0QIaau}PQ!lG&?z4*4tDX~o7$1~5Yk&F)W^4er3LQmk5QjGULbZdyaCJR}%%LY$a zA3RdGSYJ}G{yO@s&6Bg_VOEO2Mo+~8E8V^qxyR-Z@2u-qcQ&X$UoNsji*l=4n^AV2 z5_78?c1?m~paQFmemnOf#k;hEZ_`t6n-=d+8PjSajx28=OorV#o&5bZK?Z$N`(kkZ zWR*rGa{TnXj%oMi#q4`B$i^D{v?0M&5^K@Fs=n>OEc}r>8y#Gcn$$LdJmq!HR_Oh zHzMwe)j`eC!HdPMZ5oQRR)5Soc$_Ar|3Z2UQ{e(Sb_Tku5~)TDwmh@S$Uul29b9&v zC7e|28atcGx;_ZZi3=A6-i^GQAk<;eAz}WZ-59r<#NnXW3(wutN1Vebvpoki!Uu$i zNzMiJEET$r~8me#sij#<^# zGCE2xrj%d9R+rGc+9-|LX-J)wnRNaRMK+ILQn{9NazWF$d^Ay9It@(nRV+h2DQ$8BBs1}cs-@-{jhWefV)A=rZ-H%MFdEtq%S6NyF>YZPpfJDUr?jQzSDdX` z7AhH2rZiAD^=aG3u&CPD(mxRUZkcE-_6Fbd843ZRGZu|QYz{BCV#UH|x)z)t*1W{T z3Q1Y?2_QHuKP2xB2uiWE3A=794X#qJtEH1OR!9Z5Il)S&MHXpbkNR{{fg$ zb0llb8Hg|p#16orUn|KE<VX>iHsh<^4!dK59Q2 zS>TxGaTO$Yr{0zVSE`}vT3cq2LL{{s!zGC5g4z!gYyQ*3hD?dEx7$|F%;KnDNs!<> zZ{7S>r{!}JlzfO>I}<=AJauc?Jt0wnB<>2VURsRodg>2QXrY2xyq?2Ed?&>M{3j$XG5llO*?Z7x*sZe0ZAXns)-mt#IUmlKIEQ#{;M zwl-Js@9w=qNepT#_kN4J!ot`6GBkqz9@h^I4(BfFllrcvr`+Hz2wnAXrM3-U1rTLPI(p2Jwpo)fQ3d*t6V6y>L317T<^SXDtHY}PmVNh!GT>{c2t-uCpk?wBsi-OYKjnXY0(n^=Kgdj+6M5G0YyY|N4`<`>&bIyD3eeQk# z@p*Xs?iI6U&CHtl%#66XB>-9>|Hj+GVB*6wiY5Vl4siAXyjjGcGwz_cFcN5PRTwR( z*7IvUX{GCDT0F$PZMMq1gL`ER1 zSK|9D< zkXa7qt-1-CqY8mG>*HVB`~-BcN0t1ep1pV7h%e42kdUik3GyAapJxkk<(5u-dK4{; zi9;ve$ckn2ehNIH6eBkjX3M)aoLc~yR%Jf;2wZ!EumF1G?5KYQX!?otmhH~ADjx(!_CYtj7t zz@aoj%4|?=W|H#KF^8?dDq9NhtqN)>(BEBU@P5^~yWK8`V=(>vl@8kO|Gq=0CpI%-HqxQXi!} z>)&%i+tJW$_gDzlXy?Gt0Suw6d|j%Mbwcc>7HMDY_gMlXsF%r3>o$@-)AAyFb%7w7 zOYZCo9=>VMsaPD74BD|W!l0V@=f9_esTOE;1NLAw`wF+^v$Wc~<6(jtk1|^uhdKqCx{hJR!8$4I1Q}*}Yqf>*jYK2P-nuG+suM%|jy_3ih0>V(Hk#+R) zO|5wO_t38j@xcqY|Bq(wCpS z+8I-H=cR{-pxN;|BqzMpYO^4%2(xPSMdw2|M|O+~;sK7nsRADzE}Atb_9pS~!viC{%GcGhao{ou@I159L!o}lppZ`RA9 z4o4j=Za;AuAi8n5H8Qt@9Ze<9M&8&E7ztrE%as4Qh|u3CvcYG*@?ku&`aC!;YU=oG z6|a0rfG#7+{T_r7rUk5{_b-REfGV@3Le@YO6N%B$Cd#a=l9iE^CN~#-*dZUbvyBV0 z48asCa9r^)!F+KGxR3-hr}PLd8lUP}8lNDtk@^C}Z~jJuLMRnF_$)IzhQhpLK9b=2 ztxJr;Sj5u4-f`fiRsF}P0`u9T^!48<#c%yrQ6|-j5}57fg-N9sdSOw?fT?8vZmK^s z`64Yh^Fe7@{5y$g+Ys_S*YW>*mVZ47^q1-J|6niwdX|4Z38ba{e@L|e_Z+{nXE2VBS z@Y|jKTN}r+?<$juVLrRIN7J-Z$iTSUOcP(v21o;hb2RT&2pRV~S`F@T*)AJaha`<@ z3_KkZU;@&qbb`rX%3JKeND=67&Hd>ebkBxHsV%8-MGjEif~$-r2RKPgt2e+mH<>wA-8(k`g!tcC>3@IgKVD6T&Zw*JV`^F$Gw^RoB-LvC_`7`Csoph?rHjR6Xr`b>^GTCZ- z2vz_QU~&_FUShjixK5;d?b_(7UV(wPoonZ;{11Bc^syt0xSfrUM9n)%>QCJO8-4GW zY<%gl90BiA)z2(#S>Sh_{X{?hil^&Xoy&?47npX^i5978uU{(yqnOgMgI~WcyWR3; zOtOQ`l!{{FSd~JR0L#+gNhHA@;ctg4}Tk@4i}8wJk;GK!neOD-cKBW`~_!cp_Hz(Q(&>2J_?US2(n zn#Ew-1_$|9qufk<3!f{};yDW}18z^o%K$o(TDJ7j8n{k~LI#I*2=~uDh_~8#MYMi5 z%HS)VDO+mhD(Pj}?5u*x)XZo~FrSf>(H757cx3RbWV~r3vs{eM8V2z*6=d?=IYoDFV zS#?kuT5G>R=F}l`mI^Cdo=NsqG5CrLgV!g%(eyTT=__9{Oq&jp85aZIUr*6b@+ z2{4qbe)_QjNCmu2p<^=21AhOT3-)|7v>#x#wC)_eYXDMqi%c)y_H;=*?lxjB>f;cR z^Er+Qs8UkJz*=GisUTP}lkRnR7<2e2KJXmnWF3hF?upb(AX_FM2S{l6UAPS}PlA_I z22Uq%oEZ2ly^9&EjW@`@a{HKeqkZe7O?AvXf*N^Re26CRmeFG&LP(P48j5T0o=NIp zdB~$^v1&?Rpg{&!?0^N5Rx(+EWQLb(lkWpNS2zoTTDwV=P1KChgBYlQC`Hs^H|B##-Yp)b^zz3gr{nhHCFguC zn;2q(OD@%0-t$>z%9P`71}13eF=%wqb-L^Bn3$}psEuoR(h%_a$}3~a)>zQRP+WV! zu#N;lb}4_Axg4m~k+Lwo(Ne@-w=}&(Gq+jeV?A?Obbqh1N1|ypRQOTaz3(x$t8!QH zKcN_*j*{k~=f%zHy%dy*u4F~WSs_D|H1=4WPQD9>Iw3m@4ps=rf{E*}^LdW3u83Qu znqPdXkH5aya@G8-s&y_TXt)wkt6y*o{W=}oVa%!n&7^4BKl)t#bWDSVBEd^vLJ#`H zF-*HBeJflA<(M)R;UH<;mAFOV6;W9*Plqw&%}tpsjt&=G+lG$wPD05FgV!pYiL0OoJxoyn(3O>{KH)MU7_=`_ zHR2JqsD&Y)&*IN^96|fRI7FA&?;NB6y9VxE^{s6o9Oj`AzIlpa(qEvolptOJw)^HLIyfGg5WmsEv0VDsYjxhA~36|0@N+_<^gH!OxJr2c~6nd>!CX>_tLJPK)f6Ax_+ znxuS6ncrhNyqxWHGTCyTX5%e%P;bp8H(DGHoTN>L1>Vc-GJ-HCTu*CL+N2&>Q9#HQ#TPEv*)E9nv7FUV~E|4 z>O+M^&1dt7&W)AAtcbOFR>2mjOw=6YcDPs?7Z;&)N1VG(CBhBuyow*Lgy=0ROeH<8+wX&1c^b$eIVzXU)-C4iN3aZmMQE{W8*A^ zVv>6SVn3L>fQ6VNNf@X(C(Me)DZuIG;{Q1BU(-VW59akEh)Fh2e=ZwF%|~nGu&`KP z2tu*3XESHipH;)|8T%(ZL*|?5yDhYbA!@$I=ODqF>flOcfnXbCUKk^nmK}c9KU_ck zb1{UYpni0PX;LMTOTxB(nN)dq*cDN!O6|HGM^^M@eo9!YzJ&d$+GsF-%Wv^kc;m>S z6G)kx_#s7h=pGg7a$VnbtB}28PdM9b> z8Bstv!}uBmWFdX0u6{3D8iVEX;uLF}E~sXGb}U%$DHG}rRi2L?h-0A+2cwP9g-1`> zO6kFhiP4X@*P`3p;nInGVl6HFZ=(2nFeT47*Yna@;QmqAgUFDr5+U`;@+HKMVuDLS zielIIz3i36zW9O#W?aoLwNt&OmEAB-jM7CYj+El|*}w((Ct7UaX$U6kqJ!`#sxJ6fEL7;tjskDxuOfRZGJ!13M5WoHbg~Mgc211lzPDcr5 zBqN~&b6xTnFQL&MPPlFDMpSV)7jSE-J_<W;JY3_quy`pJV^-afv zpC4P5J{|V#VI@j?e%$B`DkA8Ynv9HFNIt<~ukex+RqFzI5i!M*QL0{yN?A^fCG5Iq zC4!A5lQRv){`GF#eDcBwk$V0NnvI@`Zb$lPxL~PRTKbqxwXxWK8Fa22cD{pcbppad zopt;UG)MKpTDe$RT2OSh%*0-Aj4W(XX~DS6=TPOx7BWAN_6(Vy_Ysfke2#PoQ zVu|)Gl2>l(X}2zG%1uA{9b@(NQ9zNgs!&a7A{|l6m-!~|%~~S4v@w}%3#RrpTjg92 zx_!=$+v-(Od;9*R`iFb=UUcO@hBl%}?ySq}R66ZTn;}wJ6jb}OQ~$zm5qtguV{+i0 zLTdVt9whkmn~Nm{vLAaN%U8nY*Q&6b*WcRbv+$4>O)f#_&y~~o4m|kI_J}kNf9Srq zqC3L8;QiIxThsbTyiJU^0}_PBRB;=?7WEfDeVKn>^oCql)nD6tetP<#&8!ISV)JRe zLprSH{shR_o1x{>Tujj><0*x5p_oLv?TziOGfiE~ypELHBDE#s64G%<&|Y6jRnRjj-~J%jRU@tzf3FR%+_1{!z>|J5dsi zfdmz4vSJ;|*KT`BJ_#ls&}?7J8`)=KT0z8_r#}<&PtbOCuQ%b747ug`LDLPms_4GW%eT6WuQt7k{fBZ4?zYGxe8&e55a8i0&KP??9I z-axNfgh;lO57O#LTn;A}kW>~iE+mW+@M(^#S9q{v;o2N(XP8BDpEj72s|$vbo;a^* z3%`S)2oU%~deI1R8e#Mql~L3kl$pW=qJbia)9Z3*J*#Y)+i>64{c7&O49}kH)k`C= zXtAk4&iz5heCGDGAZZu@lMhqq9CzGvwR9*wTi$1&aqHLMW_6{>-UO3;45<+XRh{mE zLL%3<=FA_bD?X<0=Vh0h)|KtmKoPkXYiR!2?!eerW4uS+&F^c4+!ud_Pe$Ol#QPR` zn0Dg&a2(FQF|Tj}R-M870|m^`J!Yz_HqwbM{UA-0H`qOFvC!ah{_Y6OvTE(_whH7= z#fB5|)h6Eidr((lP@ZzJcee+?DAv03S2hQ7?GKi)N&>&F>krZYPWv=~OHt=*-?tK5oc(++#y}M0HMxGt$`<5j!rCp+AMAoRT2+NTT8wI*2cSF_s`q;- z(}3Hfn;#jJXr7IS6s_|Z^?!~Gj~JMKvI|CZE80t$>W7DOrC}2}H&%po)T|d$Mddf$ zKw0L`eo7(GUeuuUDzrXbc%3Xk61k1oUbP2Cm_lsrde5fzt$xX8*!{Ayda7cSTc*+Z zu}C4Q=v^-%umfkfjb|R)q?DSz0FSauL3I-2$n6ChOxLI^980LUJ22ohIPASoz00uu&H# zzBn%U8xm7B^*aXK?#QA}SSB5nK}-g&VwsEaJ9Wn(vg^2wfq@?rGOL#e;{_-rQ#`PM$&DB36!GTfj2QBs|%b!t+A5c?Us^t)HM z3{pE49jiT<`Oxw^i^6MBy81w?1>V=puTCYf^q^>dq70G`OYtagSVW|Z7ck$@Oc)eY zcilMXwDzUq>-%jJs5O_8AB!VPREBR9yvr<|xcE6f71gA^hh5GQ-{Im}x7z%AX)PJN zl_#Z(k_>rcDCAZo{2ej5jrl)9MWL-2%D0sp5zl?Sek}xye|jS|K3#1+o+;rswm)fD z=5_FWYIzG3AA}3cI`|<#_>(L|aT>&h#!qcm`jf1_G`J#ztjeYJYi~ow@*ibIH-`Kw zHf%8nqZCltM~uT*RzXl#9Yh|~)$>%?-qtmEmV#KZ$njd1{1grWl*{`=h79RiSFehQ z5(Cb_C$Q4bxv<7|;!phOz}HBBozBmKi7&kP71lQ_lTNVXn=dXW-;ejGem>env>+)8 zDw(RqFsrIahgJ>>6&<(}JdzeCcp-6(cl!H)H2EkW!xvB+SD>N!&Y|Z{53?efkxNn+ zHFTd&>Cx-Whs_Yukf(AI34Y}(jCxoJx%1KIy~W}zUaCoRvYR8;G4g4lVtWj5BPzTyfNu!CdU+r8m2H3?^!8=4>ii6+SI^ zx^Z(LJNI$uk^z8gz}tLb(LTJ}2v>s6+1{AB=B!$n&zcmqf^;Z|(~KA2q4S5Z7(qQn zMNKGCH_~K3bS~UwcR*kg#9TYPiXurLSQW_`3{4RW_w)K)y`dtVm~pbi+(VkbSvxP< zqgXoGk~9-_@WmZ4w(}69u77rEgNJ=RO{^i_D7;13+IC=^CJ52dvE)2 zD4iK2waIGe;Y+f$#Y{k)LZLZDk=HTKE}VNuGip}3*qjAzWd7oSP5bpN!K+XqWk`ED zD@d!r&r^9@V$g2*X*ZSo>>JHey(BEM73Ld!h)UtKHM64y{7=BhSiX;z=^D-8wHnR)ve42 z0660Pa^oNhLSub3#|i%(Es7;8Sz>t8fG;bz^2CQl1YB^A)&e~ZK!EC}dr*Fdpp(Zoq&X#_Txnx;?~sg8|IV%KeNrA<(2*D6MtUtY8Q{@y34AS_5- z_2G!Elt14ocYt9Y$l@8Cq!~Q1=tfW z9eG1NNCMJytGVMn_Lusa-rJPP+cPKg+v-T6%aYerQpwv(6xK4{Ve?<()$uR?63zf~ z@848faijD3_0Gmn!M@F^iVBjq_Z*>%w5e}c&rRWaAh-eo%%&N7z!@@Y%r zi^5xtJOoBAUrbWqPLUi}cdrmBA$^K39nC04&@rg+jh5tJ-j|7rsE>t<30jU7^btjJ z8F%KdkLDGL`W%lvSyy?Kp8malHQjYIR+5x}iO7tJFUjF@%DrTH<#(i^SwHQTHADx5;DXowBR0l6X!*=u#V@;a+mdy z=?yec2fc{&?&HW$#Ezy5?XMILE(_?q)=~B6Ql`z@-?*>*CYRWGxZJG0|DT^yvIl(U z^E;A5O^_a2MfY(_20(8lAb*zjvThzy465%IGMrqm$cXA$Ce~uM_Z1TL-M_#GQUuCs zp20cxZ*iLhMyns1_LygVn8o3Pf%9nma~{0lJlM$Pzb#_I%3$iID-&Qy4FDaEUl4lmOZ*@8Zb08eJ5I8ner!u(ZCB1 zN1Q*ToaJK?c}cTl%Ebn*Y*~W-9f{;f_dmILE-e+!r-g9Mk3>gnlV}sV=rIP^Ab^A} z#*zsFQkTU`p2QCWXP5MUfHxojzadIqdatygPAu0c_k9G7Hu#E z(P8w1lH<{E_I*(sIfO4n{Foso8Dl~7&IZsrBo)~3FCa>bQzq`;vvtX9*%?&rMJc)Q zAunssGre6y7UN+MHm~Yq{eDt!+6Y?a(sM#FNQ(U0NE_>iFc?EPF|y}ig*j5+=UX5$ zgY^%t$^^0oj$dl+4P8IrLIQVuILn^PRJ;6W@;+og=X>Q+oOF^Vugs*^#)Ry%Q6<3# zu6eAWvj7udps$}fPsQ14xtt90`x3aaJbyeH{9a+$`It+XM-{9Q#B|2^3N#t9h(8Z& zzCC4!$#*3OF~uY+)xA`{HIU8p_*&;M=OX-;o85S?#UebU{0(&U_3o+o_bKxXWlna( z=Ej3ug(FeB*?VyY*jZW~Ygf(BPgcci;YykDIUfC`9^22Lf#gXd(%GGmN zQZvoUqAHqT;8mbgX{NlBxph_Sm&7Jp^3F`?O&)$B=;4`N>FhAa%Sdh@vI`DC1&FZe z=$z)@@~1^J#Sk~R4K(cYBaoer$&K5!=$4J2~{Fw{}0VH^HOO+x}{j+tOi`d} zX+nS!HUm9HkF&3Pj3*>itO^3X?ORPDcT;F zkK1Y{ff{1~m-($&V@wJdf|~7LPvaVbd4MEz!5X4y1*4 zIu!{7CQ9{ndHf7aM=kh5$BcnVRlT`N*Bg3UM91fJ!%!>1@VRGnL_L5BV`XhP&v~vg zdhP?f(oqX={2Z;M#GUBZ444;i&?yxO7wcTmv(LDo#1Ki(sY^f%{!4noAix7G$$|LJ z<8UoT^E1nZtT?P)AQ=kM+@|GiD|(oEtP+^hJ?IFG27&pqQK=J5Y_u1gQQNr8h8Tj* zDk*r(LUrmK-gs^3&LF-33|&z>6+@Wf0S^ zxlYj#tJtLzyeY-9bhLW@1{rf}SlMxg1D{dANb%R{7g$88@|F+rfXQ&{laNDDrN{Up zI;8Kl5y_%pBABQZa&LEKKyffCDq=2r%nBCWsk`;WKv1gl{{6>zU*mZ6`@MR9l@GTy z3fX^AX%dptoU<^J>*W;uJORuaAqQh(4F)8=B}!##9^rd5WyPr|9aJ}O+S(UwE-2T| z3lOXTp$E@PbqbDiptE&kZ2##(A1n-I{ghQnFMlwQ(xQ>(bG&UeQ8oN3K@R;0>t4#( z5-h!l=Idnu!XY!W(8f03X6<6N+-;rXrtYowU)03_p;WV|*?nYuy=U{j9v1resjrD? z02W0CkQ(Cx&D&w@?XRCl)8L%9zPJSF@FgaWGxwN7mCyt`*YoEz$Wf?*hShO>JW}q9 zaNckoRi&d4c@$Z7>B{xB3WW5eb_nNs9``<;*XVw1+lyyQt@k_$>H3tGd%}wSwVS-E zb;_U0IO(($yA-%PiNcKP?57!NRO8$~pK|Vx^p)ka)qGYuJ&k&=jXTOd_OjHSzkntG zxyiK`Z$a!SnCUw^WWL=?5Bi_o;z-Um`94wl*|;>GYq!Q=Ji5yTK+*C&J#hg+LleT~ zb66!af1?Lw?woExVg!sP)gjNMpGDdV?HCg1FY$UDeR+oa<~du2DER>JkRf26EFgU3|xFh_G49aRce67 z%t+?QTklhQOkkwbL`Xo8OV_;V$hTauBXcdj00;pYTabE#DCW3f%omz>;KX0BUFD;3 z4Wk5vXLJa5R^7n?fkIF>E)Q7)q*ncl??nONU1hw*mhCT|EPi;2uq_Lq%z6}v2uzk7 z_&oRLlKHHmXcE}Y`(gtwnb>mUuENXLNEzDY=!diBHm(d1?T!9+9DobZ1@a1KFamsP zW&h3+c29Q{{rIo#Z@Lqs#3A72W2UNwZ&VjHP5bjd6Kt}?bZwfGj(=x~Jp|77!nET0 z8Z?YSps2^f?$SO4k16=D|CbeNr^X7%HpNz`cBzL=7WJS81$u4Wq`&qgcr(LPm#W-P zhx<5}U0-Ylb9!}z1m0VZFtE&0O`Z&xP0kw@Ae0)R3-x?F4c=X`#b)3|yToAfEw(j# zm$V>+W9A)>SxQN*8pp}E3@h-aQ8!QLR~irn$v&y=Zjm=Hd0oZtd0T-A1!|3R>vwPW z)&OWqp{cgj20hBdNPQYD1ov+(G;FRLP6^u!CObv67SBZO9Z<7XtX0Ap45u&{76VUo zHD|izY6Rtzy?@l_xg97L39yfYLIs++dk6W*&-rs??Y*8dD#@hIe6Z?OUryg5&#akh z(5=8--)<4hahrvVg!e%^7N)2DF;HW`IA{7)7?ph5??eNrMC0zv#GKj~( zzcAx|sbL$u6}}r;R$0xnZ^BOU^9BMqdp&I7tZhD`t7f#%}vInmu-**K_ ziREAu&m(`}_nR?GDZO9xP%Zz$$Fgrb4Zrdt_;$1AmQo_O!#M0)>H8lFc#>)i zLS1%0nRDBKu#;K>zPkZvhmwM+Gc1fIlv6bW{QAPF^`samH_vNfbc`cxjmQ4OWr+s$ zPNoTyTB`xW`wA(Obiw2^ z>?zqATj2h|GcSFGMyGU*+T&-<8_25c?Atam$Ri|p5gg2EKtW8R;{BK_`<9{`{6W}bI<8MQcJPhjqp2>?n^#|onb=u5OK znLJ^!XLD<=0!N(L=LS7$Hb-uNLQiBtT!U}vWEcUj8$(ILlojwZb zmU1l-!_e_oY@d1#`UmaTWPX{zyoJZA7tA@aUlzl88oUW`=uGVjBD5XFyWmlmyY$ZR zG}yLhwXmpE4IGET7i$4lp{~rgX>Lxo``Db1K{<)OkRUQ>Cm~SJr@VXSIy01cs zLUP|L_K~p-wH$dy(5z0vpJi(K4*MO9ct0EhhSzvhg}^#W;f4OB2dMA3(VWK9Y4h!T zuN-268C7LEc93W>6v^++XLu}PS34}UGek5U>^$tdH0*Diuwt_f;dYsi4->*=|M; zBGq|NP)`5((K6o)nUO%~9{u?yK+~&He~JGVAtvfMLrACeF#U(AG5OtQqxcPb&j%tq z-Sm_lm^`H2lzj)lG-5bNq$W^bws)U(l&cxH7HpK9GzV`2`6R**3JmL!Xl<3;alBdD$Hg%j~D@3N$ zLvM!?gpTv*Rm~z8@qd&>NYr(T{HWY*{O^VUG_#~|E63Z=Vm>rRxMNOlX9pU zD@)xXN~27JXWi=2)1P14g6`?YP(MFcuRTmR@!v{d=UarEa zVBLC6`;5V=(|TM*O+M7x8~E{^STG4ui=V~8?^bP9^N+&lALrzLocPE6V(S`o+ag;l zE%6dQNbYYpDHSi!f{wiCLXWCu8ku}nLOgA~-o2T5XEFS?K^1rlcp-q6{2U%TUs*Tu z63wrplrFURiTB>HTnq^tk6HhN^fAP5ZOzu}l>AEjn==9gUe$pq&0V{x%#2)?(^Z!8 zru|N)%k$u+muDhl*dV&1kcjkKX|s3$e-v|(asSC)VH;%jJp6T+`qA#?D!nK7Yu_c~n7V30kZKwbBt?Y8sbgx>HfH zSzI}Ya59S-6!syFW67RYGVABbW>EF1t1Diy)}&@@54|O*#s;2oZZw`cn5FKI>w9E+ zsX-?fop(VUS``xSvO}`d{MaAw*N@YM(u@ThZj`qJ8qM*_ zT7KWxoJGu6bh6d6TYrzXHu;`gOAlxJbJX@%*#ppEM#)ELS9hHP#4|6W_sJJ}lKP!^ zgSShMIKz-zb|cIP_`GDV?)DyWf{paXvh?`N9Z2_?sGjB4GRc2gqW2@Np}!0{96mmF zM`L4a#%2V4LSmj!IcFQm1aq#`YkH9c$0iv(iYbjCH8L3wXF(*Z=2kySE_p4Vad~*H z|0ZyD>!%VX69sxY6#?Wt)d(>p^UjtuYeZuMo81$nGL{zsb4On~v9JRr(z;IZUbtbu zBh1B?kWO^_60+gP{6r9v=S%488#(dbY7!89;tmoBdY^IHzo!cgQ{KC6-Q?Q#tb%=2 zAQ5I@Vj9sHt|OX?Z7fwQWT|<&)6oz>l{>^&=uT5C*hDbaK>w_O9C^+4-9-*fR3B5>Uu4M-$Lr&fp zUjOvK-|^;qz;)wK6G{Ns1a^AmZR^(yckk*LZ@x4T2ueYGJGpm2R)=x$EQuvUd*aRH zqKy8QnZ|88_l`mjBWyY)z+f*c()>IJe(UXwT>Tx4l{KHq1gY9ba1Z%M&F`luo47t{ z+MuACo3Vg|qeV>~r#EgueV)W17iMvQNH)zleHQ6H#GI3b*;Sh_X=BImxvk$bZKf(v zDDRs%2OvyGt&w0A6zpL=`}~pHIJV0}|AJZ@Lx=eN*S==C)-~?$7hEr^sulgPO3QBv zKz>e)BD!$lj-Hs}*!;XkB32#K$MUxp|H@d?onWZse3#nPx&| z=w3>vG;w6@*&23z@q^LH4XyS`SlP`(udCe(x*J>@2Z&3rk{=HG*Y8j0#&CbsT=#P@ zU8LD>0&dKPTm7(g<=mBcx*42}IOpiOyN3-MrRou4XLZq+yi%z8-=ClTb{8z`>}YF* z)X1D==Kp%0ltlC4-RiftemWvZgTK3^8NzAr*{3^}=02D7(SNV4ap0*&k(S0P$Oj=e zB6^RY>etQrY`Ot3E4O9|O$Y>0Va{%Q^yGQc7kI&(w&OEhAhEcyGB}X4VqED;-^vqp z(7ni(g-qY&k(zzeru3OUw1rE`KeNV*rtUv%|0`-&WzT5hn4~w%;E;WlEa~b;L>x(l z%NM=+tc2=5^pPERF!c_?_WiJ{jf-#b5ycVv%&&tl6_0;=k%sK31P8y0?M+KmXZ`W{ zTF7&!4ed~?rHD73DDEfweX8_(1|^(Eo5`YpD^Lj;#DtV57gioNGGj{nb9E50-M>!G znOWnL{eVE0dNJ`i2Fq1CM1;Y>Tdl@GhiFoxy%*I^VRP*CGrAN_q;qD=Dp{9IWHLwk z_mWhDEc~%zW{L)!sYa3#Veh!fe6eC~-XvRM#`ig=e1r8({X)whZDE%Bw_dqW6W8$b zq5lXQenMl$1o~@)F1WtpHf~&4TWv+sAS1X7sw?v0tGd!s-3JT+V4C z=!ok^Sg5wYn^7PZ5rm#81MeWC4@h>ENrvfH+$R0<{fXg}6+@A#iDGp9%g+0H zn!VmYS;dg>SQ6yU;oS1!v?nEy4PirOQetuF*4!-svH59-x(bc~t|*rG!Lej`FV=n= z0+VtumHxt{a1W!+dwhYLMEtH2ruh?N{q!l;4U5yl4hk@sw06Fp%tI1h!o8qCNM;-J zoo_MtKIYHU`(qXQ-xdwpRHhOI6w^N3M#Wu!P)Mniqb`2X_@Sk{_yzt1{mmPeP5i)T zpKO{*$3G^De(1z;440^cHw!&Vso|ctVAMAAOo8Wm@yi$AP$+d@i74W3SW>T2#wmb! zlkB83mFENUFQbzk21^Oc+$>Y#S$-FQgjc1#nvBH6P)4oZAw)cL=VG?FtCE*y@g442 zxT(LvS&cUSlv+@YXKQL#5IyOYupcE56iOwm$@ci2I7m#*`}H)WMt++u6H^dE#0QLl z6wv5KgSnGzLnA|uu%iPgS;FrMqq%mHs9^)=T9J(YEDX|BGU%F~0HB4jv13Qv$h@SEJZentp= z*=T%3`q=FA-E%nP3|OKu-8B|H zjn@iQqyh~f`~5NpxIsQ_`S4O>d|pTpqku&hHUO6O2M3jPcM)45j$)Ez=f!pew$Ii4 z+rmd}9s)WlTqAhxk)tsRiphN2_#)BdggUXFbs@bg=n&Rt6<`nfVH`3Dx%vwroqeAA~SAG_M3x9Hqp(tW z--D~-7eEy>!eo4O^ph!0r!?$v(NU&TQWiF^?ie4>1aZBrYQ@sLrwqABIqAakoc9(SK79K z^m3-qNtu{{sYyq%%wzav>aW^oBf9ZR_Fk7e2SGp#zPxXC)+3trt4qn6Pjgw`;#?9rN`N+Y_Id>@rCNMyZRR<2 zKuhT&+`tme56CT_=GZnIP`$hb{f)hPi3WwiyM~6X9O#R7Hmm19+=5`9t2lkYq_M-R zPyKx6fqzs_dOcxhD*3cs-yKQ+sGyCdo*1G98K$6}rE}`@UjfJS=ck6QNEdaPQknaK z+?N^Ju0LBGgBeLLMZ&dpzVm3w@DUlg_-&-Ug2uwJ)VJae)owK5$R@yM_9(Dp=Y(KF(9x}+;juFXXUEIIFom75QCQfzu6ZABquT${L+*TRVrEsy|KR+CV_D=xi@fjPY&AGm{VPi$HNW_Du<0=@ zMatEFG^qq}euVXvJXpLN$e9LU;Tw@i3{*cq^N+pfYiwiUB z0uB1nh-KWo-r*voy)a_Kr^s`akGXT*Wnj)OW@_D8$%H$h?Q#c^h2vN2ZIZxDOduuk zgzF*FFc?*tl0cu)jhV9%etk>>RibMs@Ui;4kSGz%9in?bVp$L(Thk?`SN_V5>T?;X zSIM~7Xxi|fXml!U8c;j^I%#DHXIfQ)yXk6Tx5wla)@+UN9-r%<_hw#?*xK%ERyNq^ z&B8wSq|E>oXA4=TK>uJb9Hh3E)Rt*TsU&8q9JNCLY2j_%H=S-xTbe4c+8cj#e|wAv zQMl2ZWp{F{=ekF5s+-GE0as8Y zC941pjgVblT0+AB=~+t?kjU#S>ogrH+p{*d zogW{zz*|13Xm&k!%M|h%TfN2+fkE>L5B*BW<|o*yj*SMMxx#h^+93u%f&m@rIGXIK zdFV!t;^p?ACOQOnUvQZZ!iVnveyMX%o%E{K@iSRo;cfdbvTThWk_)ELBQ(ruM&O9h zf7xLe5f*H&ggs8v+Vr(0O|mA+cE)D($X?lMO&H~qo%e}7mJr81-Xq_S@;iX@>xS84bar4;p={9^OMs}Ol@nriIt{BWuw3PkuMyd7G*(?bilM~}rU64@72557 zl(qAW)^){p;P_?#KGN1?hJVK84CLD#qx43nJ9W-;{0CUYCs4~nYB47dy4YtwTMvb@ zc1FirR4~)2=iG7-=L?6zeF>nN3NIj`43Qlq2HA{>N;zTqp&ho4Dn^^gj959#CH-`oMnyQt=hf!9r#Vo$gGwz~`GBpU>=z9*cn@7xRa=`K+h& zYj)`_VZ|x~2Ald=nGg_G!SgMc6Gei_e?fxJfvul7lr-$289c^I1W%qI2)> z*sdR{ot;+OUg57XfbFMc+iOAm{1xA%3xA>p{PwR01UXm5qE4UXQN6Ol&PE_JrYcm{ zRr3$wo+EBuyK>K!je0Z;QT!+G*8E74C($X7?CGr@}vb z2(i(lwpnTk%Qq4PjMcj^tHMG%(~XQBOfU3T1Cm-UT)HvGudz7}-A~6r$MR74Iy2v- z6?V&A!s+4G?I!Q);$`}XQboMQre|l;`|DYd*c`VAHqBQaK#%`jhjSb==N4?TK)3mv zzIrjdtlFlp&96B>{OF_H_>`gO8&e%Z@m6=h0zS3)bfM>@Z(o}tV+k@skl>%S?x?RQ zVA;^Lx@9v#>8%Rnc0^bjeyu;tE5~4EjfJK4C$q0fL@k#*LEMBNu5~w2FHH84B32c+ z2E!0p+rM*CCz67uO&^poULf$v9rmzXKX=_wiy0L1%u*O?UbAvNyaDC@sCSijrI1zz z`8VpG)X)=gLr1lzBis`kif6x+pW|9Q{uib{Om|X+* zbk_z7?q5H3QtTR!*j*=(M}3QcSBZz} zz7YPAP}P0O8>nQW5Bg{?GO_eLH*3dD7407S;hknS{HoaSv!VJqj__-UReDuKy%Yh^ILw%asUrYIrWKBzhk{fkIH24fJGQJ#Ax_(0c zjXA|naT%S~0hG4z4E|mZE?<{$kA{SCm>r9JjfRd0O2Ec;F z(J=6R#nCazKaruu-K9kX1^@nv@ZevHwA4UN_14LQg7OBsCxYK+Fm9VSF&UyD|JxlH63#N?is;eE6O&;yP+{2qZ_MUGmyemc9%|pTzz%I$quj@%N~~ zr}t?~11_j1o(c5MUfpF(nujD9f5Ku#!O8`BEvPR~_EoGv`Ag)I^EttXB>cDG0pcc@ zk^saF;yz?a)}LlEP`)(cubL*<7hgiYVD@LopWwWR{1%5?C%hwfY}637&NDA&KEI2} zH4@P-9pg|&6iU%>|226?Kc9siI%;wp2UvywpJn=@i--c+X}l8uE3Wud9kI@V(qT|j zOtQou@+kSD`#`V4EgEX_%XK8$e<(@Q>U*0SP_$5z=FdUF%USmK z5>Uoi%bffGlDWXfNtjxFo7+3H5cq+ie@#y3jGL^BhT<)Z*W_&JMEuG@Ur)YrhzFh(g2>%bRW983DKSLRS+mpftH&M4rJhL5qsP)-Hg9;;x z;IGL|N+q1Hfr|_58(-Zh#)~h}Fs`p{0|J1Y-V3&Xl(k4w)Knpqj{ynU0!2@e8-Hj7 zzJ%G_FT{J%{nzB|+>@F0mr;6?rM0A+@Zw7}z-qsczxco6N$8(3<^Ld0LdbNQe`L!4 zL7s#X{|Qt65Ar1R&zSPx@uc`OO!nsQULc9MZ~Xgu)_8*4bCq@UQ!P?RUHQI!RI;F{ zztC=#(e{fs9r(V!?wC)5wUE^XgtkKEU$M%e7~Dxk@ztMeC<+lGYg!au-~zm0)d0>_ z&~<*0J#87VIo4O!_}1W8m)HIh_0}U~)?!zoIy06y5I|fVFkNJI1(Ql7E8@%-&M8V6-XK9EiBbOXVk!QmJLfa+Gw_QF z@8NxA=4_XVRemLrjj1iUz{=@lYE)bPC+BlgDz&kBy;O4e0Hugg*J$ z+c5Hp!gn&5QrAXFEivVJACW5iErvKRbf*d1&&?jL96j4=9@6jGP4^x;YIHW=_GxjB zpsm#w$D;SQ+>Lb-#K6SDr};FGu|2tLJ9Fr-o~IgcjqENKCA@9#(4W%_L;vol8&FyA zYnbWK#$uWJq?a`Er|VG#P+#6{M}LqDeSTzJy*ETgsYvOlL}q9-UBiJTN2wP7%d0FDjS%%cX~4n*Pz zZrX!PY}Dd{))zJYeDT+(nQ+wqjMryTUHtfyIL+BH1=Ke~g`%B4#{L}ksJ_Tp3hOm2 zqiN#yTSwa-j}KcN9#?vq-a9%JTWC5I!-d)DkRJHlBQ0&QlXpuP)^dAIX7F6#_aXg_ zFC=rfX2?m|8}DS-xX;%Mh29q?W;*)?E2e%XdRN~G?lw5olG8A)JCjvtI)BWpR-NtZVkWk#r8?KoD0$m>S}${#FIP@b{V5iMqXH}LMI;^A z^8NAOhx-;z{BZU8?nAt%r==xZf4qIaFTo*)) zfWo^f7U+&=0?Xn|PoG$}(BQ|B7dKB$i})+#Jahei$@S7V&V+GJ<_}2v@bT8|`rXSz zZ#}W^KHL>*v^n(p5xX;GN9xu_8B2V7BQsZU(vp2k88qXR|KEJff8Tg**Ydcf{#>a^ zw?lZZyylNe1Gn5RhjM3aIJ6 z*9==YCAKeQs@IHF_94gIQT_ailOxee;Ls6O^Y!`nZ6D7y(46wK{L}tS?}~lW{}rS8 zro`QgUN5xl=7VKBj;y>`wB*swmGzmNLG>HCmfy2Z{M2|k=Y5Oj=Q^o=UATw9j1^rC z0?V8geX96OPMd`VJ9V9c}N{PHDX_c(=r8{EG;u`ASG zv%_<@^3(G6Ri_T8$bj=V+_4KL0?qSP?8$Tk3jxoAhvz4OLt8A^XWd-1>u7WaL>3|W zrL)0n23vedsPl|1tfhMwE|;Bssn5IilZ=EKs(US-Gdaz$<4HXCb4?L5S{_VjYY=eb zc)SsAqk;pl{Rz}>rJ&Fvc&H>{)=pMb)4<{tTnKl7UE9dV!I21z>ltZzj&P4b7$EU^ zOlbBlU}kcXQ1oG6HZvOGTZkhzopr0LC+}KmY&$ delta 61764 zcmbq*1z1#D8!j^r62efTNGk#g0z-#%iXfqcG)lL0vjIV+)lmnf6ciN%lpzEu1C&s@ zO9=_-ZtmJx2haKM{m=cM^Xwzfp1s%l*0;WRzgT-E-7kH^*CIkoLxr4_o|J%qfL!&I z;#mR$lsN$b(wulNxKr6jiv|B6+|R1W6J&p493x=5`@%!%yoZyIwVk6C0lT2W_rKT$ zFt#r49_)gO>;eK8E?wfaw7X#AcEQP=*V)SBh7mn2KMzJg2P4WZC?_Ze{wFNT%P%T; zBQnHP!Pd>i$%{CY+JBPPZx3hoMk zAFMns*nFSX<@BXfs)7b?I&w}{&gvSX9)>Q~*kHtgJs4gw>^(&0eqkZ-0{o7p@Ao%E z1jTWf8iu!c#yxT;vEGL$u+N^NvF0R1*h|tJ*ei$L;O%6Z5I=vwHLQ};U@O#Vu`Y6Z zvBNB{ap+TM3M`)n6|O-IeHI(1Oo^|SS4HlAo=BA*e??9KflpEXPe0*YjxthVpDX8J zF&e~pDK*Q#P08^0>F_KXl7CDElNP51lU9MZ)=vFBig_Yh4EH<|9gB6*qr|VDyYUY{ z;a&6|{L}6E^R2&c?{HwmkRF}_$G*uxiL0byw7{7iWu(OJwV}dJ-!Hr%}P)|f4~7UW$-?h?!V^yu@z)k zQfrt46+08*_u331cfEtPv!TT6+bjNh4<1PjKjT0GKlh6gyo)p2U#WnHl*XExVX+O@ zXtBAMY<~_4C}hA2J4@hWT~F+K0jKMamc(P+Z~eNvL-Wr30-hGT=f}=C#Ox4^E2TzL z;bt(5=kVXWrGM`kOeFjeexLv6U$6dJa&HtZ){KY{FC5sm=a)q7tjj#a>h~Z!JHmOH z7AYc(0!B>AtnS0Q-QyKUE?>ozZB;CFdXn6;#qH}{(j;o z4{%yUjNgR`fAF5sAL9cR+8H|_Y4JCSJ8znY#Nu`C@B3qwrKv!`e@NT+4Ju8g#+N+| zMPN_urNWsfqt9GUPQRL*jsO=DTzkNU0v92;_JWHDT*Tla0T(H__JND6Fgcyvlly=m zKfma1E5a`#CR_;2$RGk&A&;iT9d04l#(p;4yNiTE;#P7e1n#;h+7j2F!bE|KJI+Xh z+3~jlK12~6C25MZ&S@hoTPnC(G9dTw6PEQxj^!;^RU3ByxPXL1a3ZLtvDgR;O!Z^c!zVB>; zev6>N2(t^yTRD5U`5FK>h~X6$5yA3{OZ+r4zZlZLz;FN|hip-B2%*A8 zFEkkvXYYpo@JmYJ0TDvL6w3b|P1EBqqv5O)(I*R;JkcS$D5NiJ^+MP0A`Et+zi2vu zd*k<)Q3`>ZDcv;yErK7%&xIB%1WvpSfjbAG+jbMR^RrB0QZSnQH@k4*i{vK@MMKe^ zy9Yz^3+|Y6+Hf>QAwCREy^Ath@H4#Wia438=%rtb`aam3Yv{|n2gAk2p!dS-BSzv9 zEx<;`M590NB5vo2@2?NU{GjhAIw-V?Lj$M$b2pi=XqYjt3xjW<{{m8e+^#4jzJ*5q zCKkT^ecYfs=;Yr91HNCV8;`C;U={Wr*s=GpB+xM;w& zA6&HHIsmSN;GzT9A#l-yive7Wg_Jz1^0heIX4hJTvQ_zb)xto#NY>xI9tXU%J%ikh9pE631dZVzO@F5SU^kHQiYb z;g<}JoVxPtu$SZrkd(m$C{_XlvFz_Z_bZ!oqvGFmT%`M_U+Iv<7!>l)ci`8L#S>BOIz?A0Ke%yw3PpE}KpyERj7R>)N8EXaQo`0ZF_P#lwf^bij^0 zA4>v65K{Vl%PJhoYlbwiMeZXt8Yd@pWcPhMQ?|ACZLYIENbkUj^Q_%2<$+I~n$NGJ z0KH^?Wy;PnWDzLd;?W~_r2~uA?+K~$mT$}<4Q-vzb|>;%TnQNFHoKw5TlBD{z>W-Y zh3@Z+y(KTJUp@hqtxwG@b-UCSbUSnBl+2yB%QVl+n(BR3`)az3`{dNiw2dzm(Dkgs zzT#W1&8OUv&w>w2{Cz!lNJhtT4%tP@TWjs*H6q=PY$HkDreG`%^?26)jjy6H1kF#+ zapI9*Yt6QDhp8^|asx&`d-nwT`~Hju%MOm?o){Wrng=bv>Q}8#ma=M2KjS3T<2#Zx zoZoKx)`pfwHuz}=Lg;TV2T{G8d9!c0%OOWN(`)?=4|Jt36Nf!vqOxvQVTTe@&|b>Lw6dE0KfX&^jgRLH-H&T~bWXMk18 zKH81L!#J}$QcE2QeZC`1Z0}0e#xuhw3FqJJ<2yCoS8CO6;w{X{;?(m}8$5rqxu7ia zq5tbkx+(;Sbcv-rvcJh+GF8KEzH{m7{M;rS8i~h@obqq`GL060%ce0oBA`51K2q3Z*5svuxm{dGHHQyGC=`UXDkE>0b}=HfAV+YwU90)vsa>- zPU_t`VbHW!u`Fxhq62CY2|d0(aBeY zY#Ue`Z8UgAiCHUdjS>Y?xXcYzJMkoYwizTmO*eRTvD>t5>FFP$z$%0+?y?$ddX#Ja z8hJ?4=lQeqFXXGD53#+yO5w7+nyrgaR!Y)NQ--Q*ZgbO6Wj0W6zb%{E6tMh2={s9_ zkY@U^yf{$1)1Mj7BsQk0)ILqwzP~K6yYG-= z{=S0A{4R>A%YW|n_F;3i;0Uy#cEW7)ZHcuLbC*f^ShiQ*58t&(ek~kJDI0wJHC1CW zkCfknt)EE19cQq^kDSKk|74UqvH%qytD)D_hLzr4%z=0~Qv#q|$<2l4m$g*DgtAMd zx>iPtB;;A1chFOMi)?*2JP=3678bMOS7pFc4+&+DLqTF+L{v- zUc0lh2W%b!S%&#FHO!dCp**`Bc_AV4-O7Cb4$6@fL&^$mUG?5HxW~hzX_vN03qq~<(?W7NNnwpu#bU$`wUq- z)Pljp9V6!(YJ8<0coEOrHMfqRv;34O-ju1I^HDl;oNk;m*+*V_y|cb%Fiyslo`rrK z_HwFJsRLDS!uNG6-Z`asNXjn{xP#;Fe2!hU-MG4>k-dL-4&kGerN$xvw`7w8 z#WJbQ@9>%NVj)h=J6BnPUY_+5+Jay|yEo#dY8!lDU!XRva%U9}&|MHZ8bse3-E3d5Mag5>und0YUg32Tx zv*w50Ncc&{ce@t{k&L=Ghmp`&eyzJJ;4@<$!E&9N#SbWcvaV>?)fC{H+^X4eA2fsJ zXyQt>a~>S7xHZI=5E`NJu31yYBwZtkYjb@W_rl^mJwiD=-dZIkUqC(Zv9|XoJ#EgQ zTZY_AFNtVvP^X`?TV`ui+Lk$ckxKpar4wg%vsjMGc381X z5*hu*DPXIaR@5$sWph-;ZLw9qw&{^tbDw-^C{U37WMa}693c{pn4EoMq_;1;y>j<9 zChJ(5FRtKr@O6&ed?FT;lhuFK<1-io+w*zSvkQ{Kmp;n^32r+OSjSzFCoxLI>?509 zx@1_iy|r%iTM6qD&%AzkjrV=OQS{9Zqm5~Te4gB?P)FDgBM1F3QlCjfR<`Z}nBlP2 zb4w-I&QYb?XR2t#5}41uZ|}=pmgwOf03H_$q*G(6blFt@IblBqDxN+(c#17eKQm!M zgu$M>cGNyBCi@S&_as^tZ0Fz>pG~6c72m-Ni{q_M+%6vVpJEt9MWmqWC1BY3x!txl z`?pJTUo_8r1yV>FP23$C;pE@gkQULKWmNd_P96K1QDox<`rVrud=OsrsCq>WFY{2PVXAE1xoGuk!f^JhskzWJipxMh8lnmaX}Xu_uQEqq)b5`R3{nv&S-2HSZJoNak7%`z@6G?`TL&~vI}UgPdb z?`$FILGgXU*JBS?>>st=p_vfP)cl9pE@5l%InubXI&ZZy^Tj%fIMDyTW{ULW)Sl)H zh#+kywNxB9xecD!Qqz~0E?o7mIAL0HzHB~eI$q+tW;ammP)^Dyk$!l0bl{_Me>Oj5 z8rojBK4;u(^C+!7WR4i)OVAgzKIzu&R2V@j{Cf!Ohh*mx51>xxCyTn6fv#$+yn?)^ zc`d4<`KJ%scP^!u>z$0{|HE9eQ`z>=Enj1cy-q^I@uBXn;P98LEF$t(rDecQI^g?s z*ef9R5Ha}XJ19`RwYi?qo39(Jeb^dUbOD=Bi99#2 zmoSpu)V|vc)wzK717U|eamI~Y{ff}N_M;J zfO%?mfka3GdA@dVqr{ET)NPjI#jdo4vX1e8fVI!$A$lqOshehc*~WK2q?VEgm#_Cu z*OV^zIV^>Iaf2=c2NDr<{>4S5?o6pJ$IP7NQB<41CwsIhPzLNd4ROG(rVi13DaQ^B zp4uANhDqn6x<^Frh3n-EM{ zRZl;wA&~YGSZ#m^<9(#p4 zq(N|T^N0fHgDi_QHU!7?i?(OL@vaK(*@cWyYOK>N4MtKe!wmf^S0vXb@Ly*Re8=yf zui8@38NFBjhH4wkoM(1`VhGNK&i{cVW+H=`({lq>-SBgkow-(DDJBEgmu?g8JMiK2 z>&u074yFiY@^SlvQa!5A}5+80CPHHR5S|x96izq(zwkXnH;iIkDg`(PFpnL@nUN0kN1Sb_hFdiY^Nu$z+tv&(5e+%&!r+c5KW?FJUqxeZ`ya7E=x#U zk;q6HKL4%iXw#FP@omj`%1z;t1tc@(}F%+(b6!lr2e^zJW$vbL?U(1!VU>oUIX&{^vbPVPw_4T zW8CQ23RPn6Y?{b#)`9haXy!H#*Uv_lh82kJkxB;M2NMeNHp>8y)9+lBz9NguNzE!D|qX$_m?-}PW9xf z^)k9y!HqOdfd39fD+5t`7H|2#RR)NF(-WdHr`I($37=oBhfF_7uRD$bL!Eu@dZh7% ziwYRK9v`u;*8wx1UlS^$cKhBC3F^^N%WhlAk4u9m6oT#I#`MePXvbQfVvRi-l{^)v ztWv1VNj)4)Q1PQu%2KnV(So0BY0SUYr}QsKRXyu4rdCfK>P&-F;?fzcte999|M2X2 zDy$$Psd3QkirdHgvcl2Tb!&BGkWK|80rrnxD<;N7$`VqWq>5Nnk!e5D@m~1!iZ3#Y zmO}~P$<1?n6GL@ATUP8sHIl59QVC>?Vwgpe3;=ZP^m;uO>XslNI|g3ePNH`ueRGM1 zHtCQ^^^l-vSONGf08&Sdff83KSa{h~V)qA@jW<7z8$FF%%H|9BvabnxxH{UaM7`VdNsZ+8Ix7k5f=T>G;S=UB_^)yvdg`ySeGC1_kFMl^Ob<~ecgLF%1AjRI!`SpHn!jBdbP0n_48#4k;kQO zu(7Qom$4iV|Mq=gsc@#wmcfEF?&%lZcN0%p?E;SX3sCf$a!qpT2_jizrY8!L`*Yt& z%-0Dj#nnm=MhoWlo!$%uvJCA<6`aBsa9q;nHjktfqg0QKKIZtHw4Y43Bi4CAq<$|b zUw_1Gd(mw8`CBy2CT<66I%^D{^ zp37ZiIDk~&4B*Jp_`ISOWsDevB=nfppJ=DmoxIok${WXTQT3rK-{DMA=gC{yaS>a) zbMjME&u2H<`ffC@sl&GK<5IpO<7USTi{U3}u{Y0YO0`NI3t1vYq|3g4tbHQw>*B(Z zz?JFI{YQZ)A*=yfhC|u&Y_6SFxawfUo@XSC1(Q(VY?Rr4rumkq=N>`ZTd5JMgmknQ z)79@DasOJU>PdfF*qm;+;+3#p*AKQ^)S?co68{=+QHMw47~qg;K|x5{Bdr~vZege6 zV;f7O%3#`81+n?gdCM>20_*NoJlN!}NnR4CHd)wCW9w~VBr-K0h0J${R5M|+0A27a zCTuAuY${txeX(tOW-xhk4djSRtL z(its*q(WJzgUZ`0rhxn>-`xi97s)q6)ONB%!|`n}nvdAx@d&oJk%x z6WwZ#CsSojUjiw}nIw&u2h9Q+OKuAKEsTC-p7EqM@od$N$YH4@r0ZUiFnoZey%F1< zUZEW(l`#0R=IYvC9YL_H7|M|ZV-T@9FSCvJ-MjgYQ~JiD-uOX903BGLL$0qaVfOmVVz5NrID27&pfT>%=I|&pi3`EjH)w`rX=MxQVBnnENG z6m}yM*^eY3q#DJi^CHDohp-MB4JlJ>0Layz!i=?LC3LddRYuZU9~o7ZD;^(CPjD8< znXoiLSTr*OTN5Jt$5@w8AXBuE6#+~C#GbdqdjamC-ndUQwb*VZnou$x0iE(2b8Zb> zTewk;<$T;F`}9RZ!33@6v-~Xmfb)oR@|T)WKlN~D-CD;&04ku-l+^wtIj_FD@?OSt zbOn=~3_!o19#4Co)ECE2eBiVEZ=n&BTltKXJ;b|_u|h@Lypc*pSN9&}xckg9K~M=J zv@!eoxmVzQ7`S{`3UhBw23E_{pFIryM+_s(X}-RiCB-;Nf_cy6ov5BDrX36F5BF#m z9$#2`@1JPVbL! z+y|6c$s%&)xPR|u&SH<~NhTUo`D+ckx%T;ZhyW^nsUdK}f&~lQx$G5khOfsv*U0xl z0KcY;g)s=(UVmm>lxyd(=1YHrjoRF?yO}9>(K3ArMNBIXiecBsZl$k0Jq=edI>UTG zJ>lv5&SUY%7-+98^n+?uLRq%StF~MCNRDxeUX*WZhOSd!ghqPPnGAZuC)95)@AfB% zI1&Ke>9;SHJ3^fT^NuZrE@tzIB0e*py~daV7aH9_1)x@2g=v_-*N>bWPzZI#UyA8H z@g|uR1N@ky(Qqn_F=t*RL!)(ROp13{RwpS9t<(bD)H7Vyxkaz>mZweS5X3h0gdj~` zJu~N0<@?OQ8i`NO2Ji3oq?F7dP)WT@O#SiG(+ka-qV>vto(qy5LpN0J`K|Hon6=Y0 z3VkF8r!P`1>0BQL;!kxdwUu?xUNTIkDTo)-)pJypxPu0A1oI<{wS(={1=hA{IMh4T z#ZEV0>1v)u1E3z&o|XG-LACjS=dP4m$a9jRz@T#wf`i%wlxrH6yx!asc97U_eg)0M zrElu{h|%>O)rFH44;o?Ri!PzbCPRFMwT2tyjtnl|Yi{KNTEp-E@FlIqpVq%k*+Sp3P?qjMH9cIH=u3r|&;2<)Y&e`7Y5~ZKbIr9sNtEzSn<3l#wFI zM4m?1s@h|9u0<5s+7__C1!)%EHTCp*_3s&+*A$JBQ7hb%S!HtNsvaz`-T>~su(J%~+x6LWmp3Lro~~G$H!{q)%H$Pa7tgP-VD>#8g+3E? z(_|CNz5kkgtkDM~HAQPb7DsZZHZ<1bNzZEsnhd9fYUJ@>${Xjb=Sre}b8WXIh0s$! zpOvJ8ytK(w>-kt#Kd1~{VQ|I}z4>VYJK`_dp(6CIo>koTxVa4SkHhV;1B0zY)FkYa zF@nceA;o1ywdK4-mHqa&g_n1`+Y3}5)=Ow3_!^UwsH99@mxG`rz25jLv)>aqMIdnL zbH(%Elahu&T@$h1Zz^A%Kjeo;SkZhPG8*P>gOL4uiB5VZqt`mbwG4tH|pF1&_GgKI(O=4FF{(u7_afm=aU9Xl?Qvn3e&JQ6w)i^?iZWn zh?s_0TJFUHoPc^i28A`Zvfyp%@7Oh`J9#a*dYsj|(|TB`qghIOfLm|>8Rn2Dv-^+c z=Yx3Nu0X+C#rgS-=k$}^1^V*Djk|4();VOBG^BW>768kHSmVN=hLLBcrG8G@HWk4L zH&AV_2_a%Wf6i^olL59lBHcow_7Zl`*!hwur4DX+Rzr|_sY^PkpIto8q!qG zQxox9p4BEQOFF?X^5q|gQKpjY66O2ps5ua8oTlql*WZ4UwAAHNzBvTKjaz}sy`qym zE!^K5A6f&1zIfp51IdpES@~{0Ip$wno#hl_3-WhvRflZyNHFsw_0yMd(A3e_$9a1! zO~m(i#+iO;qLpZLD;-_T6s(tY$Lqg4O-%8J;7D=+_!ZG0B(3SSFs`iwr35bB03nJB zz|JE-%%v=(gbZO$>1pKVGYCf+(Y(~Y-mulV{~BCy0R`?GaGnMZ7Tv=$YIXnIZ}yYc^ly1oR+3u4x;gDJ{!XPMuQbQM(9WU z50Vv>%%HTWKKS6c9a?Ry_f_Er@a+eF;B5Al*KJ|k@(qn?wGv%DB2lsrkylLZo2xhq z3nqn075U0m2CUx~*a<`}JqESrOC;KIf8Y>e)SNVha(xIWk$zZsKTx{VrN-N#ZWR@Ze-w6SBNQ){S z&yZ>d+5JuK!ybR+u%3t`y6asc*(A=uW%x78!K)QS(yVb(OYx)S#?BDslPrR?(|zmx z+gpYcqmKS>itOtPD!vp;iKb&pciRkO4)brTJVCZKd3MbblCO0s_hqR*lG zhBD1IGXloc%%Ib~lf@k;hi{!53Zv1m?G6*2_A$xFb-C*NtPp*-m!X_+)Is~%`PC#x z`RYd(#qHr5jnBEYyjVEfnCmlpv1qx^9}a|SoR4~4ZAR8j7WO+U;@-1B#-O=JXx^+m zXtRzoXtmZ%g1e$*vCW9%gLjXs3e;(x;4}m}NknQv?+@sm0fluHUr;KudYt5Sda|Ur zqZ?!=h6AVlQ^W(4%1X=0|D=8%Mr)Kg&(K zMKuo>rpf$~61mHJ;SgeYJTpgRd%V$h(quBGy`WTb%htEbmF*XdfJvg<51=_+Ae+Tt zRm|6qWZ4ra5RsMoZ*8nv%Y|o$jh)IIee&ouVZ3O6K(phM%r~`dMlQV&M~P$k=2C=* z@j-JBf?|86Wf#z8zJx!*?wcF=WQrdOXvTmkzQ)c$6GOaU#I*fNVCNdyEn)YSuxwNBkS1WB%7A*-Ehv!$T?)y+mMb1riKg6 zkO}cTZ|@p^V5`^h73W*vx@Y%_7(+7jDjW-Yz2-og|C)4^n-yn6GC`W2bIGhS{<*xF z$~bU19B&^1e?Zk#eB3GTX?F6Nz8YO3gz|gZ$=;^%K0a5G4hMF_t!d`&jk(1uuXbk% z`bi)-)-s}lfGhggO=sLmB1B`}(gdt0pP+RehWkS5<LTm>``1nJOjZ7U z^UVEJ2L;MvQFKm_79pJpc^dbH1%d}c`WKLOsY+4Nqca^Aars$Zoi-_fO$*0$lR&!f z>0Sl)U49Vg<;=}s=?~vjC9KSJ^Np6oqU`xpgB!gTANGP$0UcGkO?`5N$qTNEXGVqD zPWiQ_{*zW=xcY#vf)Po!k3vZ?#ZX-{q$$%|GugA-ssGsKd(Y*6g+l*;q~pQ)oM|Jg6YpeLX*W>;YRBb{I2fIRnD<2LY+@1KQr zIu-Wbi_Nz}C@(i%i@o0FU7HG>@$~MvK$P=ZK=wX8#_1<_)^%R+i z-6f1yVF}Ee6i(9&7@$%15nmfkJN&oiADEO-_DdjTS_>raqGG&8l7!Ri!}$aNjY#4L zU{marX;SPx4KbfMzZbpB{{C1!JxQW>pYI&#ZMoNeV?ZU?`&h;3-ooO-s4Y>@r+_c7 z1=ZvGyDj%m^=Lqc0CQX85;V^My4Ggx^@EEBV^2np&dsN6mw=rBh4g*rzc2TX{wIPE z6cWzp;Y|HhcdW!cCuH3;Xag)bY*0sZWg3!X=P z5*s9gP4CC)<9<&0Ai;H}xJwD5WI8MbI?zUl8xqbBK+PaN z6VN7-Dw9X@YY)y+fog1cz|46+hu|4HKBz29s+r{CakLn*<%H|7Mx_J`^(D>T9Llw-RfN)yL`O>aL3V+Gi^tbi;XJaz%S#WQ;sIJ<+o($vKbObXXrGkF?fz!w zNZJo)9HwB7r^O_QICVW#u%U>*gbcp%quXL!UAFS(m$z3FXnFj`f-sHsI*yI?Aj{Ck zQDMtuGD)2k)TqlntAG8-1rBO+ke9nSq5P(Ls2U4>G|Nscy+BV$OKa#s7)>ft%*E+P zXb+r*Q>N{azGtCNLF1D5cUhGcK^jdUXh<+I)?$Rm8FcJ_W>d775t~xOxblrhROTM+ z(WG3B^>Bf%v6Uz3q_;DTdFmN2T}$o5Rv^*lwmOVUs82iN6Gd%KFG|J>#ZmJ7jQX^M zL(rOX_BrmFGMjngv4-2tX3h(I5^HMv4*qfrPa~QxTIGxS`$CodNjYi@2Q$D|3j0Xb|#Vy<2nE- z0x%QA2C&PtbxaeH^x} znMrq}f!u8{VMfFVkv0$;DN$U*G09N^IK2?3zh=KbVdl;uraZ#$!u{k<1#qsMn$oKe zH)gKN+yY(2P_ZzAqxc)#8)ifc@|(7JuCJqMaoJvBdH-mYxQE9yq1e;0~X?&_XC{iZpz5dMUzr31rfp4ji2o zC}C|NMGR!n+V{|qBEG5|OSVp=d_oZds`jR)fs3sL{Un3KYtdoJ^7q9NWl%)y5fa;g zvDO;|f`QPQ$6XnyjLHmzpm#fx-k{>241=bsysSv^jhVL}xle;^^x2E=Z*s9#2yy1M z>KRpoqGT7>yINJpRYv3_6){gXKdy7fj}Zy%qMJGn_QxqR+qORiB7v_AD}_#KU=<12pCPtGrxy^oS$0P?ub z;8N09;??rXds^o*mvOM&M0obK#u$IIpw;05UH;x;Pqo%YA9fTSD*g`gYJwpLW!J$( zXWlIUTR*_~;_V4j=R-$D{ZuO!SLG!A4|$Q1BAKXXOo9*R@FQ*of9o$V^Es~biHQWl zi1u8%9I*KfB(}^z42$b(1!zh;2Xq(S0239*MNQGVw`i+8n#$8t43-P7-jC7wbcQwW z-76q*pqG8_pl|J;_4$3{frACI_dcCm#?h_G!QbXD`(y`R<0l}tJv-E_80*XPg7LM1wPhDi%ZUO;t`TL#R{+~@ z&knv%xXlNWdFN|`lW5H8Rop;;$-w`0_C1S>5iO0=rORNdX15l13pIF6Cnxi;jvdeC zyg^tl;CB5x_w1N?ip5nA-twtLj6!nbf z5zxd;fHq%yBigh*ZE4Sd&2+&Fe68k8sUxg-pP-P$D!tb9h(G**KIo>TGP%)SWvltP1Q~B{d14KhOv7so2;)JUi6NX_H9cA5 zcS+0tp~K+{MW%jmIELz?$6x|5FV)~oh|`w*$9(Y+Ely%rtrH;)1NJx*uNxyNkf~Rn zugxA2Q{FowZQqo4R@csxI?9KdquCMs;W#Rm6EC+mzwNPLQh1UmvpJ?2RR;Na^an0i z^S@`bs7%TSK1rqIVkN2X_IiKHSe+C1JA3n^EhK06w6_#TJ*aGjqu+Yz^=A_xfU9zu zb<0Xp9m%4(6c|KV-)0gkM=YkfBlBMai0?GXwUn2$a95mX z`~2X+b6LKUcw@RWFVTmWc-w^ zYip^$x9>m%=R?p9RTVdztN+OgQ}liRdU&e{Q1&W2i`08{{lvq!fT#lkqomPqI+;CC zbp9ujUc5uOR?(Vz9?g5X_B+wyw>a9U06OqG^_FUi$T&n1?IT39iQScSp#DGkUDE9j z-VFEXSe)*c-)j=0E!KTGmcSzJk>H(p)=w|rWX6Ua(3kRGx~0PGtGHlR>bE$~UcBn9 z^T%laVlWVqJeJ&;f!?NWkApKc{?!T;QZ0W{_ix4nX_pO9B^7=XWm@XLe20i7Kx<*d znDW~9J$QEl^@eT7NbTcG?`C+hV}VINEkTkIe=ZX)##zC50CShS?2U(1=8+GLZa8tAQu-|AA_6!M8ZwV9_e14WrVHck zIVn9LDU>QR5-Qt^q8qpyA1>>I3OH!~!Qo3KB!pD=l%|*zzkjMpV;^SRmiH$-`sJfR z7-k+-0o@El2?Q)iyPR9<^)?%Py}8_P1_Hwq zYwon7UO*e z4|L%^CNVVV^LsuD( zfW{`;O>qDlI4UUXcL@rWdB%K^OFcq&oa|ZfQ{LEp4xNQ0XX%cS*&LxXG!9&O%L0x| z6jc)Q`H?eA{(+l=UUXV1nkOcQR1tOngRM zz(_Y!h@k_HlmNq^r53b`W~&%rnn1U=B`Es;v%Ktx%Q6s`(@7z;nAS?y3q0#0a-@60 zzo?_+qcYp>P7M0sH*^8$7 z&|{Yf(TLIh?alsLkoJUlL34_*?%?pl^;O9eJMc;TnU7^2C9xd9YE&b{nBmIcZ{;JF z?ApWgtVne|7wz&TR7T<@&nqKF183$cRbN2@fzL(o5(rZ7wH1JDL%|tQcH9&NJSgEDP55 zX(m9eM73ryHCdL?)Ds6VrJaK!|dMFuisQTc0M)zp=T;~-E7UztrTGi!w-}5`J(f$1F2iwDx zp#bo)eWEh2i9)nP_0^|SYewY2k;=Z*ph*%P@h{_K^@Z;ehN6Hw)cYj0Y`l6ES|K_o zY^qV5QvdeI4xW1(siF++3!y~O&C$EY5}Y$7d%5MWp+~JNO>v~ca`C{TgXaAj5`7z= zGWNcsW^kW^+b8jn53cW-Z2kv=;-HN|0p}hu@h@RC>aEb<1xcO~Smt-)NOfQS&ui1- z2C6!%lGg~Ztwt?5QXyQN#z|jRfoKQJ#%-^}%@x%LYG_E#D*kSR@b5+Xmqf#d;^5<8 ztC~6gk;~Bki_NYz#~;VH$8D{qY@#rZ!P}i~a7oH=oS;QX)7q3!j+zBXu=iO1z!tndMj=Fxwh78+thy zi`9hIn*VDa{{xHbY^33nprV}6;W1i1&ffZw3sgHW_Ch6FTDUARK#DP9D#6G3_8M(DeG$Ty4r4_XEe?>z*? zJ=iqH|NFgze#!Pevz5?=sa_*+_}lh>Ft2<;vr*u@C?9+@@}J!FAI1#&Pv+GXoN8BH zp6VS|Gh4g)Kk%7DGx-Ggl)x+C%BufCB%we2;eRwBAiymHZk~4zG;IGbhUlQF&x47) zW(_wujhHbD{nZ%#D`n$0Ta5%oKUMe}4F4?K|53F6BF>PR`dtB~f*+0f|HX#=-mh(= z?MFD%o8S;Te1_esF;z*xZRkV#opj9pXGu&+&Oe6&pSAUk>S z-$>+I|Jsd9sg?Lo5}68zUn?QfwKuL+oxMX0GBOt%bORCqydfC@4Zp*^-u=*RP`Cas za1!=53aL(WvEX$_o+tnh@Hwdk5K_10n7QaZUjQeaoQ|%of8tbTWcd}xx4f# zp0K8=j3^Dnv^B3^C1>EUcprNk{vgCxJP@Y79{h#3d-2d|TDQ z_Y+i=d7?j#@D}kIUT9VaE1-!Vrs zswbsOc_B+a@ARo!?cP{TW~wa)0{iY*zLcK>XKr}RHplgM?=kcpJpZAU2C5w`gaU=7 zpegE%feTk=nG>d9LO7BRxUBvG+SDpqcGyBwQR(HFI=$OpszcYfuJiI5>XtHsBZGlN z)Ns2_u>RJEJ6&22tibsxCnxXezTOU}oMh(a#}{uDJitYY&$dJK`eTZrP+Xh->&Gw3 zmb^h7%_xs0r+vj<_vi^F`P*d$k3`aLE5vv*Od5lBI9#3<>t#<-fx6w>F{v;t}No+gUV zmFpMlZX!H8(EW@)hc#a|>#jLv7Rt^=ST&ER?k$B(NoNpdl7#j~MJl(!Kn|asFF5t0 ztR4|Ymev3ADyXvGu4?5r%;_YJukwOVpKx@HW+b2TDB75-jbRmlKua~xQdGpW70set zH+)nrhMe{)$C-P1N-P5=yT`y)Kc}1)6aUHlgxgNJr@9|s)5V(~i&SFj z4zK*GeO9TYYesH+Yg2TcIsYc{NsQa(VtXxU*<$NosS;Js@+lf!iYlmI_Gk>lcjk;Q z%lC(cIJVSgPBM&S4CqQ-A!wHGDd412PWC9)0(@Ms^7#9U?tkcC+8ek(~~XAGHI+r&{&RZo_9le)s`5;*LmYx}?+-&L8?p z)ooIK(7ZnpFuaq>X2FW^++yn2?gzQL{OXsdjmliJxlF0d4X+KX^l`;-q)KV(2!Sg6 zn6-bI5a@~8G#ijt9ppY`FG$TO(N!VJ8w`%pF!RT(=h|+A3d}7p!gyuvF;F<|a3oZ3 z(NIYkNjE^yltNy#g)|5AQkUqJ7*X*DLUrFgBWoysw++79;qX!RtqC0ZuohqZ40q^c zHY`N$#B*-MqcyxS^AGhYBBx^DEIh9S@;L=K4{EjjW*VkmEw)I&0Z>njvGUWen>LWn z3d{LUe77yWqe?&Mh@710kW){B5=0oMw-ju*-~7ny$EBqva8GYR``y%5jGxOwhE`s^ zS`+uV7^hKgd8SsE{c3GlM(qXD>c$-}iip(N;tm)WRPyM`Jn5uUP!aK{PlAuxr$?4i zzt~*r77bKN8!aK)>!RG{+8v~8|DZ#RJz02S|;Nug^};7C4e z*@&7hMP3=n9u2X=B#o7-q6{CU;A*-rjgisTkC`h$sUz~%l7?I)%LOJG!sEPRLT%tU z$ivarhgBc_)6QK_C3k@aIcb%M2UN7W#R#8D-8j9RRqaQ5mI|rf^s48O!rQy!AWyK( z^J*eD3O?n>9~g<7_hD@)}F_*7$+uzK#i+`Y#O3H>9XW=qW7q-&8jS-pcqO6_{ z`@8FkivAUfP9oiV((9y^PUL-LaDMsPv5KkmZ2NiVd`(EzH&6Ff*Rh)b{@;7co$$L4*+AKmrJghSQyqZ|!f=BD1goOg7O0gjdxNYn*%A#BS%3P zQro%suG@oQc3i@PKIX|bP+4^BH9 zTX{5iJwauB+E-TZ5B0wvSu1%eQ3etTF_iinP7WxcO-uery6{ORMmOa{Noo#X^RO2Tv8a=;JNLj}p-)mG-+b8Ll+Z=CDb z=_#L|xj$a=`IW33XaIWAIiU-yM(4)u!UBjJ)a+udT`g*z=}!`4?2c)_=SE8J!}vMQ z!5=t+{X$HAUP*t@I$R#T*?sl9LW(wHHKmXH3BCFrO`4J+dUXs34Z{zX4h&y3JOgS* zpk9RyJVj32!J*}8x>Nt?jO}n-%7}GA#XD}*7Zf zfZpzH9DEOf?_3=^GV8Wi-AHEl`1w-dW@IJpH=gBuM8W1web!D(Ld$f)BfX z56S?mK|PHhnMTS7B<&x?3Rv7f#Ogmt)nC4)BtD4u5szboV1RZ4-~ztdJ3LqyXubP4 zw?QAE$eUC!t(^g(@@8R8EiBIZpq+t<>nEGDy6)=2OuqFE`e)tQ-l*Tc1)85Uk^`3v znsrJN9|ywn6g3?%a?)*ka~XmY=|7TAd{-(!e<~N;(qrP)St&%x_iuLhUS$l=E4vBS ziiZyrnR19%PE<&OvifIXYW(qUm%^90#e-`8+8+xp~1d}k(XEpk`6U7YkclDrv-F(fD_nPc4r zHrxcy&+#Hs2F<~7bpg=J;R_4|S<6oyih@7W;Rcvm1NuJfe*_c|KdNtgYnkDK_P^I% zGGufX-5ZU)u)Qc>Yt0$LrffU}J^x*l5ja8fuG9?J7FJRO&FnGAv%S#+`e=XeE$Dy7 zAP1*jLDSCk*Z$>li7^EiiBBHdVKF$N<_1<-1H$fo3P(*p1t0f8d<7MJ(Sce}v>}fI zi&vX+N|FVAgE19PKow@z?c1IC-mx`4j(6_T+is93%JA?{3zbiOdueLN7Ei1S#QY!L z-op{gzWpD!%B6*rRdy5^mog%ImlZ;i5s{hf?Ielpk(JDh5R#RxLUv`ZC?jQNZ+^$A z?&rDh=kwh6b3fnD_xt+;uIqe{<9+Pc>tKe{P0ur(g!E?vE!I>By;Vr;`tM(dChO)2 zkyQaS3NmHWZR@Do+bNCX;LR1}qdN8<8oA7V%J{zYU)?D3Z?Cj_!b8 zsOQ?gB+kUe9oVxv&_2LBKpNMo+ch7A;6$|QIh&V{%vFqvd0wJ7*%a?97S_h~jJF|L zJ4uMDKosYOl0D(8?{2@LsQRGMCfSc#pb>a1;b{5Cq84h$Xuh68GD$XsR_59k6khfJ z-nAmX6XW0AE4yCR4G02Gi2~Qwp)e-_{B(}2y$x?|R`2fd5ZSDLa*X?#@6kQvl_2cu ze;|mh;@k26>dlhqBfnG0&fk)K$5H|~!y&P~i5DpGZu6etWLnm#_AhXP^csf1;Tu z>c+g|?;@%@FUJrkD>fhdsURzgmgTLb?l zr#-*Cb^{FRPs&^yw0$qEh(B+`ox`HN=fO9Mr0yrMAbTdrwbx5wA#1_ss{W22Pk|2^ z&zbSBUJQP!HQ4(yt{!@ysV{fV`+L5}YeAqFa16Y1l5I_{?NyW{0(D|b-M^vMV4((| zxB)(P7A@ue!^`W1#f)c&ZbSd=|6WreK$ddOeZ!849IkGH0X0Gf$thhBsaR4@{>%*5 zk$eLbH;&cN%_sltpH@HQ`C(sO9eJ%$MY-K>ZFBPjQ7`d_M^krj8%}{_Dj_ zqwG)rG-d~dFHMdFaPe&h!5N~tbT~06y7y6P{b!wy{LvwkEqIl0#2-XM5X1B6+30cf z+#u7^fD!;{RXF9(YgIgX7lyik&WB)0TfWT@wkO%myp8wKj z|MC5=zb5w3mx_jjK}+&BkhqU}JU8O6sR`pk4B0PN@gsW=L3Ek1l8UaNr-_P~@{h zM`cum4BtnavX_p`@wh9hWk6wa#q-iA!=#h+UO>@+IzK70DpS=i?x^R>dm>6^Txez! zH7G*RNQhPkwMuQ${&Bz;po^ikiSw`Q*xxi`zZ|{uC+6XCPgti~A*hQ0WQv@Ln}ZX# zz{UTkivX}eW266r1CAlMS4{WFa-iTiPA_Y;=X-0c1~ZrK)naa zXaq3%&0pRSH^C9XZ}bH(<^S`;`Qy7GgciPkIzuQYRZ9Xh09^_gj&j|uDU?>SKG6B>2g%v7|2zGLzEhMl7%f^#*`3$r$PNu%T>vXGzXrsd%!ylL zr;UIE;veVxIaKlp_gSP|WGR_AcK?4Fw*7v$RM=a&lc>3PVcbfM);ookJJtUAnYVO1 zF9so`k@>fg8uGV7HBX!fTn)*+L-axMUN&%m%|O6t`Hy?sf8?ZzZoV4yEIIwBi2ejF zOI-Crw?o~q^E)pDN6ibzu-UXXliv#^j631Ua*DGA)B9r%MNbIK*OH+PwA|hS(VwWT z*DD!jn{Ov{8pv8J3!VNrYMV$Dnm4$}Ioy%6dEe8v6w&GGqqDp z?vIF)=ug*S@dAaTt{r$JcIIIT=WNk<2-+WH18ujBfM~ONK+DzRBdfAqSXcUN$H$nz z5!^`=($`L;`h!7Uscw^c8Z z`zdENfANLrVOvpcg$2U->5O42bwRvKi%jvy&H5Pio9Xt}Xt93Y?qc#9K5o5kDpO6b z;X@=%jOc#2)6|Hr_RiX;Igiuc`wxc$$T}|hU9La-Smn=gI3**dZDC zPyFlSM_-JGTv>d~;W6&=X}J66rYXVAdv{dc>nMhKzPqjx7HK^yBQO>!c?;k^#^2^` zbexWLDQiConA9_IK(E@DoD>P9z^(s8Dc7WF>Pl;-TTsWvaeLBUf&Lf*X^J?a-)=gW zc?gO~k|-mh6fw>D)9@QLe#TQ7B)xD1L$0Mf_ETyR(CgnWciq_}wo!uK& z?R4+0&z?@$4xDgPQQ7(gOQGC5GB~l$@qiBxTmjy9Vx_;}OB0s^nkO=Xa5@^83+h1m zaGGt|=gSWcEMNTyPi0`4qI*)>)6J+yPkJJ|u<|f(9_f2irc*k?n#hQNj#YNH4~UEK zZ$g-@cfYK33P^EojWzVB(e$Uarif#mne21+)~+NseIoiy6cAW zM`d0FCi_;6be?Xt0TlV6joSgiQ0zG)f0KAnkCg9C<4ufO06G+;Q@OD+W3JIDgg`fy zrD#WSO5)Vz6P0%Mii1(X%;pz?AMSmTYKA&e`*xKWS@T|+>QRPHe)UC_ijyXy0sG?}l>>AH5{77x4%akw? zD=N0J;(ELPRbCdnKVe|>{Hbqp+(>24N&-`^p+=>Y=GMgZ1nkXw02S)6*na}K8&Dfs zze!yeVfZa0deRVGeE5sfB6 z3|hRDdFBFK27#_4<6>_sEqiO-D@V|Cgk$trP_JB2?>E_#ZjXA~e|LPvWq2$(I2~tZ zrBZ^c>N{WIA?dMLn+`?(#)a|b0VS2Day%CEi$H6M0z!=-#EnMV#93aB!qtM~)3s&4Qo zS4PpOx$sDpEK{6^$YP_**m+@>K5z9GOfk$(uBYp3kluoRwcS(BY%hJMK$g}Ue zoD|6=A>B1y_%u~5^y-gq?P<-%Cq{}_52)H5Xa@je3t~oINzMPok|j_oMcz)Z!!#qF z1qCVRCnJ?ThaCzMfW0$YskQ&%9L#HZetlWCBEEWOIqxM93hnjci}*(utTdNwW!8QiAcSEv6-rt1;F_B2> z;*#(rm#m2r1*2DeDMKlKEZ%X5n}xJH}yC+ zAlN-hV6o2BSz#PN7iQ`!wr85jxXkwb+Bp5AT5XEe{)KnDo5-#*+F;-e*V+1EbiC}x znXJU(3lv?|AEsH5b#JF59E_A!OkSz$xH&dNzwCXrP35eW`A$_ZM3tCc7Q>};<5U9$gdAr>}!Sj8z`x^_Tb#(0m}_T z1ZWPzf#$RUg)}~(;wtA`Ik#yTQPAqazk???Xr&b8R7_>sn?;7Z5UD-%@|SS&F?rAF z#qoS90K5JE^l#?DevMIRcWaTKOaq#ZENUYmDMvjoElS5{5kqRaw2-0ZK z?82@E7+Yw)L8QT)Fae&zrfrIEJ2~%8B{+2LfZRFX=G0gEI=Ytu4#lB-o3#h2vcKcJmCjb+LtBCk7n|pUV;4Vt(4$xh+Csb=0MetK5 z8v*}Hdx_O>t41l0hqKICePm>{eAYN_r`IT2XKZ~UF&dtJ-=T}T4)M}q+Xan%#-dLt zS!PR8FAEI>@>p~|#943RuN{@|NUpRefU?1$iom z$X2ygng6yJcuwive@pv;+guJv%AvmH-@O*iYK*qE z@9g2H(Ybb+Q$`(hzy%W;iJaAJA{6<7>&>K_dzR_Mc5!2$46@LRVV-?BA*AxA(w;@E z%BI%`Sz^}4*DvdtFFuc0fS0~!YCG2hu7o`5?g#@iWAh4rgId4h z!_HHaN^v_M42vVfXnS%X8LuhBw_zDk5VUhEpA?{?@3m6yBcM{~^-9MTe`!}uhg*>uEF*ifyI?sk*j!rVvw* zi6-Iyu57N4=KfpX;SyK}E1SmdM zf3QeLZOiqm*daf$$6%cnFugw|zj~o!)=K_QtkZ|X*I}m39y{6Fkm#v5seT^)V>hIB zB?@9uyJDiU7dZxc@vO61zDFcm%=y;S#uX~R{t6wn{v~wWc#3v+&Q-295sI#fpWkvS z6hi=)I{5Tp#wNlDON zC)*pUAUd<_fwYm5o^I+#CfIXMf$Lr@(PCa}+yCuMUP6u&XWqL$Z3)lDw`dut`a zD?0UATcYUueO&zg4N(7M0~~4+_X#d!z~Z3x_)!cu$h(bUU^hUm#QfITI4&++zpgsl z$&~PEUOFma?Q02}CMQG9t%pLB5-=&Kiur0Bryz`{FnWD&pAA5hs0!v^widro=ky|h zu!57n-sCV|G3I_uUi(X?yfo%jnm2l&@%9l5Qgumi4z+WxrqJkE^1-a5o7p+AqM^tlpTQXv<Kc_>r2xf)vB8mu4iZD=*&^?^0WEv8th+Y+dCM1r6 zn(~xQ?@izT;^ebcZ|m<`hLn-~2=%lg>PipTo7*(0S z0G{J`J!Ot~4Y?P|qWX1*v7*A%!Ax19^3ecx;rUi8fOJ*pF~_juUedvI#_nyA3s6Oz zXgU}fH>BH)`JF)g2YD!A`yUd&fg+36+oP*ap^&A&|5tmWh5V~*{f^o6+JCXfJ%nK6 zQRhw{Pv5;Kt+U6lvNk`8Qj+oisc0epaAaD3(Z5Llc!)N6ZTK{_&>JzI|YFhN{XGvQV_f(=`A!>i<(sG zW}c0=lV^@!U`RNNEBiDJyo1OG{p$}Tnlx+OckUiy)eSdcs5wP?c^42`E*hQp#mWh? zu3oXew2fIi%2gHk)Pr>Hn0<&`tbw)eQ8=cg6Lj8htgkFE5$K+eBY0wjOeND*#?+^9n7wta# z;uu~`2hQMQD%1OAJqc)@G}|8T-TPJPlg3thwJ=`c~&Nm3n2M4h{!u&Pz)LUI;#66IeK#^%u|Lo{Hq&C{=~T z=vk;ng@UI~(e>nbIp;gCNL+*3gLt`h-=VGl7BD%X@Sk6O`qC+h@y=gk&zZKLI$a8J zjt(07ZSa|VNGjgS8VAPIMgRp2Y_~+denn0wZA%1l===r}bq)%sEFCLHUXy|_O)T8N zUt!k0K`Z+-l-shOXA!Fg6l!$(Fa^bgwgFA=LaR-eG4X{`yu741onY^K4+8tBkKW}_ zV#+syc2DDAnDf;R&~O!cL7);0Y@i|P2X;SS)~Rd2L9_=vfN6;Wrya^+5z1*GFL9^0 zp!4J1F~HxcNade6iF?%UF6Vn*eZ7&oaqGg8aFom`P8j=)?8NTlivUYta{IQtJ8*Hc zH#_)TNJzGO-{G|4;iZ@fHvv%E0oStK8I4}I_D_Vz1*j||evIN0w=WTw5W zcut;QLCMN$rxuV?%S$b}Y43i|?u zgAt;9w&Zk$tqUGouO4i{v>F-B_Skvltf?`>`Xu1HDLy|GM9q6SM5V4N>ZQr@(Ly63 z1r;$R4q^#CqSE!Ly<>EnIEJTiCS@s(26z9rX$jrtFU4c>O&&46-ejkho}ru?it&Q# z99l0^7lLwx?#7gqW^3fwkn6WxW!Xe-N`^n3ZhgVg`A9hCSOy)>O~HF>k8Nl>%dQB# zQYTPtRdtadQ37r*|Yi#B%Z`)xg zWf8sM(1n5FzNvEhn$EK47obs+D3wn)c4|8-J6APCXu(g=X3Q_a;mlOF+`d4L`i5hd zJ9O*A0Hl$Zfn!+DRes=oAPm3g3ZR-B+gfl}5zD=2wtBPsMOd*>hp5`+*3Jcbp18rS za+)2%Pbyw=IVz>wGuD}U4v?`VShdV!(tvX$#>!P@it*S5Q zI)3`_ff!x9L*~Cfp5s2+u7@+vh1EIaI$3Z5|M;tfM_jn+&;74-*V$-EvS^g0M-e6q zjD5|sO86n^IUlcv!6y5)TR9L=CKViX=I|W|=VIMdId*>fr4uAZiJ4cZvBu&$1xf+z z*IBJnnuE^=jFvse?U45jOMU4m9?nbWFgw`DDOZ%scjQ4$Hi7DiysY$-kEP4Xb8yve zAXf(c_*afdjTFREkG5sfK$Hh(f{=?QE8Vj`B4zdLz8dAWd~O3Zui61-e(eIOXc{o2MHzm}}%Vv#MOww~iZLFB*v3cC=OS zkHo@?zL~S|Bkqg$!8Xr5k?@heziD7Q)-BVv-GUmptdQVyzb~?e~5)7GMR#)sp?H zzDm6*dVA<(@*AaU5u(rx5!2%8Jb3WhTP8@o&vK{`ra5|On}l9@`>urxSLwJoJi9h! z@C4S{SlRR?NYjR=F<7zN6bsjnlrZZd{UfqD`Zn0(*fB9C3|5zyQQ>t^3kR{yVvi%< zYcLd!N(?pk8827&k?MQ4agG(8ydz&#SQm2r^_V^ZrA-nk0c9RFY5HfGuTg|>K^MKi z4H&(cLGnL7q`LTasI=ioXx~n$#s|EU>?{73(CB*@`LOw;Oo4;=!GyqVv!h;}3yR zftfcs!E~azyE~}1wj8d~nfX+%iDrIxXSNpK_aJdl3B3*=k^Tzj0w^M8cY@VkT1Bk` zs*<35s+plj>K6FnC9>=B2~lcugsC9k7B6Y9!>ANR%YkCWnZ5$q0kZ)XcS<^#O_^aC zy*mseLUT?oIo~yN{@lU8VMhGxpzfsojf46)HOdZMt2VFVg<`jW$N#)-wy-E$VtNzi@p?}*sg5NY?#mOP zS~Cv%9R|2uhr1HArUtMU&!j8cJ|Q($CDRq;C(UyXy6kkm?s^X!VF}2>@zKazwxGN= z4m7vw4GVqw7x6%T6GV`YqSWEsd!#CFF<6-&7od0h;(VkTh1xztHgzdaZVCUZwQ>+G zZ@tL9!)*|RIg8HeA~!@QUKZW`@&@+sCg|#LFJ53~R(`exsGQ8rN0U_L{uvqz`z~B4 z62g3DggMV*X3kAc$4_UfHhX_K1~Sf%{CXJ#F;}F%^Iya}^r6qEBI>PWV|3@%8ST!O z7wqL=?>Z=VB&k>v0pw)yFRBEuh)2J$aj<5FwLOIA6SE5_owOetutUS}U= zc~;$X(vCbFwdbDs5$UfmR{41KceHQ3OaJSw{l(6{t%xz<7DxjvD^e<}%#ro@-mQa- z>3C_wta5ct)UK25^yW3GW$_MX&R3>J#y)o+mE%yR${R_&j@ogFe`m-4Va6ZRRnnSF zha<5=w%uB{zt`OjPz$_un9_BXu|s3)IH^?aiD+K^Th^am>V)3nXJJAxjkz+${453C z0dBW<#ZeKJlk1yZW!X4Ed$Z zK2I0hGmo{Ns&VRSd9_|FSR&NWs<%+b5A#y4NM(X@kMbyBs3E?=m%K7!qP+lm+KI@l zK0cI?im+NdHzMQk0Sz%V+n?VO{F86}rZ?I28@yyl^efDyV(uX)Vzp^O)6Ef}G2UO; z5nx~Wf1#j}f0}~+5nrNo3$;pUhA6V1(qJACZI&&zh|EbDH?%seqkz+QX9FrGYT2I3 z=2gEn6KLe9z6`RTH?;B+hOnUsv{$=B(b2Q*uPvLs3tmfYJWIkE~;rF1I#ai5q|aca`g;}{syy*E9My3VI<<{ zHi-#pQi;jRUxs^J%vFE$@T4PTfu2D#bLPxINj6jm17mcT;6$=uHeEKF0OPpvD)c~% z2Pb*IL=72keYU3fIo(Q`_zTu=)HKqD6$chPdTT+`lCe#IAr4C$wm>aDnHg7b@!p04 zK$RGL=O+!s6d>k3oJBwz$5C52-cc-MB7ft@(@k&5j&(b-K&rf~r*Q*Lk>Ii9ng4Vk zI^dwryj+Sq43UO~#7y1Cy&L<+TobcQE_ES{_r}IHc3-BRL7}fFbarUoQJ~5jyuh2L zj;?6%->nGwxg=SB-1_Gw^lAaqKK7fJ?vHe)m04wM3an!Li@`T|9cX(fQEXtL@HyUt z`7B4>A`W5~=O=O1l}<~W!VpJpv{Ln~V6eHVCWKxGK!vBuh!XUNb6L(mz3e&9{OD1o z$`DjEzZ+C-kEyU(4nz@V-mN=QP0$~eA&uZZm~Z0H>yIL=tY~W;))m^=rUmD0`)i=N zD*>gZYN0n!i!GfDjZ^6JF@{Jtt+?TYSl@zX|Dkg_wPiMb=6ca3;-laZK0`wH*ioSW zy6=e^$q^dC)VfF@o6bU~DR(db^aCvznGG&Dhsx1opPt2Cctk*o2X5erZQiMuY4kOR zI--u{g71c?hBMt&ZMlXD%Wdy~6dT^-3cEgx^!4^{Y}!7%D0&FbxZk|*JwsQS(4v9_5->G~Sm zuntDzAL;VVfxx?;&h%^|!1^=S7bm7T+|!V7v0&$S7l)GF!}*!sh>0u=uguE^pQL(r z@AYl7cWo4U=*!R(vYGJ}YT#XHU5FF1)Tofq8dws-UYsOK1oDUPnyfWWu^6Hl&LGJHD=z4t7>E29Ph;%la zK7iaax3Q~L0PyKqElYRlDlUyv{JL)#1{d3(w-seyXLOHoHH{kxXO>?oZRO@%eUrT4 za&4QjW{oKbXEcOa*yu9-SK_j5Lw3{2Arh2wa>0RB$_F=gSM^ zR;x9PBGiCp05zajobf{_p?RQ7w4!dbg;*z#RWw~kMImfdK>!9xszEn)c40hYO2aY6 z_2i*S*Ans|nD4_s^58Xwm$BlQ)qz0auTG?;-(!xN7z#6+ z-CMH<$1jxQmG%zZIm;;5m2p{)o2xHS@2f|i)12w>`OEuS&=T~jpG~6X(r}tt*)<)@ zRfcz(MA$bE55)95gN(!dS66-8Z@0|j;2NJ{_r_4GKYmtk(3iE9GT$?vCQ12?{sOeQ7Hbz>jQ+5}I-v!f5RisWCN94aN zt{c?Wv_^}URCW;7Q9U~OPWRCO@}yp*`kvKwFMmozpn0_~w~NEMpnFPFbgxCo36w(=1u5yLz8|#Y@V5 zuEVXX+X``9WcvQA6-GPF*6NW0(gVO=mnV`=zkVW?M1LzkHA8_Yb;0}2`mm8u= zRO?NTxj7eHd44P(@kD9mmHNKJC?@#~P9G%8Gt5+Og;S_eTB|Fcn-fe^z zCciImkgKU#T5GN@!QoE2qJ7)}qXCsA$W7t0EL}*|t51+~TF~eqY`RGhYFmq(CONNM z-l`MXMDBVZxU%667=m0u?ttaT{D;!<>bF*-IJ9N9*3_ln+8<~KRMMwdHY0M)^Ih2O z1K5S9oCd*u%5Nk#lx~Ib@yr@*Yp_)rUsrbul6?Gf(== z4^x&}@uVn)olpynxySSEf)CF5GXlZhHHk#EJK|ik)VBf-)$JQ-+2DkOVX|u=4tic~ zgZjRv=NI1I_Hf(KSszmOfhJyqvXv9N1~SYE#j09A_~Z9xoi{{`+TqO1MjeD2uDThYmhC zrr$)q!yDT@wd2&g^+peOxecZeha|f5{8}-%A`1ATNdtQ!0JlD+!1Q~927J|IB7%kv z7Ae+>pkFx<`ZasxOS_#2_l&IPyn9i*o&$k_E>hF?`i>XGdld8D#02-n-k7hG(PK=& zcR6*BA+*D(D{3?7THirY2m?yNq4HJ<{+>$CwR{Q3QwJp`Hc-a8 zOdSA6R41Ip+j_9)th3fR@orrm_jpQc3;t7QbJFBf(my(6NH~`+)|jgXZQPoCV%zo+ zo9MQ1$sR^jt>MaZk$8GK;*}o625JteHDyZ9@;||<^Fg4XPf^2sAsmq82QyusUKF$_qu|mOK8#eTb;tx6rbg;(<;uCyy-bdvkKMYI{s(CbwL5ur*1mP;_^NNNe|MoJ z|6=u$Sp|tnn5ywCRu8SVfT~bS}0BA%FIB<*tq3^kK0Fw~*vUPv!vN)QLm zLyk|=FYs>$aAUCRI;jGp9~uV6paER75+L}3KcMaIu?vtKBhT(N&Yi0neE{*8L4|)< z7WbU;%%-SwEDP6K%Xa$KCR9+(plGu(q@tVE7$CIh-nISZ1y%hRzlEMo8zeI%8gmZg z1)ey4T|6mVEP~KvQ&#CD&l~?rqaq9=jJK$K4D9l315IT;#A#a}lwz>SYL^Vf$dMNa$Do3G$B1;%LvpsKMV`OZu~2X9Qc>adu!J$R8csqR zc>AhneOD0QC z3KJPuf=;TFU4n9RJ7Wt>R%y77+!Yd<7QS^;+evS}XuemN#-<{$3b7VsTyroBj`kXSF0IB=(;M)E zvE~r<_Z8acQT(8*)eVm>YAwo z`OmY-Ad~eKqBFevG6w?qdnnwKW9!E>#|KdIrp$)#nwTu6t%lN_dAi+v+hK;4w1$kt zCw``)5G;qKa1dO^po=B)!<wD_FbEP+ z7j5-PDsseed+7E9gR@%+Q0Hv3dwONU)U29&v6-+SW`(I{&5z#wmLdd0gIsHOL_HS_ zoq_+6^de(yY59s{CBez^oZj1Cx0`}xR~XIJi{t2Y*L59q!i!9=f$^6ssdh(liFq7u z-Tbg4^zN+#$>;0BTArq|w>nlBzitETMGKIB$nz_nIl#%O-v5@KYfBgOyCa*@eyvrb zP*zc+cQMsM)YDY{obtF&e5rCo(8)4&-8jV)w;biyUp=QHdu$q(s1;Z;oGF@XUj3?&3`RU&rPkKI_b~Wq_MZ;ok@*$zR>N6TeW=bRBl;gtl{A`e{qotkDKfBEqZ;w z^SBFjRO&qsgg*Qb8$BKIZ6Ds3y*u@Je-282fu(xcEN1uVTz%Dsweg)Oaet zn{yft?T+vuxu9It8W9^YkI;v;##1g{VosnZ0yV!B9Kx;VH=ONpBU8+-j+vMCymXf0 zMY*fg+ zYdQGJ>(xvCST|%o0`E^(x~RyLvtv*XjpR8uY98-D>gSBhPolZP62ax6>PbjVYqE9g zXr&mv(4GSLq4fY~G>&`Kyy{_kmmYd9sgVb-b%>$ovVWv$=+;7=@_`@!den$WGl{<2 z`L7=w&hl6T;T{dZiO}HGSHwrP#|gi)t`UtCqO3LehlzPS&OOwnCrLo_@ak*qP|jX_s*b|ckqZ$kDxH>LNAp}320ZDe(BedP2$Cy=>%WtNWz3Eq&QHt|5B5mY~^ zY^%Ske z^$rPkc83u!7p$?uhtV73!RSki5;R0>n$if`$+bdWU&n2}?(&@$pwMwE0>j7ayl~4N z5O>+o-fs6|2pYd9VdS3*jB?d5tPfOy!7bgEb7fPUMW!7rpYFi)&CC6b8NGAB)QE=l zose3H+;aW$2JxAMcx>wAMIin`Gn^i8Vx+WbO~NtwGPl6AXL*ED5JQtzMypvhBS>i zgYi^%4$bSYz%~DIgqRK;5Fm|rZV0OzMxn@5vn^BU_d1WZx-%pgmEO(*{LOImEi2I; z+0R&Wb?@AShHBo0i(SpP(nqY;RS+tqi^1*0w0(z_v=!3V0t+89ApLYsr<=47Kqc=Y8 zJpmU7eZ2)#AvC^yEk+O{vM&ZWCv`Y<%C6EecVVGjfl39}kbsiZB6VcE-#kwpIF^Kw zOuY0s9Hwp5xRm+J44E}|o+7nU=u%`e)pq+f)x$}e!J-h8gl32`jO?=)@_n*A-b=b- z3gg8hao##DM>m|;O>;bc7rD;G@+12l*YZ?BmvrtS6ok7FH>x)zw)RYb|u;eUfo|JH%<=X5A9r)7L>)uYEaOd^-lg0bHn zT^_|osXwR8Dmz80Px+kwieimlQJ`j5AZ1>A`Mp=7ITU1nehjpDEfDK3KjvCttYF-a z@;dC!{OXU8yWNk3k{%1Jq3`em6O}F#M4dLY-sU)IMojA9qFy6s6!b(=_p|l0cVxBTy@BDzNyaxzjz55+uDaf4e~;b1mVFdA zlJ=ect|{W+s%4(>Fo5)Yix@o*E)!%wE6c74jH-VNTtqrVS2LC`y!lfBS|ZU8yb>;% z)gajn#n5Fz9*7mDFw!ICSJz^6o&k*i>u%y!psv=Ifdnb?^oCy)0N`;ohgr6*I~}9;{_@hz0CGi z7$?O$Aa4aXY&xT2C3Ws=ThfoqYseXvI!vVAQbf8y)*7*Jkb_^ zT9G&WeCea5xJ(&i8VV#<_tbHANTwLGMLe%G+>r8|r?Yao@5xZ(#H-D%dwACcePy=4 zE%$${HbzX~Lnq;5z%FPCLqstN)AyLjcR4uCST9P(ni4~DlP3Q~ETf)Sr0XXrknrn0Kf{xTs7Zhg$#e`;Ig5B53% zAdgh+tO>}DGcRq!`oT{Zj7n{F#<1E@vwcII|>lxK#k_2RATsl4Np zykzIaI%<+`+K_|qr*!1}Xx9(5#J_U?NcDxj)}Rz_$THpTJl*6{hq5Nx?Kry*;k7DP z#gBU%z?q=AoW|weINH}f=TG=}$?(3<`cZTvuCNikgM*3Pf8i#Maubf_EIyxyiK^mtc+Iv zgiaok@+1ny|C;mCF5Z0kAfAF}ZHhdG0RCl}?JAo--YLxx&Qj2xC;wb~iXCat9!G53 z1Y}pYV9Kp=$x48D6TYJ782l0HSo`3N3Zy+LAKmGsQa6?SlV=ZpmYBegMr9Ax)ve_` zMEC<$`y4qg%Qodr27>#bb&g}Xa|vdZr&N6ZbsJ)w`XVH`$eIEBZ_NbXd_AX!I+lo? zhnFxL1=WYwr$hD&r^$KFv(-JT&1cP+_piuHG!z(@cfZ~`iHn=gsLTDD=d8~Qay)CI zh9Z~6R{m8UiT)pk-DGM^)L0RIw3Y1?@ja%m1hkmY3|ji)q=O6e@${VF0(}Cqs!3p5 zyNYCM<|)0yvNt{uYnk^v5M$APNS1*l*mH{hYd`0cw590)octx}mwnrzgss7PoZS%_ zrBY?(iDTl_&h%=&J$ZV09>6R13`pD+_R@CXQ!2i_@Vo*2I~*Xo;d!fqxzF@j(66-k z;B8jnO-3|w(J}FY(ddBXF~pQJOvdw)aJwkbes}tcEHNwt*eMexO?{fAs9y6a zgKTBjYSpUnGO$*1*)QhjNkd+XlfI_#OxWFhc=?!DM+|aj4Ax&ee8(wf*lK!g`a0e+q{&I%k4e4E)+8YBt1&4`;q2{)ME>u;%7F3p{yFn$GgHheV01fUq?iCP*sf%>lsqe!~8fLQYq7>^@4P ziR{UzblWEHS9m;Yl71eiw@lC|gvCd2d&FCcXiPdzi?Mfve8jS!B7Ptqi`aHDizRe3 z_Xb0q?MwYUa6P1vt5q%MSY@KqBiF}JGKLM9sgy1q2P|+iZSOvk;BAxm3D82Ng^aZy z#{Z`MJOzEFM4WsDQJJ?dLWL;z8?~uK<2YZB*1s5hn)m_5JD@!gpL625-F9+0Q`tRh zjtrSG*Har_L+bepF}8qMUUe5D6icG;iW$YlQ^@=FLl}l{N9;X=+zBF6l6ai_K-zn! z4$%{%q3II6SVB0Xgr^XtF0I?vD4nL2OGjKMtbN=|c>{*8Xlw(MgZl8+SAN1-Z+wO; z(tC0ssSB$uBHEK!vlCK;uZc*Skh`}1(e7zyaF#Qj`nvA7+{6P)}*!LLY9(-T8i z>U7X4E3R@myDD)#u#jT)SX)U@DAy zmaw|L1-no0iCBl}y3f-UVn=fH1e@Z-T88%##8lW4&oO-V*;{K{fQsGGN3d4Jzl1wJ zf-s0kz579UhL&&jsE{)WCvh;%#dK+u+*4Y|c|3AR*>3fdV>S#0G_}4B_>ZB@jJI2u z+LOd2`U}ncLJy!wgf8~t-2V1tN4(nhi|sNFaEc&Pv6O1VqRDB!0??QL1QFLZw z#Odrft15xsOB&CwC1>y<+n)(VF%Cxr+kQR*mPDbqY8ZlF8q4?$jVqHFdu6hPo$Q$S z)3?z<^JAub$+MGZoJm-SbE|h;mVprG8O=q9`vP8FQ|g*JX=sKNZCN4^r8sTE|5KdG zZ4b`TV~_nj(PYZjOJ<+|ihdM*)n7o8c#|!Pn8r-A-%d@Rbp9OJRQg4;YT>v&;H$r7 zis!k{n8$~lDEtB$>68q?Rv3%z4wHqc3Qq)ki*@RJB+-`>!-ysE;r7H)|D_`E8Wsw* zOwW5Ya$xzPvYSLam_6Z(dR1AJxjK|Bvh{^{)vRrm+zadh;@I+D?LNqj%9Co5j3I zCiy^M@Rb_x&?OAa0%h4VNZFPoGzbBxBX6cVwL}fxGWS_snHoE$)#x|yHD^ihR_@ms z7;hunog(v#HHVmCRF;^e0mkm4rqMO&Fpe1e36fNX7&+QQxaTsSDS8U!1id&;6G}M$ z=3CQHk&ck^1zT-QZ3{0!Oz|yJ4>5YiJq@TMrFo%<$%`ak2 znqRHp(5{}~zhhT;=T$4vG6+KYEImSrO+*2pP1`VDQytP&s?a8tbN$ubFJkq=fFd`8 z8rMcIv>s*xLb1SHv_2h3)AZ2BY{dj2=cL6I!81~{OM<6|C6n~stygw7=XwnDs0uc|4;Rk(zJ-SA&J^i@rDVw8PX*2x zKN)~*)Xp8~cv2dT&#tUms9AO^+&qYj9<=^Jud=;kR`~SIS_o{YP{=v3B{5q^F{JXF z;x~c+@A`8Sf1Jf1Z;2ggjqev?5c;oH&=5qMg~LS54;i}-Voo$)2LK)Fn{n?IFkyt- zy(Pf4#tR&ZXjOR0meTS()916wK@E3-H7NMn$B-W+_^y4F*S7|+`zXKIqn(5B{7gUmls*EVycgsb9=EEN$A}lzUVX#_wy-<`||}1LW#$1dl>hD5KNdiBij?k zERK0otz*!D0A{QEq2T@`)YPfNv^}P z|8{X#Av~zk{(M8QeQ zudwfuiav+NldI})`cmEjyf`Iq4&-Ml2`o} z&9SqSQqPJ2*eKF#sF#1aE-oA*b3_(Z_DjY3CKTAMaiQP9CNE1g+F~V=kaL2~ zJmUA~1y;8Kms5iCD2B(|bE;^vKbJ~(!qUj^+T*yLe??nel?(HwcK(bV3B2mut7ZqC z;*ezFcp}GRnf#92BGo;%!QdQ{v=;9yCIC1kayfrulTU5tv<72d?}Q#TI>T(AJRqD) zQf8HCg3cEmHcYH-z-Oizi3;iB^P+G-wnpyj&3YPWX)tmuaBD6-?v8}tcS3y@OZV~2 zQjy_>sFH7!=Sr3Szt+Aqp2~1*pWQB-l1-)%+t7f>5TRmAQN~QkEc2L3$oLpaGDVVE zDv_Zriew6zGNq6yv&<5rkovD@?>g^$&UxPt=l^>@`1aV({oK=9>sr^fR+f6=2gdV{ zIhwg|7CZOq2-e=C6@&WU=k1y-ULry)lF|vQO!YYqQ8t)}MWy=lyN8^O=PnohNR1Gb z@Q15CPxpe|^<-2vWfhDQ0$}@#>}Emt4}r-$xAUj7DwizUoA*sFHpNvql_^?PUB(lE zIyE8`KorK6{IV!5cG`OP-cd%rd#k}g!?B##ys8*4*XdO;*X>*uv)Imz3ywyI-r@bJ%*`lpR9aX^&|D6S>csDh3A)1Mdo((c<8%+ zX4bH`OYwtB{)%-3q91p3sbXO|wsI-GZEx%>`9PVbvR^s~6p|JbD>^3Gf4*k1`|*7D ziVs){na?@0>wdOmwLh%cL|=aF1p*{5z29$71qd3ye4rj6&cIlKO&A45Rg&H!z1JeO z#$3@xCAgY$P7Mju>K`%>ROyM3I3Hp5T`QDL8P5MTli!I#>zd8FTF3Pbs5S?NHdF67 zSo<#R8+Ix$Y@l}&xetl+QcEo?`zz7b6Qk0LqcX#MRm=@364X#5R2bQ1;rzb)n~jit z#PGqfRP~pKgPw)9u6j+ryPq!%#2e;AbYi6KQ}<{^mX0tM`5q#OdPUdlZ&++v;kfD& ze}b#vK`-UPd+tUv%NvcQT=7hr7fu>rcr6X{R0aD5A2|x0y{r)o%B#(DRXYF(J^tja zQaJF$HosaSK^9t060V;ska<@eCgkEf@0j>UY4lP$?-ne6vX0Hl8T@3bb=HahkO7-?kWS2Fy4-N8px+<#H(Gj@xHnxsGP%;=Y>Gu-5B`N`| zIrsz#E%{G30~jdIACL5VmGkJF7mKQ07B^X*I5$XYY7ljM$rCcZP$(bdrE6T&nzOmB zKUC_2Yk^HycE_SIu~26kD)tF;MDWI+O0WG2OE& z#TUAp{S3D?-kOHZYErRnEKb;`+hgZQCM~vN?Wx;qE+g9)}A|cohOk#($4g&_E@qa~YoJU>s+K5xgLTa+^?o zQxj+6=jLM2z#4S}=;HBQ!+hhIK%ykB#4MLIpETk=c#f&Le9X063mw7jgB&}lmn>vb zbQRQQ5U=P`KljnunPjIjxnj6K&?Y4%bcFV67?MH)X`X{YU<*yC%{E1kOX4fXP7lb_ z)E-_W1|0iJRj}1GBaJ4zzdMMzYAfz4a4`lGf==@NM+1MSgy5zyn78;)uL>w?5W9^X z6F9*{_5!5hPs-oh)$D6I(Aq)%>c)p7cmhMn8`+iT@ZLAi-Z?+^AFiUdaizXk%ooqz zXMDF`JZ}CC1NX5fARCwA>%1}tJgzP@?m}ZMXcKwY`IP)r!?%}z__^-yPqB3&Gw-^h zgnpac&N6+D+cD;y%NIn|k!MnEgcCSZ<&JlAiO+~-mkOdP5a7K|oIxkc`u!zbG|iil zlyyU$cfswee_cRF=vj?QKG1w>2d{CV^{pgHyQtF7_l!Ho-^Rw_7{P$(K^S$PL&4v7 ze_jQauH*HgYNQq@SW6vW574PsM2&6OGU5Cx+#kUhT2iVVaRR3(W4P9 zAK=MrNMC2KsRIC3-i>@Ink@2WNjiD@6XOzLk&6CpdFQgld1N)w;WQwxt7r5@nZj~Z z+1557%~oU&HONb%qInw{hjKD^+`?N5Ig6GI2WhfIF)E$>O4bMrJ@&50$z;Cvte7=L zE$p=3mmb};42H6th76qoZ)In;MSBR=OZ~J17`1QGCGeVt-b%4O-))>&h7-FbKy6D* ze~UFiPyk26HYR+&RwDki=XXi+CNH#?!2%3$NptgH_GI<5`CYtLkTvH&$!`1!6$YwY z?+j(vso)z*q)U2ep(DNZOdt5;(AR;lf$g1*!K0p_Hj-(XT$i*mL89w$eU&9kgvfg1 z3nASuH>e$&gEr3vFx5Oy>6t6i)15reglWNJS_BF09+VKZc-b)+sV$_}&Rb9-@3|Fz zB7-Q9O4(HBU1Pnucl66M?zN6F&OR*e^!lzyp_9a;C@OxxgdAo@J!nZdkbPA=NHY%A z>rEH2My711&fM&FGiA8x2ZyXPlD$ER3WIMcXzjwKr1E=CbBeft17k^q&uVzBA_xG+&%u(YJPTTrPO|L>k#eJrPL5 z-Tr%RIG7>r1unlg#2YeuMtU(h9Pq%aVzv<$-p^3}P)7ke&6bJQ2#QDG z=M&}jN;mOUt=1rw2Wyho#q9iKg^IJ3H2#8bZp^6fi$lppvzyB@#x zM=xNIBHdzk0jyvr_49{6p<7+t-K%}~3-!N6Qt`XFc+T~_H}q|7*+_+;*X))#tDWR$ zB)g8~NA>-kf$%xgXRGc|WFDW1~#9B+S@O{G8k8@k! zgUletX0-NJ>Z{_yAIXMzjR~Ino`YWe=f-I~Ate2E>9N{gg=V-gJLj7ZS&d#(=dK+| z>oe4a{>Pp3Y9eVpTLR^PIsvtk-1!;`@Qn$?%Qw7&A3FLF`Ku0^m7mvu_!~~!UqZzp zs+&|DDc8f+-gI%P&c8g2p4!S)yVD%pcW4}Hd5c-d(^VJ6GkG&W3nQL?1j~LuCgTv! zS~$Uj8TmQD0!yCU z?X2u_+=>Cm2!GAhzHrNVvs%qWlLmRpXZR}LsU%QQos~+XcHPo045v( zI#7*@i?;{b@B-HnucdKPOsxABHW8zY{)EUqk1w0U zu;kJMiP?h27d(v8)?qQeEgWJm2RxFIv_46Imi#^ewf;rPNa0ymFdJovp_cMTB!+I! z+P9=2f!Qh(WOHQ(qVrS;8j2%a;-?pawu`n)qX1K%fxH)Es22ih9s|;diyCdhjm~kE zOh?YC(d2ExH8yD^S7+M?FHFlkrd@XAIv2k)wy}HN&&0~+usqMX`593hh85~|{f)NN zK>@Duk*ixOBD{jTh$ac2bRZepiko}ZApHF3;|hC{;b~6@4ISU59Y4-Ca@_aFB^Bny zB3!;pyxwOn1%DHR@q}MK^LGWmmw~U>`8|F~+^IRiM}u|5rG5q9*965sUwsCCncBMqpj){fu$I4=&$8r0dkQEqN;sOV#(*kFMXV$%dO7WL-LaN& zhA=^YF6rbmAr*9Jq26?3af}Mq?~UT)ty&4;ad??TV#_SxX%W|nZ)g*)s0nV5W7070 ze8zTu*8?S1{@4#^eP$I6ec=YN^49kfoJa0Tv<{ScdNv(zWxWx*Pve8@uKL?N`7c-d zK~cHSj`fgmf7{tMhxihX&U#y`T)(^*;>L{kdL8mj4zV0w?PoMJ(O|uJ^vd|@EZ<^w zGa(C(w6C-Yhg@R;J~vr`rbIbVs9WPWw9(M37Qn|#?6MrR*c*m@F2N5%_mqGvF~n?* z)nabr)KR7@Kv=w)aZy`%P_oa(G&d(XmgPK082M}V>FG_gVU+j?3MZF>`Qr{PDVxbJ zog4hcSOH>DIX5?(LDKO_eSfZSOhoA6x+W%FMYokM12kWe{nYs7j2lXyj1L)f?>6=3 zewHZt$tC9bLn?mZF7s<6$PMq@6OvtxxD&P)Nd>z8&ukc_o}Z|^+nBUg&R3OCsLL4E zB##qQQf*X( z?=k)!=VEuBB?-njKezlgnK3)c5W)#kON?rg&{3BMje1pAeR6}SV%N6ncKB_$6i$Z; zB_y5Q!p*(BO*q~7Dm^t+_A$^*xZ}_{vDk!$mT$52N*ror^!~84ix?Ls2Yl7-PZDp3 zBH|J(XU+xW3s)tm#uqv=`NSM98}Arwd!~vLq!ZH_SOTPYR+W3PPW|zT0N!R@euC^P zfP+Cyp}THVU*?#_x_yZqNP(j&3@ZzZhae@*9r*oXg`8yJ=Q`rrV*v@oj zmojB_x2Xa&m{_To!qm0EEFdX$CQ~xb?@7RAbcDk0g5maOP%b3AG)6yC)im+xWljkMl9zFOV^0?6R z7p`x2OHltT9w)4$!N(V&S63oh zKAkVl-~a1TZ`WTg0cX;wfL6lP>Rzoq(A_xZ8H4_k z?EC-amjb{XMGJrnId}$hru~5BU5m3~f^^iR8wYweh)7bu2E|l{Eh;^aCBHi}F8-|M;JO{ud7F z%oy4BKPwu!Lri)9De{5}#L=;D3A~22W_tvV%T%g~^>@DI>~)_bYOUvbAjQMg$mxN*D>s7gOxJ{4plmryELI z#mQ^3{=T-Rld`i>785~C00W#~xqWOe-`_J0%PEd4cBvc=+TIE2-MC(5PPGsbUvb@(?Wi?f-2`+a||o5ZX_9{4zb+_Y{-a%?db3 zrQgPjsU`5$rgKuDnTmsWU8wK}l#A(2gY13o_hRwX&lGvTQYaWK_WtJF6<878vZiT> z%R+$g=Xi~Z^J1mbuUExRGCNze0svoDf<}?lV$?vHKL(w_W}vloXB>xxwr5! zzenSXJ&bbWWgtMl_Y0`U9rbCMXGG2;&xo7-uQ!v| zfg{S6z;ha^NQ+ZEAA5`>ljG<%8 z9v1YmFU+;$Mt)w-B-q^PFZdL(HnyjEGG}?!==)o}Cy9&oUm89Qze_!8pv8Y!-l_+l{ zPPu6Rw&s=*#Z11CetKyK2H~}M{lFBGir!Fiy%XZ;E|kiyqW`#rpRVi}yOO4?mCIejYlHZGKxM8z0NHmEx&=jWy7Lk6}F4724V8;rZp!nPw7RmVOW5FsjU170`nS{5pvj=OOwm ze2~OIAfRfJD!&01teqXBe0ta77|3X*^LQ<N>~TOUAP;7v)y(7A=l7 zeE&AYYSD6{5F*~$gC;KDjf)?b`BJZur{7ao7LI zDN>z#IvTF~-CNG=QD6^$|3I@!ZQDPGID8-5 z$euq3^27v<-=re>S{aYAx<(tAP9bS2Mm}Dy#~+~EuY5Iuta3$oGpMYJ)umYu3mcF+ z`J(eUE#;MWNW_WqB{6ShXLKE3SSomFNa|Z3cx;*F_>`%jA+N*w<28=@; zskY^zLB!}2$16v=Se)3APnVSJ8n`%JATz{^W$*oPUPyZGcIyu63H_1WFW-cH=#xf2 zTWk3_eSI7IO>GKO?vF8c|J(!E{fYI3Mca z6F(!%g5@|7Ff@Q<4PFauc>u z+$z)`Yp0>^-hiF%my(o;(umM}-Dy<{R|$B4rjT)@!iW$Mi%bg^Q$dnp2gcwsHMk;F zSR?hSm0vKU;o2j8(G>m+@7BZ)VtOlOMqO-gxZ(q<-eTvTzWHn{8QEmhf64q1dMdn*Y?UXYZ8I}7M&xBKr#Tg$nK@OSrzeYk3HVJB`CH2F)4AhFA$;L|}F z0V0$P)A}x4W^{`nz>vH&txs+BGn(c-^k)xWPdMZ|vc1%t(W*tsA+a^B#s2hpKs7>^E%t-(@HcRcPf3calIKmmzKcWd+4t3@Ker6(nWa-VT3e`Xl# zY7{RvNL$&cm|b}6RObIq3xP6dWEY-&i4lW;qCq}N2K@xNfGRvL`rQC;@~P|L{qCIA zO59T|Z$8hz93nv_ioR@Sd_jg|va8!ivv5YXtdInngR=f-sq2r)sG9TbL@OqnLWk*6 z35#Dp*Sz~L>t42+%b>Hxv02sX_H^;{ZA&`#ysqRTCPEyka29GN%rfn!&U7~RDR`q- zm$0mAbG}Q87o*-)yef*(?9!7jQ*Ei#1bLT{S7ke|ZMk>cr6)ju`2L~DbjmmV_bP=R zH~;R?vFpT3lnm1FHa86J8f@e;#lsB75~&uD37ZMwyx7jNABx0yO+6R3n0vWInthI3 z$6Jg)(9N+Ht@x(1Qh%gU+n@u;he-SH*B}GbFY3wR6f}~G34jW{CEK)LHnH&+GG}3E zUz`L&W&<=<0A+>Ig3wascDU>TDMS|X( z7avMt??oqq3@IPmIQa#UdCWu{wC~pqIT;X>eNsoN5Q=G%0Oyu_Y8|<^Knj0mwSAn0^EW(^I&%JyX(btqcei4ikrXLeJm1>!oN}JgtN60lt$i7rRH_mjwxUdC?v^5m&{JgqZan+?eofo6K zi(&(qH0WA_h_Mvje$qH{w0J@-!1s&7>IARd`Rz`tuDmK}WPU9UuFKczm(YKod?(@E z6h{uA-b&4W?%r1J@Kl&cohl{{F!UD10KK;yf+2o#CK_sFa;kgHS- zB5Q#RSRK$SHym}B&hAl((7A>6sNGjpgg=U@csE1=Tu4VWjELz+DOS+~tm1K66OUdS zZ>JOS4$8-m=GqgS-Zb`YSNKuQux&nQj3B0m!Z$Z(?1QzGBRIH@see}uaH(XTrhVNHF(YP+O z&!EU1lWQY41~tDvzrU#-eiZGa*#)KC%U9G86+8~gXWaI*AL{#{AnvFpu=q$6kfT!U z8=gO3FY0?(Mya2IvlQF0{Zg#r4@B9v`nl(_QK|#Vr}ucLb$sP6lmWc9Xek9KPT%Hx zYHSFA<+s0!Z8|T#3e}E%baP&w_L9htjNO^}(hZF$EP8>Dh~&#sP&HW_j>;2NTLK@e z#pkH-_~`+UZ_{9Y&^mbg*_p|G${Jy;#UTQ)1@4gUSN2n8ca2x`kSyh*m$l=rRI(sqP?cJm+&7d??l+@* zf2J%RWvi1-Feq@*jZB%2XGBNLplr3LAe4Xfs4mqoy zPv0k0J13@^bOC09U3&4?yY4@`7AfVT4ve9ocfG(z+@U_)KlH1(6e_^INFWPWHn|W` zu7pbi9H+;#Ii=!LoJEb*K{2mUUC@cjPw^2y^fXrm<{X~jvmJMpLThW(L_NYls5?!Dkq5jcQ{((h-CCt)I(4=5q2&O{PhKv7 zNJsw7VPuyxL0Kb|NqT26Rd6>nVWEO&-JFJCRO9?f>Y57Ng}||A=RoWMG&fZ(g+-{yAe^ zBP|7Z2>IM1>DaH}p_0Uj;r0hg-hT1!vmdjCNhJ?ECQBgHajmdUhyKP8)E&TTT(WI z-;|P(v)A{sp{L-!nUj;hAU7G(g};rY&pST;r^8jXfLLfI*L*Xl6gtaZmD<6Bka1wR zm_P7e0s&nv;OSX*=QCOBd8!TjTYa&fBKY0-e~9|}5%B)HetWO=b6isa+| z)9Ho@aAO9~U_ z4ev9{I=Vq_2AY*BJ4hNxJ$ny_lM1aULR|S|liVOora&rddG4l|rrrCvL{T~oe8CkE zF>e#5sr&(3{RjVFMEcj04qX!ERwNnT9Kz$&iG#&&e_oNNSR;fQm!T3MW$_{GObbm- zy8z1LW9u{fX9oi{$N|KAXllTQmMaTPRUqvPN@8H3Dq0qg!qnvWPU-J#8j@iHCcfB%8f2W<_EdQKs=7M_vhLa`Khd>lkq^ykbn7D3bYMC~u4 zKT|kyjeI+@FEOCAhM*St98|Um?ov&c*-8!4pT9F#9Yk#CrHr6AV|NsoJ#@;J_|REC z^x+z)<~#TPeb(L3?HJ{vS)=(A0~3XT?@;Zy_iRcK6*h>`9$55f8p1*`eaxA1KciYp z1SJ^2{GvJJ;5ar16bYJP`ulKco-8*-pYSu7@>RriFmZzxMN9`YAqifM`?CzD&j{_8 zr76oS?GW;avbcfe!0M=O3O_sugLnVCWTvJcxfm$6{Ffp+|EOEiu8OA5jA)s&U_LCc zeU#*x=z08<3@0TKK$db+c7ho?gwLlqTwy1a{(Y7t>J$YUDir1Z{b#xG_Im>%JNXnF zrVIN=4CBI`Pu^b#aaU95Dc%V})<%+_CmceDu*4Vn5*{}F`>;X0R0CzpL?e{1qQDPMacQE|8Ud(AMRP?|7X+wAMRP?f7rBJ15tB^ zX7BqimT3%JdPCpz$zs5NV}8c%{zl6BDBJ5N7t0sx&;1D71v~XQ?f7A{SMqb9^zaxj zkl#uDT}4--k)|YXok)8?lcZ;ydoid(8n;9W~-0umK{oZGnNhF%Ftr-vt!UC%*> z5uOX)EjLH^Nz_hMQ~dSnvHF<5w}yZ!aZtz{b)%q@hxL5?NvrFIcq9N?^7P4@y?sN% ziB`yen1IrV6-kT7K@3I=$R@JyQlEeFftvF6KQm7i*g~6kzMuw3Uqbb3M2-{b3>b0u zZ9*37IA)DMN8|51(RH*J1qE(c4?W!kDC-O)ZCl!x>3|CPU?-PM`Xmx~$fV@#{kOh{ zey0IGSqj}@JHc-{ip2j;gOupsJ}P~cer56(QHD1Z`Jc&B*v$4ui-rTGEfY-$ZhFez z^ld5lp!`c7+7PS^{oWjM{QYaw5wJc~l6_pVi_3UUcW2&u+s_L7At)(KR`ki%u@)d} zAYh$y*h2s3%GTIVa5Xh;8ccS(%(jJ!YRkJbCl&R+>|aF}%$_5#P|6}{V#}&@d{w$! zFvD$QLntW4%74wobHIIO+{Ln(~E+s#gn%(c~7k`(#-(x2es=#;n%xe&pU1bXN z6=3rt+nzsGkg^myd{7COF;cObeWY5iqNk=2;yo1{@6rI2Ei9Vi(-*3MHx`G!^?1#f z!@hX(WK?c`rP21|x>=fCK{rK0PP=P#Au4@m>caE}m~U{zFZZtYjz;SJXhidd5kd)f zN&e2@d;K+LsF|b+e89-AXZQ7jMJ}j=gbX2+Kr5VOGR~p(?nSO~0XPa!)qzWQX~mTB zmm$x<7w%%OFzEv&#@yZC0V`Yihojc3r5NHjYVra6hfO2z6gqULoTjJCJm7IPH=sak zU|z<-E>kqI$a+2dH2hj=(d?wJrK|Qcho-H8ssDYGQ3i*{ZtL3Z+jGYh+I?j(LmB7o zGkvpfjzN=bs5n%V^#g=E9j;p=Oi%Ia#y5S8n=YO}B3yW!s(zH5oZ3RMmlSsd{pr3F zR8V8$PH@olxwm|?IePO!0=B2SLe;hJIGnLn;q_q?FdmLxT_v3aRiA7dDdF&$mX!q%b z>5D`OPd*p6KaqOh|KHCSU^Lm1XQ^YIK8_su=e2?fCP<<`Lw%ce-*c{7)4vz;KUS1D zi_q3~?glEjpZ5si-~O8lL!JT#%OGBZ<7E11XRSrLM1K_o5O?ueju0x x0;oEmG^Ci>|L~syL?v33D;f6rH-qint&bKt^o*&tQ&CZ!Ii-1$biyL&{{f-E