diff --git a/icestick/build.sh b/icestick/build.sh new file mode 100644 index 0000000..44e5c41 --- /dev/null +++ b/icestick/build.sh @@ -0,0 +1,8 @@ + +yosys -p "synth_ice40 -blif stopwatch.blif" tb_icestick.v + +arachne-pnr -d 1k -p icestick.pcf stopwatch.blif -o stopwatch.txt + +icepack stopwatch.txt stopwatch.bin + +iceprog stopwatch.bin diff --git a/icestick/clockDividerIce.v b/icestick/clockDividerIce.v new file mode 100644 index 0000000..54ea953 --- /dev/null +++ b/icestick/clockDividerIce.v @@ -0,0 +1,26 @@ +module clockDividerIce ( + input wire clk_in, // input clock 12 MHz + input wire ena, + input wire res, // reset, active low + output reg clk_out // output clock 1 MHz +); + + reg[2:0] counter; + parameter div = 6; // 12 MHz / 12 = 1 MHz, 50% duty cycle => 1/2 of that + + + always @(posedge clk_in) begin + if (!res) begin // reset + counter <= 3'b0; + clk_out <= 1'b0; + end else if (ena) begin + if (counter < (div-1)) begin // count up + counter <= counter + 1; + end else begin // reset counter and invert output + counter <= 3'b0; + clk_out <= ~clk_out; + end + end + end + +endmodule //clockDividerIce diff --git a/icestick/icestick.pcf b/icestick/icestick.pcf index 260230c..b353439 100644 --- a/icestick/icestick.pcf +++ b/icestick/icestick.pcf @@ -1,10 +1,10 @@ set_io CLK_IN 21 -set_io o_stopwatch_enabled 95 -set_io o_display_enabled 96 -set_io o_mosi 97 -set_io o_cs 98 -set_io o_sck 99 +set_io l_stopwatch_enabled 95 +set_io l_display_enabled 96 +set_io l_mosi 97 +set_io l_cs 98 +set_io l_sck 99 set_io i_board_reset 119 set_io i_button_start_stop 118 diff --git a/icestick/stopwatch.bin b/icestick/stopwatch.bin new file mode 100644 index 0000000..9bb0578 Binary files /dev/null and b/icestick/stopwatch.bin differ diff --git a/icestick/stopwatch.blif b/icestick/stopwatch.blif new file mode 100644 index 0000000..70aeaa7 --- /dev/null +++ b/icestick/stopwatch.blif @@ -0,0 +1,1725 @@ +# Generated by Yosys 0.36+67 (git sha1 1ddb0892c, clang 10.0.0-4ubuntu1 -fPIC -Os) + +.model ice_stopwatch +.inputs CLK_IN i_board_reset i_button_start_stop i_button_lap_time i_button_reset +.outputs o_mosi o_cs o_sck o_stopwatch_enabled o_display_enabled l_mosi l_cs l_sck l_stopwatch_enabled l_display_enabled +.names $false +.names $true +1 +.names $undef +.gate SB_DFFESR C=CLK_IN D=clockDividerIce1.clk_out_SB_DFFESR_Q_D E=clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O Q=clockDividerIce1.clk_out R=clockDividerIce1.clk_out_SB_DFFESR_Q_R[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:12.3-24.6|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=clockDividerIce1.clk_out O=clockDividerIce1.clk_out_SB_DFFESR_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=clockDividerIce1.counter[2] I1=clockDividerIce1.counter[1] I2=clockDividerIce1.counter[0] I3=clockDividerIce1.clk_out_SB_DFFESR_Q_R[3] O=clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111111110101000 +.gate SB_DFFSR C=CLK_IN D=clockDividerIce1.counter_SB_DFFSR_Q_D Q=clockDividerIce1.counter[2] R=clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:12.3-24.6|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.gate SB_DFFSR C=CLK_IN D=clockDividerIce1.counter_SB_DFFSR_Q_1_D Q=clockDividerIce1.counter[1] R=clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:12.3-24.6|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.gate SB_LUT4 I0=$false I1=$false I2=clockDividerIce1.counter[1] I3=clockDividerIce1.counter[0] O=clockDividerIce1.counter_SB_DFFSR_Q_1_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:18.20-18.31|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_DFFSR C=CLK_IN D=clockDividerIce1.counter_SB_DFFSR_Q_2_D Q=clockDividerIce1.counter[0] R=clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:12.3-24.6|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=clockDividerIce1.counter[0] O=clockDividerIce1.counter_SB_DFFSR_Q_2_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=clockDividerIce1.counter[2] I3=clockDividerIce1.counter_SB_DFFSR_Q_D_SB_LUT4_O_I3 O=clockDividerIce1.counter_SB_DFFSR_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:18.20-18.31|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=clockDividerIce1.counter[0] CO=clockDividerIce1.counter_SB_DFFSR_Q_D_SB_LUT4_O_I3 I0=$false I1=clockDividerIce1.counter[1] +.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:18.20-18.31|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=$false I1=$false I2=i_button_reset I3=i_board_reset O=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=$false I1=$false I2=i_button_reset I3=i_board_reset O=clockDividerIce1.clk_out_SB_DFFESR_Q_R[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000000001111 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.Cs +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[0] I2=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[1] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[2] O=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011111100001111 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_send I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready O=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0010001000000010 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[3] O=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111001000000000 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] I3=stopwatch1.SPI_wrapper1.reset_master O=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] O=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000000000001 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_1_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_1_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110101011000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_2_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_2_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110110010100000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111111111101100 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000000110000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110101011000000 +.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_D E=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[3] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:25.66-25.119" +.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D E=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:25.66-25.119" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] I2=$true I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D_SB_LUT4_O_I3 O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:515.31-515.45|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] CO=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D_SB_LUT4_O_I3 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] I1=$true +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:515.31-515.45|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_2_D E=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:25.66-25.119" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] I2=$true I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_2_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:515.31-515.45|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_3_D E=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:25.66-25.119" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_3_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[3] I2=$true I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_D_SB_LUT4_O_I3 O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:515.31-515.45|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D_SB_LUT4_O_I3 CO=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_D_SB_LUT4_O_I3 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] I1=$true +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:515.31-515.45|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.mosi_SB_DFFESR_Q_D E=stopwatch1.SPI_wrapper1.SPI_Master1.mosi_SB_DFFESR_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.mosi R=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[15] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] O=stopwatch1.SPI_wrapper1.SPI_Master1.mosi_SB_DFFESR_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.mosi_SB_DFFESR_Q_E +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110111101001111 +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.Cs E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] Q=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready R=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] E=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[2] Q=stopwatch1.SPI_wrapper1.SPI_Master1.report_send R=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111111111110000 +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_D E=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.sck R=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I2=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] O=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000011111100 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] I3=stopwatch1.SPI_wrapper1.reset_master O=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_E +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111110011111111 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_1_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[1] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_1_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110110011001100 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_2_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.state[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_2_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100111100000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1101110011111100 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000111100000011 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000011110011 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000000111011 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011000000000000 +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out[0] E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[0] R=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[15] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_1_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[14] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_10_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[5] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[4] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_10_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_11_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[4] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[3] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_11_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_12_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[3] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_12_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_13_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.word_out[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[1] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_13_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111110000110000 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_14_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.word_out[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_14_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111110000110000 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[14] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[13] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_1_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_2_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[13] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[13] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[12] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_2_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_3_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[12] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[12] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[11] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_3_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_4_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[11] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[11] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[10] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_4_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_5_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[10] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[10] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[9] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_5_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_6_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[9] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[9] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[8] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_6_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_7_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[8] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[7] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_7_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_8_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[7] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[6] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_8_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_9_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[6] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[5] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_9_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[15] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[14] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.digit_count[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_1_D Q=stopwatch1.SPI_wrapper1.digit_count[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[5] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_1_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110101011000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_2_D Q=stopwatch1.SPI_wrapper1.digit_count[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[0] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_2_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110101011000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D Q=stopwatch1.SPI_wrapper1.digit_count[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.digit_count[5] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111111111000000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.digit_count[3] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I3[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1100110010000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_4_D Q=stopwatch1.SPI_wrapper1.digit_count[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_4_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110110010100000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_5_D Q=stopwatch1.SPI_wrapper1.digit_count[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_5_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110110010100000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D Q=stopwatch1.SPI_wrapper1.digit_count[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[0] I3=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[1] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000111111111111 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.digit_count[3] I2=stopwatch1.SPI_wrapper1.digit_count[0] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1011101100001010 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000011111101 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110101011000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.reset_master_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.reset_master +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] O=stopwatch1.SPI_wrapper1.reset_master_SB_DFF_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100110011000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111111100001111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.SPI_wrapper1.reset_master O=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I3=stopwatch1.SPI_wrapper1.reset_master O=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.sent_BCD_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.sent_BCD +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.sent_BCD I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_DFF_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111111111000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_send I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.sent_BCD I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1101111100000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[1] I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001000100010011 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I2=stopwatch1.SPI_wrapper1.word_out[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011001100 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000001100000000 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000110000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_send I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.sent_BCD I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0010000000000000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110101011100000 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.digit_count[3] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100000000000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.clockDivider1.clk_out I3=stopwatch1.SPI_wrapper1.state[1] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000111100000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.sent_ON_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.sent_ON +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.sent_ON I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] O=stopwatch1.SPI_wrapper1.sent_ON_SB_DFF_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111111111000000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.word_out[11] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[1] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_send I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.sent_ON O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000100000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[0] I1=i_board_reset I2=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[2] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFFSR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D Q=stopwatch1.SPI_wrapper1.state[0] R=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.gate SB_DFFSR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D Q=stopwatch1.SPI_wrapper1.state[1] R=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[0] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[1] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111111111110000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.clockDivider1.clk_out I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1000000000000000 +.gate SB_DFFSR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_2_D Q=stopwatch1.SPI_wrapper1.state[2] R=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[1] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[2] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[3] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_2_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111111111111110 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[0] I2=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100000011111111 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000000000011 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000111100000011 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.digit_count[3] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[3] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000010001111 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[2] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.word_out[15] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_1_D Q=stopwatch1.SPI_wrapper1.word_out[14] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_10_D Q=stopwatch1.SPI_wrapper1.word_out[5] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[5] I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_10_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110111011101100 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_11_D Q=stopwatch1.SPI_wrapper1.word_out[4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[4] I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_11_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110111011101100 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D Q=stopwatch1.SPI_wrapper1.word_out[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110111011101100 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1000101010101010 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.digit_count[5] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011111100000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[0] I1=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[2] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001010100111111 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.digit_count[6] I2=stopwatch1.SPI_wrapper1.state[2] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_I3[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0010000010100000 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.word_out[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000001100001111 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[0] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000011000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.state[2] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.SPI_wrapper1.digit_count[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111110100000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] O=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000111100000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[3] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[3] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000011000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.digit_count[4] I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1000000010101010 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.state[2] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0010101000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[1] I1=stopwatch1.SPI_wrapper1.digit_count[0] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001001101011111 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D Q=stopwatch1.SPI_wrapper1.word_out[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110111011101100 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0010101010101010 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000011000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[5] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001010100111111 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[0] I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I3=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001001101011111 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001010100111111 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D Q=stopwatch1.SPI_wrapper1.word_out[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[0] I1=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1000110011111111 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[0] I3=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[1] I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0111000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001010100111111 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[5] I1=stopwatch1.SPI_wrapper1.digit_count[4] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001001101011111 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D Q=stopwatch1.SPI_wrapper1.word_out[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[0] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1101110111011100 +.gate SB_LUT4 I0=$false I1=$false I2=i_board_reset I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[14] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_1_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_2_D Q=stopwatch1.SPI_wrapper1.word_out[13] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[13] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_2_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_3_D Q=stopwatch1.SPI_wrapper1.word_out[12] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[12] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_3_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D Q=stopwatch1.SPI_wrapper1.word_out[11] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I2=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[1] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000111100000011 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D Q=stopwatch1.SPI_wrapper1.word_out[10] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111111111111100 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[5] I2=stopwatch1.SPI_wrapper1.digit_count[1] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111111000000000 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[10] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000011000000 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011000011110000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D Q=stopwatch1.SPI_wrapper1.word_out[9] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[9] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[3] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1011101000110000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.SPI_wrapper1.digit_count[0] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[0] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100000000000000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1010000000100000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0010001000001010 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.digit_count[5] I2=stopwatch1.SPI_wrapper1.digit_count[4] I3=stopwatch1.SPI_wrapper1.digit_count[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000000000011 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D Q=stopwatch1.SPI_wrapper1.word_out[8] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[0] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[1] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111111111101100 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D Q=stopwatch1.SPI_wrapper1.word_out[7] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[7] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111101011111000 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[8] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100110011000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D Q=stopwatch1.SPI_wrapper1.word_out[6] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[6] I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1110111011101100 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[15] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] I1=i_board_reset I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1000100011001000 +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_D E=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E Q=stopwatch1.clockDivider1.clk_out R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.clk_out O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=stopwatch1.controller1.counter_enable I2=i_button_reset_SB_LUT4_I2_O[1] I3=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011111100 +.gate SB_LUT4 I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[0] I2=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[1] I3=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011111100000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.clockDivider1.counter[9] I3=stopwatch1.clockDivider1.counter[7] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=$false I1=stopwatch1.clockDivider1.counter[12] I2=stopwatch1.clockDivider1.counter[8] I3=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3[2] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100000000000000 +.gate SB_LUT4 I0=$false I1=stopwatch1.clockDivider1.counter[2] I2=stopwatch1.clockDivider1.counter[1] I3=stopwatch1.clockDivider1.counter[0] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100000000000000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I1 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI I0=$true I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$true I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$true I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$true I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[3] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[4] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[5] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[6] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[7] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[8] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[9] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[10] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[11] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[12] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[13] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_DFFESR C=clockDividerIce1.clk_out D=$false E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[13] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_1_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[12] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[3] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[3] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[2] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[2] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[2] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.counter[0] CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[1] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_12_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[1] I3=stopwatch1.clockDivider1.counter[0] O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_12_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_13_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.clockDivider1.counter[0] I3=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_13_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000111100000000 +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[12] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_1_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_1_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_1_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[11] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[11] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[11] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[10] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[10] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[10] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[9] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[9] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[9] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[8] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[8] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[8] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[7] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[7] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[7] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[6] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[6] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[6] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[5] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[5] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[5] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[4] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[4] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[4] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000101010100000 +.gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[3] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] E=stopwatch1.controller1.counter_enable Q=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] E=stopwatch1.controller1.counter_enable Q=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] E=stopwatch1.controller1.counter_enable Q=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] E=stopwatch1.controller1.counter_enable Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFSR C=i_button_start_stop D=stopwatch1.controller1.counter_enable_SB_DFFSR_Q_D Q=stopwatch1.controller1.counter_enable R=stopwatch1.controller1.counter_enable_SB_DFFSR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:126.3-131.6|stopwatch_top_icestick.v:50.14-56.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.controller1.counter_enable O=stopwatch1.controller1.counter_enable_SB_DFFSR_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=i_board_reset O=stopwatch1.controller1.counter_enable_SB_DFFSR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.controller1.counter_enable I3=i_button_reset_SB_LUT4_I2_O[1] O=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111111111110000 +.gate SB_DFFSS C=i_button_lap_time D=stopwatch1.controller1.display_enable_SB_DFFSS_Q_D Q=stopwatch1.controller1.display_enable S=stopwatch1.controller1.counter_enable_SB_DFFSR_Q_R +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:133.3-138.6|stopwatch_top_icestick.v:50.14-56.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:20.59-20.105" +.gate SB_LUT4 I0=$false I1=stopwatch1.controller1.display_enable I2=stopwatch1.clockDivider1.clk_out I3=stopwatch1.SPI_wrapper1.state[1] O=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000011000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=i_board_reset I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] O=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0100010000001100 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000110011001100 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000111100000011 +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.controller1.display_enable O=stopwatch1.controller1.display_enable_SB_DFFSS_Q_D +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.param LUT_INIT 0000000011111111 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.controller1.counter_enable I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3[1] O=stopwatch1.counter_chain1.inst_ces_X0.ena +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[1] E=stopwatch1.controller1.counter_enable Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[1] O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_I3 CO=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 I0=$false I1=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 CO=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_I3 I0=$false I1=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000000001111 +.gate SB_LUT4 I0=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] I1=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000000000010 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[3] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[2] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[1] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[0] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q CO=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO I0=$false I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO CO=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 I0=$false I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[1] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[1] O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000000001111 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000000000010 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena O=stopwatch1.counter_chain1.inst_min_0X.ena +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[2] E=stopwatch1.counter_chain1.inst_min_X0.ena Q=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:265.12-271.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[1] E=stopwatch1.counter_chain1.inst_min_X0.ena Q=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:265.12-271.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[0] E=stopwatch1.counter_chain1.inst_min_X0.ena Q=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:265.12-271.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011000000001100 +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000001100110000 +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000000111111 +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[0] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena O=stopwatch1.counter_chain1.inst_min_X0.ena +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100000000000000 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[0] I3=stopwatch1.counter_chain1.inst_ces_X0.ena O=stopwatch1.counter_chain1.inst_sec_0X.ena +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 CO=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 I0=$false I1=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 CO=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 I0=$false I1=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000000001111 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] I1=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000000000010 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[0] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[2] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[1] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[0] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_I3 O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:158.16-158.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000111110100000 +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q CO=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_I3 I0=$false I1=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:158.16-158.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:158.16-158.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000000111111 +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000000001100 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2[0] I3=stopwatch1.counter_chain1.inst_sec_0X.ena O=stopwatch1.counter_chain1.inst_sec_X0.ena +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 CO=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 I0=$false I1=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 CO=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 I0=$false I1=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] +.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000000001111 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I1=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000000000010 +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[2] +1 1 +.names stopwatch1.SPI_wrapper1.Cs stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[0] +1 1 +.names stopwatch1.SPI_wrapper1.reset_master stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[1] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[0] +1 1 +.names $false stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] +1 1 +.names stopwatch1.clockDivider1.counter[3] stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +1 1 +.names stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D_SB_LUT4_O_I3 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[0] +1 1 +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[1] +1 1 +.names stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[0] +1 1 +.names i_board_reset stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[0] +1 1 +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[1] +1 1 +.names stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[0] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3[0] +1 1 +.names stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3[1] +1 1 +.names stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[2] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[0] +1 1 +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[1] +1 1 +.names stopwatch1.clockDivider1.counter[12] stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3[0] +1 1 +.names stopwatch1.clockDivider1.counter[8] stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3[1] +1 1 +.names stopwatch1.controller1.display_enable_SB_LUT4_I1_O[2] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[0] +1 1 +.names i_board_reset stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[0] +1 1 +.names stopwatch1.SPI_wrapper1.sent_BCD stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[1] +1 1 +.names stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[1] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[0] +1 1 +.names stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[2] +1 1 +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[0] +1 1 +.names stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[2] stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[2] +1 1 +.names $false stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[1] +1 1 +.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[2] +1 1 +.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[3] +1 1 +.names i_board_reset stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[0] +1 1 +.names stopwatch1.SPI_wrapper1.sent_ON stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[1] +1 1 +.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[0] +1 1 +.names i_board_reset stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3[0] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[10] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3[1] +1 1 +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[0] +1 1 +.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[3] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[0] +1 1 +.names $false stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[1] +1 1 +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[2] +1 1 +.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[3] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.state[2] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[1] +1 1 +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[0] +1 1 +.names i_board_reset stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[0] +1 1 +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[0] +1 1 +.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[3] +1 1 +.names clockDividerIce1.counter[2] clockDividerIce1.clk_out_SB_DFFESR_Q_R[0] +1 1 +.names clockDividerIce1.counter[1] clockDividerIce1.clk_out_SB_DFFESR_Q_R[1] +1 1 +.names clockDividerIce1.counter[0] clockDividerIce1.clk_out_SB_DFFESR_Q_R[2] +1 1 +.names stopwatch1.controller1.counter_enable i_button_reset_SB_LUT4_I2_O[0] +1 1 +.names stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] i_button_reset_SB_LUT4_I2_O[2] +1 1 +.names $false stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[1] +1 1 +.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[2] +1 1 +.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[3] +1 1 +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[0] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[9] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[0] +1 1 +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[3] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[1] +1 1 +.names stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[2] +1 1 +.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[0] +1 1 +.names i_board_reset stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +1 1 +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +1 1 +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[1] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[1] +1 1 +.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[3] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[0] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[4] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[1] +1 1 +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[2] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[0] +1 1 +.names i_board_reset stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[1] +1 1 +.names stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[2] +1 1 +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[2] stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[3] +1 1 +.names $false stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[1] +1 1 +.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[2] +1 1 +.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_I3 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[3] +1 1 +.names i_board_reset stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[0] +1 1 +.names stopwatch1.SPI_wrapper1.Cs stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[1] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[6] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[0] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[1] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[2] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[0] +1 1 +.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] +1 1 +.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[2] +1 1 +.names stopwatch1.SPI_wrapper1.state[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[0] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[1] +1 1 +.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[2] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[6] stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[0] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[2] stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[1] +1 1 +.names $false stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[1] +1 1 +.names stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[2] +1 1 +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_I3 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[3] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.controller1.display_enable_SB_LUT4_I1_O[0] +1 1 +.names i_board_reset stopwatch1.controller1.display_enable_SB_LUT4_I1_O[1] +1 1 +.names i_board_reset stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[0] +1 1 +.names stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[2] +1 1 +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[3] +1 1 +.names stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[0] +1 1 +.names stopwatch1.controller1.counter_enable stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3[0] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[5] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_I3[0] +1 1 +.names stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_I3[1] +1 1 +.names stopwatch1.counter_chain1.inst_ces_X0.ena stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[1] +1 1 +.names stopwatch1.SPI_wrapper1.state[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[0] +1 1 +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[3] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[0] +1 1 +.names stopwatch1.counter_chain1.inst_sec_0X.ena stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2[1] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[5] stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I3[0] +1 1 +.names stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I3[1] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[0] +1 1 +.names stopwatch1.counter_chain1.inst_sec_X0.ena stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[2] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[0] +1 1 +.names stopwatch1.SPI_wrapper1.digit_count[3] stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[1] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[0] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[1] +1 1 +.names stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[2] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.state[2] stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[0] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[1] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_send stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[0] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[1] +1 1 +.names stopwatch1.SPI_wrapper1.sent_BCD stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[2] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.state[2] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[0] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[2] +1 1 +.names clockDividerIce1.clk_out clk_tt +1 1 +.names CLK_IN clockDividerIce1.clk_in +1 1 +.names $true clockDividerIce1.ena +1 1 +.names stopwatch1.SPI_wrapper1.Cs l_cs +1 1 +.names stopwatch1.controller1.display_enable l_display_enabled +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.mosi l_mosi +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.sck l_sck +1 1 +.names stopwatch1.controller1.counter_enable l_stopwatch_enabled +1 1 +.names stopwatch1.SPI_wrapper1.Cs o_cs +1 1 +.names stopwatch1.controller1.display_enable o_display_enabled +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.mosi o_mosi +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.sck o_sck +1 1 +.names stopwatch1.controller1.counter_enable o_stopwatch_enabled +1 1 +.names $false sink1[0] +1 1 +.names $false sink1[1] +1 1 +.names $false sink1[2] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.sck stopwatch1.SPI_wrapper1.Clk_SPI +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.mosi stopwatch1.SPI_wrapper1.Mosi +1 1 +.names clockDividerIce1.clk_out stopwatch1.SPI_wrapper1.SPI_Master1.clk +1 1 +.names stopwatch1.SPI_wrapper1.Cs stopwatch1.SPI_wrapper1.SPI_Master1.cs_in +1 1 +.names stopwatch1.SPI_wrapper1.reset_master stopwatch1.SPI_wrapper1.SPI_Master1.res +1 1 +.names stopwatch1.SPI_wrapper1.word_out[0] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[0] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[1] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[1] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[2] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[2] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[3] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[3] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[4] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[4] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[5] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[5] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[6] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[6] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[7] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[7] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[8] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[8] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[9] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[9] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[10] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[10] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[11] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[11] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[12] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[12] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[13] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[13] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[14] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[14] +1 1 +.names stopwatch1.SPI_wrapper1.word_out[15] stopwatch1.SPI_wrapper1.SPI_Master1.word_in[15] +1 1 +.names clockDividerIce1.clk_out stopwatch1.SPI_wrapper1.clk +1 1 +.names stopwatch1.clockDivider1.clk_out stopwatch1.SPI_wrapper1.clk_div +1 1 +.names stopwatch1.controller1.display_enable stopwatch1.SPI_wrapper1.ena +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.ready_reported +1 1 +.names i_board_reset stopwatch1.SPI_wrapper1.res +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_send stopwatch1.SPI_wrapper1.send_reported +1 1 +.names clockDividerIce1.clk_out stopwatch1.clk +1 1 +.names clockDividerIce1.clk_out stopwatch1.clockDivider1.clk_in +1 1 +.names stopwatch1.controller1.counter_enable stopwatch1.clockDivider1.ena +1 1 +.names i_button_lap_time stopwatch1.controller1.lap_time +1 1 +.names i_board_reset stopwatch1.controller1.res +1 1 +.names i_button_start_stop stopwatch1.controller1.start_stop +1 1 +.names stopwatch1.clockDivider1.clk_out stopwatch1.counter_chain1.clk +1 1 +.names stopwatch1.controller1.counter_enable stopwatch1.counter_chain1.ena +1 1 +.names stopwatch1.clockDivider1.clk_out stopwatch1.counter_chain1.inst_ces_0X.clk +1 1 +.names stopwatch1.controller1.counter_enable stopwatch1.counter_chain1.inst_ces_0X.ena +1 1 +.names stopwatch1.clockDivider1.clk_out stopwatch1.counter_chain1.inst_ces_X0.clk +1 1 +.names stopwatch1.clockDivider1.clk_out stopwatch1.counter_chain1.inst_min_0X.clk +1 1 +.names stopwatch1.clockDivider1.clk_out stopwatch1.counter_chain1.inst_min_X0.clk +1 1 +.names stopwatch1.clockDivider1.clk_out stopwatch1.counter_chain1.inst_sec_0X.clk +1 1 +.names stopwatch1.clockDivider1.clk_out stopwatch1.counter_chain1.inst_sec_X0.clk +1 1 +.names stopwatch1.controller1.counter_enable stopwatch1.counter_enable +1 1 +.names stopwatch1.controller1.display_enable stopwatch1.display_enable +1 1 +.names stopwatch1.clockDivider1.clk_out stopwatch1.dividedClock +1 1 +.names $true stopwatch1.ena +1 1 +.names i_board_reset stopwatch1.rst_n +1 1 +.names i_button_start_stop stopwatch1.ui_in[0] +1 1 +.names i_button_lap_time stopwatch1.ui_in[1] +1 1 +.names i_button_reset stopwatch1.ui_in[2] +1 1 +.names $false stopwatch1.ui_in[3] +1 1 +.names $false stopwatch1.ui_in[4] +1 1 +.names $false stopwatch1.ui_in[5] +1 1 +.names $false stopwatch1.ui_in[6] +1 1 +.names $false stopwatch1.ui_in[7] +1 1 +.names $false stopwatch1.uio_in[0] +1 1 +.names $false stopwatch1.uio_in[1] +1 1 +.names $false stopwatch1.uio_in[2] +1 1 +.names $false stopwatch1.uio_in[3] +1 1 +.names $false stopwatch1.uio_in[4] +1 1 +.names $false stopwatch1.uio_in[5] +1 1 +.names $false stopwatch1.uio_in[6] +1 1 +.names $false stopwatch1.uio_in[7] +1 1 +.names $false stopwatch1.uio_oe[0] +1 1 +.names $false stopwatch1.uio_oe[1] +1 1 +.names $false stopwatch1.uio_oe[2] +1 1 +.names $false stopwatch1.uio_oe[3] +1 1 +.names $false stopwatch1.uio_oe[4] +1 1 +.names $false stopwatch1.uio_oe[5] +1 1 +.names $false stopwatch1.uio_oe[6] +1 1 +.names $false stopwatch1.uio_oe[7] +1 1 +.names $false stopwatch1.uio_out[0] +1 1 +.names $false stopwatch1.uio_out[1] +1 1 +.names $false stopwatch1.uio_out[2] +1 1 +.names $false stopwatch1.uio_out[3] +1 1 +.names $false stopwatch1.uio_out[4] +1 1 +.names $false stopwatch1.uio_out[5] +1 1 +.names $false stopwatch1.uio_out[6] +1 1 +.names $false stopwatch1.uio_out[7] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.mosi stopwatch1.uo_out[0] +1 1 +.names stopwatch1.SPI_wrapper1.Cs stopwatch1.uo_out[1] +1 1 +.names stopwatch1.SPI_wrapper1.SPI_Master1.sck stopwatch1.uo_out[2] +1 1 +.names stopwatch1.controller1.counter_enable stopwatch1.uo_out[3] +1 1 +.names stopwatch1.controller1.display_enable stopwatch1.uo_out[4] +1 1 +.names $false stopwatch1.uo_out[5] +1 1 +.names $false stopwatch1.uo_out[6] +1 1 +.names $false stopwatch1.uo_out[7] +1 1 +.end diff --git a/icestick/stopwatch.txt b/icestick/stopwatch.txt new file mode 100644 index 0000000..aa54740 --- /dev/null +++ b/icestick/stopwatch.txt @@ -0,0 +1,5659 @@ +.comment arachne-pnr 0.1+328+0 (git sha1 c40fb22, g++ 13.2.0-4ubuntu3 -O2) +.device 1k +.io_tile 1 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 0 +000000000000000010 +000100000000000000 +000000000000000000 +000001110000000001 +000001011000000010 +000000000000110000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000010010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 5 0 +000000000000000000 +000000000000000000 +000000000001000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 0 +000001110000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000000000 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000001100000 +000000000000000000 +000000000000000000 +.io_tile 9 0 +000000000000000000 +000000000000000000 +000000000000100000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 2 +000000000000000011000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +011000000000000000000010000000011110110000000001000010 +000000000000000000000100000000011000110000000000000000 +010000000000000000000000000000001101110000000000100000 +010000000000000000000000000000001011110000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000110101000000000000000000100000000 +100000000000000000000000001001000000000010000100000000 +.logic_tile 7 2 +000000000000000000000010100000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +011000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +010000000000000000000010100101011011000000000000000000 +010000000000000000000110111101111000000010000000000000 +000000000000000000000000000000001100000000110000000000 +000000000000000000000010110000011001000000110000000001 +000000000000000000000000010000011011000100100100000100 +000000000000000000000010001111011000001000010100000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +010000000000000000000000000000000000000000000100000000 +100000000000000000000000001111000000000010000100000000 +.logic_tile 8 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 3 +000000000000000000000110010001100000000000001000000000 +000000000000001101000010000000100000000000000000001000 +011000000000001000000110000000000001000000001000000000 +000000000000000001000000000000001010000000000000000000 +110000000000000000000000000000001000000100101100000000 +010000000000001101000000000001001001001000010100100000 +000000000000001011100000000000001000000100100100000001 +000000000000000001100000000101001001001000010100000000 +000000000000000000000000000000011001000100100100000000 +000000000000000000000011100001011000001000010100000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000011011000011000100000000 +100000000000000000000000000000001001000011000100000001 +.logic_tile 7 3 +000000000000000000000110010101100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +011000000000000000000000010000000001000000001000000000 +000000000000000000000010000000001010000000000000000000 +110000000000000000000010100000001000000100101100000000 +110000000000000000000010011101001001001000010100000001 +000010100000000000000000010000001000000100100100000000 +000000000000000101000010001101001001001000010100000001 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000001011000011000100000000 +000000000000000000000000000000011001000011000100000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 8 3 +000000000000000000000010110001100000000000001000000000 +000000000000000000000110000000000000000000000000001000 +011000000000000001100011100000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +110000000000000000000010100000001000000100101100000000 +100000000000000000000100001101001001001000010100000100 +000000000000000000000000000000001000000100100100000000 +000000000000000000000000001001001101001000010100000001 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001011000011000100000000 +000000000000000000000000000000011000000011000100000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 9 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 4 +000000000000000000000110010001000000000000001000000000 +000000000000000000000010000000100000000000000000001000 +011000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001111000000000000000000 +010000000000000000000111100000001000001100010100000000 +111000000000000000000000000111001001001100100110000000 +000000000000000000000000000001001111000011100100000000 +000000000000000000000000000000111111000011100100100001 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101100110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000010000000000000000000000000000 +100000000000000000000010100000000000000000000000000000 +.logic_tile 6 4 +000000000000000001100010100000001100000000110000100000 +000000000000000000000010100000011011000000110000000000 +011000000000000000000000010101011111000001000000000000 +000000000000000000000010000000011011000001000000000000 +110000000000000111100010100000000000000000000000000000 +111000000000000000100000000000000000000000000000000000 +000000000000000000000010110001011011000000000000000000 +000000000000000101000010001001111010000010000000000010 +000000000000000000000110000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000001000000000000000111100000011101000100100110000000 +000000100000000000000100000101011001001000010100000000 +010000000000000000000111000000000000000000000100000000 +100000000000000000000100000001000000000010000110000000 +.logic_tile 7 4 +000000000000000001000110011101101011010111100000000000 +000000000000000000000010001111101100000111010000000000 +000010000000001111100111101011011000010111100000000000 +000001000000001111000110100011001000001011100000100000 +000000000000000111000110001111001111010111100000000000 +001000000000001001000110010111011010001011100000000000 +000000000000001001100111101011001111010111100000000000 +000000000000000001100010011011101001001011100000000000 +000000000000000000000000000000011000110000000000000000 +001000000000001011010010110000001101110000000000000000 +000001000000000101000010101001101001000100000000000000 +000010100000001111100100001101111010001100000000000100 +000000000000000111100000010101101010010111100000000000 +000000000000001011100011010011111011000111010000000001 +000000000000000101000010100101101010010000000000000000 +000000000100000000100100000111101001110000000000000000 +.logic_tile 8 4 +000000000000000000000110000011011001000000000000000000 +000000000000000000000000001101001110000010000000000000 +011000000000000101000000010001111101100000000000000010 +000000000000000000000010000000101111100000000000000000 +110000000000000000000010100000000000000000000000000000 +101000000000000000000010010000000000000000000000000000 +000000000000000101000000000000001100000000110000000000 +000000000000000000000000000000001011000000110000000000 +000000000000001011100000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 +000000000000001001100000000000011011000100100110000000 +000000000000000101000000001001001100001000010100100000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000011000000000010000100000000 +010000000000000001100000010000000000000000000000000000 +101000000000000000000011100000000000000000000000000000 +.logic_tile 9 4 +000000000000000000000010100000000000000000000000000000 +000000000000000000000110110000000000000000000000000000 +011000000000000001000010100000011010000000110000000000 +000000000000000000000111110000001001000000110000000000 +110000000000000000000000000000011011110000000000100000 +001000000000000000000000000000001001110000000000000000 +000000000000001001100011100000000000000000000000000000 +000000000100000001000000000000000000000000000000000000 +010001000000000000000000000000011001110000000010000000 +111010000000000000000000000000001101110000000010000000 +000000000000000000000000010001011011000000000000000000 +001000000000000000000010001001111001000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000101000000000010000100000000 +010000000000100000000000000000000000000000000000000000 +100000000000010000000000000000000000000000000000000000 +.ramt_tile 10 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 5 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +001000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +.logic_tile 6 5 +000000000000000000000000010101101011000010000000000000 +000000000000000000000010000000111110000010000000100100 +111000000000000011000110010000001101110000000000000000 +000000000000000000100010000000001000110000000000000000 +000000000000000001100010011101001101101000010100000000 +000000000000000000000110000111111100111000100000000000 +000000000000000011000110010000000000000000000000000000 +000000000000001101110110000000000000000000000000000000 +000001000000001001000010000011011011101011110100000010 +000010100000001111000100000101001011001011110000000000 +000000000000001001100000001101101010101000010100000000 +000000000000001111000000000011011100110100010000000000 +000000000000000000000000001111101101101000010100000000 +001000000000000000000000001001111100110100010000000000 +001000000000000001100000001001001010101000010100000000 +000000000000000000000010101001111100110100010000000000 +.logic_tile 7 5 +000000000000000111100111110101111000001001010000000000 +001000000000000101100110100111111000010110100000100000 +000000000000101101100111010001001100001000000000000000 +010000000001110111000010101011101000010100000000000000 +000000000000000001100000011001101000010111100000100000 +000000000000000000000010101001011101000111010000000000 +000000000000100101100110110101101011100001010000000001 +000000000000000000000010000011001101000010100000000000 +000000000000000011100010010000000000000000000000000000 +000000000000001001000110100000000000000000000000000000 +000100000000000000000011100101101110000000010000000000 +000000000000000000000000000011111101010000100000000000 +000000000000001011100111101101001111010111100000000000 +001000000000000101000000001001101000001011100000000000 +000011000000000001100000000001111111011100000000000000 +000000000000000000000010010000101111011100000000100000 +.logic_tile 8 5 +000000000000001000000000010101011000010001000100000000 +000000000000000001000010000000011001010001000100000000 +011000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000001000000000010101011000000100100100000000 +011000000000000001000010000000011001000100100100000000 +000000100000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000011100000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000001110000000000000000001011010000011100100000100 +100000000000000000000000000000011011000011100100000000 +.logic_tile 9 5 +000000000000000101000110010001100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +011000000000000001100110000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +110000000000000101000000000000001000000100101100000000 +001000000000000000000000000101001001001000010101000000 +000000000000000001100000000000001000000100100101000000 +000000000000000000000000000001001001001000010100000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001011000011000100000000 +001000000000000000000000000000001000000011000100100001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000011011000100100100000000 +100000000000000000000000000001001001001000010100000001 +.ramb_tile 10 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 6 +000000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +111000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000111101111110001010100000000 +000000000000000000000000000000101001110001010000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100000000111101100110001010100000000 +000000000000000000000000000000101011110001010000000000 +000000000000000101100110110111101110110001010100000000 +000000000000000000000010100000001001110001010000000000 +.logic_tile 6 6 +000000000000000101000110000101101110101000010000000000 +000000000000000000100011000000011010101000010000000000 +111000000000000001100010101001111011111000000000000000 +000000000000000101000010101001111111111100000000100000 +000000000000001101000000001011101000100000000000000000 +000000000000000001100011000011011100010000100010000000 +000000000000000011100010001011111010000100000000000001 +000000000000001011000011011001101011000000000000000100 +000000000000001000000110111011101110010110100000000000 +000000000000001011000010000001111010000010000000000000 +000000000000000001000010011111101100101001010100000000 +000000000000001001000110100001011000111101110000000000 +000000000000000000000000010011001011111101010100100000 +000000000000000000000010001001101011111101110000000000 +000000000000000001000000011101001100111001110100100000 +000000001110000000100010101101011101110100110000000000 +.logic_tile 7 6 +000000000000000111000110110111111001000111000000000000 +000000000000000000000010000000001000000111000000000001 +111000000000001001100000001101101110101000010000000000 +000000000110001011000010010111111010101000000000000000 +000000000000000001100111011101101010101001010000000000 +000000000000001001000011001001011011000110100000000000 +000000000000001001100110010011001101111100000000000000 +000000000000000001000010000111101011111000000000000000 +000000000000000001000010110111101001100000000000000000 +000000000100001111100010010000011010100000000000000000 +000000000000000011000000010111101000111101110100000000 +000000000000000111000010010011011000101001010000000000 +000000000000001000000010101101101101101000010100000010 +000000000000000111000000001111111100111000100000000000 +000001000000001101100110000011101011111100010100000100 +000000100000001011100100000000101100111100010000000000 +.logic_tile 8 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +111000000000000101010110100000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000110011001111000111101000000000000 +000000000000000000000010001011101011010110000000000000 +000000000000000000000000000000001000001111110101100010 +000000000000000000000000000000011011001111110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000010010010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000001000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 7 +000000000000000000000000010001100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +101000000000000000000110010000000001000000001000000000 +001000000000001101000010000000001011000000000000000000 +000000000000000000000000000000001000001100110100000000 +000000000000000000000000000000001001110011000000000001 +000000000000000000000110010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011001001100110100000000 +000000000000000000000000000000011001110011000000000000 +010000000000000000000000000000011010000011110100000000 +010000000000000000000000000000010000000011110000000000 +.logic_tile 2 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 7 +000000000000000000000111100000000000000000000000000000 +000000000000000001000100000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +010000000000000000000111100011101001110001010100000000 +000000000000000000000111010000011001110001010000000000 +000000000000000000000000010011101011110001010100000000 +000000000000000000000010000000111011110001010000000000 +000000000000000000000110100001001010111000100100000000 +000000000000000000000010010000111000111000100000000000 +000000000000001101100000010000000000000000000000000000 +000000000000000101000010100000000000000000000000000000 +000000000000000000000000010011001000110001010100000000 +000000000000000000000010000000111101110001010000000000 +000000000000000000000000000011101010110001010100000000 +000000000000000000000000000000011111110001010000000000 +.logic_tile 6 7 +000000000000001001000010000000000000000000000000000000 +000000000000001011000110100000000000000000000000000000 +111000000000001111000111110101011011000001000000000000 +000000000000000001000010000001001000010110000000000000 +000000000000000001000000010001011111000000010000000000 +000000000000000000000010000000011000000000010000000000 +000000000000000011100111001011001000101001010101000000 +000000000000000000100011001101011100111101110000000000 +000000000000000001100110100011001011111101110100000000 +000000000000001001000000000000111011111101110000000000 +000000000000000001100011101001011111111000100100000000 +000000000000000000000000000011011001010100100000000000 +000000000000000001100000001001011100101001010100000000 +000000000000000000000000001001111110111101110000000000 +000000000000000000000110110000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +.logic_tile 7 7 +000000100000000011100000000000000000000000000000000000 +000000001010000000000010000000000000000000000000000000 +111000000000000101000000000111011011000000010000000100 +000000000000000000000000000000011000000000010000000000 +010000000000000000000000010000011110110000000000100000 +000000000000000000000011010000001110110000000000000000 +000000000000000000000010000000001100110000000000000000 +000000000000000000000100000000011000110000000000000000 +000000001110000000000010010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000001000110000000010000110 +000000000000000000000000000000011101110000000000000000 +000000000000000011100000000011001010010000110000000000 +000000000000100000000000000000111001010000110000000000 +110000000000000011000000001000000000000000000100100000 +110000000000000101100000000001000000000010000000000000 +.logic_tile 8 7 +000000000000000000000000010000000000000000000000000000 +000000000000001001000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000101000010010011111010000011110000000000 +000000000000001001100111111001111000000001110000000000 +010000000001000000000000010101001011000001000010000000 +010000000000100001010010001101101110101001000000000000 +000000000000000000000010000101101101000000010000000000 +000000000000000000000110010000011000000000010000000000 +000001000000001000000010000000001100110000000000000000 +000000100000000001000100000000011011110000000000000101 +000000000000000000000000000000001011110000000000000000 +000000000000000000000000000000001100110000000000000100 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +.logic_tile 9 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000001100 +000000000000000100 +001100011000000000 +000000001000000000 +000000000000000000 +100000000000000000 +000000000000000000 +001000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.logic_tile 1 8 +000000000000100000000000000000000000000000000000000000 +000000000001010001000011110000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +101000000000000001000000000000000000000000000000000000 +010000000000000111100000000000000000000000000000000000 +010000000000000000100000000000000000000000000000000000 +110000000000000111000010100000000000000000000000000000 +010000000000000000000010100000000000000000000000000000 +000000000000000000000000000101111101111101010000000000 +000000000000000000000000000001111011111100100000100000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000011000000011110100000000 +110000000000000000000000000000000000000011110000000000 +.logic_tile 2 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 8 +000000000000000001100000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +111000000000000000000000000111011001111000100100000000 +000000000000000101000000000000001101111000100000000000 +010000000000000000000000000101001101110001010100000000 +000000000000001011000000000000001011110001010000000001 +000000000000000000000010100001001110110001010100000001 +000000000000000000000000000000101000110001010000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 8 +000000000000001001010000000000000000000000000000000000 +000001000000000111000000000000000000000000000000000000 +111000000000000000000110010011101111110001010000000000 +000000000000000000000010000000001001110001010000000000 +000000000000001001100000000011111010101001010000000100 +000000000000000111000000000111001000000000010000000000 +000000000000001000000110010000000000000000000000000000 +000000000000000001000011010000000000000000000000000000 +000000000000000000000000000000011011000000110010000000 +000000000000100000000000000000011111000000110000000010 +000000000000000001000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000101100000000011111011000000010000000000 +000000000000000000000000000000001011000000010000000000 +000000000000000000000010000101011000101001010100000000 +000000000000000000000100000101011000111101110000000000 +.logic_tile 7 8 +000000000000001000000010110000001101110000000000000000 +000000000000000001000010000000001110110000000000000000 +111000001110001011000110000001101111001001010000000000 +000000000000001011000010010000001101001001010000000000 +000000000000000011100010101101101001000010000000000000 +000000000000000000100000000001111010000011000000000000 +000000000000001111000000010000001010000000110000000000 +000000000000001011000010000000001110000000110000000001 +000000000000000001100110000101111101000000010000000000 +000000000000000000000000000000001110000000010000000000 +000000000000000111100011101001011001101001010100000000 +000000000000000000000000000001011110111101110001000000 +000000000000001101100000000111001100001110000100100000 +000000000000000101000000000000011001001110000000000000 +000000000000001001100000000001101011111100010100000000 +000000000000000001000000000000011001111100010000100000 +.logic_tile 8 8 +000000000000000000000000000001111011001000000000100000 +000000000000000000000000000000111010001000000001000000 +000000000000000001000010100101111001001110000000000000 +000000000000001011000110110000111111001110000000100100 +000000000000001000000000001011101011101000010000000000 +000000000000000001000000001011001000110100110000100000 +000000000000000101000010100000011001001100000001100001 +000000000000000000100110110000011111001100000000000000 +010000000000000000000000000001111011000010000010000001 +010000000000000000000000000000111010000010000000000000 +000000000000000001100000000000011011001100000000000000 +000000000000000000000010000000001000001100000000000000 +000000000000000000000000000000011011000000110000100000 +000000000000000000000000000000011011000000110000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +.logic_tile 9 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 8 +000001111000000000 +000000001000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 9 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000001000 +000100000000000000 +000000000000000000 +000010000000000000 +000111010000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 9 +000000000000000111000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011000111100110001000000 +000000000000000000000000000000001101111100110000000000 +000000000000000000000000000000011000000011000000000000 +000000000000000000000000000000001000000011000010000000 +.ramb_tile 3 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 9 +000000000000000000000000010000000000000000000000000000 +000000000000000001000011010000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000010000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000000000000000010111001001110001010100000000 +000000000000000000000010000000011011110001010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101100000000011101010110001010100000000 +000000000000000000000000000000111000110001010000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +.logic_tile 6 9 +000000000000001001100000000000001010110000000100000000 +000000000000000001000010100000011000110000000000000000 +111000000110000001100110000000001000110000000100000000 +000000000000000000000000000000011000110000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001001110000000100000000 +000000000000000000000000000000011000110000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001001110000000100000000 +000000000000000000000000000000011001110000000000000000 +.logic_tile 7 9 +000010100000000000000111010000000000000000000000000000 +000000000010000000000010000000000000000000000000000000 +111000000000001000000110000101011000000011010000000000 +000000000000000001000011001111111010000011000010000000 +000000000000101011000111000111001101000000000000000000 +000000000001011001000100001111101011010000000000000000 +000000000000001000000110010011001011111100000001000000 +000000001010001001000010001011101001101100000000000000 +000000000000000101100011110000001100110000000000000000 +000000000000000000010011010000001101110000000000100000 +000000000000000000000000001111001001000011000000000000 +000000000000000000000000001101011010000011010000000100 +000000000000000111100011110011001000001000000000000000 +000000000000000000000011010111111101000000000000000000 +000000000000000000000000000111011001111100010100000000 +000000000000000000000000000000001001111100010000000000 +.logic_tile 8 9 +000000000000001000000110010000011101000000110000000100 +000000000000001111000010000000001010000000110000000000 +111000000000000001100111110101111001000100000001000000 +000000000000000001000010000000111000000100000000000000 +000000000000000011100111010011001010100000000000000000 +000000000000000101100010100000101000100000000000000000 +010000000000001001100110011001101101100000000000000000 +010000000000000011000010100111001000000000000000000000 +000000000000001001000111000111111000001110000000000000 +000000000000001111000100000000011011001110000000000010 +000000000000000111100000000000001000111100110100000000 +000000000000000001000000000000011001111100110000000010 +000000000000000000000000000101101101100011110100000000 +000000000000000000000000000000101100100011110000000000 +110000000000001011100000001001001010111101110100000010 +110000000000001111000000000101101011111111110000000010 +.logic_tile 9 9 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +.ramb_tile 10 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 9 +000000000000000111100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001100000000110001000000 +000000000000000000000000000000001000000000110000000000 +.logic_tile 12 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 9 +000000110000000000 +000100000000000000 +000001111000000000 +000000001000000000 +000000000000000100 +000000000000000000 +000100000000010000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 10 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000101000010010000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000101111011110001010100000001 +000000000000000000000000000000011011110001010000000000 +000000000000000000000110000101011000110001010100000000 +000000000000000000000100000000011111110001010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 10 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000001101000111010000001101110000000000000000 +000000000000000001100110000000011000110000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101000000010001111011000000000000000000 +000000000000000000100010000011101111010110000000000000 +000000000000000111000000000101111000110000000000000000 +000000000000000000000010000111111010110100000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000001011101011111000100000100 +000000000000000000000000000000111000011111000001000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +.logic_tile 8 10 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000001000000000000000011101010101000010100000010 +000000000000000000000000000000101000101000010000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 10 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 11 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000110010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001101000000000010000000100010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +.logic_tile 8 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 11 +000010000000000010 +000111110000000000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000010000 +001101111000000000 +000000001000000000 +000000000000000000 +000100000000000000 +000000000000010010 +000000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 12 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011000000011110001000000 +000000000000000011000000000000000000000011110000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 12 +000000000000000000000110010111100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +111000000000001011000110010000000001000000001000000000 +000000000000000001100010000000001101000000000000000000 +010000000000000000000000001000001000001000011100000000 +101000000000000000000000000101001001000100100000000000 +000000000000000011000000001000001000001000011100000000 +001000000000000000100000000001001001000100100000000000 +000000000000000000000000001000001001001000011100100000 +000000000000000000000000000101001000000100100000000000 +000000000000000001100110101000001001001000011100000000 +000000000000000000000000000001001100000100100000000000 +000000000000000000000000001000001001001000011100000000 +001000000000000000000000000101001001000100100000000010 +010000000000000000000000011000001001001000011100000001 +100000000000000000000010100001001001000100100000000000 +.logic_tile 5 12 +000000000000000000000000000101011011100000000000000000 +001000000000000000000000000000111011100000000000000000 +111000000000000101100110010000001100000011110000000000 +000000000000000000000010000000010000000011110010000000 +010000000000000000000110110000011000000011110000000000 +101000000000000000000010100000000000000011110000000000 +000000000000000011100110010000011010000011110001000000 +001000000000000000100010000000000000000011110000000000 +000000000000000000000010100000011100000011110000000000 +000000000000000000000010110000010000000011110000000000 +000000000000000000000111000000001010000011110000000000 +000000000000000000000000000000010000000011110000000000 +000000000000000000000000000000001101001100000100000000 +001000000000000000000000000000011001001100000000000000 +010000000000000000000000000000011001001000010100000000 +100000000000000000000000001011011001000100100000000000 +.logic_tile 6 12 +000000000000000011000010010001100000000000001000000000 +001000000000000000100010100000000000000000000000001000 +000000000000001101100111100000000000000000001000000000 +000000000000000101000000000000001011000000000000000000 +000000000000000000000010000000000000000000001000000000 +000000000000000000000111010000001001000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001000000000000000000000 +000000000000000000000000000001000001000000001000000000 +000000000000000000000000000000101000000000000000000000 +000100000000000000000010100101000001000000001000000000 +000000000000001101000100000000101101000000000000000000 +000000000000000000000000000001000001000000001000000000 +001000000000000000000000000000101100000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000000000 +.logic_tile 7 12 +000001100000000000000010100000001000111100110000000000 +000000100000000000000110010000011100111100110000000001 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000001000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000100100000 +000000000000000000000000000111000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +001010100000000011000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +.logic_tile 8 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000000000000000000011100000011110010000000 +000000000000000000000000000000010000000011110000000100 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000001111100000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000000011100000000000011111000000110100000000 +110000000000000000000000000000001010000000110000000001 +.logic_tile 9 12 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 12 +000000000000000010 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000100010 +000000000000010000 +000101111000000000 +000000001000000000 +000001011000000000 +000100000000000000 +000000000000010010 +000000000000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 0 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000010000000000000000000000000000 +001000000000000000000010110000000000000000000000000000 +000010100000000000000000000011011001110001110000000000 +000000000000000000000000000000111000110001110000100000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 13 +000000000000001001100110000000001000001000011100000000 +000000000000000001000011001001001000000100100000010010 +111000000000001000000000000000001000001000011100000000 +000000000000000001000000001101001000000100100010000000 +010000000000000000000000000000001000001000011100000000 +101000000000000000000011001001001101000100100000000000 +000000000000000000000000000000001000001000011100000000 +001000000000000000000000001101001001000100100000000000 +000000000000000000000000010000001001001000010100100000 +000000000000000000000010001001001000000100100000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +.logic_tile 5 13 +000000000000001101100110100000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000111100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011100000000101101010100000000000000000 +000000000000000101000000000000001000100000000000000000 +000000000000001000000000000000011110110000000000000000 +000000000000000101000000000000011000110000000000000000 +000000000000000000000000000000011000000011110000000000 +000000000000000000000000000000010000000011110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001000000011110000000000 +000000000000000000000000000000010000000011110000000000 +000000000000000000000110000000001010000011110000000000 +000000000000000000000100000000000000000011110000000000 +.logic_tile 6 13 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001001000000000000010000 +111000000000001000000110010101000001000000001000000000 +000000000000000001000010100000001011000000000000000000 +010000000000000101000110110000000001000000001000000000 +101000000000000000100010100000001000000000000000000000 +000000000000000000000000010101001000011100000001000010 +001000000000001011000010000000101011011100000000000000 +000000000000000000000000000000011000000011110000000000 +000000000000000000000000000000010000000011110000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000011010000011110000000000 +001000000000000000000000000000000000000011110000000000 +010000100000000000000000000000000000000000000100000000 +100000000000000000000000000000000000000010000000000000 +.logic_tile 7 13 +000000000000000011100000000001011011010000000000000001 +000000000000000000100000000000011100010000000000000000 +111000000000000000000000010111001011110000100000000000 +000000000000000000000010000000011010110000100000000001 +000000000000000000000110000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000000010000011000111111000000000100 +000000000000001101000010000000011111111111000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000111001010001110000000000100 +000000000000000001000000000000011110001110000000000000 +000000000000001011000000000001001011101100000100000000 +001000000000000001000010000000001100101100000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000011011101101111000000000000 +000000000000000000000000001011101100111111010010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000010000000000110110000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +.io_tile 13 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000011000000011110100000100 +100000000000000000000000000000000000000011110000000000 +.ramt_tile 3 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 14 +000000000000000000000000000101011001000010000001000000 +000000000000000000000011011101111001000000000000000000 +111000000000000000000110010000000000000010000000000000 +000000000000000011000010000000000000000000000000000010 +010000000000001000000010100000001100000011110001000100 +010000000000000001000110010000010000000011110000000000 +000000000000000001100110010000000000000000000000000000 +000000000000000000100010000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010100000000000000000001111011010000011100000100000 +000000000000000000000000001111101010000010100000100000 +000000000000000000000110100101111000000011110100000000 +000000000000000000000000000000110000111100001000000000 +010000000000000000000000010000011010000011110100000000 +010000000000000000000010100000010000000011111000000000 +.logic_tile 7 14 +000000000000000001100110000001011101000010110000000010 +000000000000000101000000000000111000000010110000000000 +111000000000001001100110010000001100110000000000000000 +000000000000000001000010000000011001110000000000000000 +000000000000001001100011001011001010101001010100000100 +000000000000000101000000001001001010111001010000000010 +000000000000000101000110011001001010111001110100000101 +000000000000000001000010001101011000101001110000000000 +000000000000000101100000011101111110111101010100000000 +000000000000000000000011111001011010111101110000000000 +000000000000000000000111001001111010101000010100000000 +000000000000000000000000000001001000110100010000000000 +000000000000000000000000001001111100101000010100000000 +000000000000000000000000001001111010110100010000000000 +000000000000000000000000001101111010101000010100000000 +000000000000000000000010000001101000111000100000000000 +.logic_tile 8 14 +000000000000100000000110110001001111111011110000000000 +000000001111000000000011000000111010111011110000000010 +111000000000000000000000000001001100010000000000000000 +000000000000001111000000000000111011010000000000000000 +110000001110000000000000010000000000000000000000000000 +110000000000000000000010100000000000000000000000000000 +000000000000000011100110110000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111100101101011000001110100000101 +000000000000000000000111000000111011000001110000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +.logic_tile 9 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +101000000000000000000000000000011110000011110000000100 +101000000000000000000000000000000000000011110000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001010000011110101000000 +000000000000000000000000000000010000000011110000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000010000000000011000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000011100000000000000000000000000000000000 +010000000000000000100000000000000000000000000000000000 +.ramt_tile 10 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000011000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 15 +000000000000000101010000010001100000000000001000000000 +000000000000000000000010000000100000000000000000001000 +111000000000000000000010100001100000000000001000000000 +000000000000000000000010100000101000000000000000000000 +110000000000000101000110000011101000111100001000000000 +110000000000000000000000000000101010111100000000000000 +000000000000000011100110000101001000000011110100000000 +000000000000000000100000000000100000111100001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000101101000000011110100000000 +110000000000000000000000000000110000111100001000000010 +.logic_tile 7 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 15 +000000000000000000000000000000001010000011110100100000 +000000000000000001010000000000000000000011111000000000 +001000000000001000000000000000000000000000000000000000 +001000001010001011000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +.ramb_tile 10 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 1 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 17 +000000111000000000 +000000001000000000 +000000000000000000 +000000000000000000 +000000000000000100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 17 +000000000000000000 +000000000000000000 +000000110000000000 +000000000000000000 +000000000000001100 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 17 +000000000000000000 +100100000000010000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +001000000000000000 +000000000000000000 +000000000000000000 +100000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 10 17 +000000000000000000 +100100000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000010 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000001 +000000000000000000 +000000000000000000 +.io_tile 11 17 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000010000000000000 +000101010000000000 +000000000000100010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 12 17 +000000111000000010 +000100001000000000 +000000000000000000 +000001110000000001 +000000000000000010 +000000000000110000 +001100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000010 +000000000000010000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.sym 2 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O$2 +.sym 3 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 4 stopwatch1.controller1.counter_enable_SB_LUT4_I2_O$2 +.sym 5 stopwatch1.clockDivider1.clk_out$2 +.sym 6 stopwatch1.counter_chain1.inst_sec_0X.ena$2 +.sym 7 clockDividerIce1.clk_out$2 +.sym 8 stopwatch1.counter_chain1.inst_min_0X.ena$2 +.sym 635 clockDividerIce1.counter[2] +.sym 639 clockDividerIce1.counter[1] +.sym 640 clockDividerIce1.counter[0] +.sym 750 clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O +.sym 753 clockDividerIce1.clk_out +.sym 830 clockDividerIce1.clk_out +.sym 836 CLK_IN$2 +.sym 944 stopwatch1.controller1.counter_enable_SB_LUT4_I2_O +.sym 2822 $true +.sym 2859 clockDividerIce1.counter[0]$2 +.sym 2860 $false +.sym 2861 clockDividerIce1.counter[0] +.sym 2862 $false +.sym 2863 $false +.sym 2865 clockDividerIce1.counter_SB_DFFSR_Q_D_SB_LUT4_O_I3 +.sym 2867 $false +.sym 2868 clockDividerIce1.counter[1] +.sym 2872 $false +.sym 2873 $false +.sym 2874 clockDividerIce1.counter[2] +.sym 2875 clockDividerIce1.counter_SB_DFFSR_Q_D_SB_LUT4_O_I3 +.sym 2896 $false +.sym 2897 $false +.sym 2898 clockDividerIce1.counter[1] +.sym 2899 clockDividerIce1.counter[0] +.sym 2902 $false +.sym 2903 $false +.sym 2904 $false +.sym 2905 clockDividerIce1.counter[0] +.sym 2906 $true +.sym 2907 CLK_IN$2 +.sym 2908 clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O +.sym 3019 clockDividerIce1.counter[2] +.sym 3020 clockDividerIce1.counter[1] +.sym 3021 clockDividerIce1.counter[0] +.sym 3022 clockDividerIce1.clk_out_SB_DFFESR_Q_R[3] +.sym 3037 $false +.sym 3038 $false +.sym 3039 $false +.sym 3040 clockDividerIce1.clk_out$2 +.sym 3041 clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O +.sym 3042 CLK_IN$2 +.sym 3043 clockDividerIce1.clk_out_SB_DFFESR_Q_R[3] +.sym 3050 stopwatch1.controller1.counter_enable_SB_LUT4_I2_O +.sym 3051 clockDividerIce1.clk_out_SB_DFFESR_Q_R[3] +.sym 3450 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 3589 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E +.sym 3726 stopwatch1.clockDivider1.clk_out +.sym 5372 $false +.sym 5373 $false +.sym 5374 stopwatch1.controller1.counter_enable +.sym 5375 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 5378 $false +.sym 5379 $false +.sym 5380 i_button_reset$2 +.sym 5381 i_board_reset$2 +.sym 5711 $false +.sym 5712 $false +.sym 5713 $false +.sym 5714 stopwatch1.clockDivider1.counter[4] +.sym 5858 $false +.sym 5859 stopwatch1.controller1.counter_enable +.sym 5860 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 5861 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 5993 $false +.sym 5994 $false +.sym 5995 $false +.sym 5996 stopwatch1.clockDivider1.clk_out$2 +.sym 5997 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E +.sym 5998 clockDividerIce1.clk_out$2 +.sym 5999 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 7480 stopwatch1.clockDivider1.counter[2] +.sym 7481 stopwatch1.clockDivider1.counter[3] +.sym 7482 stopwatch1.clockDivider1.counter[4] +.sym 7483 stopwatch1.clockDivider1.counter[5] +.sym 7484 stopwatch1.clockDivider1.counter[6] +.sym 7485 stopwatch1.clockDivider1.counter[7] +.sym 7580 stopwatch1.clockDivider1.counter[8] +.sym 7581 stopwatch1.clockDivider1.counter[9] +.sym 7582 stopwatch1.clockDivider1.counter[10] +.sym 7583 stopwatch1.clockDivider1.counter[11] +.sym 7584 stopwatch1.clockDivider1.counter[12] +.sym 8093 stopwatch1.controller1.counter_enable +.sym 8096 stopwatch1.controller1.display_enable +.sym 8530 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] +.sym 8531 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q +.sym 8777 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[7] +.sym 8780 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[8] +.sym 8781 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[6] +.sym 8899 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[9] +.sym 8900 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[5] +.sym 8901 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[1] +.sym 8903 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[4] +.sym 8904 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[10] +.sym 9021 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[2] +.sym 9022 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[11] +.sym 9023 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[3] +.sym 9146 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[13] +.sym 9149 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[12] +.sym 9512 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3[2] +.sym 9513 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 9514 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 9515 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 9516 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 9517 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.sym 9518 stopwatch1.clockDivider1.counter[0] +.sym 9519 stopwatch1.clockDivider1.counter[1] +.sym 9548 $true +.sym 9585 stopwatch1.clockDivider1.counter[0]$2 +.sym 9586 $false +.sym 9587 stopwatch1.clockDivider1.counter[0] +.sym 9588 $false +.sym 9589 $false +.sym 9591 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D_SB_LUT4_O_I3 +.sym 9593 $false +.sym 9594 stopwatch1.clockDivider1.counter[1] +.sym 9597 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D_SB_LUT4_O_I3 +.sym 9598 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9599 $false +.sym 9600 stopwatch1.clockDivider1.counter[2] +.sym 9601 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D_SB_LUT4_O_I3 +.sym 9603 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D_SB_LUT4_O_I3 +.sym 9604 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9605 $false +.sym 9606 stopwatch1.clockDivider1.counter[3] +.sym 9607 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D_SB_LUT4_O_I3 +.sym 9609 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D_SB_LUT4_O_I3 +.sym 9610 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9611 $false +.sym 9612 stopwatch1.clockDivider1.counter[4] +.sym 9613 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D_SB_LUT4_O_I3 +.sym 9615 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D_SB_LUT4_O_I3 +.sym 9616 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9617 $false +.sym 9618 stopwatch1.clockDivider1.counter[5] +.sym 9619 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D_SB_LUT4_O_I3 +.sym 9621 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D_SB_LUT4_O_I3 +.sym 9622 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9623 $false +.sym 9624 stopwatch1.clockDivider1.counter[6] +.sym 9625 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D_SB_LUT4_O_I3 +.sym 9627 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D_SB_LUT4_O_I3 +.sym 9628 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9629 $false +.sym 9630 stopwatch1.clockDivider1.counter[7] +.sym 9631 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D_SB_LUT4_O_I3 +.sym 9632 stopwatch1.controller1.counter_enable_SB_LUT4_I2_O$2 +.sym 9633 clockDividerIce1.clk_out$2 +.sym 9634 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 9637 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[1] +.sym 9638 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[0] +.sym 9639 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 9641 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 9642 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 9671 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D_SB_LUT4_O_I3 +.sym 9708 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D_SB_LUT4_O_I3 +.sym 9709 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9710 $false +.sym 9711 stopwatch1.clockDivider1.counter[8] +.sym 9712 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D_SB_LUT4_O_I3 +.sym 9714 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D_SB_LUT4_O_I3 +.sym 9715 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9716 $false +.sym 9717 stopwatch1.clockDivider1.counter[9] +.sym 9718 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D_SB_LUT4_O_I3 +.sym 9720 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D_SB_LUT4_O_I3 +.sym 9721 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9722 $false +.sym 9723 stopwatch1.clockDivider1.counter[10] +.sym 9724 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D_SB_LUT4_O_I3 +.sym 9726 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_1_D_SB_LUT4_O_I3 +.sym 9727 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9728 $false +.sym 9729 stopwatch1.clockDivider1.counter[11] +.sym 9730 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D_SB_LUT4_O_I3 +.sym 9733 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 9734 $false +.sym 9735 stopwatch1.clockDivider1.counter[12] +.sym 9736 stopwatch1.clockDivider1.counter_SB_DFFESR_Q_1_D_SB_LUT4_O_I3 +.sym 9755 stopwatch1.controller1.counter_enable_SB_LUT4_I2_O$2 +.sym 9756 clockDividerIce1.clk_out$2 +.sym 9757 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 10355 stopwatch1.counter_chain1.inst_ces_X0.ena +.sym 10356 stopwatch1.counter_chain1.inst_sec_0X.ena +.sym 10361 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[0] +.sym 10515 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] +.sym 10516 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] +.sym 10517 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] +.sym 10520 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 10636 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 10637 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[0] +.sym 10639 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] +.sym 10642 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] +.sym 10643 stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[1] +.sym 10672 $true +.sym 10709 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q$2 +.sym 10710 $false +.sym 10711 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q +.sym 10712 $false +.sym 10713 $false +.sym 10715 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_I3 +.sym 10717 $false +.sym 10718 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] +.sym 10722 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[0] +.sym 10723 $false +.sym 10724 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] +.sym 10725 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_I3 +.sym 10728 $false +.sym 10729 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] +.sym 10730 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] +.sym 10731 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q +.sym 10756 stopwatch1.counter_chain1.inst_sec_X0.ena +.sym 10757 stopwatch1.clockDivider1.clk_out$2 +.sym 10758 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 10759 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[3] +.sym 10760 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[0] +.sym 10761 stopwatch1.SPI_wrapper1.digit_count[2] +.sym 10763 stopwatch1.SPI_wrapper1.word_out[1] +.sym 10764 stopwatch1.SPI_wrapper1.digit_count[4] +.sym 10765 stopwatch1.SPI_wrapper1.digit_count[5] +.sym 10766 stopwatch1.SPI_wrapper1.digit_count[6] +.sym 10882 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[3] +.sym 10883 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[1] +.sym 10884 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] +.sym 10885 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] +.sym 10886 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[3] +.sym 10887 stopwatch1.SPI_wrapper1.word_out[6] +.sym 10888 stopwatch1.SPI_wrapper1.word_out[8] +.sym 10889 stopwatch1.SPI_wrapper1.word_out[7] +.sym 10974 $false +.sym 10975 stopwatch1.SPI_wrapper1.word_out[7] +.sym 10976 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[6] +.sym 10977 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 10992 $false +.sym 10993 stopwatch1.SPI_wrapper1.word_out[8] +.sym 10994 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[7] +.sym 10995 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 10998 $false +.sym 10999 stopwatch1.SPI_wrapper1.word_out[6] +.sym 11000 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[5] +.sym 11001 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 11002 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O$2 +.sym 11003 clockDividerIce1.clk_out$2 +.sym 11004 $false +.sym 11006 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] +.sym 11007 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[2] +.sym 11008 stopwatch1.SPI_wrapper1.word_out[4] +.sym 11009 stopwatch1.SPI_wrapper1.word_out[10] +.sym 11010 stopwatch1.SPI_wrapper1.word_out[9] +.sym 11011 stopwatch1.SPI_wrapper1.word_out[5] +.sym 11091 $false +.sym 11092 stopwatch1.SPI_wrapper1.word_out[9] +.sym 11093 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[8] +.sym 11094 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 11097 $false +.sym 11098 stopwatch1.SPI_wrapper1.word_out[5] +.sym 11099 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[4] +.sym 11100 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 11103 $false +.sym 11104 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 11105 stopwatch1.SPI_wrapper1.word_out[1] +.sym 11106 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[0] +.sym 11115 $false +.sym 11116 stopwatch1.SPI_wrapper1.word_out[4] +.sym 11117 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[3] +.sym 11118 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 11121 $false +.sym 11122 stopwatch1.SPI_wrapper1.word_out[10] +.sym 11123 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[9] +.sym 11124 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 11125 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O$2 +.sym 11126 clockDividerIce1.clk_out$2 +.sym 11127 $false +.sym 11129 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[1] +.sym 11130 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] +.sym 11132 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 11134 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[3] +.sym 11135 stopwatch1.SPI_wrapper1.word_out[2] +.sym 11208 $false +.sym 11209 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 11210 stopwatch1.SPI_wrapper1.word_out[2] +.sym 11211 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[1] +.sym 11214 $false +.sym 11215 stopwatch1.SPI_wrapper1.word_out[11] +.sym 11216 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[10] +.sym 11217 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 11220 $false +.sym 11221 stopwatch1.SPI_wrapper1.word_out[3] +.sym 11222 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[2] +.sym 11223 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 11248 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O$2 +.sym 11249 clockDividerIce1.clk_out$2 +.sym 11250 $false +.sym 11251 stopwatch1.SPI_wrapper1.word_out[14] +.sym 11252 stopwatch1.SPI_wrapper1.word_out[13] +.sym 11256 stopwatch1.SPI_wrapper1.word_out[15] +.sym 11258 stopwatch1.SPI_wrapper1.word_out[12] +.sym 11343 $false +.sym 11344 stopwatch1.SPI_wrapper1.word_out[13] +.sym 11345 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[12] +.sym 11346 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 11361 $false +.sym 11362 stopwatch1.SPI_wrapper1.word_out[12] +.sym 11363 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[11] +.sym 11364 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 11371 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O$2 +.sym 11372 clockDividerIce1.clk_out$2 +.sym 11373 $false +.sym 11379 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[15] +.sym 11380 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[14] +.sym 11694 $false +.sym 11695 stopwatch1.clockDivider1.counter[2] +.sym 11696 stopwatch1.clockDivider1.counter[1] +.sym 11697 stopwatch1.clockDivider1.counter[0] +.sym 11700 $false +.sym 11701 $false +.sym 11702 $false +.sym 11703 stopwatch1.clockDivider1.counter[10] +.sym 11706 $false +.sym 11707 $false +.sym 11708 $false +.sym 11709 stopwatch1.clockDivider1.counter[5] +.sym 11712 $false +.sym 11713 $false +.sym 11714 $false +.sym 11715 stopwatch1.clockDivider1.counter[6] +.sym 11718 $false +.sym 11719 $false +.sym 11720 $false +.sym 11721 stopwatch1.clockDivider1.counter[7] +.sym 11724 $false +.sym 11725 $false +.sym 11726 $false +.sym 11727 stopwatch1.clockDivider1.counter[3] +.sym 11730 $false +.sym 11731 $false +.sym 11732 stopwatch1.clockDivider1.counter[0] +.sym 11733 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 11736 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 11737 $false +.sym 11738 stopwatch1.clockDivider1.counter[1] +.sym 11739 stopwatch1.clockDivider1.counter[0] +.sym 11740 stopwatch1.controller1.counter_enable_SB_LUT4_I2_O$2 +.sym 11741 clockDividerIce1.clk_out$2 +.sym 11742 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 11746 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.sym 11747 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I1 +.sym 11749 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 11750 stopwatch1.clockDivider1.counter[13] +.sym 11829 $false +.sym 11830 stopwatch1.clockDivider1.counter[12] +.sym 11831 stopwatch1.clockDivider1.counter[8] +.sym 11832 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3[2] +.sym 11835 $false +.sym 11836 $false +.sym 11837 stopwatch1.clockDivider1.counter[9] +.sym 11838 stopwatch1.clockDivider1.counter[7] +.sym 11841 $false +.sym 11842 $false +.sym 11843 $false +.sym 11844 stopwatch1.clockDivider1.counter[9] +.sym 11853 $false +.sym 11854 $false +.sym 11855 $false +.sym 11856 stopwatch1.clockDivider1.counter[11] +.sym 11859 $false +.sym 11860 $false +.sym 11861 $false +.sym 11862 stopwatch1.clockDivider1.counter[8] +.sym 11866 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] +.sym 11867 $true$2 +.sym 11868 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.sym 11871 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E +.sym 11872 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] +.sym 11873 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] +.sym 11991 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D_SB_LUT4_O_I3 +.sym 11992 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[3] +.sym 11996 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] +.sym 12309 stopwatch1.counter_chain1.inst_sec_0X.ena +.sym 12465 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[1] +.sym 12466 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 12467 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] +.sym 12470 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3[1] +.sym 12579 $false +.sym 12580 $false +.sym 12581 stopwatch1.controller1.counter_enable +.sym 12582 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3[1] +.sym 12585 $false +.sym 12586 $false +.sym 12587 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[0] +.sym 12588 stopwatch1.counter_chain1.inst_ces_X0.ena +.sym 12615 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] +.sym 12616 $false +.sym 12617 $false +.sym 12618 $false +.sym 12619 stopwatch1.counter_chain1.inst_ces_X0.ena +.sym 12620 stopwatch1.clockDivider1.clk_out$2 +.sym 12621 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 12624 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] +.sym 12625 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] +.sym 12628 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 12658 $true +.sym 12695 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3$2 +.sym 12696 $false +.sym 12697 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 12698 $false +.sym 12699 $false +.sym 12701 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 +.sym 12703 $false +.sym 12704 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] +.sym 12707 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 +.sym 12708 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 12709 $false +.sym 12710 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] +.sym 12711 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 +.sym 12714 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 12715 $false +.sym 12716 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] +.sym 12717 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 +.sym 12720 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 12721 $false +.sym 12722 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] +.sym 12723 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 12738 $false +.sym 12739 $false +.sym 12740 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 12741 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 12742 stopwatch1.counter_chain1.inst_ces_X0.ena +.sym 12743 stopwatch1.clockDivider1.clk_out$2 +.sym 12744 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 12745 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[2] +.sym 12746 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[3] +.sym 12747 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] +.sym 12748 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[2] +.sym 12749 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[2] +.sym 12750 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[3] +.sym 12751 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[3] +.sym 12752 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[2] +.sym 12819 $false +.sym 12820 $false +.sym 12821 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] +.sym 12822 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] +.sym 12825 $false +.sym 12826 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] +.sym 12827 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] +.sym 12828 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q +.sym 12837 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] +.sym 12838 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] +.sym 12839 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] +.sym 12840 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 12855 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] +.sym 12856 $false +.sym 12857 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] +.sym 12858 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q +.sym 12861 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[0] +.sym 12862 $false +.sym 12863 $false +.sym 12864 $false +.sym 12865 stopwatch1.counter_chain1.inst_sec_X0.ena +.sym 12866 stopwatch1.clockDivider1.clk_out$2 +.sym 12867 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 12868 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[2] +.sym 12869 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[3] +.sym 12870 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] +.sym 12871 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[3] +.sym 12873 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_I3[2] +.sym 12874 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[1] +.sym 12875 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[2] +.sym 12942 $false +.sym 12943 stopwatch1.SPI_wrapper1.digit_count[5] +.sym 12944 stopwatch1.SPI_wrapper1.digit_count[4] +.sym 12945 stopwatch1.SPI_wrapper1.digit_count[2] +.sym 12948 $false +.sym 12949 $false +.sym 12950 stopwatch1.SPI_wrapper1.digit_count[0] +.sym 12951 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] +.sym 12954 stopwatch1.SPI_wrapper1.digit_count[4] +.sym 12955 stopwatch1.SPI_wrapper1.digit_count[2] +.sym 12956 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 12957 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] +.sym 12966 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[0] +.sym 12967 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 12968 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[2] +.sym 12969 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[3] +.sym 12972 stopwatch1.SPI_wrapper1.digit_count[4] +.sym 12973 stopwatch1.SPI_wrapper1.digit_count[0] +.sym 12974 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 12975 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] +.sym 12978 stopwatch1.SPI_wrapper1.digit_count[5] +.sym 12979 stopwatch1.SPI_wrapper1.digit_count[1] +.sym 12980 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 12981 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] +.sym 12984 stopwatch1.SPI_wrapper1.digit_count[6] +.sym 12985 stopwatch1.SPI_wrapper1.digit_count[2] +.sym 12986 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 12987 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] +.sym 12988 $true +.sym 12989 clockDividerIce1.clk_out$2 +.sym 12990 $false +.sym 12991 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[0] +.sym 12992 stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I3[2] +.sym 12993 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[3] +.sym 12994 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[1] +.sym 12995 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[1] +.sym 12996 stopwatch1.SPI_wrapper1.word_out[0] +.sym 12997 stopwatch1.SPI_wrapper1.digit_count[1] +.sym 12998 stopwatch1.SPI_wrapper1.digit_count[3] +.sym 13065 $false +.sym 13066 stopwatch1.SPI_wrapper1.word_out[8] +.sym 13067 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] +.sym 13068 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] +.sym 13071 stopwatch1.SPI_wrapper1.digit_count[6] +.sym 13072 stopwatch1.SPI_wrapper1.digit_count[5] +.sym 13073 stopwatch1.SPI_wrapper1.digit_count[1] +.sym 13074 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 13077 i_board_reset$2 +.sym 13078 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 13079 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.sym 13080 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] +.sym 13083 stopwatch1.SPI_wrapper1.digit_count[6] +.sym 13084 stopwatch1.SPI_wrapper1.digit_count[1] +.sym 13085 stopwatch1.SPI_wrapper1.digit_count[0] +.sym 13086 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[3] +.sym 13089 stopwatch1.SPI_wrapper1.word_out[1] +.sym 13090 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] +.sym 13091 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] +.sym 13092 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] +.sym 13095 stopwatch1.SPI_wrapper1.word_out[6] +.sym 13096 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] +.sym 13097 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] +.sym 13098 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] +.sym 13101 stopwatch1.SPI_wrapper1.digit_count[0] +.sym 13102 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[1] +.sym 13103 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 13104 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[3] +.sym 13107 stopwatch1.SPI_wrapper1.word_out[7] +.sym 13108 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] +.sym 13109 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[1] +.sym 13110 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] +.sym 13111 $true +.sym 13112 clockDividerIce1.clk_out$2 +.sym 13113 $false +.sym 13115 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[1] +.sym 13116 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[1] +.sym 13117 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] +.sym 13119 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 13120 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3[2] +.sym 13121 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[0] +.sym 13194 i_board_reset$2 +.sym 13195 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 13196 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.sym 13197 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] +.sym 13200 $false +.sym 13201 i_board_reset$2 +.sym 13202 stopwatch1.SPI_wrapper1.word_out[10] +.sym 13203 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3[2] +.sym 13206 stopwatch1.SPI_wrapper1.word_out[4] +.sym 13207 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] +.sym 13208 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] +.sym 13209 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] +.sym 13212 $false +.sym 13213 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] +.sym 13214 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[1] +.sym 13215 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[2] +.sym 13218 stopwatch1.SPI_wrapper1.word_out[9] +.sym 13219 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[3] +.sym 13220 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 13221 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] +.sym 13224 stopwatch1.SPI_wrapper1.word_out[5] +.sym 13225 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] +.sym 13226 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] +.sym 13227 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] +.sym 13234 $true +.sym 13235 clockDividerIce1.clk_out$2 +.sym 13236 $false +.sym 13237 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[1] +.sym 13238 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 13239 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[1] +.sym 13240 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[2] +.sym 13241 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[2] +.sym 13242 stopwatch1.SPI_wrapper1.word_out[3] +.sym 13243 stopwatch1.SPI_wrapper1.word_out[11] +.sym 13244 stopwatch1.SPI_wrapper1.sent_ON +.sym 13317 $false +.sym 13318 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] +.sym 13319 stopwatch1.SPI_wrapper1.word_out[2] +.sym 13320 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 13323 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 13324 i_board_reset$2 +.sym 13325 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.sym 13326 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 13335 $false +.sym 13336 $false +.sym 13337 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 13338 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] +.sym 13347 $false +.sym 13348 i_board_reset$2 +.sym 13349 stopwatch1.SPI_wrapper1.word_out[2] +.sym 13350 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 13353 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.sym 13354 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[1] +.sym 13355 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[2] +.sym 13356 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[3] +.sym 13357 $true +.sym 13358 clockDividerIce1.clk_out$2 +.sym 13359 $false +.sym 13361 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[2] +.sym 13362 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[2] +.sym 13363 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[3] +.sym 13364 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] +.sym 13365 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[3] +.sym 13366 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[0] +.sym 13367 stopwatch1.SPI_wrapper1.sent_BCD +.sym 13434 $false +.sym 13435 $false +.sym 13436 stopwatch1.SPI_wrapper1.word_out[14] +.sym 13437 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] +.sym 13440 $false +.sym 13441 $false +.sym 13442 stopwatch1.SPI_wrapper1.word_out[13] +.sym 13443 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] +.sym 13464 $false +.sym 13465 $false +.sym 13466 stopwatch1.SPI_wrapper1.word_out[15] +.sym 13467 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] +.sym 13476 $false +.sym 13477 $false +.sym 13478 stopwatch1.SPI_wrapper1.word_out[12] +.sym 13479 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] +.sym 13480 $true +.sym 13481 clockDividerIce1.clk_out$2 +.sym 13482 $false +.sym 13484 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[2] +.sym 13486 stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[0] +.sym 13487 stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[1] +.sym 13489 stopwatch1.SPI_wrapper1.Cs +.sym 13587 $false +.sym 13588 stopwatch1.SPI_wrapper1.word_out[15] +.sym 13589 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[14] +.sym 13590 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 13593 $false +.sym 13594 stopwatch1.SPI_wrapper1.word_out[14] +.sym 13595 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[13] +.sym 13596 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 13603 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O$2 +.sym 13604 clockDividerIce1.clk_out$2 +.sym 13605 $false +.sym 13611 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 13729 stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[2] +.sym 13732 stopwatch1.SPI_wrapper1.SPI_Master1.report_send +.sym 13765 $true +.sym 13802 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI$2 +.sym 13803 $false +.sym 13804 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.sym 13805 $false +.sym 13806 $false +.sym 13808 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.sym 13810 $false +.sym 13811 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 13814 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.sym 13816 $false +.sym 13817 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 13820 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.sym 13822 $false +.sym 13823 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 13826 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.sym 13828 $true$2 +.sym 13829 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 13832 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.sym 13834 $true$2 +.sym 13835 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 13838 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.sym 13840 $true$2 +.sym 13841 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 13844 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.sym 13846 $false +.sym 13847 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 13852 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] +.sym 13853 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[3] +.sym 13855 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] +.sym 13857 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] +.sym 13858 stopwatch1.SPI_wrapper1.SPI_Master1.state[0] +.sym 13888 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.sym 13925 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI +.sym 13927 $false +.sym 13928 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 13931 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI +.sym 13933 $true$2 +.sym 13934 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1 +.sym 13937 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3 +.sym 13939 $false +.sym 13940 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I1 +.sym 13944 $false +.sym 13945 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[0] +.sym 13946 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[1] +.sym 13947 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3 +.sym 13950 $false +.sym 13951 $false +.sym 13952 $false +.sym 13953 stopwatch1.clockDivider1.counter[13] +.sym 13962 $false +.sym 13963 $false +.sym 13964 $false +.sym 13965 stopwatch1.clockDivider1.counter[12] +.sym 13968 $false +.sym 13969 $false +.sym 13970 $false +.sym 13971 $false +.sym 13972 stopwatch1.controller1.counter_enable_SB_LUT4_I2_O$2 +.sym 13973 clockDividerIce1.clk_out$2 +.sym 13974 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 13975 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O +.sym 13976 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] +.sym 13977 stopwatch1.SPI_wrapper1.SPI_Master1.state[1] +.sym 13978 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 13979 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] +.sym 13980 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] +.sym 13981 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] +.sym 13982 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] +.sym 14049 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[3] +.sym 14050 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] +.sym 14051 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] +.sym 14052 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] +.sym 14055 $false +.sym 14056 $false +.sym 14057 $false +.sym 14058 $false +.sym 14061 $false +.sym 14062 $false +.sym 14063 $false +.sym 14064 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 14079 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] +.sym 14080 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 14081 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] +.sym 14082 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] +.sym 14085 $false +.sym 14086 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] +.sym 14087 $false +.sym 14088 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] +.sym 14091 $false +.sym 14092 $false +.sym 14093 $false +.sym 14094 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] +.sym 14095 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E +.sym 14096 clockDividerIce1.clk_out$2 +.sym 14097 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.sym 14134 $true +.sym 14171 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0]$2 +.sym 14172 $false +.sym 14173 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] +.sym 14174 $false +.sym 14175 $false +.sym 14177 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D_SB_LUT4_O_I3$2 +.sym 14179 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] +.sym 14180 $true$2 +.sym 14183 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_D_SB_LUT4_O_I3 +.sym 14185 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] +.sym 14186 $true$2 +.sym 14187 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D_SB_LUT4_O_I3$2 +.sym 14190 $false +.sym 14191 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[3] +.sym 14192 $false +.sym 14193 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_D_SB_LUT4_O_I3 +.sym 14214 $false +.sym 14215 stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] +.sym 14216 $false +.sym 14217 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D_SB_LUT4_O_I3 +.sym 14218 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E +.sym 14219 clockDividerIce1.clk_out$2 +.sym 14220 stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.sym 14388 stopwatch1.clockDivider1.clk_out +.sym 14694 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] +.sym 14695 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] +.sym 14696 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] +.sym 14697 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 14700 $false +.sym 14701 $false +.sym 14702 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] +.sym 14703 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[1] +.sym 14706 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 14707 $false +.sym 14708 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] +.sym 14709 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 14724 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[1] +.sym 14725 $false +.sym 14726 $false +.sym 14727 $false +.sym 14728 stopwatch1.controller1.counter_enable +.sym 14729 stopwatch1.clockDivider1.clk_out$2 +.sym 14730 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 14733 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] +.sym 14734 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] +.sym 14736 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q +.sym 14767 $true +.sym 14804 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3$2 +.sym 14805 $false +.sym 14806 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 14807 $false +.sym 14808 $false +.sym 14810 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_I3 +.sym 14812 $false +.sym 14813 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] +.sym 14816 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 +.sym 14817 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 14818 $false +.sym 14819 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] +.sym 14820 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_I3 +.sym 14823 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 14824 $false +.sym 14825 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] +.sym 14826 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 +.sym 14841 $false +.sym 14842 $false +.sym 14843 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 14844 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 14851 stopwatch1.controller1.counter_enable +.sym 14852 stopwatch1.clockDivider1.clk_out$2 +.sym 14853 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 14854 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[1] +.sym 14855 stopwatch1.counter_chain1.inst_min_X0.ena +.sym 14857 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] +.sym 14859 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] +.sym 14860 stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[0] +.sym 14928 stopwatch1.SPI_wrapper1.digit_count[6] +.sym 14929 stopwatch1.SPI_wrapper1.digit_count[0] +.sym 14930 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] +.sym 14931 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] +.sym 14934 stopwatch1.SPI_wrapper1.digit_count[4] +.sym 14935 stopwatch1.SPI_wrapper1.digit_count[1] +.sym 14936 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] +.sym 14937 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] +.sym 14940 stopwatch1.SPI_wrapper1.digit_count[4] +.sym 14941 stopwatch1.SPI_wrapper1.digit_count[1] +.sym 14942 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q +.sym 14943 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 14946 stopwatch1.SPI_wrapper1.digit_count[6] +.sym 14947 stopwatch1.SPI_wrapper1.digit_count[2] +.sym 14948 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] +.sym 14949 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] +.sym 14952 $false +.sym 14953 $false +.sym 14954 stopwatch1.SPI_wrapper1.digit_count[2] +.sym 14955 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 14958 stopwatch1.SPI_wrapper1.digit_count[0] +.sym 14959 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 14960 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[2] +.sym 14961 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] +.sym 14964 stopwatch1.SPI_wrapper1.digit_count[1] +.sym 14965 stopwatch1.SPI_wrapper1.digit_count[0] +.sym 14966 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] +.sym 14967 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] +.sym 14970 stopwatch1.SPI_wrapper1.digit_count[1] +.sym 14971 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] +.sym 14972 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[2] +.sym 14973 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] +.sym 14977 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] +.sym 14979 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] +.sym 14984 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] +.sym 15051 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15052 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[1] +.sym 15053 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[2] +.sym 15054 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[3] +.sym 15057 stopwatch1.SPI_wrapper1.state[2] +.sym 15058 stopwatch1.SPI_wrapper1.digit_count[2] +.sym 15059 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] +.sym 15060 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[3] +.sym 15063 stopwatch1.SPI_wrapper1.digit_count[5] +.sym 15064 stopwatch1.SPI_wrapper1.digit_count[4] +.sym 15065 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] +.sym 15066 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] +.sym 15069 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15070 stopwatch1.SPI_wrapper1.digit_count[4] +.sym 15071 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] +.sym 15072 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[3] +.sym 15081 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15082 stopwatch1.SPI_wrapper1.digit_count[6] +.sym 15083 stopwatch1.SPI_wrapper1.state[2] +.sym 15084 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q +.sym 15087 stopwatch1.SPI_wrapper1.digit_count[5] +.sym 15088 stopwatch1.SPI_wrapper1.digit_count[2] +.sym 15089 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] +.sym 15090 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] +.sym 15093 $false +.sym 15094 stopwatch1.SPI_wrapper1.digit_count[5] +.sym 15095 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] +.sym 15096 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_I3[2] +.sym 15102 stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[0] +.sym 15103 stopwatch1.SPI_wrapper1.digit_count[0] +.sym 15174 $false +.sym 15175 i_board_reset$2 +.sym 15176 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15177 stopwatch1.SPI_wrapper1.word_out[0] +.sym 15180 i_board_reset$2 +.sym 15181 stopwatch1.SPI_wrapper1.digit_count[3] +.sym 15182 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.sym 15183 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] +.sym 15186 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] +.sym 15187 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[1] +.sym 15188 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[2] +.sym 15189 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[3] +.sym 15192 stopwatch1.SPI_wrapper1.state[2] +.sym 15193 stopwatch1.SPI_wrapper1.digit_count[2] +.sym 15194 stopwatch1.SPI_wrapper1.digit_count[1] +.sym 15195 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] +.sym 15198 $false +.sym 15199 i_board_reset$2 +.sym 15200 stopwatch1.SPI_wrapper1.word_out[0] +.sym 15201 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] +.sym 15204 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[0] +.sym 15205 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[1] +.sym 15206 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[1] +.sym 15207 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[3] +.sym 15210 stopwatch1.SPI_wrapper1.digit_count[6] +.sym 15211 stopwatch1.SPI_wrapper1.digit_count[1] +.sym 15212 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 15213 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] +.sym 15216 $false +.sym 15217 stopwatch1.SPI_wrapper1.digit_count[5] +.sym 15218 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.sym 15219 stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I3[2] +.sym 15220 $true +.sym 15221 clockDividerIce1.clk_out$2 +.sym 15222 $false +.sym 15225 stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[1] +.sym 15226 stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] +.sym 15227 stopwatch1.controller1.display_enable_SB_LUT4_I1_O[2] +.sym 15228 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] +.sym 15229 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] +.sym 15303 $false +.sym 15304 i_board_reset$2 +.sym 15305 stopwatch1.SPI_wrapper1.word_out[0] +.sym 15306 stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] +.sym 15309 $false +.sym 15310 $false +.sym 15311 i_board_reset$2 +.sym 15312 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] +.sym 15315 $false +.sym 15316 $false +.sym 15317 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15318 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[1] +.sym 15327 $false +.sym 15328 $false +.sym 15329 stopwatch1.SPI_wrapper1.state[2] +.sym 15330 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] +.sym 15333 $false +.sym 15334 stopwatch1.SPI_wrapper1.state[2] +.sym 15335 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] +.sym 15336 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] +.sym 15339 stopwatch1.SPI_wrapper1.word_out[0] +.sym 15340 $false +.sym 15341 $false +.sym 15342 $false +.sym 15343 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O$2 +.sym 15344 clockDividerIce1.clk_out$2 +.sym 15345 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 15346 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.sym 15347 stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] +.sym 15348 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[3] +.sym 15349 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] +.sym 15350 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] +.sym 15351 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[1] +.sym 15352 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] +.sym 15420 $false +.sym 15421 $false +.sym 15422 stopwatch1.SPI_wrapper1.word_out[3] +.sym 15423 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.sym 15426 $false +.sym 15427 i_board_reset$2 +.sym 15428 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15429 stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] +.sym 15432 i_board_reset$2 +.sym 15433 stopwatch1.SPI_wrapper1.word_out[11] +.sym 15434 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] +.sym 15435 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] +.sym 15438 $false +.sym 15439 $false +.sym 15440 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.sym 15441 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 15444 $false +.sym 15445 i_board_reset$2 +.sym 15446 stopwatch1.SPI_wrapper1.word_out[3] +.sym 15447 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.sym 15450 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] +.sym 15451 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[1] +.sym 15452 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[2] +.sym 15453 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[3] +.sym 15456 $false +.sym 15457 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.sym 15458 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[1] +.sym 15459 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[2] +.sym 15462 $false +.sym 15463 i_board_reset$2 +.sym 15464 stopwatch1.SPI_wrapper1.sent_ON +.sym 15465 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] +.sym 15466 $true +.sym 15467 clockDividerIce1.clk_out$2 +.sym 15468 $false +.sym 15469 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R +.sym 15470 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] +.sym 15471 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[1] +.sym 15472 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[0] +.sym 15473 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3[2] +.sym 15474 stopwatch1.SPI_wrapper1.state[1] +.sym 15475 stopwatch1.SPI_wrapper1.state[0] +.sym 15476 stopwatch1.SPI_wrapper1.state[2] +.sym 15549 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15550 stopwatch1.SPI_wrapper1.digit_count[3] +.sym 15551 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.sym 15552 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[3] +.sym 15555 stopwatch1.SPI_wrapper1.SPI_Master1.report_send +.sym 15556 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15557 stopwatch1.SPI_wrapper1.sent_BCD +.sym 15558 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] +.sym 15561 stopwatch1.SPI_wrapper1.SPI_Master1.report_send +.sym 15562 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15563 stopwatch1.SPI_wrapper1.sent_BCD +.sym 15564 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] +.sym 15567 $false +.sym 15568 $false +.sym 15569 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15570 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] +.sym 15573 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[0] +.sym 15574 i_board_reset$2 +.sym 15575 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] +.sym 15576 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[2] +.sym 15579 i_board_reset$2 +.sym 15580 stopwatch1.SPI_wrapper1.SPI_Master1.report_send +.sym 15581 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15582 stopwatch1.SPI_wrapper1.sent_ON +.sym 15585 $false +.sym 15586 i_board_reset$2 +.sym 15587 stopwatch1.SPI_wrapper1.sent_BCD +.sym 15588 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] +.sym 15589 $true +.sym 15590 clockDividerIce1.clk_out$2 +.sym 15591 $false +.sym 15593 stopwatch1.SPI_wrapper1.reset_master +.sym 15672 $false +.sym 15673 $false +.sym 15674 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15675 stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] +.sym 15684 i_board_reset$2 +.sym 15685 stopwatch1.SPI_wrapper1.Cs +.sym 15686 stopwatch1.SPI_wrapper1.SPI_Master1.report_send +.sym 15687 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 15690 i_board_reset$2 +.sym 15691 stopwatch1.SPI_wrapper1.Cs +.sym 15692 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[2] +.sym 15693 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[3] +.sym 15702 $false +.sym 15703 stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[0] +.sym 15704 stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[1] +.sym 15705 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[2] +.sym 15712 $true +.sym 15713 clockDividerIce1.clk_out$2 +.sym 15714 $false +.sym 15819 stopwatch1.SPI_wrapper1.Cs +.sym 15820 $false +.sym 15821 $false +.sym 15822 $false +.sym 15835 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] +.sym 15836 clockDividerIce1.clk_out$2 +.sym 15837 stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R +.sym 15839 stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R +.sym 15845 stopwatch1.SPI_wrapper1.SPI_Master1.mosi +.sym 15912 $false +.sym 15913 $false +.sym 15914 stopwatch1.SPI_wrapper1.SPI_Master1.state[1] +.sym 15915 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] +.sym 15930 stopwatch1.SPI_wrapper1.SPI_Master1.state[1] +.sym 15931 $false +.sym 15932 $false +.sym 15933 $false +.sym 15958 stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[2] +.sym 15959 clockDividerIce1.clk_out$2 +.sym 15960 stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R +.sym 15962 stopwatch1.SPI_wrapper1.SPI_Master1.mosi_SB_DFFESR_Q_E +.sym 16035 $false +.sym 16036 stopwatch1.SPI_wrapper1.Cs +.sym 16037 stopwatch1.SPI_wrapper1.reset_master +.sym 16038 stopwatch1.SPI_wrapper1.SPI_Master1.state[0] +.sym 16041 $false +.sym 16042 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 16043 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] +.sym 16044 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] +.sym 16053 $false +.sym 16054 $false +.sym 16055 stopwatch1.SPI_wrapper1.reset_master +.sym 16056 stopwatch1.SPI_wrapper1.SPI_Master1.state[0] +.sym 16065 $false +.sym 16066 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 16067 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] +.sym 16068 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] +.sym 16071 $false +.sym 16072 stopwatch1.SPI_wrapper1.Cs +.sym 16073 stopwatch1.SPI_wrapper1.reset_master +.sym 16074 stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[2] +.sym 16081 $true +.sym 16082 clockDividerIce1.clk_out$2 +.sym 16083 $false +.sym 16084 stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_E +.sym 16085 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[1] +.sym 16090 stopwatch1.SPI_wrapper1.SPI_Master1.sck +.sym 16158 $false +.sym 16159 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 16160 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] +.sym 16161 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] +.sym 16164 $false +.sym 16165 $false +.sym 16166 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 16167 stopwatch1.SPI_wrapper1.reset_master +.sym 16170 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] +.sym 16171 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[1] +.sym 16172 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] +.sym 16173 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] +.sym 16176 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] +.sym 16177 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] +.sym 16178 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] +.sym 16179 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] +.sym 16182 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] +.sym 16183 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] +.sym 16184 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] +.sym 16185 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[3] +.sym 16188 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] +.sym 16189 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] +.sym 16190 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] +.sym 16191 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] +.sym 16194 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] +.sym 16195 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] +.sym 16196 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] +.sym 16197 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] +.sym 16200 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] +.sym 16201 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] +.sym 16202 stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] +.sym 16203 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] +.sym 16204 $true +.sym 16205 clockDividerIce1.clk_out$2 +.sym 16206 $false +.sym 16497 stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O +.sym 16876 $true +.sym 16913 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q$2 +.sym 16914 $false +.sym 16915 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q +.sym 16916 $false +.sym 16917 $false +.sym 16919 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO +.sym 16921 $false +.sym 16922 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] +.sym 16925 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 +.sym 16926 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] +.sym 16927 $false +.sym 16928 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] +.sym 16929 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO +.sym 16932 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] +.sym 16933 $false +.sym 16934 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] +.sym 16935 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 +.sym 16944 $false +.sym 16945 $false +.sym 16946 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q +.sym 16947 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] +.sym 16960 stopwatch1.counter_chain1.inst_min_0X.ena$2 +.sym 16961 stopwatch1.clockDivider1.clk_out$2 +.sym 16962 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 16964 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 16965 stopwatch1.counter_chain1.inst_min_0X.ena +.sym 16967 stopwatch1.counter_chain1.inst_sec_X0.ena +.sym 16968 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] +.sym 16969 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2[0] +.sym 17037 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] +.sym 17038 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] +.sym 17039 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] +.sym 17040 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q +.sym 17043 $false +.sym 17044 stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[0] +.sym 17045 stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[1] +.sym 17046 stopwatch1.counter_chain1.inst_sec_X0.ena +.sym 17055 $false +.sym 17056 $false +.sym 17057 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] +.sym 17058 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[1] +.sym 17067 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] +.sym 17068 $false +.sym 17069 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] +.sym 17070 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q +.sym 17073 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[1] +.sym 17074 $false +.sym 17075 $false +.sym 17076 $false +.sym 17083 stopwatch1.counter_chain1.inst_min_0X.ena$2 +.sym 17084 stopwatch1.clockDivider1.clk_out$2 +.sym 17085 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 17088 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] +.sym 17089 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] +.sym 17091 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 17093 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] +.sym 17160 $false +.sym 17161 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] +.sym 17162 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] +.sym 17163 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] +.sym 17172 $false +.sym 17173 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] +.sym 17174 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] +.sym 17175 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] +.sym 17202 $false +.sym 17203 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] +.sym 17204 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] +.sym 17205 stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] +.sym 17206 stopwatch1.counter_chain1.inst_min_X0.ena +.sym 17207 stopwatch1.clockDivider1.clk_out$2 +.sym 17208 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 17295 i_board_reset$2 +.sym 17296 stopwatch1.SPI_wrapper1.digit_count[3] +.sym 17297 stopwatch1.SPI_wrapper1.digit_count[0] +.sym 17298 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] +.sym 17301 $false +.sym 17302 $false +.sym 17303 stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[0] +.sym 17304 stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[1] +.sym 17329 $true +.sym 17330 clockDividerIce1.clk_out$2 +.sym 17331 $false +.sym 17418 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 17419 stopwatch1.SPI_wrapper1.state[2] +.sym 17420 stopwatch1.SPI_wrapper1.state[1] +.sym 17421 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] +.sym 17424 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 17425 i_board_reset$2 +.sym 17426 stopwatch1.controller1.display_enable_SB_LUT4_I1_O[2] +.sym 17427 stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] +.sym 17430 $false +.sym 17431 stopwatch1.controller1.display_enable +.sym 17432 stopwatch1.clockDivider1.clk_out$2 +.sym 17433 stopwatch1.SPI_wrapper1.state[1] +.sym 17436 $false +.sym 17437 $false +.sym 17438 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 17439 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] +.sym 17442 $false +.sym 17443 $false +.sym 17444 stopwatch1.controller1.display_enable_SB_LUT4_I1_O[2] +.sym 17445 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] +.sym 17529 $false +.sym 17530 stopwatch1.SPI_wrapper1.state[2] +.sym 17531 stopwatch1.SPI_wrapper1.state[1] +.sym 17532 stopwatch1.SPI_wrapper1.state[0] +.sym 17535 $false +.sym 17536 stopwatch1.SPI_wrapper1.state[2] +.sym 17537 stopwatch1.SPI_wrapper1.state[1] +.sym 17538 stopwatch1.SPI_wrapper1.state[0] +.sym 17541 i_board_reset$2 +.sym 17542 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[1] +.sym 17543 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] +.sym 17544 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.sym 17547 $false +.sym 17548 $false +.sym 17549 stopwatch1.SPI_wrapper1.state[1] +.sym 17550 stopwatch1.SPI_wrapper1.state[0] +.sym 17553 $false +.sym 17554 stopwatch1.SPI_wrapper1.state[2] +.sym 17555 stopwatch1.SPI_wrapper1.state[1] +.sym 17556 stopwatch1.SPI_wrapper1.state[0] +.sym 17559 $false +.sym 17560 $false +.sym 17561 stopwatch1.clockDivider1.clk_out$2 +.sym 17562 stopwatch1.SPI_wrapper1.state[1] +.sym 17565 $false +.sym 17566 $false +.sym 17567 stopwatch1.SPI_wrapper1.state[2] +.sym 17568 stopwatch1.SPI_wrapper1.state[0] +.sym 17652 $false +.sym 17653 $false +.sym 17654 stopwatch1.SPI_wrapper1.state[1] +.sym 17655 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] +.sym 17658 $false +.sym 17659 stopwatch1.SPI_wrapper1.state[2] +.sym 17660 stopwatch1.SPI_wrapper1.state[1] +.sym 17661 stopwatch1.SPI_wrapper1.state[0] +.sym 17664 $false +.sym 17665 stopwatch1.SPI_wrapper1.SPI_Master1.report_ready +.sym 17666 stopwatch1.SPI_wrapper1.digit_count[3] +.sym 17667 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.sym 17670 i_board_reset$2 +.sym 17671 stopwatch1.clockDivider1.clk_out$2 +.sym 17672 stopwatch1.SPI_wrapper1.state[1] +.sym 17673 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] +.sym 17676 $false +.sym 17677 i_board_reset$2 +.sym 17678 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] +.sym 17679 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[2] +.sym 17682 $false +.sym 17683 $false +.sym 17684 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[0] +.sym 17685 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[1] +.sym 17688 $false +.sym 17689 stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[0] +.sym 17690 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] +.sym 17691 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3[2] +.sym 17694 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] +.sym 17695 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[1] +.sym 17696 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[2] +.sym 17697 stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[3] +.sym 17698 $true +.sym 17699 clockDividerIce1.clk_out$2 +.sym 17700 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R +.sym 17781 $false +.sym 17782 i_board_reset$2 +.sym 17783 stopwatch1.SPI_wrapper1.reset_master +.sym 17784 stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] +.sym 17821 $true +.sym 17822 clockDividerIce1.clk_out$2 +.sym 17823 $false +.sym 18027 $false +.sym 18028 $false +.sym 18029 $false +.sym 18030 stopwatch1.SPI_wrapper1.reset_master +.sym 18063 $false +.sym 18064 $false +.sym 18065 stopwatch1.SPI_wrapper1.SPI_Master1.word_out[15] +.sym 18066 stopwatch1.SPI_wrapper1.SPI_Master1.state[1] +.sym 18067 stopwatch1.SPI_wrapper1.SPI_Master1.mosi_SB_DFFESR_Q_E +.sym 18068 clockDividerIce1.clk_out$2 +.sym 18069 stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R +.sym 18150 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 18151 stopwatch1.SPI_wrapper1.SPI_Master1.state[1] +.sym 18152 stopwatch1.SPI_wrapper1.reset_master +.sym 18153 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] +.sym 18194 stopwatch1.controller1.counter_enable_SB_DFFSR_Q_R +.sym 18196 stopwatch1.controller1.counter_enable +.sym 18267 $false +.sym 18268 stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.sym 18269 stopwatch1.SPI_wrapper1.SPI_Master1.state[1] +.sym 18270 stopwatch1.SPI_wrapper1.reset_master +.sym 18273 $false +.sym 18274 stopwatch1.SPI_wrapper1.Cs +.sym 18275 stopwatch1.SPI_wrapper1.SPI_Master1.state[1] +.sym 18276 stopwatch1.SPI_wrapper1.reset_master +.sym 18303 $false +.sym 18304 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] +.sym 18305 stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] +.sym 18306 stopwatch1.SPI_wrapper1.SPI_Master1.state[1] +.sym 18313 stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_E +.sym 18314 clockDividerIce1.clk_out$2 +.sym 18315 stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R +.sym 18316 stopwatch1.controller1.display_enable +.sym 18562 i_board_reset$2 +.sym 18564 i_button_start_stop$2 +.sym 19151 $false +.sym 19152 $false +.sym 19153 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] +.sym 19154 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] +.sym 19157 $false +.sym 19158 $false +.sym 19159 stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[1] +.sym 19160 stopwatch1.counter_chain1.inst_sec_X0.ena +.sym 19169 $false +.sym 19170 $false +.sym 19171 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2[0] +.sym 19172 stopwatch1.counter_chain1.inst_sec_0X.ena$2 +.sym 19175 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] +.sym 19176 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] +.sym 19177 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] +.sym 19178 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 19181 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] +.sym 19182 $false +.sym 19183 $false +.sym 19184 $false +.sym 19191 stopwatch1.counter_chain1.inst_sec_0X.ena$2 +.sym 19192 stopwatch1.clockDivider1.clk_out$2 +.sym 19193 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 19230 $true +.sym 19267 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3$2 +.sym 19268 $false +.sym 19269 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 19270 $false +.sym 19271 $false +.sym 19273 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 +.sym 19275 $false +.sym 19276 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] +.sym 19279 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 +.sym 19280 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 19281 $false +.sym 19282 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] +.sym 19283 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 +.sym 19286 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 19287 $false +.sym 19288 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] +.sym 19289 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 +.sym 19298 $false +.sym 19299 $false +.sym 19300 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 19301 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 19310 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.sym 19311 $false +.sym 19312 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] +.sym 19313 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 +.sym 19314 stopwatch1.counter_chain1.inst_sec_0X.ena$2 +.sym 19315 stopwatch1.clockDivider1.clk_out$2 +.sym 19316 i_button_reset_SB_LUT4_I2_O[1]$2 +.sym 20381 $false +.sym 20382 $false +.sym 20383 $false +.sym 20384 i_board_reset$2 +.sym 20393 $false +.sym 20394 $false +.sym 20395 $false +.sym 20396 stopwatch1.controller1.counter_enable +.sym 20421 $true +.sym 20422 i_button_start_stop$2 +.sym 20423 stopwatch1.controller1.counter_enable_SB_DFFSR_Q_R +.sym 20498 $false +.sym 20499 $false +.sym 20500 $false +.sym 20501 stopwatch1.controller1.display_enable +.sym 20544 $true +.sym 20545 i_button_lap_time$2 +.sym 20546 stopwatch1.controller1.counter_enable_SB_DFFSR_Q_R +.sym 20670 i_button_lap_time$2 +.sym 20672 i_button_reset$2 +.sym 21603 i_button_reset_SB_LUT4_I2_O[1] +.sym 23683 $false +.sym 23684 $false +.sym 23685 i_button_reset$2 +.sym 23686 i_board_reset$2 +.sym 24599 stopwatch1.SPI_wrapper1.SPI_Master1.mosi +.sym 27189 stopwatch1.SPI_wrapper1.Cs +.sym 27192 stopwatch1.SPI_wrapper1.SPI_Master1.sck +.sym 27429 stopwatch1.counter_chain1.inst_min_0X.ena +.sym 27459 i_button_reset_SB_LUT4_I2_O[1] +.sym 27463 stopwatch1.controller1.counter_enable +.sym 27519 stopwatch1.controller1.display_enable +.sym 27522 stopwatch1.SPI_wrapper1.SPI_Master1.mosi +.sym 27549 stopwatch1.SPI_wrapper1.Cs +.sym 27552 stopwatch1.SPI_wrapper1.SPI_Master1.sck diff --git a/icestick/tb_icestick.v b/icestick/tb_icestick.v index 9ce1072..67c501f 100644 --- a/icestick/tb_icestick.v +++ b/icestick/tb_icestick.v @@ -5,6 +5,7 @@ `default_nettype none `include "stopwatch_top_icestick.v" +`include "clockDividerIce.v" module ice_stopwatch( input CLK_IN, @@ -17,13 +18,13 @@ module ice_stopwatch( output wire o_cs, output wire o_sck, output wire o_stopwatch_enabled, - output wire o_display_enabled + output wire o_display_enabled, - /* output wire l_mosi, + output wire l_mosi, output wire l_cs, output wire l_sck, output wire l_stopwatch_enabled, - output wire l_display_enabled */ + output wire l_display_enabled ); wire clk_tt; @@ -51,31 +52,10 @@ module ice_stopwatch( .rst_n(i_board_reset) ); -endmodule // ice_stopwatch - -module clockDividerIce ( - input wire clk_in, // input clock 12 MHz - input wire ena, - input wire res, // reset, active low - output reg clk_out // output clock 1 MHz -); - - reg[2:0] counter; - parameter div = 6; // 12 MHz / 12 = 1 MHz, 50% duty cycle => 1/2 of that + assign l_mosi = o_mosi; + assign l_cs = o_cs; + assign l_sck = o_sck; + assign l_stopwatch_enabled = o_stopwatch_enabled; + assign l_display_enabled = o_display_enabled; - - always @(posedge clk_in) begin - if (!res) begin // reset - counter <= 3'b0; - clk_out <= 1'b0; - end else if (ena) begin - if (counter < (div-1)) begin // count up - counter <= counter + 1; - end else begin // reset counter and invert output - counter <= 3'b0; - clk_out <= ~clk_out; - end - end - end - -endmodule //clockDividerIce +endmodule // ice_stopwatch