diff --git a/icestick/stopwatch.blif b/icestick/stopwatch.blif index 70aeaa7..d0bc900 100644 --- a/icestick/stopwatch.blif +++ b/icestick/stopwatch.blif @@ -1,4 +1,4 @@ -# Generated by Yosys 0.36+67 (git sha1 1ddb0892c, clang 10.0.0-4ubuntu1 -fPIC -Os) +# Generated by Yosys 0.40+25 (git sha1 171577f90, x86_64-w64-mingw32-g++ 13.2.1 -Os) .model ice_stopwatch .inputs CLK_IN i_board_reset i_button_start_stop i_button_lap_time i_button_reset @@ -9,1512 +9,1508 @@ .names $undef .gate SB_DFFESR C=CLK_IN D=clockDividerIce1.clk_out_SB_DFFESR_Q_D E=clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O Q=clockDividerIce1.clk_out R=clockDividerIce1.clk_out_SB_DFFESR_Q_R[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:12.3-24.6|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "clockDividerIce.v:12.3-24.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=clockDividerIce1.clk_out O=clockDividerIce1.clk_out_SB_DFFESR_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=clockDividerIce1.counter[2] I1=clockDividerIce1.counter[1] I2=clockDividerIce1.counter[0] I3=clockDividerIce1.clk_out_SB_DFFESR_Q_R[3] O=clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 1111111110101000 .gate SB_DFFSR C=CLK_IN D=clockDividerIce1.counter_SB_DFFSR_Q_D Q=clockDividerIce1.counter[2] R=clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:12.3-24.6|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.attr src "clockDividerIce.v:12.3-24.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:19.59-19.105" .gate SB_DFFSR C=CLK_IN D=clockDividerIce1.counter_SB_DFFSR_Q_1_D Q=clockDividerIce1.counter[1] R=clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:12.3-24.6|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.attr src "clockDividerIce.v:12.3-24.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:19.59-19.105" .gate SB_LUT4 I0=$false I1=$false I2=clockDividerIce1.counter[1] I3=clockDividerIce1.counter[0] O=clockDividerIce1.counter_SB_DFFSR_Q_1_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:18.20-18.31|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "clockDividerIce.v:18.20-18.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_DFFSR C=CLK_IN D=clockDividerIce1.counter_SB_DFFSR_Q_2_D Q=clockDividerIce1.counter[0] R=clockDividerIce1.clk_out_SB_DFFESR_Q_R_SB_LUT4_I3_O .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:12.3-24.6|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.attr src "clockDividerIce.v:12.3-24.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:19.59-19.105" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=clockDividerIce1.counter[0] O=clockDividerIce1.counter_SB_DFFSR_Q_2_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=clockDividerIce1.counter[2] I3=clockDividerIce1.counter_SB_DFFSR_Q_D_SB_LUT4_O_I3 O=clockDividerIce1.counter_SB_DFFSR_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:18.20-18.31|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "clockDividerIce.v:18.20-18.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=clockDividerIce1.counter[0] CO=clockDividerIce1.counter_SB_DFFSR_Q_D_SB_LUT4_O_I3 I0=$false I1=clockDividerIce1.counter[1] -.attr src "tb_icestick.v:35.19-40.4|clockDividerIce.v:18.20-18.31|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "clockDividerIce.v:18.20-18.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_LUT4 I0=$false I1=$false I2=i_button_reset I3=i_board_reset O=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 0000000011110000 .gate SB_LUT4 I0=$false I1=$false I2=i_button_reset I3=i_board_reset O=clockDividerIce1.clk_out_SB_DFFESR_Q_R[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 0000000000001111 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.Cs .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[0] I2=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[1] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[2] O=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I2[2] I3=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I2[3] O=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0011111100001111 -.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_send I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready O=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[0] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000111111011111 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[0] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I2[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0010001000000010 -.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[3] O=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1111001000000000 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] I3=stopwatch1.SPI_wrapper1.reset_master O=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I2[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 0011000000000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] O=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000000000000001 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_1_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_1_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[3] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_1_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 1110101011000000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_2_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_2_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[3] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_2_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 1110110010100000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1111111111101100 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I2[0] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I2[1] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1111000000110000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000011111111 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[3] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 1110101011000000 -.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_D E=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[3] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3_SB_LUT4_I2_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[3] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:25.66-25.119" -.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D E=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:25.66-25.119" +.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3_SB_LUT4_I2_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:25.66-25.119" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:25.66-25.119" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] I2=$true I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D_SB_LUT4_O_I3 O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:515.31-515.45|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:514.31-514.45|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] CO=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D_SB_LUT4_O_I3 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] I1=$true -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:515.31-515.45|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" -.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_2_D E=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.attr src "stopwatch_top_icestick.v:514.31-514.45|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_2_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3_SB_LUT4_I2_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:25.66-25.119" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:25.66-25.119" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] I2=$true I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_2_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:515.31-515.45|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:514.31-514.45|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 -.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_3_D E=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S +.gate SB_DFFESS C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_3_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3_SB_LUT4_I2_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] S=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:25.66-25.119" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:25.66-25.119" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_3_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[3] I2=$true I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_D_SB_LUT4_O_I3 O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:515.31-515.45|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:514.31-514.45|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_1_D_SB_LUT4_O_I3 CO=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_D_SB_LUT4_O_I3 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] I1=$true -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:515.31-515.45|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:514.31-514.45|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_S .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.mosi_SB_DFFESR_Q_D E=stopwatch1.SPI_wrapper1.SPI_Master1.mosi_SB_DFFESR_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.mosi R=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[15] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] O=stopwatch1.SPI_wrapper1.SPI_Master1.mosi_SB_DFFESR_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 0000000011110000 .gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.mosi_SB_DFFESR_Q_E .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 1110111101001111 -.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.Cs E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] Q=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready R=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.Cs E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[1] Q=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready R=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I2[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000011110011 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000011110011 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111111100001111 .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] E=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[2] Q=stopwatch1.SPI_wrapper1.SPI_Master1.report_send R=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[2] +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[1] O=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 1111111111110000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_send I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready O=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_send I3=stopwatch1.SPI_wrapper1.sent_ON O=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_1_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000001000100010 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000001100000000 .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_D E=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_E Q=stopwatch1.SPI_wrapper1.SPI_Master1.sck R=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I2=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] O=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_D +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] O=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000000011111100 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000111100001100 .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] I3=stopwatch1.SPI_wrapper1.reset_master O=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_E .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111110011111111 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_1_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[1] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_1_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_1_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[3] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_1_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 1110110011001100 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[1] I3=stopwatch1.SPI_wrapper1.reset_master O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_1_D_SB_LUT4_O_I1[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011000000000000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_2_D Q=stopwatch1.SPI_wrapper1.SPI_Master1.state[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_2_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1100111100000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1101110011111100 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[3] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I2[0] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000111100000011 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111010011111100 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[0] I2=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I2[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000000011110011 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[3] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.count_word_SB_DFFESS_Q_E +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001010100001111 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3[3] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3_SB_LUT4_I2_O .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000000000111011 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.Cs I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[3] I1=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.count_word[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0011000000000000 -.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out[0] E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[0] R=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000000000001 +.gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out[0] E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[0] R=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[15] +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[15] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_1_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[14] +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_1_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[14] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_10_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[5] +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_10_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[5] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[4] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_10_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_11_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[4] +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_11_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[4] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[3] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_11_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_12_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[3] +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_12_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[3] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_12_D +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[3] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_12_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1111000011001100 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_13_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111110000001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_13_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.word_out[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[1] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_13_D +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[2] I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I3=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[1] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_13_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1111110000110000 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_14_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111110000001100 +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_14_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I2=stopwatch1.SPI_wrapper1.word_out[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_14_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111110000110000 .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[14] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[13] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_1_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_2_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[13] +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_2_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[13] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[13] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[12] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_2_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_3_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[12] +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_3_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[12] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[12] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[11] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_3_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_4_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[11] +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_4_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[11] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[11] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[10] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_4_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_5_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[10] +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_5_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[10] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[10] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[9] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_5_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_6_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[9] +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_6_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[9] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[9] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[8] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_6_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_7_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[8] +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_7_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[8] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[8] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[7] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_7_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_8_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[7] +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_8_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[7] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[6] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_8_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 -.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_9_D E=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[6] +.gate SB_DFFE C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_9_D E=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O_SB_LUT4_I3_O Q=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:419.14-430.4|stopwatch_top_icestick.v:457.3-535.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:5.57-5.103" +.attr src "stopwatch_top_icestick.v:456.3-534.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:5.57-5.103" .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[6] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[5] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_9_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 .gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[15] I2=stopwatch1.SPI_wrapper1.SPI_Master1.word_out[14] I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] O=stopwatch1.SPI_wrapper1.SPI_Master1.word_out_SB_DFFE_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011001100 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.digit_count[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_1_D Q=stopwatch1.SPI_wrapper1.digit_count[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[5] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_1_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[5] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] I3=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_1_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1110101011000000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1100000011101010 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_2_D Q=stopwatch1.SPI_wrapper1.digit_count[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[0] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_2_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[0] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] I3=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_2_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1110101011000000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1100000011101010 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D Q=stopwatch1.SPI_wrapper1.digit_count[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.digit_count[5] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[3] I1=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I1[2] I3=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1111111111000000 -.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.digit_count[3] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I3[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111100011111010 +.gate SB_LUT4 I0=$false I1=$false I2=i_board_reset I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I1[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[5] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I1[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1100110010000000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_4_D Q=stopwatch1.SPI_wrapper1.digit_count[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_4_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] I3=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_4_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1110110010100000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1010000011101100 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_5_D Q=stopwatch1.SPI_wrapper1.digit_count[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_5_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] I3=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_5_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1110110010100000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1010000011101100 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D Q=stopwatch1.SPI_wrapper1.digit_count[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[0] I3=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[1] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[1] I2=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[2] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000111111111111 -.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.digit_count[3] I2=stopwatch1.SPI_wrapper1.digit_count[0] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[0] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000001111111111 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.digit_count[3] I2=stopwatch1.SPI_wrapper1.digit_count[0] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I1[1] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 1011101100001010 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[1] +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] I3=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000000011111101 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1110101011000000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1100000011101010 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.reset_master_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.reset_master .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] O=stopwatch1.SPI_wrapper1.reset_master_SB_DFF_Q_D +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] O=stopwatch1.SPI_wrapper1.reset_master_SB_DFF_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1100110011000000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.reset_master I3=stopwatch1.SPI_wrapper1.SPI_Master1.state[0] O=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111111100001111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.SPI_wrapper1.reset_master O=stopwatch1.SPI_wrapper1.SPI_Master1.sck_SB_DFFESR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I3=stopwatch1.SPI_wrapper1.reset_master O=stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.state[2] I3=stopwatch1.SPI_wrapper1.reset_master O=stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 1111000000000000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.sent_BCD_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.sent_BCD .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.sent_BCD I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_DFF_Q_D -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1111111111000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_send I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.sent_BCD I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1101111100000000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.sent_BCD I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_O[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[1] I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011111100000000 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.sent_BCD I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_O[1] I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_1_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0001000100010011 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I2=stopwatch1.SPI_wrapper1.word_out[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_O[1] I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_1_O[1] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_1_O[2] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I2[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1111000011001100 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000100000011 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_1_O[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_1_O[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000001100000000 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.digit_count[3] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000110000000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_send I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.sent_BCD I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[3] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011111100000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I0[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I0[2] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0010000000000000 -.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1101010100000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1110101011100000 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.digit_count[3] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000111000000011 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1100000000000000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[2] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000110000000000 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.sent_BCD I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[2] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_DFF_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.clockDivider1.clk_out I3=stopwatch1.SPI_wrapper1.state[1] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111111111000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000111100000000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000001000000000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.sent_ON_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.sent_ON .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.sent_ON I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] O=stopwatch1.SPI_wrapper1.sent_ON_SB_DFF_Q_D -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1111111111000000 -.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.word_out[11] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[2] +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.state[0] I2=stopwatch1.SPI_wrapper1.sent_ON I3=stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_O[1] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000000011110000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[1] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1010100010001000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.sent_ON I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] O=stopwatch1.SPI_wrapper1.sent_ON_SB_DFF_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_send I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.sent_ON O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[0] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1010100010100000 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000100000000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[0] I1=i_board_reset I2=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[2] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000000000011 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[1] I2=stopwatch1.controller1.display_enable_SB_LUT4_I2_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I0_I3[2] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_O[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000000001001111 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011000000000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O[0] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O[1] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_O[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000000001111 .gate SB_DFFSR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D Q=stopwatch1.SPI_wrapper1.state[0] R=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:19.59-19.105" .gate SB_DFFSR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D Q=stopwatch1.SPI_wrapper1.state[1] R=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[0] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[1] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:19.59-19.105" +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.state[1] I2=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I0_O[3] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111111111110000 -.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.clockDivider1.clk_out I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[0] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111111110000000 +.gate SB_LUT4 I0=$false I1=stopwatch1.clockDivider1.clk_out I2=stopwatch1.SPI_wrapper1.state[2] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1000000000000000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000011000000 .gate SB_DFFSR C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_2_D Q=stopwatch1.SPI_wrapper1.state[2] R=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[1] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[2] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[3] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_2_D +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:19.59-19.105" +.gate SB_LUT4 I0=stopwatch1.controller1.display_enable_SB_LUT4_I0_O[0] I1=stopwatch1.controller1.display_enable_SB_LUT4_I0_O[1] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_1_O[1] I3=stopwatch1.controller1.display_enable_SB_LUT4_I0_O[3] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_2_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 1111111111111110 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[0] I2=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1100000011111111 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000000000000011 -.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000111100000011 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.digit_count[3] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[3] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[2] +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] I1=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_O[1] I2=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_O[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111100011111100 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.controller1.display_enable_SB_LUT4_I0_I3[2] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000000011110000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[2] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 0000000011110000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D Q=stopwatch1.SPI_wrapper1.word_out[15] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_1_D Q=stopwatch1.SPI_wrapper1.word_out[14] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_10_D Q=stopwatch1.SPI_wrapper1.word_out[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[5] I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_10_D +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.word_out[5] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_10_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1110111011101100 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000011111000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_11_D Q=stopwatch1.SPI_wrapper1.word_out[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[4] I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_11_D +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.word_out[4] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_11_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1110111011101100 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000011111000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D Q=stopwatch1.SPI_wrapper1.word_out[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.word_out[3] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[3] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1110111011101100 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111111100001000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.state[2] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I2[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I2[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1000101010101010 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.digit_count[5] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0100110011001100 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I1[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0011111100000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[0] I1=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[2] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000110000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[1] I1=stopwatch1.SPI_wrapper1.digit_count[0] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I2[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001001101011111 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I2[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0001010100111111 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.digit_count[6] I2=stopwatch1.SPI_wrapper1.state[2] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_I3[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0010000010100000 -.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.word_out[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000001100001111 -.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[0] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000000011000000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.state[2] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.SPI_wrapper1.digit_count[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1111110100000000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] O=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D Q=stopwatch1.SPI_wrapper1.word_out[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000111100000000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[3] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111001111111011 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.word_out[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3_SB_LUT4_O_I2[0] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[3] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000011110111 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[2] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000000011000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.digit_count[4] I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100000000000000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q_SB_LUT4_I3_O[0] I1=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q_SB_LUT4_I3_O[1] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q_SB_LUT4_I3_O[2] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q_SB_LUT4_I3_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1000000010101010 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.state[2] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001000000000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D Q=stopwatch1.SPI_wrapper1.word_out[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0010101000000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[1] I1=stopwatch1.SPI_wrapper1.digit_count[0] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[3] +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I1[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0001001101011111 -.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D Q=stopwatch1.SPI_wrapper1.word_out[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111001111111011 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.word_out[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3_SB_LUT4_O_I2[0] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I1[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000011110111 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[1] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I1[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1110111011101100 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100000000000000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q_SB_LUT4_I3_O[0] I1=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q_SB_LUT4_I3_O[1] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q_SB_LUT4_I3_O[2] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q_SB_LUT4_I3_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I1[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0010101010101010 -.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001000000000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D Q=stopwatch1.SPI_wrapper1.word_out[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000000011000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[5] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[1] +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0001010100111111 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[0] I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I3=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111001111111011 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[14] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_1_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0001001101011111 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_2_D Q=stopwatch1.SPI_wrapper1.word_out[13] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0001010100111111 -.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D Q=stopwatch1.SPI_wrapper1.word_out[1] +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[13] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_2_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[0] I1=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_3_D Q=stopwatch1.SPI_wrapper1.word_out[12] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1000110011111111 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[0] I3=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[0] +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[12] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_3_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 1111000000000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[1] I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[2] +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D Q=stopwatch1.SPI_wrapper1.word_out[11] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[2] +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1[2] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I3[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0001010100111111 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[5] I1=stopwatch1.SPI_wrapper1.digit_count[4] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0001001101011111 -.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D Q=stopwatch1.SPI_wrapper1.word_out[0] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000011110011 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.word_out[0] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1[2] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[0] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1000100000001000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1101110111011100 -.gate SB_LUT4 I0=$false I1=$false I2=i_board_reset I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I0[0] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I0[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I0[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[14] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_1_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[2] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I0[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 1111000000000000 -.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_2_D Q=stopwatch1.SPI_wrapper1.word_out[13] +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I0[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[13] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_2_D -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_3_D Q=stopwatch1.SPI_wrapper1.word_out[12] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001001101011111 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[4] I1=stopwatch1.SPI_wrapper1.digit_count[0] I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I0[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[12] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_3_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001001101011111 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I1[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 1111000000000000 -.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D Q=stopwatch1.SPI_wrapper1.word_out[11] +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I2=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[1] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000110000000000 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[11] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I3[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000111100000011 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0011111100000000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D Q=stopwatch1.SPI_wrapper1.word_out[10] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I1[1] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I1[2] I3=stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I2[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1111111111111100 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[5] I2=stopwatch1.SPI_wrapper1.digit_count[1] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111100011111100 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.word_out[10] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I1[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1111111000000000 -.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[10] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000000011000000 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1010100010100000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[5] I2=stopwatch1.SPI_wrapper1.digit_count[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I1[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0011000011110000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111111000000000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D Q=stopwatch1.SPI_wrapper1.word_out[9] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[9] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[3] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[9] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1011101000110000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.SPI_wrapper1.digit_count[0] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111110011110000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[5] I1=stopwatch1.SPI_wrapper1.digit_count[4] I2=stopwatch1.SPI_wrapper1.digit_count[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I2[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[0] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1100000000000000 -.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1010000000100000 -.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1111111000000000 +.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D Q=stopwatch1.SPI_wrapper1.word_out[8] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0010001000001010 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I0[2] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I2[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000000011110000 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.digit_count[5] I2=stopwatch1.SPI_wrapper1.digit_count[4] I3=stopwatch1.SPI_wrapper1.digit_count[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0100010001001111 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.digit_count[6] I2=stopwatch1.SPI_wrapper1.digit_count[5] I3=stopwatch1.SPI_wrapper1.digit_count[4] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I0[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 0000000000000011 -.gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D Q=stopwatch1.SPI_wrapper1.word_out[8] +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.SPI_wrapper1.digit_count[1] I3=stopwatch1.SPI_wrapper1.digit_count[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I0[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[0] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[1] I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000000000011 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[8] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I2[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1111111111101100 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000000111111 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D Q=stopwatch1.SPI_wrapper1.word_out[7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[7] I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I1[0] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I1[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1111101011111000 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.word_out[8] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111000011110011 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.word_out[7] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[2] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I1[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1100110011000000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 0000000000111111 +.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.digit_count[2] I2=stopwatch1.SPI_wrapper1.digit_count[1] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I1[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1111110000000000 .gate SB_DFF C=clockDividerIce1.clk_out D=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D Q=stopwatch1.SPI_wrapper1.word_out[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:309.3-417.6|stopwatch_top_icestick.v:73.15-87.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:2.51-2.90" -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.word_out[6] I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D +.attr src "stopwatch_top_icestick.v:308.3-416.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:2.51-2.90" +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.word_out[6] I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[2] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1110111011101100 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[15] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000011111000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3_SB_LUT4_O_I2[0] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] I1=i_board_reset I2=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[3] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3_SB_LUT4_O_I2[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000110000000010 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.word_out[15] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 1000100011001000 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_D E=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E Q=stopwatch1.clockDivider1.clk_out R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.clk_out O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=stopwatch1.controller1.counter_enable I2=i_button_reset_SB_LUT4_I2_O[1] I3=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1111000011111100 -.gate SB_LUT4 I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[0] I2=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[1] I3=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] +.gate SB_LUT4 I0=stopwatch1.clockDivider1.counter[12] I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[1] I2=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[2] I3=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0011111100000000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.clockDivider1.counter[9] I3=stopwatch1.clockDivider1.counter[7] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[0] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$false I1=stopwatch1.clockDivider1.counter[9] I2=stopwatch1.clockDivider1.counter[8] I3=stopwatch1.clockDivider1.counter[7] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_LUT4 I0=$false I1=stopwatch1.clockDivider1.counter[12] I2=stopwatch1.clockDivider1.counter[8] I3=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3[2] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1100000000000000 -.gate SB_LUT4 I0=$false I1=stopwatch1.clockDivider1.counter[2] I2=stopwatch1.clockDivider1.counter[1] I3=stopwatch1.clockDivider1.counter[0] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3[2] +.gate SB_LUT4 I0=$false I1=stopwatch1.clockDivider1.counter[2] I2=stopwatch1.clockDivider1.counter[1] I3=stopwatch1.clockDivider1.counter[0] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 1100000000000000 .gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I1 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:106.11-106.28|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI I0=$true I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:106.11-106.28|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:106.11-106.28|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:106.11-106.28|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$true I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:106.11-106.28|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$true I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:106.11-106.28|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$true I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:106.11-106.28|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:106.11-106.28|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:106.11-106.28|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_CARRY CI=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI CO=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI I0=$false I1=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:107.11-107.28|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:106.11-106.28|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[3] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[4] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[5] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[6] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[7] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[8] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[9] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[10] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[11] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_I1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[12] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_I1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.clockDivider1.counter[13] O=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_I1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_DFFESR C=clockDividerIce1.clk_out D=$false E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[13] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_1_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[12] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[3] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[3] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[2] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[2] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[2] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_CARRY CI=stopwatch1.clockDivider1.counter[0] CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_11_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[1] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_12_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[1] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[1] I3=stopwatch1.clockDivider1.counter[0] O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_12_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_13_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[0] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.clockDivider1.counter[0] I3=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_13_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 0000111100000000 .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[12] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_1_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_1_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_1_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[11] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[11] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[11] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_2_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[10] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[10] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[10] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_3_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[9] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[9] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[9] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_4_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[8] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[8] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[8] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_5_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[7] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[7] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[7] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_6_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[6] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[6] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[6] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_7_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[5] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[5] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[5] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[4] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFFESR C=clockDividerIce1.clk_out D=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D E=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O Q=stopwatch1.clockDivider1.counter[4] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:102.3-114.6|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:24.66-24.119" +.attr src "stopwatch_top_icestick.v:101.3-113.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:24.66-24.119" .gate SB_LUT4 I0=stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] I1=$false I2=stopwatch1.clockDivider1.counter[4] I3=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D_SB_LUT4_O_I3 O=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000101010100000 .gate SB_CARRY CI=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D_SB_LUT4_O_I3 CO=stopwatch1.clockDivider1.counter_SB_DFFESR_Q_9_D_SB_LUT4_O_I3 I0=$false I1=stopwatch1.clockDivider1.counter[3] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:108.20-108.31|stopwatch_top_icestick.v:43.16-48.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.attr src "stopwatch_top_icestick.v:107.20-107.31|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] E=stopwatch1.controller1.counter_enable Q=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" .gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] E=stopwatch1.controller1.counter_enable Q=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" .gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] E=stopwatch1.controller1.counter_enable Q=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" .gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] E=stopwatch1.controller1.counter_enable Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" .gate SB_DFFSR C=i_button_start_stop D=stopwatch1.controller1.counter_enable_SB_DFFSR_Q_D Q=stopwatch1.controller1.counter_enable R=stopwatch1.controller1.counter_enable_SB_DFFSR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:126.3-131.6|stopwatch_top_icestick.v:50.14-56.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:19.59-19.105" +.attr src "stopwatch_top_icestick.v:125.3-130.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:19.59-19.105" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.controller1.counter_enable O=stopwatch1.controller1.counter_enable_SB_DFFSR_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=i_board_reset O=stopwatch1.controller1.counter_enable_SB_DFFSR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 .gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.controller1.counter_enable I3=i_button_reset_SB_LUT4_I2_O[1] O=stopwatch1.controller1.counter_enable_SB_LUT4_I2_O .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 1111111111110000 .gate SB_DFFSS C=i_button_lap_time D=stopwatch1.controller1.display_enable_SB_DFFSS_Q_D Q=stopwatch1.controller1.display_enable S=stopwatch1.controller1.counter_enable_SB_DFFSR_Q_R .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:133.3-138.6|stopwatch_top_icestick.v:50.14-56.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:20.59-20.105" -.gate SB_LUT4 I0=$false I1=stopwatch1.controller1.display_enable I2=stopwatch1.clockDivider1.clk_out I3=stopwatch1.SPI_wrapper1.state[1] O=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[2] +.attr src "stopwatch_top_icestick.v:132.3-137.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:20.59-20.105" +.gate SB_LUT4 I0=stopwatch1.controller1.display_enable I1=stopwatch1.clockDivider1.clk_out I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.controller1.display_enable_SB_LUT4_I0_I3[2] O=stopwatch1.controller1.display_enable_SB_LUT4_I0_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0011100000000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[2] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.controller1.display_enable_SB_LUT4_I0_I3[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.controller1.display_enable_SB_LUT4_I0_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000100010001000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[3] I3=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] O=stopwatch1.controller1.display_enable_SB_LUT4_I0_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.controller1.display_enable I3=stopwatch1.clockDivider1.clk_out O=stopwatch1.controller1.display_enable_SB_LUT4_I2_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[0] I3=stopwatch1.controller1.display_enable_SB_LUT4_I2_O[2] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1101000111011101 +.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.state[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I2_O[2] O=stopwatch1.controller1.display_enable_SB_LUT4_I2_O_SB_LUT4_I3_1_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 0000000011000000 -.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I1=i_board_reset I2=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] O=stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[3] +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.state[0] I3=stopwatch1.controller1.display_enable_SB_LUT4_I2_O_SB_LUT4_I3_1_O[1] O=stopwatch1.controller1.display_enable_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_I3_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000111100000000 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.controller1.display_enable_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_I3_O[1] I2=stopwatch1.controller1.display_enable_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_I3_O[2] I3=stopwatch1.controller1.display_enable_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_I3_O[3] O=stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D_SB_LUT4_O_I3[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000000010011 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[0] I2=stopwatch1.controller1.display_enable_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_I3_O[2] I3=stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0100010000001100 -.gate SB_LUT4 I0=$false I1=i_board_reset I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] O=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[0] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1010101010100010 +.gate SB_LUT4 I0=i_board_reset I1=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.controller1.display_enable_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_I3_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000110011001100 -.gate SB_LUT4 I0=$false I1=stopwatch1.SPI_wrapper1.state[2] I2=stopwatch1.SPI_wrapper1.state[1] I3=stopwatch1.SPI_wrapper1.state[0] O=stopwatch1.controller1.display_enable_SB_LUT4_I1_O[3] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 1010001010100000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.SPI_Master1.report_ready I3=stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] O=stopwatch1.controller1.display_enable_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_I3_O[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 0000111100000011 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000111100000000 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=stopwatch1.controller1.display_enable O=stopwatch1.controller1.display_enable_SB_DFFSS_Q_D .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:12.34-13.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:12.34-13.52" .param LUT_INIT 0000000011111111 -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[3] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[2] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[1] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[0] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q CO=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO I0=$false I1=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO CO=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O_SB_LUT4_O_I3 I0=$false I1=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000000001111 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000010101010000 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D[1] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D[1] O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] I1=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000000000000010 .gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.controller1.counter_enable I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3[1] O=stopwatch1.counter_chain1.inst_ces_X0.ena .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 1111000000000000 .gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[1] E=stopwatch1.controller1.counter_enable Q=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3[1] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" .gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[1] O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 0000000011110000 -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000010101010000 -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000010101010000 -.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_I3 CO=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 I0=$false I1=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 CO=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 I0=$false I1=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000010101010000 -.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 CO=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_I3 I0=$false I1=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:225.13-231.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 CO=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 I0=$false I1=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" .gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[0] O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 0000000000001111 .gate SB_LUT4 I0=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] I1=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] I2=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000000000000010 -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[3] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[3] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[2] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[2] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[1] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[1] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[0] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[0] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" .gate SB_CARRY CI=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q CO=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO I0=$false I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" -.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO CO=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 I0=$false I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[1] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO CO=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O_SB_LUT4_O_I3 I0=$false I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[1] O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000000011110000 -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000000001111 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000010101010000 -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[3] +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000010101010000 -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[2] +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:257.13-263.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000010101010000 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_D[0] +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[1] E=stopwatch1.counter_chain1.inst_min_0X.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[0] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000000000001111 +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[1] O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 .gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000000000000010 .gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena O=stopwatch1.counter_chain1.inst_min_0X.ena .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" .param LUT_INIT 1111000000000000 -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[2] E=stopwatch1.counter_chain1.inst_min_X0.ena Q=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[2] E=stopwatch1.counter_chain1.inst_min_X0.ena Q=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "stopwatch_top_icestick.v:151.3-168.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[1] E=stopwatch1.counter_chain1.inst_min_X0.ena Q=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "stopwatch_top_icestick.v:151.3-168.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[5] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q[1] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q_SB_LUT4_I3_O[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:265.12-271.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[1] E=stopwatch1.counter_chain1.inst_min_X0.ena Q=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[4] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q_SB_LUT4_I3_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:265.12-271.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[0] E=stopwatch1.counter_chain1.inst_min_X0.ena Q=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[0] I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] I3=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[2] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q_SB_LUT4_I3_O[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:265.12-271.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[2] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001001101011111 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[2] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q_SB_LUT4_I3_O[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001001101011111 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[0] E=stopwatch1.counter_chain1.inst_min_X0.ena Q=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_2_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "stopwatch_top_icestick.v:151.3-168.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[5] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_2_Q[1] O=stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O_SB_LUT4_O_1_I0[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q[1] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_2_Q[1] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 0011000000001100 -.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[1] +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q[1] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_2_Q[1] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 0000001100110000 -.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[0] +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] I2=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q[1] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_2_Q[1] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_D[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 0000000000111111 -.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[0] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena O=stopwatch1.counter_chain1.inst_min_X0.ena +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[5] I3=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[1] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q_SB_LUT4_I3_O[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" -.param LUT_INIT 1100000000000000 -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[2] I1=stopwatch1.SPI_wrapper1.digit_count[1] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q_SB_LUT4_I3_O[3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001001101011111 +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.SPI_wrapper1.digit_count[4] I3=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q_SB_LUT4_I3_O[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_LUT4 I0=stopwatch1.SPI_wrapper1.digit_count[6] I1=stopwatch1.SPI_wrapper1.digit_count[0] I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I3=stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] O=stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q_SB_LUT4_I3_O[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0001001101011111 +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[0] I2=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena O=stopwatch1.counter_chain1.inst_min_X0.ena .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100000000000000 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[3] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[2] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[0] I3=stopwatch1.counter_chain1.inst_ces_X0.ena O=stopwatch1.counter_chain1.inst_sec_0X.ena +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[1] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] E=stopwatch1.counter_chain1.inst_ces_X0.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[0] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q CO=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO I0=$false I1=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO CO=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O_SB_LUT4_O_1_I3 I0=$false I1=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000000011110000 -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000000001111 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000010101010000 -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O_SB_LUT4_O_1_I3 O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000010101010000 -.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 CO=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 I0=$false I1=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:188.16-188.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000010101010000 -.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 CO=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 I0=$false I1=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:233.13-239.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D[1] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[0] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000000000001111 -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] I1=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[1] I2=stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] +.attr src "stopwatch_top_icestick.v:182.3-199.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D[1] O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 0000000011110000 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I1=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D[1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000000000000010 -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[0] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[1] R=i_button_reset_SB_LUT4_I2_O[1] +.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[1] I3=stopwatch1.counter_chain1.inst_ces_X0.ena O=stopwatch1.counter_chain1.inst_sec_0X.ena .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[2] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:17.34-18.52" +.param LUT_INIT 1111000000000000 +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[0] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[1] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[1] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "stopwatch_top_icestick.v:151.3-168.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_D[2] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[0] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q R=i_button_reset_SB_LUT4_I2_O[1] +.attr src "stopwatch_top_icestick.v:151.3-168.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_D[1] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:152.3-169.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_I3 O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[2] +.attr src "stopwatch_top_icestick.v:151.3-168.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_D[0] E=stopwatch1.counter_chain1.inst_sec_X0.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q R=i_button_reset_SB_LUT4_I2_O[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:158.16-158.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000111110100000 -.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q CO=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_I3 I0=$false I1=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:158.16-158.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[1] +.attr src "stopwatch_top_icestick.v:151.3-168.6|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/ff_map.v:14.63-14.116" +.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q CO=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO I0=$false I1=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] +.attr src "stopwatch_top_icestick.v:157.16-157.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4" +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_D[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:249.12-255.4|stopwatch_top_icestick.v:158.16-158.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" +.attr src "stopwatch_top_icestick.v:157.16-157.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" .param LUT_INIT 0000010101010000 -.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_O[0] +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_D[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 0000000000111111 +.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_D[2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "stopwatch_top_icestick.v:157.16-157.23|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/arith_map.v:62.5-70.4|C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:26.33-27.52" +.param LUT_INIT 0000111110100000 .gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:22.34-23.52" +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" .param LUT_INIT 0000000000001100 -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2[0] I3=stopwatch1.counter_chain1.inst_sec_0X.ena O=stopwatch1.counter_chain1.inst_sec_X0.ena -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 1111000000000000 -.gate SB_DFFER C=stopwatch1.clockDivider1.clk_out D=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] E=stopwatch1.counter_chain1.inst_sec_0X.ena Q=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2[0] R=i_button_reset_SB_LUT4_I2_O[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:183.3-200.6|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/ff_map.v:14.63-14.116" -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000000011110000 -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000010101010000 -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[2] +.gate SB_LUT4 I0=$false I1=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[0] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[1] I3=stopwatch1.counter_chain1.inst_ces_X0.ena O=stopwatch1.counter_chain1.inst_sec_X0.ena .attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000010101010000 -.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 CO=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 I0=$false I1=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] I1=$false I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000010101010000 -.gate SB_CARRY CI=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 CO=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 I0=$false I1=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] -.attr src "tb_icestick.v:44.28-53.4|stopwatch_top_icestick.v:241.13-247.4|stopwatch_top_icestick.v:189.16-189.23|stopwatch_top_icestick.v:61.17-71.4|/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/arith_map.v:62.5-70.4" -.gate SB_LUT4 I0=$false I1=$false I2=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[0] O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I3_O[0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:17.34-18.52" -.param LUT_INIT 0000000000001111 -.gate SB_LUT4 I0=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] I1=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[1] I2=stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[2] I3=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 O=stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/home/faramire/tars/yosis/oss-cad-suite/lib/../share/yosys/ice40/cells_map.v:26.33-27.52" -.param LUT_INIT 0000000000000010 -.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_O[2] -1 1 +.attr src "C:\\OSS-CA~1\\bin\\../share/yosys/ice40/cells_map.v:22.34-23.52" +.param LUT_INIT 1100000000000000 .names stopwatch1.SPI_wrapper1.Cs stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[0] 1 1 .names stopwatch1.SPI_wrapper1.reset_master stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_DFFESR_Q_E[1] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[0] +.names stopwatch1.SPI_wrapper1.digit_count[5] stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[0] 1 1 -.names $false stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] -1 1 -.names stopwatch1.clockDivider1.counter[3] stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] +.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_1_D_SB_LUT4_O_I1[0] 1 1 -.names stopwatch1.clockDivider1.counter_SB_DFFESR_Q_10_D_SB_LUT4_O_I3 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] +.names stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[3] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_1_D_SB_LUT4_O_I1[2] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[0] +.names stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3[3] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_1_D_SB_LUT4_O_I1[3] 1 1 -.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_1_I2[1] +.names i_board_reset stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[0] 1 1 -.names stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2[0] +.names stopwatch1.SPI_wrapper1.word_out[6] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[1] 1 1 -.names i_board_reset stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[0] +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[2] 1 1 -.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[0] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I3[1] +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I0[0] 1 1 -.names stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[0] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3[0] +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I0[3] 1 1 -.names stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I3[1] +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[3] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I1[2] 1 1 -.names stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[2] +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3_SB_LUT4_O_I2[1] 1 1 -.names stopwatch1.SPI_wrapper1.word_out[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[0] +.names stopwatch1.SPI_wrapper1.word_out[12] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[0] 1 1 -.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_LUT4_I3_1_O[1] +.names stopwatch1.SPI_wrapper1.digit_count[3] stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I1[0] 1 1 -.names stopwatch1.clockDivider1.counter[12] stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3[0] +.names stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D_SB_LUT4_O_I3[3] stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I1[3] 1 1 -.names stopwatch1.clockDivider1.counter[8] stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1_I3[1] +.names i_board_reset stopwatch1.controller1.display_enable_SB_LUT4_I2_O[0] 1 1 -.names stopwatch1.controller1.display_enable_SB_LUT4_I1_O[2] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[0] +.names stopwatch1.SPI_wrapper1.state[2] stopwatch1.controller1.display_enable_SB_LUT4_I2_O[1] 1 1 -.names i_board_reset stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[0] +.names i_board_reset stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[0] 1 1 -.names stopwatch1.SPI_wrapper1.sent_BCD stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3_SB_LUT4_I3_O[1] +.names stopwatch1.SPI_wrapper1.sent_BCD stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_I3[1] 1 1 -.names stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0[1] +.names stopwatch1.SPI_wrapper1.state[1] stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_6_D_SB_LUT4_O_I2[0] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[0] +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[0] 1 1 -.names stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_8_D_SB_LUT4_O_I2[2] +.names stopwatch1.SPI_wrapper1.digit_count[5] stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_1_Q[0] 1 1 -.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1[0] +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3[0] 1 1 -.names stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[2] stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I1[2] +.names stopwatch1.SPI_wrapper1.digit_count[3] stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3[1] 1 1 -.names $false stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[1] +.names i_board_reset stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1[0] 1 1 -.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[2] +.names stopwatch1.SPI_wrapper1.word_out[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1[1] 1 1 -.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_2_I3 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[3] +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1[3] 1 1 -.names i_board_reset stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[0] +.names stopwatch1.SPI_wrapper1.digit_count[6] stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D_SB_LUT4_O_I3[0] 1 1 -.names stopwatch1.SPI_wrapper1.sent_ON stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3[1] +.names stopwatch1.SPI_wrapper1.digit_count[1] stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D_SB_LUT4_O_I3[1] 1 1 -.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[0] +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_D_SB_LUT4_O_I3[2] 1 1 -.names i_board_reset stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3[0] +.names stopwatch1.SPI_wrapper1.state[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I2[0] 1 1 -.names stopwatch1.SPI_wrapper1.word_out[10] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1_I3[1] +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I1[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I2[1] 1 1 -.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1[0] +.names stopwatch1.SPI_wrapper1.SPI_Master1.state[2] stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[0] 1 1 -.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[3] +.names stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I2[0] stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_15_D_SB_LUT4_O_I2[0] +.names $false stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[1] 1 1 -.names $false stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[1] +.names stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[2] 1 1 -.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[2] +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[3] 1 1 -.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_I3_O[3] +.names stopwatch1.SPI_wrapper1.digit_count[5] stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_2_Q[0] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.state[2] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] +.names $false stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[1] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1_SB_LUT4_I2_O[1] +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[2] stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[2] 1 1 -.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D[0] +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[3] 1 1 -.names i_board_reset stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[0] +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_1_O[1] stopwatch1.controller1.display_enable_SB_LUT4_I0_O[2] 1 1 -.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O[0] +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_4_D[0] 1 1 -.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2_SB_DFFER_Q_D_SB_LUT4_O_I3 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[3] +.names i_board_reset stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3[0] 1 1 -.names clockDividerIce1.counter[2] clockDividerIce1.clk_out_SB_DFFESR_Q_R[0] +.names stopwatch1.SPI_wrapper1.word_out[3] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3[1] 1 1 -.names clockDividerIce1.counter[1] clockDividerIce1.clk_out_SB_DFFESR_Q_R[1] +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[3] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3[2] 1 1 -.names clockDividerIce1.counter[0] clockDividerIce1.clk_out_SB_DFFESR_Q_R[2] +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_3_Q stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[3] 1 1 -.names stopwatch1.controller1.counter_enable i_button_reset_SB_LUT4_I2_O[0] -1 1 -.names stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] i_button_reset_SB_LUT4_I2_O[2] -1 1 -.names $false stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[1] +.names stopwatch1.controller1.counter_enable stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3[0] 1 1 -.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[2] +.names stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[0] 1 1 -.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O_SB_LUT4_I0_1_I3 stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[3] +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I3[0] 1 1 -.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1[0] +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I3[1] 1 1 -.names stopwatch1.SPI_wrapper1.word_out[9] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[0] +.names stopwatch1.counter_chain1.inst_ces_X0.ena stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[2] 1 1 -.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[3] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[1] +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I1[0] 1 1 -.names stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[2] +.names stopwatch1.counter_chain1.inst_sec_X0.ena stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[2] 1 1 -.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[0] +.names $false stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[1] 1 1 -.names i_board_reset stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[1] +.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[2] 1 1 -.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_I3_SB_LUT4_I3_O_SB_LUT4_O_I3[2] +.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[3] 1 1 -.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[1] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[1] +.names stopwatch1.controller1.counter_enable i_button_reset_SB_LUT4_I2_O[0] 1 1 -.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[3] +.names stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[0] i_button_reset_SB_LUT4_I2_O[2] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[0] +.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[2] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[4] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[1] +.names stopwatch1.SPI_wrapper1.state[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I3_SB_LUT4_O_I1[0] 1 1 -.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_DFFER_E_Q[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3[2] +.names $false stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[1] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1_SB_LUT4_I3_O[0] +.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[1] stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[2] 1 1 -.names i_board_reset stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[1] +.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q_SB_CARRY_CI_CO stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[3] 1 1 -.names stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_D_SB_LUT4_O_I2[1] stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[2] +.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[3] 1 1 -.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O[2] stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O[3] +.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_4_D[0] 1 1 -.names $false stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[1] +.names i_board_reset stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I2[0] 1 1 -.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[0] stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[2] +.names stopwatch1.SPI_wrapper1.Cs stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I2[1] 1 1 -.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D_SB_LUT4_I0_I3 stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_D[3] +.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_3_Q stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[3] 1 1 -.names i_board_reset stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[0] +.names $false stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[1] 1 1 -.names stopwatch1.SPI_wrapper1.Cs stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I3_O_SB_LUT4_I0_O[1] +.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[2] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[6] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[0] +.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q_SB_LUT4_I2_O_SB_LUT4_O_1_I3 stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D_SB_LUT4_I3_O[3] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[1] +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_O[1] stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_1_O[0] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I1[2] +.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3_O[1] stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I1_1_O[3] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[0] +.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_4_D[0] 1 1 -.names stopwatch1.counter_chain1.inst_min_0X.ena_SB_DFFER_E_Q[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_14_D_SB_LUT4_O_I0_SB_LUT4_O_1_I2[1] +.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[2] stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O[0] 1 1 -.names stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_3_Q stopwatch1.counter_chain1.inst_sec_X0.ena_SB_DFFER_E_2_Q[2] +.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[1] stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O[1] 1 1 -.names stopwatch1.SPI_wrapper1.state[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[0] +.names stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[3] stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E_SB_LUT4_I2_O[2] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[1] +.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_3_Q stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[3] 1 1 -.names stopwatch1.counter_chain1.inst_sec_0X.ena_SB_DFFER_E_Q[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I1_SB_LUT4_O_2_I3_SB_LUT4_O_I3[2] +.names stopwatch1.SPI_wrapper1.sent_BCD stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_O[0] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[6] stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[0] +.names $false stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[1] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[2] stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[1] +.names stopwatch1.clockDivider1.counter[5] stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[2] 1 1 -.names $false stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[1] +.names stopwatch1.clockDivider1.counter_SB_DFFESR_Q_8_D_SB_LUT4_O_I3 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3[3] 1 1 -.names stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[1] stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[2] +.names stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_O[0] 1 1 -.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O_SB_LUT4_I0_I3 stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_I3_O[3] +.names stopwatch1.clockDivider1.counter[12] stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[0] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.controller1.display_enable_SB_LUT4_I1_O[0] +.names stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3 stopwatch1.clockDivider1.clk_out_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I1[3] 1 1 -.names i_board_reset stopwatch1.controller1.display_enable_SB_LUT4_I1_O[1] +.names stopwatch1.SPI_wrapper1.state[0] stopwatch1.controller1.display_enable_SB_LUT4_I2_O_SB_LUT4_I3_1_O[0] 1 1 -.names i_board_reset stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[0] +.names i_board_reset stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[0] 1 1 -.names stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_R_SB_LUT4_O_I3[1] stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[2] +.names stopwatch1.SPI_wrapper1.state[1] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[1] 1 1 -.names stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[2] stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_2_O_SB_LUT4_O_I1[3] +.names stopwatch1.controller1.display_enable_SB_LUT4_I0_O[3] stopwatch1.SPI_wrapper1.state_SB_DFFSR_Q_1_D_SB_LUT4_O_I2[3] 1 1 -.names stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[0] stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D[0] +.names i_board_reset stopwatch1.controller1.display_enable_SB_LUT4_I2_O_SB_LUT4_I3_1_O_SB_LUT4_I3_O[0] 1 1 -.names stopwatch1.controller1.counter_enable stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3[0] +.names i_board_reset stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_1_O[0] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[5] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_I3[0] +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_1_O[1] 1 1 -.names stopwatch1.counter_chain1.inst_min_X0.ena_SB_DFFER_E_Q[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I0_I1_SB_LUT4_O_I3[1] +.names stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] stopwatch1.SPI_wrapper1.SPI_Master1.report_send_SB_LUT4_I2_1_O[3] 1 1 -.names stopwatch1.counter_chain1.inst_ces_X0.ena stopwatch1.counter_chain1.inst_sec_0X.ena_SB_LUT4_O_I2[1] +.names i_board_reset stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[0] 1 1 -.names stopwatch1.SPI_wrapper1.state[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0_SB_LUT4_I3_O[0] +.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[1] 1 1 -.names stopwatch1.counter_chain1.inst_ces_X0.ena_SB_LUT4_O_I3_SB_DFFER_Q_D_SB_LUT4_O_I3 stopwatch1.controller1.counter_enable_SB_DFFER_E_Q[3] +.names stopwatch1.SPI_wrapper1.sent_ON stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[2] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_12_D_SB_LUT4_O_I0[0] +.names clockDividerIce1.counter[2] clockDividerIce1.clk_out_SB_DFFESR_Q_R[0] 1 1 -.names stopwatch1.counter_chain1.inst_sec_0X.ena stopwatch1.counter_chain1.inst_sec_X0.ena_SB_LUT4_O_I2[1] +.names clockDividerIce1.counter[1] clockDividerIce1.clk_out_SB_DFFESR_Q_R[1] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[5] stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I3[0] +.names clockDividerIce1.counter[0] clockDividerIce1.clk_out_SB_DFFESR_Q_R[2] 1 1 -.names stopwatch1.controller1.display_enable_SB_LUT4_I1_O_SB_LUT4_I2_O[2] stopwatch1.SPI_wrapper1.digit_count_SB_DFF_Q_3_D_SB_LUT4_O_I3[1] +.names stopwatch1.SPI_wrapper1.state[1] stopwatch1.controller1.display_enable_SB_LUT4_I0_I3[0] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_13_D_SB_LUT4_O_I1_SB_LUT4_O_I1[0] +.names stopwatch1.controller1.display_enable_SB_LUT4_I2_O[2] stopwatch1.controller1.display_enable_SB_LUT4_I0_I3[1] 1 1 -.names stopwatch1.counter_chain1.inst_sec_X0.ena stopwatch1.counter_chain1.inst_min_0X.ena_SB_LUT4_O_I2[2] +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I0[2] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I2[0] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[0] +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_4_D_SB_LUT4_O_I1_SB_LUT4_I2_O[0] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I2[1] 1 1 -.names stopwatch1.SPI_wrapper1.digit_count[3] stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_O[1] +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_9_D_SB_LUT4_O_I3[3] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_7_D_SB_LUT4_O_I2[3] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[0] +.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3[0] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[1] +.names stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[3] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3[1] 1 1 -.names stopwatch1.SPI_wrapper1.Cs_SB_LUT4_I1_O[2] stopwatch1.SPI_wrapper1.SPI_Master1.count_bit_SB_DFF_Q_3_D_SB_LUT4_O_I3[2] +.names stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I2[0] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I3[2] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.state[2] stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[0] +.names stopwatch1.SPI_wrapper1.sent_ON_SB_LUT4_I2_1_I3[3] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I1[0] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[1] +.names stopwatch1.SPI_wrapper1.Cs_SB_DFF_Q_D_SB_LUT4_O_I2[3] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_5_D_SB_LUT4_O_I1[3] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.report_send stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[0] +.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[3] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[0] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[1] +.names stopwatch1.SPI_wrapper1.SPI_Master1.state[0] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[1] 1 1 -.names stopwatch1.SPI_wrapper1.sent_BCD stopwatch1.SPI_wrapper1.sent_BCD_SB_LUT4_I2_1_I3[2] +.names stopwatch1.SPI_wrapper1.SPI_Master1.count_bit[0] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[2] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.state[2] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[0] +.names stopwatch1.SPI_wrapper1.word_out[9] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I2[0] 1 1 -.names stopwatch1.SPI_wrapper1.SPI_Master1.report_ready_SB_DFFESR_Q_E[2] stopwatch1.SPI_wrapper1.SPI_Master1.state_SB_DFF_Q_D_SB_LUT4_O_I1[2] +.names stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_D_SB_LUT4_O_I3[1] stopwatch1.SPI_wrapper1.word_out_SB_DFF_Q_6_D_SB_LUT4_O_I2[2] 1 1 .names clockDividerIce1.clk_out clk_tt 1 1 diff --git a/icestick/stopwatch_top_icestick.v b/icestick/stopwatch_top_icestick.v index 6422eb7..717f2ed 100644 --- a/icestick/stopwatch_top_icestick.v +++ b/icestick/stopwatch_top_icestick.v @@ -21,7 +21,6 @@ module tt_um_faramire_stopwatch ( assign uo_out[7:5] = 0; wire dividedClock; // 100 Hz clock - wire meg_hertz_clock; // 1 MHz clock wire counter_enable; wire display_enable; wire reset_either; // an OR of the input reset and the chip wide reset, for those that shall be affected by both @@ -296,7 +295,8 @@ module SPI_wrapper ( localparam SETUP_BCD = 3'b001; localparam IDLE = 3'b100; localparam TRANSFER = 3'b101; - localparam DONE = 3'b110; + localparam WAIT = 3'b110; + localparam DONE = 3'b111; reg [15:0] word_out; reg [2:0] digit_count; @@ -362,36 +362,42 @@ module SPI_wrapper ( word_out <= {8'b0000_0001, 8'b0000_0000 | {4'b0000, ces_0X}}; // send the 16-bit word Cs <= 0; // pull CS low to initiate send digit_count <= 3'b001; // advance the position counter + state <= WAIT; end 3'b001: begin // ces_X0 word_out <= {8'b0000_0010, 8'b0000_0000 | {4'b0000, ces_X0}}; Cs <= 0; digit_count <= 3'b010; + state <= WAIT; end 3'b010: begin // sec_0X word_out <= {8'b0000_0011, 8'b1000_0000 | {4'b0000, sec_0X}}; Cs <= 0; digit_count <= 3'b011; + state <= WAIT; end 3'b011: begin // sec_X0 word_out <= {8'b0000_0100, 8'b0000_0000 | {5'b00000, sec_X0}}; Cs <= 0; digit_count <= 3'b100; + state <= WAIT; end 3'b100: begin // min_0X word_out <= {8'b0000_0101, 8'b1000_0000 | {4'b0000, min_0X}}; Cs <= 0; digit_count <= 3'b101; + state <= WAIT; end 3'b101: begin // min_X0 word_out <= {8'b0000_0110, 8'b0000_0000 | {5'b00000, min_X0}}; Cs <= 0; digit_count <= 3'b110; + state <= WAIT; end 3'b110: begin // once send has been complete and CS is high again, switch state @@ -406,6 +412,13 @@ module SPI_wrapper ( end end // TRANSFER + WAIT: begin + if (send_reported == 1) begin + Cs <= 1; + state <= TRANSFER; + end + end + DONE: begin // wait for the 100 Hz clock to go low again if (!clk_div) begin state <= IDLE; diff --git a/src/stopwatch_top.v b/src/stopwatch_top.v index 904d1d9..717f2ed 100644 --- a/src/stopwatch_top.v +++ b/src/stopwatch_top.v @@ -295,7 +295,8 @@ module SPI_wrapper ( localparam SETUP_BCD = 3'b001; localparam IDLE = 3'b100; localparam TRANSFER = 3'b101; - localparam DONE = 3'b110; + localparam WAIT = 3'b110; + localparam DONE = 3'b111; reg [15:0] word_out; reg [2:0] digit_count; @@ -361,36 +362,42 @@ module SPI_wrapper ( word_out <= {8'b0000_0001, 8'b0000_0000 | {4'b0000, ces_0X}}; // send the 16-bit word Cs <= 0; // pull CS low to initiate send digit_count <= 3'b001; // advance the position counter + state <= WAIT; end 3'b001: begin // ces_X0 word_out <= {8'b0000_0010, 8'b0000_0000 | {4'b0000, ces_X0}}; Cs <= 0; digit_count <= 3'b010; + state <= WAIT; end 3'b010: begin // sec_0X word_out <= {8'b0000_0011, 8'b1000_0000 | {4'b0000, sec_0X}}; Cs <= 0; digit_count <= 3'b011; + state <= WAIT; end 3'b011: begin // sec_X0 word_out <= {8'b0000_0100, 8'b0000_0000 | {5'b00000, sec_X0}}; Cs <= 0; digit_count <= 3'b100; + state <= WAIT; end 3'b100: begin // min_0X word_out <= {8'b0000_0101, 8'b1000_0000 | {4'b0000, min_0X}}; Cs <= 0; digit_count <= 3'b101; + state <= WAIT; end 3'b101: begin // min_X0 word_out <= {8'b0000_0110, 8'b0000_0000 | {5'b00000, min_X0}}; Cs <= 0; digit_count <= 3'b110; + state <= WAIT; end 3'b110: begin // once send has been complete and CS is high again, switch state @@ -405,6 +412,13 @@ module SPI_wrapper ( end end // TRANSFER + WAIT: begin + if (send_reported == 1) begin + Cs <= 1; + state <= TRANSFER; + end + end + DONE: begin // wait for the 100 Hz clock to go low again if (!clk_div) begin state <= IDLE; diff --git a/src/tb_8bitworkshop.v b/src/tb_8bitworkshop.v index 40fc92e..bc7994e 100644 --- a/src/tb_8bitworkshop.v +++ b/src/tb_8bitworkshop.v @@ -4,7 +4,7 @@ */ `define default_netname none -`include "../src/tt_um_faramire_stopwatch.v" +`include "stopwatch_top.v" module stopwatch ( input wire clk, input wire reset_board, @@ -19,15 +19,16 @@ module stopwatch ( output wire display_enabled ); - reg [7:0] sink1; + wire [7:0] sink1; + wire [2:0] sink2; tt_um_faramire_stopwatch stopwatch1 ( .ui_in({5'b0, button_reset, button_lap_time, button_start_stop}), - .uo_out({3'b0, display_enabled, stopwatch_enabled, sck, cs, mosi}), + .uo_out({sink2, display_enabled, stopwatch_enabled, sck, cs, mosi}), .uio_in(8'b0), .uio_out(sink1), .uio_oe(sink1), - .ena(1), + .ena(1'b1), .clk(clk), .rst_n(reset_board) );