diff --git a/src/stream_omega_net.sv b/src/stream_omega_net.sv index 88062cce..1194a792 100644 --- a/src/stream_omega_net.sv +++ b/src/stream_omega_net.sv @@ -263,7 +263,7 @@ module stream_omega_net #( // pragma translate_off `ifndef ASSERTS_OFF `ifndef VERILATOR - default disable iff rst_ni; + default disable iff (~rst_ni); `endif for (genvar i = 0; unsigned'(i) < NumInp; i++) begin : gen_sel_assertions assert property (@(posedge clk_i) disable iff (~rst_ni) (valid_i[i] |-> sel_i[i] < sel_oup_t'(NumOut))) else