diff --git a/Assignment1/204102311_SatyakiGhosh/Assignment__1 .ipynb b/Assignment1/204102311_SatyakiGhosh/Assignment__1 .ipynb new file mode 100644 index 0000000..f3bfdbc --- /dev/null +++ b/Assignment1/204102311_SatyakiGhosh/Assignment__1 .ipynb @@ -0,0 +1 @@ +{"nbformat":4,"nbformat_minor":0,"metadata":{"colab":{"name":"Assignment_1.ipynb","provenance":[],"collapsed_sections":[],"authorship_tag":"ABX9TyP0IAKbGrjTmZE+zcT/lXee"},"kernelspec":{"name":"python3","display_name":"Python 3"}},"cells":[{"cell_type":"markdown","metadata":{"id":"LquNa8dQPzno"},"source":["**1.** Continuous signals : Each section will be on a single subplot. Plot the\n","following continuous time signals:\n","\n","• Basic signals\n","Consider the following signal with basic transformations of the form:\n","y(t) = Ax(Bt + C) where A is the amplitude scaling factor, B is the\n","time scaling factor, C is the time shift.\n","Plot the following signals: x 1 (t) = u(t) , x 2 (t) = r(t) = tu(t) ,\n","2\n","x 3 (t) = p(t) = t u(t)\n",", x 4 (t) = rect(t/τ ), x 5 (t) = tri(t/τ ) , x 6 (t) =\n","2\n","sinct = sin πt πt where u(t) is the unit impulse signal, r(t) is the ramp\n","signal, and p(t) is the parabolic signal, rect(t/τ ) is a rectangular\n","pulse between −τ /2 to τ /2 , similarly tri(t/τ ) is triangular pulse\n","from −τ /2 to τ /2 . "]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":771},"id":"ZQZohU2HPwmd","executionInfo":{"status":"ok","timestamp":1610955048545,"user_tz":-330,"elapsed":3249,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"3a2fc9d9-507a-44d9-8cff-10ee22b739eb"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","import math\n","\n","zeroSamples=1000\n","numSamples=1000\n","\n","# UNIT STEP \n","t=np.linspace(-10,10,zeroSamples+numSamples)\n","x1=[0 for i in range(zeroSamples)]\n","for i in range(numSamples):\n"," x1.append(1)\n","\n","#UNIT RAMP\n","x2=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,10,numSamples)):\n"," x2.append(i)\n","\n","#UNIT PARABOLIC\n","x3=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,10,numSamples)):\n"," x3.append((i**2)/2)\n","\n","#RECTANGULAR PULSE\n","t2=np.linspace(-2,2,zeroSamples+numSamples)\n","x4=[0 for i in range(zeroSamples-250)]\n","for i in range(500):\n"," x4.append(1)\n","for i in range(numSamples-250):\n"," x4.append(0) \n","\n","#TRIANGULAR PULSE\n","t2=np.linspace(-2,2,zeroSamples+numSamples)\n","x5=[0 for i in range(zeroSamples-250)]\n","for i in list(np.linspace(0,1,250)):\n"," x5.append(i)\n","for i in list(np.linspace(1,0,250)):\n"," x5.append(i)\n","for i in range(numSamples-250):\n"," x5.append(0) \n","\n","# SINC FUNTION\n","t3=np.linspace(-6,6,zeroSamples+numSamples)\n","#x6=np.sinc(t3)\n","x6 = np.sin(math.pi * t3) / (math.pi * t3)\n","\n","\n","#PLOTTING THE BASIC FUNCTIONS\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(2,3,figsize=(30,15))\n","#plt.figure(figsize=(10,10))\n","\n","ax[0,0].plot(t,x1,c='r',label='unit step function')\n","ax[0,0].set_xlabel('time')\n","ax[0,0].legend()\n","ax[0,0].grid()\n","ax[0,1].plot(t,x2,c='g',label='unit ramp function')\n","ax[0,1].set_xlabel('time')\n","ax[0,1].legend()\n","ax[0,1].grid()\n","ax[0,2].plot(t,x3,c='b',label='unit parabolic function')\n","ax[0,2].set_xlabel('time')\n","ax[0,2].legend()\n","ax[0,2].grid()\n","ax[1,0].plot(t2,x4,c='y',label='rectangular pulse function (-0.5,0.5)')\n","ax[1,0].set_xlabel('time')\n","ax[1,0].legend()\n","ax[1,0].grid()\n","ax[1,1].plot(t2,x5,c='c',label='triangular pulse function (-0.5,0.5)')\n","ax[1,1].set_xlabel('time')\n","ax[1,1].legend()\n","ax[1,1].grid()\n","ax[1,2].plot(t3,x6,c='m',label='sinc function')\n","ax[1,2].set_xlabel('time')\n","ax[1,2].legend()\n","ax[1,2].grid()\n","\n","fig.suptitle('Basic signals')\n","\n","plt.show()"],"execution_count":null,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"fMUAORJ81Lk9"},"source":["Also draw the amplitude scaled and time shifted\n","versions of these 3 signals with amplitude scaling factor A = 5 and\n","shift C = 2 sec."]},{"cell_type":"code","metadata":{"id":"H9BQsc_zg-nZ","colab":{"base_uri":"https://localhost:8080/","height":442},"executionInfo":{"status":"ok","timestamp":1610955060445,"user_tz":-330,"elapsed":1662,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"cf9ab975-6c96-4685-dcb5-c79409bad702"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","import math\n","\n","zeroSamples=800\n","numSamples=1200\n","\n","# UNIT STEP \n","t=np.linspace(-10,10,zeroSamples+numSamples)\n","x1=[0 for i in range(zeroSamples)]\n","for i in range(numSamples):\n"," x1.append(1)\n","x1=[5*i for i in x1]\n","\n","#UNIT RAMP\n","x2=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,12,numSamples)):\n"," x2.append(i)\n","x2=[5*i for i in x2]\n","\n","#UNIT PARABOLIC\n","x3=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,12,numSamples)):\n"," x3.append((i**2)/2)\n","x3=[5*i for i in x3]\n","\n","#PLOTTING THE BASIC FUNCTIONS\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,3,figsize=(30,8))\n","#plt.figure(figsize=(10,10))\n","\n","ax[0].plot(t,x1,c='r',label='step function')\n","ax[0].set_xlabel('time')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(t,x2,c='g',label='ramp function')\n","ax[1].set_xlabel('time')\n","ax[1].legend()\n","ax[1].grid()\n","ax[2].plot(t,x3,c='b',label='parabolic function')\n","ax[2].set_xlabel('time')\n","ax[2].legend()\n","ax[2].grid()\n","\n","fig.suptitle('Amplitude-scaled (by 5) and time-shifted (by 2) Basic signals')\n","\n","plt.show()"],"execution_count":null,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"jitHvaJx4cfU"},"source":[" Finally, plot the time scaled versions of the original\n","signals x 1 (t), x 2 (t), x 3 (t) with time scaling factor as B = 0.5, 2"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":860},"id":"ybBF9B_K14GA","executionInfo":{"status":"ok","timestamp":1610955066355,"user_tz":-330,"elapsed":3479,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"3f20e35f-11a2-4db3-c0c5-97283d742bd2"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","import math\n","\n","zeroSamples=1000\n","numSamples=1000\n","\n","# TIME SCALED BY 0.5\n","\n","# UNIT STEP \n","t=np.linspace(-10,10,zeroSamples+numSamples)\n","x1=[0 for i in range(zeroSamples)]\n","for i in range(numSamples):\n"," x1.append(1)\n","\n","\n","#UNIT RAMP\n","x2=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,5,numSamples)):\n"," x2.append(i)\n","\n","\n","#UNIT PARABOLIC\n","x3=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,5,numSamples)):\n"," x3.append((i**2)/2)\n","\n","\n","#PLOTTING THE BASIC FUNCTIONS\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,3,figsize=(30,8))\n","#plt.figure(figsize=(10,10))\n","\n","ax[0].plot(t,x1,c='r',label='step function')\n","ax[0].set_xlabel('time')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(t,x2,c='g',label='ramp function')\n","ax[1].set_xlabel('time')\n","ax[1].legend()\n","ax[1].grid()\n","ax[2].plot(t,x3,c='b',label='parabolic function')\n","ax[2].set_xlabel('time')\n","ax[2].legend()\n","ax[2].grid()\n","\n","fig.suptitle('Timescaled (by 0.5) Basic signals')\n","\n","plt.show()\n","\n","\n","# TIME SCALED BY 2\n","\n","# UNIT STEP \n","t=np.linspace(-10,10,zeroSamples+numSamples)\n","x1=[0 for i in range(zeroSamples)]\n","for i in range(numSamples):\n"," x1.append(1)\n","\n","\n","#UNIT RAMP\n","x2=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,20,numSamples)):\n"," x2.append(i)\n","\n","\n","#UNIT PARABOLIC\n","x3=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,20,numSamples)):\n"," x3.append((i**2)/2)\n","\n","\n","#PLOTTING THE BASIC FUNCTIONS\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,3,figsize=(30,8))\n","\n","\n","ax[0].plot(t,x1,c='r',label='step function')\n","ax[0].set_xlabel('time')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(t,x2,c='g',label='ramp function')\n","ax[1].set_xlabel('time')\n","ax[1].legend()\n","ax[1].grid()\n","ax[2].plot(t,x3,c='b',label='parabolic function')\n","ax[2].set_xlabel('time')\n","ax[2].legend()\n","ax[2].grid()\n","\n","fig.suptitle('Timescaled (by 2) Basic signals')\n","\n","plt.show() "],"execution_count":null,"outputs":[{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABrcAAAIjCAYAAACps0CgAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeZyN5f/H8ffHOgvGvotoIRFFUsmWrC2iIn2jTf0qLepLsibpW9Guhb6lRTsi0UTStyRKaSUtlkK2iDFjG9fvj/vM6cyY5cyYmXvO8Xo+HvOYOfd93df9uc65x1zuz31dlznnBAAAAAAAAAAAAESCYn4HAAAAAAAAAAAAAISL5BYAAAAAAAAAAAAiBsktAAAAAAAAAAAARAySWwAAAAAAAAAAAIgYJLcAAAAAAAAAAAAQMUhuAQAAAAAAAAAAIGKQ3AIAAAAkmdlUM3N+x+EXMxtjZs7M6hVA3WvNbFEuylc1s7/N7LqQbe0C8Q3I7/iKAvN8ZWYv+B1Lbvj1uZhZvcB5xxTmecNRlGMDAAAAogXJLQAAAESlwM3lcL/q+R0v0hknaaskXxM9ZlbMzG43s1VmttfMfjeziWYWn4s6srrmkkLLOeecpDGSrjSzZkdQ/0Ez22Bm88zsvLAbCwAAAAARpITfAQAAAAAF5F8ZXreRNFDSZEmfZNi3VdJ1km4ohLiQDTOrLelqSXc45w76HM4jkm6RNFPSREmNAq+bm9m5zrlDYdbzibzrLtSBjIWcc7PNbK2k4ZIuyUWcKwLxSVJJSfUkXSsp0cx6Oedm5KKu3PqfpFhl0p4Cti5wXr+vEQAAAAA+ILkFAACAqOSceyX0tZmVkJfcWpJxX4jCvkGPw10vyUl6zc8gzKyxpEGSZjjneoVsXyPpcUl9JL0aZnW/ZXPNZfSKpGFmVt0592eYx2zI5HqfLukbSf0lFVhyK5Dg21tQ9WdzXufHeQEAAAAUDUxLCAAAACjzNbfStplZpcDP28xst5m9Y2bVA2UGmtnKwLR1q8zswizqv8zMPg0cn2xmS82sdyblupvZx4FzpZjZejObYWYnZChX3cweN7PfzGyfmW0xs/lm1imkzOmBuFcHzrnbzBabWc9cvC8JZvaAmf0SOM9WM3vNzOpnUraOmb0ZWC9rl5m9a2YNwj1XwCWSvnTObckmpkGBNu0NfB+UYf+sQHvLZXJsy8BnOiqHOPpKMkmPZtg+RVKypCvCas0/5y1lZmXCKDpP3uiri3JTfyY2Br7vzxDHeWb2RuC6STGznWb2gZm1zSTmxmb2VmCaw31m9qeZfWRm3UPKZLrmlnmuC1znSYGv78xsbE6BB66j581sXci1/ZmZ9Q8pk+m6VmYWZ2YPm9mmQPs+N7OOWfx+LzJvPbiagWt6R+C6Sczk962smY0LtGdbIK5fzOw/ZhaXU5sCdVxpZssC7/mewGcwzcyqhHM8AAAAgH8wcgsAAADI2fuS/pA0StJxCkxVZ2Yz5I0G+6+8USS3SHrbzE5wzq1JO9jMxsmbau59SSMlHZLUU9JbZnazc25SoFxbSbMlfS/pfkk7JdWUdG7gvKsD5epJWiypmqSXJH0pKV7SGYGy8wOn7impoaQ35U3jVkmBkTxm1s85l+3IIzNLkPSZpGMkPS/pB0k1JN0oaamZtXDOrQuULS9viro6kp6R9KOktpI+kjd9XI7MrJqkE+WNjMrKIEnVJT0rabe8JNTjZlbROXdPoMwUSRcE9j2b4fhr5L3/z+cQTstAuWWhG51ze81sRWB/uHrLS4YVN7Otkt6QNMI593cmZb+StE9SO3nvYzhKmlnlwM8lJNWVd52lyrs2Qw2QVFHedfOHpFrypjD80MzaO+c+kSQzqyRpYeCYZ+RdP5UltZDUStJ7OcT0sqR+kpZKuk/etdxQ3nuRZWLRvBGW8wNxPSXvmk+Q1FTe1KIv5nDetyR1k/SOpAWSjpU3reSaLMrHy7tuP5d0d6D8rZJmmdnJzrnUQLm092m6vBF7B+Vd30MkNZfUObugzOxfgdg/kdf+FHm/K90kVZU3NSoAAACAMJHcAgAAAHK2zDl3U9oLM5Ok2+Xd8D7ZObcrsH2hvKngBkoaFth2qrzE1v3OubtD6nzczN6RdL+ZveSc2y3pQnmzK3TKMHLp3gzxPCUv6dXFOZcYusPMQmdnGOecG5Zh/+OSvpY0QjlPqzdWUn1JZzjnvgmpY6qk7yTdIy9ZInk3+etJuto590JanGb2qLxkQThOCnz/NZsyJ0hq5Jz7IxDLJEmfShphZv8NbJ8n6Xd5iaxgciswwqavpMS047NRU9I259y+TPZtkHSmmZVyzu3PZH+oZfISLr9IKicvmXGzpLZmdqZzLim0sHNuv5n9IalxDvWGOk+HJ0d2SLrYOfd+hu3XOef2hG4ws2fkJS6H6Z/16M6Sl3S5zDn3Zi5ikZldKi+x9Yqk/qFrk2W4PjNzkrwE51Dn3IO5PG83ee/vc86560K2L1TWybjKkh4KPVcgAfmgvERx2u/Xb5LqOOdCpy6dZGb3yrv2TnfOpUuEZtBTXjK2Q4a15HIaQQgAAAAgE0xLCAAAAOQs49R0aQmAl9ISW5LknPtW0i5Jx4eU7SdvDakXzaxy6Je8UVplJbUOlE0bydMrMILlMGZWUVIXSe9nTGwFYjgU8vOekOPiAqNx4uSNyGmU2bR9IeUtEPv/JG3IEPceeSNdzgs55CJJm+WNCAr1QFbnyETa9Gx/ZVNmWmhiKpBcekTeg3vnB7alyhuZ1dLMmoQc21teginjaKbMxMkbQZWZvSFlsuWca+Wcm+Cce8c595Jzro+8ZGcTZZ302y4vsRSupZI6Bb66yEuurpP0upmlG1GU4ZooE7gmUgN1tAopmnYtds3uOslCv8D3O0Ovx8D5D2VSPlTaedubWW7eAynw+Ut6OMM550pamcUxh3T4SMG0EWvB32Pn3P60xJaZlTCzCoHfhQWBIq2Uvb/lXS/dA79bAAAAAI4AyS0AAAAgZ79leL0j8D2zqc52yJv+L00jeWs3rZI3uib0Ky3JUi3w/Ul5o6qekvSXmc01s1syrMlzXKC+r3MK2syqmtlkM9ssLyG1LXDeGwJFymdzeJVAO9JGBWX86hQSt+SN8Po5ZBo3SZJzbpO8KenCkbYmUnY3/zNLUvwYEkOa/8pL2lwTsu0aSVvkJRVzkiypdBb7YkLK5MVD8tbC6p7FftM/70U4tjnnFgS+Ep1zU+RNmbdb0nNmVjJYsVkDM3vdzHYE9qddE90kVUgr55z7WF6icoCkbeat1XaPmZ2knB0vaZNzbnMu2pB23nXypjE8T9ImM1tuZg+aWTjTQB4rL1n1Syb7fsrimI3Oub0Ztm0PfA/9PZaZ3Whm38pLev4l731bFNhdQdkbLy/h+I6krWY23cyuNbOyORwHAAAAIBMktwAAAIAcZEzYhMhqu2X42ckbUdMpi68FgfNsl7eWU3tJT8gb1fWIpNVm1lq5EBgd8oG8NbZelHRZSAxp0xFm9/+BtDYsyCbubNcZyoO0qfUqHmlFzrnf5a1xdoWZlTKz4yWdI2+03YHsj5YkbZRU2cwyS3DVkpdQymlKwqxiO5BWfxZFKuoI12AKjChcIqm2AiOQzKyMvJF4XSQ9Jm8kW2d5n+VCZUgqOuf6yxthNlxewucOSd+a2c1HElsYsY8IxHybvCkqr5W0zMzCHQWYm8RgVr/DUsj7YWaDJU2StEnS9fISk530z7Sc2f7f2jn3s7wpF7vL+32sK29tuFVm1iAX8QIAAAAQa24BAAAABe1necmE9c65rKZGCwok0hYFvmRmTSUtl7dGVnd5o1KcpGY5VNVU0imSxjrnRofuMLNrw4h7q7wRV+WccwtyKixvdNvxZlY8NBloZjWU/QixUD8Evh+fTZlGmWxLG02UcYTdZHnv2UWSmge2hTMloSR9IW/00On6ZxpKmVmMvPf+f2HWc5hAHbXlTe2YcV9pSXUkzchr/SHSRmyljQ7qKG8tsdB10dLOOy6zCpxz30v6XtJDZlZe3vSF/zGzSc65rJJIqyVdaGbV8jJ6K3De3+QleJ8IvF+JkoaY2cQM69GFWisvyXS8Dh/hd2Je4gjxr0D9XTOsIdYl3AoC67fNDXylrRH2nqTBkm7K5lAAAAAAGTByCwAAAChYLwe+jzez4hl3mlm1kJ8zG8mzSlKKAqOZnHN/SZonby2kczOpL220SVqCyTLsP1lSz5yCDtzAnybpdDPrnVmZDGsizZI3TeGVGYoNzelcIefcKi/BdUY2xfqZWe2QGEpJul1ee+dkKPuevBFS18sbwbbYObcqzHDekJdEvC3D9uvkrZ00LXRjYLq/hhm2pZvWLsS98h40fDeTfc0llZL0cZhxZiowleWZ8tYHS5u2Matr4jxlWDPKzCqaWbr/LzrndsqbijNO/0zNmJm09+bBjHXktN6UmSWETqMYOO9e/ZOsym76v7T38/YMdXZT5knR3EiVdz2EjuYqIemucA7O4nf7q8D3Ix6pCAAAABxtGLkFAAAAFCDn3BdmNkbSGEkrzOwteQmXGpJOk7fWUalA8SmBxM0H8tbniZU3nWBZeesfpblZ0meS5pnZi/JGdsXKS1CslZdQWikvUTTEzOLkrTl0grxEz3eBc+dkuKSzJL1pZm/KG2m0X96Uat0C5x0QKPugpMsDbTgtcO52klrLW9cpXG9JGmlmNQLrdWW0WtJSM3tG3ppRl8ubyvHewFSEQc65VDN7Xt6oN0m6O9wgnHPfmdkkSTeb2Qx5o20aSbpFXuLp1QyHfCjvfQlN3owwszMkfSRpvaQy8t639vJGQD2Ryam7STogb22mcNUysysCPxeXdIy89cXKSxrunNsd2PeppD8lTTSzepL+kDcK7V/yrokmIXVeKel2M5spb7TgAXnreHWW9KZzLiWrYJxzb5nZG4E6jjez2fLWojshcPzJ2bSlvaTJZjZd3jWbJO9avVbSUudcVmtnSd5nlCjpukAyaYG8dbgGSvpW3mjGvHpb0v3yfudmSCon79oLZ4pLSfrAzHbKGwX4u7zPZoC8hNnL2RwHAAAAIBMktwAAAIAC5py7x8y+lJcYuU1SvKQt8qZ7uyWk6Mvybnj3l1RF0i55o256O+emh9S3xsxaSBopLxlypbzkwTfypuJLS+x0lzQhUF984Hz95U1XmGNyyzn3t5mdJW+tpUslXSjpoLykyKeSngspu8PM2kh6WP+M3vpYXrLiwzDepjRT5CWjLpc0MZP9T8hLLAySl8RZL+k259xjWdT3nLyk1h55ibPcuE1esnCgvOkNtwXOPyp0arpsLJI3ZWJ/SZXkjf75WV7S8OHAiKSMrpA0yzn3Zy7ibKb0CZLdklZIuss593raRufcTjPrLC8ROUje/weXy7uGrlH65NYieaPIeshLxKbKG7V1p6Qnw4jpcnmJnGskjQo5PqfP4Bt5UzK2k9RPXrJuvaTxyvx6CHLOOTPrJek+SX0ldZWX1Oop6UZlP91lTh6Sl7i8Rt56ZX/KG933gv4ZGZedp+X9Dl0vb6TWdklfSxrknPvoCOICAAAAjkqW9TTpAAAAAFD4AqOyzpN0onMu3JExWdVVQ95Imf86567Pj/gKipldKC+xc5pzboXf8UQTM/tOUknnXMMcCwMAAAAo8lhzCwAAAEBRM0reSKer8qGu/5M3+mdyPtRVYAJrUY2R9BKJrbwzs9hMtnWXNxXi/MKPCAAAAEBBYOQWAAAAgKhjZn3kTVt4j6SPnXNdfA4JhcDM7pc3neJHkv6WN2Xj1fKm+GzmnPvDx/AAAAAA5BOSWwAAAACijpk5SXvlrft0lXNug88hoRCYWTdJd8lb6yxB0l+SFkoa6Zz7xc/YAAAAAOQfklsAAAAAAAAAAACIGKy5BQAAAAAAAAAAgIhBcgsAAAAAAAAAAAARg+QWAAAAAAAAAAAAIgbJLQAAAAAAAAAAAEQMklsAAAAAAAAAAACIGCS3AAAAAAAAAAAAEDFIbgEAAAAAAAAAACBikNwCAAAAAAAAAABAxCC5BQAAAAAAAAAAgIhBcgsAAAAAAAAAAAARg+QWAAAAAAAAAAAAIgbJLQAAAAAAAAAAAEQMklsAAAAAAAAAAACIGCS3AAAAAAAAAAAAEDFIbgEAAAAAAAAAACBikNwCAAAAAAAAAABAxCC5BQAAAAAAAAAAgIhBcgsAAAAAAAAAAAARg+QWAAAAAAAAAAAAIgbJLQAAAAAAAAAAAEQMklsAAAAAAAAAAACIGCS3AAAAAAAAAAAAEDFIbgEAAAAAAAAAACBikNwCAAAAAAAAAABAxCC5BQAAAAAAAAAAgIhBcgsAAAAAAAAAAAARg+QWAAAAAAAAAAAAIgbJLQAAAAAAAAAAAEQMklsAAAAAAAAAAACIGCS3AAAAAAAAAAAAEDFIbgEAAAAAAAAAACBikNwCAAAAAAAAAABAxCC5BQAAAAAAAAAAgIhBcgsAAAAAAAAAAAARg+QWAAAAAAAAAAAAIgbJLQAAAAAAAAAAAEQMklsAAAAAAAAAAACIGCS3AAAAAAAAAAAAEDFK+B1AVipXruzq1auX7/Xu2bNH8fHx+V5vUUH7Ihvti2y0L7LRvrxZvnz5NudclXyvGLlG3ylvaF9ko32RjfZFNtqXN/Sdig76TnlD+yIb7YtstC+y0b68ya7vVGSTW/Xq1dOXX36Z7/UuWrRI7dq1y/d6iwraF9loX2SjfZGN9uWNma3L90qRJ/Sd8ob2RTbaF9loX2SjfXlD36nooO+UN7QvstG+yEb7Ihvty5vs+k5MSwgAAAAAAAAAAICIQXILAAAAAAAAAAAAEYPkFgAAAAAAAAAAACIGyS0AAAAAAAAAAABEDJJbAAAAAAAAAAAAiBgl/A7gSOzatUtbtmzRgQMHwj4mISFBK1euLMCo/EX7jlzJkiVVtWpVlStXrkDPAwBAYcpLv0mibxHp/G4f/SoAQKSi75Q52hfZsmoffTYAkShik1u7du3S5s2bVatWLcXGxsrMwjpu9+7dKlu2bAFH5x/ad2Scc0pJSdGGDRskiT/qAICokNd+k0TfItL52T76VQCASEXfKWu0L7Jl1j76bAAiVcROS7hlyxbVqlVLcXFxuepkANkxM8XFxalWrVrasmWL3+EAAJAv6DfBD/SrAACRir4Tjib02QBEqohNbh04cECxsbF+h4EoFRsbm+upBwAAKKroN8FP9KsAAJGGvhOORvTZAESaiE1uSeLpGRQYri0AQLThbxv8wrUHAIhE/P3C0YZrHkCkiejkFgAAAAAAAAAAAI4uJLcAAAAAAAAAAAAQMUhuFWGTJ0/WO++8U+jnXbx4sU499VTFxMT4MiT5gw8+0KOPPnrY9gEDBqht27aFHg8AAEBerFy5Um3atFF8fLzMTGvXri3U8y9btkzjx48/bPuYMWNUuXLlQo0FAAAcnRYtWiQz0/fff3/EdU2dOlVmpqSkJEnS2rVrZWaaM2fOEdW7Z88e9enTR5UqVVK5cuU0derUI441N7Zs2aIxY8Yc1lfMz/cOAKJRWMktMzvOzJ41s2/NLNXMFoV5XIKZvWBmO8zsbzObZmaVjijio4hfya3rr79e5cuXV2JiopYsWVLo588quTVy5Eg9/fTThR4PAACFxcwGmJnL5OsGv2ND7v373//Wzp07NXv2bC1ZskQ1atQo1PMvW7ZM//nPfw7bfu211yoxMbFQYwEAAMhvNWrU0JIlS3T22WcfUT1PP/203n33XU2ePFkLFixQ9+7d8ynC8GzZskX33HPPYcmtU089VUuWLFGDBg0KNR4AiBQlwizXWFI3SZ9LKpmL+t+UdIKkayUdkvSApHcktclFHShkq1at0sCBA4vcKKkGDRqoatWqfocBAEBh6CApJeT1b34FUlSlpqYqNTVVpUqV8juULK1atUoXXHCBOnbs6Hco6dSuXVu1a9f2OwwAABChDhw4oGLFiql48eK+xlG6dGmdccYZR1zPqlWrdOKJJ6pXr17avXu3ypYtmw/RHbly5crlS/sAIFqFOy3hu865Os65SyT9EM4BZtZa0nmS+jvnpjvnZkq6QtLZZnZu3sKNLj/88IO6dOmiihUrKj4+Xo0aNdKkSZMkSe3atdPy5cv14osvysxkZumGRT/33HNq3LixSpcurbp16+rBBx9MV/eAAQPUokULvfPOO2rYsKFiYmJ09tln68cff8wynrThzqmpqbr11ltlZhowYIAkycz05JNPpiufcUqbtOHh3333nTp16qT4+Hg1bNhQM2bMOOxcM2fO1Omnn67Y2FhVqlRJ3bp107p16zRmzBhNnDhR69atC7Y7LYbMpiVcsWKFOnbsqLi4OFWoUEH9+vXT5s2bg/vThqi/+eabuv7665WQkKDatWtr9OjROnToUNYfDgAA/vrCOfd5yNcWvwPyW2jfpnHjxoqJidHSpUu1adMmXX311apfv75iY2N1wgknaMSIEdq/f3/w2LT+wOuvv66rrrpK5cqVU+3atfXKK69Ikh588EHVrFlTVapU0dChQ9P1EdL6O6HTNjdr1kyffvpplrGmne/XX3/VI488IjNTu3btJEn16tXTnXfema58xil20vpkixYt0iWXXKIyZcqofv36euqppw471//+9z+1b99eZcqUUUJCgtq1a6evv/5aU6dO1aBBgyQp2KdKiyGzaQnXrFmjiy66SOXKlVPZsmV1/vnn65dffklXxsz02GOP6e6771aVKlVUtWpV3XTTTdq3b192Hx0AAPBBuPeFJk6cqJYtWyohIUHVqlXLtA/Qrl079e7dW5MnT1bTpk0VExOjjRs3atWqVerTp4/q1KmjuLg4NW7cWI8++mim91s2btyoHj16KD4+Xsccc4yeeeaZw8q8+eabatKkiUqXLq06depo+PDhOnjwYJZtzGpawilTpqhJkyaKiYlRtWrV1Lt3b/3999+Z1lGvXj3997//1ddffy0zU7ly5dK9fzmdL9z+0bp169S3b19VrlxZcXFxatq0qV599VWtXbtWTZo0kSS1b98+2G+TMp+WMDk5WbfccouqV6+umJgYtWzZUh988EG6c6V9Xq+++qqOO+44lStXTl27dtUff/yR5XsJAJEorJFbzrm8ZAG6StrsnPtfSD3LzGxNYN+CPNQZVc4//3w1atRIr7zyikqXLq2ffvpJu3btkiQ99dRT6tWrl+rXr6+RI0dKUnAY8kMPPaS7775bQ4YMCSbBRo4cqbi4OPXv3z9Y/7p16zR48GDde++9io2N1ejRo9W5c2f9/PPPiomJOSyetOHOrVu31h133KHevXurSpUquW7X5ZdfroEDB+rf//63nnjiCfXp00e//fZb8Anhl19+WVdeeaX69OmjkSNHyjmnhQsXauvWrbr22mv1888/a+HChZo5c6YkZRnD1q1b1a5dOzVq1EivvvqqkpKSdNddd6lTp0768ssv0z3JPWTIEPXq1Utvv/22PvzwQ40dO1aNGzfWpZdemuv2Ie+Kp6RIO3f6HUaBKZGURPsiWLS3r3hyst8hAEds7dq1GjJkiEaNGqXq1avr2GOP1bZt21SxYkU9/PDDqlChglavXq0xY8Zo69atevbZZ9MdP3ToUPXr10/Tp0/X888/r/79++vGG2/Upk2b9Pzzz2v58uUaMWKEmjdvrj59+gSPS05O1hVXXKFhw4apRo0amjhxorp27aqff/5Z1atXPyzOtClyevbsqQ4dOmjQoEHBGyW5cd1116l///4aOHCgXnvtNd10001q0aKFTj/9dEneDY9OnTqpffv2evHFFxUfH6/Fixdrw4YN6t69u+644w5NnDgxOM10VjHs27dPHTt2VMmSJTVlyhSVKFFCo0ePVtu2bfXdd9+pYsWKwbITJ05Uhw4d9Morr+jbb7/VsGHDVLduXQ0ZMiTX7QOAomrtzrVKdal+hwEcsXDuC/3xxx+6+eabVbduXe3atUvPPPOMzjzzTP38889KSEgI1rV48WL9+uuvGjt2rCpVqqSEhAStXr1aJ554ovr166eyZctqxYoVGj16tFJSUjRs2LB0sVxzzTX617/+pUGDBmnmzJn6v//7P9WuXVs9evSQ5C1Rcdlll+nKK6/UQw89pG+//VYjR47U9u3bM02EZWXcuHEaNWqUbrzxRj300ENKTk7We++9p6SkpHTtSTNz5kyNGDFCv/32m1544QXt2bMn1+9zTv2jLVu2qHXr1oqLi9OECRNUp04dff/99/r9999Vo0YNTZs2Tf369dOkSZN06qmnZnuu6667TrNnz9b48eN13HHHacqUKerevbs++uijdNMzLl26VBs3btTEiROVkpKiW2+9VQMHDtQbb7yR6/YBQE5+/11yrvDPG+60hHnRUNKqTLavDOzLf7fdJq1YkW2R2NRUqSCGTTdrJmWyTlRWtm3bpjVr1mjWrFnBJzRCp6w56aSTFB8frypVqqQbgrxr1y7dc889GjFihEaPHi1J6tSpk5KTkzVu3DhdccUV6c4xa9YsnXnmmZKk0047TQ0aNNDUqVN1ww2HL90ROty5Xr16eR76fPvtt+vqq68OnrNatWqaM2eObrjhBh06dEh33XWXevbsqddeey14zAUXXBD8uUaNGmENLZ84caIkKTExMXiz5vjjj9cZZ5yh6dOnq2/fvsGy55xzTrB8p06d9P7772vGjBkktwpTYqLO7t7dn3/pCsmRzfJd9NG+yNbshBOkbt38DgPh+zWwTumvkh52zj2b0wG5ddv7t2nFn9n3myRv+r/8nnKmWfVmerRL+P2mNNu3b9eCBQvUrFmz4LbatWtrwoQJwddnnXWW4uPjdfXVV+uJJ55I97BLhw4dNH78eElSq1at9Pbbb2vu3LlavXq1ihcvri5dumjWrFmaOXNmuuRWSkqK7rvvPl1++eWSvKdqjznmGD366KOZrmmV1o8pXbq0atSokec+Vd++fTVixAhJ3hO47777rmbMmBFMbg0bNkynnHKKEhMTg0/4dunSJXh8vXr1JCnH87/wwgtav369Vq9erfr16wffn/r16+vZZ59Nd3OqXr16wdkEOnfurMWLF2vGjBkktwBEjZOcIwoAACAASURBVH0H96njSx1Vr0Q9dWxftKaVhb/CuOUkSUpNjc332065vOUUFM59oUceeSRYPjU1VZ06dVLVqlU1a9YsXXnllcF9O3fu1IoVKxQXFxectq9jx47Be1nOOZ199tlKTk7WlClTDktude3aNdgP69y5s3799VeNGzcumNwaNWqU2rVrpxdffFHSP32aYcOGacSIEWFNqbxz506NHz9et912mx5++OHg9osvvjjLY5o3b64qVapo8+bNOuOMM7R79+4cz5NRTv2jRx55RH///beWL18eXIM19B5g06ZNJXn3ArPrt61cuVKvvfaaXnjhheDD7Z07d1bTpk117733pltTddeuXXrvvfdUoUIFSdKff/6p22+/XSkpKUVm2kUA0WHXLql+fal//2PUvn3hnrsgk1sVJGX2CPwOSfUzO8DMBkoaKEnVqlXTokWLsqw8ISHhsD84pffvV7HUHJ6uck4HcyqTB4f279e+XPwBLFmypGrXrq3rrrtON9xwg84555zDRigdOnRIBw4cSNfODz/8UHv27FG3bt20Y8eO4PYzzjhD9957r9avX6/ixYvrwIEDqlKlipo0aRI8vmLFimrWrJkWL16sfv36ZRvf3r17D3t/M27bt2+fnHPBbXv37pUknXnmmcFtpUqVUpUqVfTrr79q9+7d+umnn7Rx40b16dMnyw7D/v3709Wb5sCBA+m2L1myRB06dJCZBbeddNJJqlu3rhYuXKgePXoEp/dp06ZNuvqOP/54rVu3LttOy969e7O9BgtCUlJSoZ+zsNRYsEAnOqe1V16pg1Hakdq3b59Kly7tdxgFhvZFtl0xMdoVpf++RJlNkkZKWiapuKQ+kp4xszjn3CMZC4fbd8qs37R//36lhtEncs6FVS439u/fn+sbBwcOHFDNmjXVoEGDdMc65/TUU09p6tSpWrduXbA/Ikk//vijGjRoEOwPhPZRzEyVK1fWWWedpeSQkY1169bV+vXrg+XSppQ599xz0523ffv2WrJkSbbtcM4d1tbMtqXFvHv3bjnngvGcffbZ6crVr19fa9as0e7du7Vnzx4tXbpUDzzwQLB9GYXWGypjH27x4sU65ZRTVKVKleC2hIQEnXHGGVq0aJFuvvnm4LFt27ZNV99xxx2nL774IsfPs6D6VdHcd5JoX6SjfZHpjd/f0G87flP/4/tHZftwdKlatWowsSV5/ZzTTjtNy5YtCya3Pv/8c40cOVJfffWV/vrrr2DZ1atXp6sr7eHljH2Y+++/X9OmTdP69et14MCB4L6DBw+qRIl/bjv27NkzXX0XX3yxbrnllmA/86uvvtKjGTJ4l112mYYOHaolS5bokksuybG9S5YsUUpKiq666qocy+an8847L93rk046SV9++WXw9cKFC9WlS5dgYiuvvvjiCznn0r0XxYoV0yWXXHLYciUtW7YMJrbSYpK86SFZzx5AflqwQDp4UGrSJPPpXwtSQSa3cs05N1nSZElq0aKFS1sXIDMrV648/EmDTNYhyKggF4bM7XLm8+fP1/Dhw3XTTTcpJSVFZ511lh5//HE1b95ckvcHqmTJkuniTbvZkfbEbkZ//vmnmjZtqpIlS6patWqHtbVGjRratm1bju9BTEzMYWUybitdurTMLLgtbUh77dq1VaZMmXTlnHMqW7Zs8CZL/fr1s4yhVKlS6epNU7JkyXTbt2zZoqZNmx5Wrnr16kpKSlLZsmWDcdSoUSNdufj4eB04cCDb9yEmJib4WRSWRYsWKbvrPqIF5uyud999UpQuYh/Vn59oX6SL9vZFC+dcoqTEkE3zzCxG0ggzeyzjVNHh9p0y6zc9dUHO/SapYPtOuVGyZElVr179sFgeeeQRjRgxQkOHDlXbtm1VoUIFffHFF7rppptUokSJbPsDpUuXVvny5bPtI5QuXVplypQ57CZAzZo19eOPP2b73piZSpUqla5MZtvS+lBpscbFxQXPEVouNjZWqampKlu2rP7++28553TsscdmGUNovaEy9uG2bdt22LnSzr9u3bp02zP2L8uUKaN9+/aF1bcsiH5VtP/bRvsiG+2LPFv3bNWrn7+qbsd30zk1z4m69uHIhDtyavfuojMyJrMkRtWqVbVp0yZJ0vr163Xeeefp9NNP17PPPquaNWuqVKlS6t69e7oHhiSvD5DR0KFD9dxzz2n06NE69dRTVb58ec2aNUvjxo3T3r17090byhhL1apVdfDgQW3btk2S9yBTxnOkvQ5NumVn+/btknTESaTcKl++fLrXpUqVSvf+bd++XS1btjzi82zatCldXzFNtWrVlJycnO6BzcxiksRaqQDy3dy5UkKC1LjxrkI/d0Emt3ZIymyxpAqBfUe9hg0bavr06Tpw4IA++eQTDR06VN27d9cff/yhYsWKZXpM2poHc+bMybRjUbNmzeDPW7Ycvvb8li1b1Lhx41zHWrp06XQLs0tKN3IsXJUqVZKkYEfqSNSoUSPTNm7evFmnnXbaEdcPAEAR8bakSyXVk/Sbv6H4K23qvVBvvfWWevfurfvuuy+4LeNC6UcqKSlJKSkpio2NDW7bsmVLnm6cxMTE5EufqkKFCipWrFi+9al++OGHw7Zv3rw53XpbABDtRi8arT3792hCpwna/MNmv8MBjlhO94Xef/99JScna9asWYqPj5fkjbjKLJmUVT9s0KBB6aYnfu+998KKZcuWLSpRooQqV64syXuQKWOZzZu938Nw+yOh95zS6s2r/OqzpcWVX322pKQkJScnp0twbd68WXFxcVE9EwmAosk5ad48qVMnqUSJwl+KJvMMSv5YpczX1spqLa6jVsmSJdWhQwcNHjxYmzZt0s6d3myOGZ/0kKTWrVsrNjZWGzduVIsWLQ77Cn06aMuWLfrss8+Cr9evX6+vvvoqy1Ff2aldu7ZWrlwZfH3o0CF9+OGHua7nxBNPVK1atYJzKGcms3ZnplWrVkpMTEw3JP6LL77Q2rVr0y2iCQBAhHMZviNESkrKYf+RnzZtWr6fZ+bMmcGfk5KSNH/+/HzpU0neAuq5FR8fr1atWumll16Sy2I9y7QndHPqV7Vq1UrLly/XmjVrgts2bNigzz77jD4VgKPGD1t+0LPLn9X/tfg/NarSyO9wgHyR032hlJQUFStWLN30gW+++aYOHjwYVv0Z+2Gpqal6/fXXMy0b2pdKe33aaaepePHiKl68uE477TS99dZb6cq8+eabKlasmFq3bh1WPGn3zLK75xSu2rVra+3aten6UXnps0ne+lqJiYnBZF1G4fbZWrZsKTPT22+/HdzmnNPbb79Nnw2AL779Vtq40b8l3gty5NY8SSPN7Gzn3KeSZGYt5K23Na8AzxsRvv32W91555267LLLVL9+fe3YsUMPPPCATjnllOATKQ0bNlRiYqISExNVqVIlHXvssapUqZLGjBmjW2+9VevWrdM555yjQ4cOafXq1froo4/00ksvBc9RuXJlXXHFFRo3bpxiY2M1evRoVa1aVQMGDMh1vD179tSkSZPUvHlz1a9fX88995x27cr9UMNixYrpwQcfVL9+/dSvXz/17dtXZqaFCxeqb9++atGihRo2bKjNmzdr6tSpOvnkk1W5cuXgguihBg8erKefflqdO3fW0KFDlZSUpLvuuktNmjRRr169ch0bAABFVG9J2ySt8zuQoqhTp056/PHH1apVKzVo0EDTpk3TL4GpcPNLbGyshg8frqSkJNWsWVMTJkzQ/v37deutt+a6rp49e2rQoEEaP368WrZsqenTp2c6aioc//nPf3Tuueeqa9euGjhwoOLj47VkyRK1aNFCPXr0UMOG3nNmjz32mDp06KBy5crpxBNPPKyeAQMG6IEHHlDXrl01duxYFS9eXPfcc48qV66s66+/Pk+xAUCkuXP+nSpbqqxGtxvtdyhAvsnpvlCHDh2Umpqqq666Stdcc41++OEHTZgw4bAp7bLSqVMnTZo0Sccdd5wqVqyoSZMmZTnt3bx58zR8+HC1bdtWM2bM0Pz58zVr1qzg/nvuuUedO3fWVVddpT59+ui7777TyJEjdd1116l2mEsblC9fXiNHjtTw4cO1f/9+devWTfv27dN7772n0aNHq1atWmHVI0kXXXSRRo0apWuvvVYDBgzQ119/reeffz7s40Pdfvvteumll9SmTRsNHz5cderU0cqVK7Vnzx4NGTJExxxzTDApl5CQoJIlS6pFixaH1dOoUSP17dtXN998s3bv3q0GDRpoypQpWrVqlZ5++uk8xQYAR2JeIMvTpYv000+Ff/6wRm6ZWZyZ9Taz3pJqSaqS9trM4gJlfjGz/6Yd45xbIukDSS+Z2cVmdpGkaZI+dc4tyP+mRJbq1aurWrVquu+++9S1a1fdeOONatSokWbPnh0sM2LECDVq1EiXXnqpWrZsqXfffVeSNGTIEE2ePFnz5s3ThRdeqL59+2ratGlq06ZNunPUrVtXEyZM0JgxY9SnTx+VLVtWiYmJwfUXcmP06NG65JJLNGLECA0YMEDNmjXL8wKdl19+uaZPn65Vq1apd+/euvLKK7Vq1SpVqeLNYnnppZdqwIABGjJkiFq2bKkxY8ZkWk+VKlX00UcfKSYmRn379tVNN92kNm3aaP78+cGnXgAAiCRmNt3MhppZVzPrYWYvS7pM0tiM623BM2rUKPXt21cjRoxQ3759VapUKT3++OP5eo64uDi99NJLeuqpp9SrVy/t2LFDc+fOzdO0hAMHDtRtt92mxx9/XJdeeqlKly6tESNG5Cmuc845R/Pnz1dycrKuuOIKXXbZZfr444+DN4DatGmjW2+9VY899phatWqVZaKqdOnSWrBggRo2bKhrrrlG/fv31zHHHKNFixYxLSGAo8L7v7yv9395X6PajlLluCObygwoSnK6L9SkSRNNnTpVS5cuVY8ePfTqq6/qrbfeUkJCQlj1P/HEE2rTpo1uuukmXX311Tr55JM1bNiwTMs+99xz+uqrr3TRRRdpzpw5mjRpki644ILg/vPOO0+vv/66vvzyS51//vl69NFHdccdd+jJJ5/MVZuHDRump59+WgsWLNCFF16o66+/Xjt37sz1Omgnn3yynn/+eS1ZskQXXHCBPv74Y73wwgu5qiNNlSpVtHjxYjVv3ly33XabevToocmTJ+uYY46R5E2BOGXKFC1fvlxt27bNdn2uKVOmqH///ho7dqwuvPBCrVu3TnPmzGHkFgBfzJ0rNW8uFfJSh0GW1TQm6QqZ1ZO0Jovdxzrn1prZWkmLnHMDQo4rL+kRST3lJdLmSLrFObctp3O2aNHCffnll1nuX7lypRo1yv1UAUVlUfSCkta+AQMG6Pvvv1d272EkKszPL6/X2JGIxkWZg6ZMkQYOlH7/XQrzqatIE9Wfn2hfpCuo9pnZcufc4Y8VIk/MbLykXpLqSDJJP0p61Dn3ck7HZtd3OpK/aUdL3ykrY8aM0ZNPPhlc7DzSFJXPr6D6VfzbHdloX2SLlvYdPHRQpzxzivan7tcPN/6gUsW9ByXpO0W/aO87FdR9oaLSvoJytLfPj3th+Sla/jZlhfZFtmhr386dUuXK0l13SePG+dN3CmtaQufcWnk3WLIrUy+TbTslXRX4AgAAQDacc3dLutvvOAAAOFpMXj5ZP279UTMvmxlMbAEAACB78+dLqalS167+xRDWtIQAkG8s2zw5AAAAABSKnXt3atRHo9SuXjtdeOKFfocDAAAQMebOlSpUkFq18i8GkltRaurUqVE3JSEAAEBhGzNmTMROSQgAyN59/7tPf6X8pUc6PyLjITxEGe4LAQAKyqFD0rx5UufOUomw5gYsGCS3AAAAAADAUeXXv37VY0sf01XNrlKz6s38DgcZmNlxZvasmX1rZqlmtijD/hpm9pCZfWNmSWb2u5m9aGY1fQoZAICjxooV0ubN/k5JKEV4css553cIiFJcWwCAaMPfNviFaw9AUTRkwRCVKl5K4zqM8zsUZK6xpG6SfpK0OpP9p0nqKek1SedL+rekVpI+M7My+REAf79wtOGaBxCuuXO97126+BuHj4PGjkzJkiWVkpKiuLg4v0NBFEpJSVHJkiX9DgMAgHxBvwl+ol8FoKj5eO3HmrFyhu5tf69qlK3hdzjI3LvOuVmSZGZvS6qcYf+nkho65w6mbTCzr+Qlw3pJevFITk7fCUcj+mwAwjV3rtSihVS1qr9xROzIrapVq2rDhg1KTk7myQLkG+eckpOTtWHDBlX1+7cz2vB7CgC+od8EP9CvAlAUHXKHNPiDwapTro7uaH2H3+EgC865Qzns3xma2ApsWy0pWdIRT01I3wlHE/psAHJj+3Zp6VKpWze/I4ngkVvlypWTJG3cuFEHDhwI+7i9e/cqJiamoMLyHe07ciVLllS1atWC1xgAAJEur/0mib5FpPO7ffSrABQ1L33zkr7a9JWmXTxNsSVj/Q4H+cjMmkqKU+bTGOYKfaes0b7IllX76LMBCNcHH0iHDpHcOmLlypXL9T+6ixYtUvPmzQsoIv/RPhR5Zn5HAABHpbz0m6To/9tL+wDg6JG0P0l3f3i3WtVqpb4n9/U7HOQjMysm6TFJP0uanR910nfKHO2LbNHePgAFb948qVIlb1pCv0V0cgsAAAAAACAcDy1+SJuSNmn6pdNlPHQXbe6X1FpSW+dclkOtzGygpIGSVK1aNS1atCjfA0lKSiqQeosK2hfZaF9ko32RLRrad+iQNHv2mWrZcoc++WRlun1+tI/kFgAAAAAAiGp/7PpDD332kC5rfJla12ntdzjIR2Z2o6R/S+rrnFuaXVnn3GRJkyWpRYsWrl27dvkez6JFi1QQ9RYVtC+y0b7IRvsiWzS0b9ky6e+/pQEDqqldu2rp9vnRvmKFejYAAAAAAIBCNuzDYTrkDumBcx/wOxTkIzPrJekJSUOcc2/4HQ8AANFs3jxvxZnOnf2OxENyCwAAAAAARK1lG5bplW9f0eDWg1W3fF2/w0E+MbN2kqZJesI5N8HncAAAiHpz50qtWkmVK/sdiYdpCQEUDuf8jgAAAADAUcY5p8GJg1UtvpqGnT3M73AQJjOLk9Qt8LKWpHJm1jvweq6kupLekbRK0htmdkbI4Vudc78WWrAAABwFtm6VvvhCGjPG70j+QXILAAAAAABEpbd+fEuLf1+sKedPUdnSZf0OB+GrKumtDNvSXh8rqZWkBEmnSPosQ7kXJQ0oyOAAADjaJCZ6Yxe6dcu5bGEhuQWgcJn5HQEAAACAo8Deg3s1dMFQNa3WVFc1u8rvcJALzrm1krL7z+PUwBcAACgEc+dKVatKp57qdyT/ILkFAAAAAACizmOfP6a1O9dqwb8WqHix4n6HAwAAEJFSU72RWz16SMWK+R3NP4pQKAAAAAAAAEduc9Jm3ffJfTr/hPPVsX5Hv8MBAACIWEuXSn/9JXXt6nck6ZHcAgAAAAAAUWXUR6OUcjBFE86b4HcoAAAAEe2996TixaXOnf2OJD2SWwAAAAAAIGp8t/k7Pff1c7qp5U06odIJfocDAAAQ0ebMkc4+W6pQwe9I0iO5BaBwOOd3BAAAAACinHNOgz8YrITSCRrVdpTf4QAAAES09eulb7/11tsqakr4HQAAAAAAAEB+mPvzXC34bYEe6/KYKsZW9DscAACAiPbee973opjcYuQWgMJl5ncEAAAAAKLQgdQDuuODO3RCpRP0fy3+z+9wAAAAIt6cOVKDBtKJJ/odyeEYuQUAAAAAACLeM18+o5+2/6TZfWarZPGSfocDAAAQ0fbskT78ULrhhqI5XoGRWwAAAAAAIKLtSNmhMR+PUcdjO6rHCUVw3hwAAIAIs3ChtG9f0ZySUCK5BQAAAAAAItzYj8dq596derjzw7Ki+GgxAABAhJkzRypTRjrnHL8jyRzJLQAAAAAAELFWb1+tJ794Utc0v0ZNqzX1OxwAAICI55yX3OrcWSpVyu9oMkdyC0DhcM7vCAAAAABEoX/P/7diS8Tq3vb3+h0KAABAVFixQtq4sehOSSiR3AIAAAAAABFq4ZqFmv3TbN3d5m5VK1PN73AAAACiwpw5kpnUtavfkWSN5BaAwsX89wAAAADyQeqhVA1OHKy6CXV12xm3+R0OAABA1JgzRzr9dKlaEX52iOQWAAAAAACIOFNXTNU3m7/RA+c+oJgSMX6HAwAAEBU2b5aWLSvaUxJKJLcAAAAAAECE2b1vt4YvHK4z65ypSxtf6nc4AAAAUWPuXO97UU9ulfA7AAAAAAAAgNz4z6f/0eY9mzW772wZU58DAADkmzlzpFq1pFNO8TuS7DFyCwAAAAAARIx1O9dp4pKJ6tekn06vdbrf4QAAAESNffukDz7wRm0V9eeHSG4BKBzO+R0BAAAAgCgw7MNhMjPd3/F+v0MBAACIKh9/LCUlSeef73ckOSO5BaBwFfWUPwAAAIAi6/M/Ptdr37+mO1vfqToJdfwOBwAAIKrMmSPFxkodOvgdSc5IbgEAAAAAgCLPOafbE29XjTI1NPTsoX6HAwAAEFWc85JbHTt6Ca6ijuQWAAAAAAAo8l7//nV9/sfnuq/DfSpTqozf4QAAAESVlSulNWu89bYiAcktAAAAAABQpKUcSNHQBUPVvHpz9W/W3+9wAAAAos6cOd737t39jSNcJfwOAAAAAAAAIDsPL3lYv+/6XS/3fFnFjOd0AQAA8tucOVKzZlLt2n5HEh56hAAAAAAAoMjatHuT7v/0fvVs2FNt67X1OxwAAICo89df0uLFkTMloURyC0Bhcc7vCAAAAABEoJEfjdT+1P16sNODfocCAAAQlebOlQ4dIrkFAFkz8zsCAAAAABFixZ8r9PzXz2vQ6YN0XMXj/A4HAAAgKs2eLVWvLrVs6Xck4SO5BQAAAAAAihznnAYnDlbF2Ioa2Xak3+EAAABEpX37pHnzpPPPl4pFUMaohN8BAAAAAAAAZDT7p9n6aO1HerLrkyofU97vcAAAAKLSRx9JSUnShRf6HUnuRFAeDgAAAAAAHA32p+7XnfPvVKPKjXR9i+v9DgcAACBqzZ4txcVJHTv6HUnuMHILAAAAAAAUKZOWTdIvf/2iuZfPVYli3LoAAAAoCM55ya3OnaWYGL+jyR1GbgEAAAAAgCJje/J2jf3fWHVu0Fldj+/qdzgAAABR66uvpA0bIm9KQonkFoDC4pzfEQAAAACIAPd8fI927dulCedN8DsUAACAqDZrllSsmNS9u9+R5B7JLQCFy8zvCAAAAAAUUau2rdJTXzylgacO1MlVT/Y7HAAAgKg2e7Z01llS5cp+R5J7JLcAAAAAAECRcOcHdyq+VLzGth/rdygAAABRbe1a6ZtvInNKQkliVVYAAAAAAOC7+b/O13s/v6cHz31QVeKr+B0OAABAVJs92/t+wQX+xpFXjNwCAAAAAAC+OnjooAZ/MFj1K9TXLa1u8TscAACAqDd7ttSokXT88X5HkjcktwAAAAAAgK/++9V/9f2W7/XguQ+qdInSfocDAAAQ1XbulD7+OHKnJJRIbgEoLM75HQEAAACAImjXvl0a+dFItTmmjS5udLHf4QAAAES9efOkgwcjd0pCiTW3AAAAAACAj8Z/Ml5bk7dqbue5MjO/wwEAAIh6s2ZJ1apJrVr5HUneMXILQOHiP6sAAAAAAtbsWKNHPn9EV55ypVrUbOF3OAAAAFFv/35v5Nb550vFIjhDFMGhAwAAAACASDZ0wVCVKFZC4zuM9zsUAACAo8LHH0u7dkX2lIQSyS0AAAAAAOCDT9d/qrd+fEtDzhyiWuVq+R0OAADAUWHWLCk2Vjr3XL8jOTIktwAAAAAAQKE65A7p9sTbVatsLd155p1+hwMAAHBUcE6aPVs67zwvwRXJSG4BAAAAAIBC9ep3r+rLjV/q/o73K75UvN/hAAAAHBVWrJB+/1268EK/IzlyJLcAFA7n/I4AAAAAQBGQfCBZwz4cphY1W6hf035+hwMAAHDUmD1bMpN69PA7kiNXwu8AAAAAAADA0WPCZxP0x64/9OrFr6qY8cwtAABAYZk1SzrzTKlKFb8jOXL0IgEULjO/IwAAAADgkw27NuiBxQ+o90m91aZuG7/DAQAAOGqsXy99/XV0TEkokdwCAAAAAACFZPjC4Tp46KAeOPcBv0MBAAA4qrzzjvf9oov8jSO/kNwCAAAAAAAFbvnG5Xrxmxd1W6vbVL9Cfb/DAQAAOKrMmCGdfLJ0/PF+R5I/SG4BAAAAAIAC5ZzT7Ym3q0pcFd3d5m6/wwEAADiqbN0qffKJ1LOn35Hkn7CSW2Z2kpl9aGbJZrbRzMaaWfEwjmthZh+Y2V+BrwVm1urIwwYAAIhuZlbLzJLMzJlZGb/jAQDgSMxcNVOfrP9EY9uPVUJMgt/hoIgzs+PM7Fkz+9bMUs1sUSZlzMzuNrPfzSzFzP5nZs18CPf/2bvvMCmru3Hj91lWEGmKUVTEgmCPJRKNGBUbVmJEjVETjdGQqIkJRcSCothAigVEwYoNBeUVK9VFUGMUjVgQRcWCBFFBWEDant8fs1w/QigL7M7Zmbk/18W1+kzhPpn38p2d75znkSSp2nvmGSgrK7DhVghhC2AMEIGTgeuAjsC163hck/LHFQO/L/9TDIwOIey4cdmSck6MqQskKdfcApSmjpAkaWMtXraYS0dfyl5b7cUFP7sgdY5yw17ACcBU4KM13KcL0BXoAbQh875pTAhhm6wUSpKUQ4YPhx13hP3y6GsgFdm59RegNtA2xjg6xngXmcFWhxBC/bU87kSgHnBKjPG5GONzwClAHTJvUCRJkrQaIYTDgOOAXqlbJEnaWHf86w4+nfMpfY7tQ3FRceoc5YZnYoxNYoynA++vemMIYVMyw62bYoz9YoxjgNPJfDH7r9lNlSSpeps/H0aNgrZtIYTUNZWnIsOt44GReW0B8QAAIABJREFUMcZ5Kx0bQmbgdfhaHrcJsAxYsNKx0vJjefQ/oaT1kk//BZWkKlB+6uc7yOyW/zZxjiRJG2X2gtl0f7k7JzQ/gda7tE6doxwRYyxbx11aAvWBJ1Z6zALgGTKfY0mSpHIvvABLluTXKQmhYsOt3YEPVz4QY/wCWFh+25o8WX6f3iGErUMIWwN9gTnA0A3LlSRJynt/AWoB/VOHSJK0sa4puYYFSxbQ6xg3I6tS7Q4sBz5e5fgU1v5ZlSRJBWf4cNhqK2jZMnVJ5QpxHdfBCSEsBS6NMd66yvGvgMExxivW8tj9gGeBxuWHZgLHxxjfWcP92wHtABo1anTAkCFDKrqOCistLaVu3fy9Jrvry235vL7Gw4bRvH9/Jo4YwbJ69VLnVIl8fv3A9eW6qlrfEUccMSnG2KLSn7hAhRC2JPMhze9ijM+HEP4A3A/UizH+z/W3fO+08VxfbnN9uc315baKrO+zBZ9xwZsXcPJ2J3NJ80uyVFY5fO9UfYQQhgE/iTG2WunYlWQ+q9p8lfteAAwCasUYl6zmuXzvtJFcX25zfbnN9eW2VOtbsiRwyimHcMQR39Cp05ouY7nxUrx3qrKTXYcQtiWzQ2sSsOKKsRcDz4UQWpbv/vovMcaBwECAFi1axFatWlV6V0lJCVXxvNWF68tteb2+dzIz7V/+8pewxRaJY6pGXr9+uL5cl+/ryyM3AP+MMT5fkTv73mnjub7c5vpym+vLbRVZX49HelB/0/oMOnsQW262ZXbCKkm+v36FyvdOG8/15TbXl9tcX25Ltb7nn4eFC+Hii7ejVavtquzvSbG+igy35gANVnN8i/Lb1uRSMtfdOi3GuBQghDCOzLeROwG59bUtSZKkKhRC2Av4I3BYCGHFt5A3K//ZIISwPMa4KE2dJEnr58VpL/LitBfp3bp3zg22lBPmAHVDCDVijMtXOr4FsHB1u7YkSSpEw4dDvXpw5JGpSypfRYZbH7LK+YpDCE3IfNjy4WofkbE78P6KwRZAjHFJCOF9YJcNaJWUy9ZxClRJEs3JfDHotdXc9hVwL/9/N7wkSdXWsrJldBzVkWYNm/HXA/+aOkf56UOgBtAMmLrS8f+5brwkSYVq+XJ4+mk48USoVSt1TeUrqsB9XgCODSGsfJGcM4BFwPi1PO5zYO8QQs0VB0IItYC9genrnypJkpTXJgJHrPKnR/ltJwC3JOqSJGm9DJw0kA9mf8Atx9xCzRo11/0Aaf29CswDTl9xIISwGdCGzOdYkiQVvFdegdmzoW3b1CVVoyI7t+4icwrBp0IIPYCmQDegT4xx3oo7hRCmAeNjjOeXH7qHzLeLh4cQ7gQCmWtubUv5+Y0lFaAQUhdIUrUUY/wWKFn5WAhhp/J/nBBjLM1ykiRJ623uj3O5+qWrabVTK07e7eTUOcpR5YOqE8r/tTFQP4RwWvm/Px9jXBhCuBnoGkKYQ2a3VgcyX+K+I+vBkiRVQ8OHZ3ZsHX986pKqsc7hVoxxTgjhKKAf8AwwF+hLZsC16nPVWOlxk0IIxwHXAA+VH34XOCbG+M7Gp0uSJEmSpOrk+pev5/tF39P32L4Ev9imDbc1MHSVYyv+fWcyZwS6mcww63JgS+BNMp85zcpSoyRJ1VaMmeHWMcdA3bqpa6pGRXZuEWP8AFjrJcdijDut5thYYOwGlUmSJBW4GOMDwAOJMyRJqpBPvv+E21+/nfP2O4/9ttkvdY5yWIxxOpkzAK3tPhG4ofyPJElaydtvw+efwzXXpC6pOhW55pYkSZIkSdJadR7TmZo1anL9kdenTpEkSSpow4dDURG0aZO6pOo43JIkSZIkSRtl/PTxPDXlKbr8sgvb1ts2dY4kSVJBGz4cDjsMfvKT1CVVx+GWpOyIMXWBJEmSpCpQFsvoMKoDTeo3oePBHVPnSJIkFbSPPoL334dTTkldUrUqdM0tSZIkSZKk1Rn8zmDemvkWj7R9hNqb1E6dI0mSVNCGD8/8zPfhlju3JGVXWOs1gSVJkiTlkNIlpVwx9goOanwQZ+59ZuocSZKkgjd8OLRoAU2apC6pWg63JEmSJEnSBun5Sk9mls6k77F9CX6RTZIkKakvvoDXX4dTT01dUvUcbkmSJEmSpPX25Q9f0uvVXvx2799ycJODU+dIkiQVvKeeyvx0uCVJkiRJkrQaV4y7grJYxs1H3Zw6RZIkScCwYbDvvtC8eeqSqudwS5IkSZIkrZcp86bw8OSH6XBwB3bcfMfUOZIkSQVvxgx45RU47bTUJdnhcEtSdsSYukCSJElSJYgxcucnd9KoTiMu/+XlqXMkSZLE/z8l4emnp+3IluLUAZIkSZIkKXcM/WAo7817j0FtBlGvVr3UOZIkSSJzSsK994bddktdkh3u3JKUXSGkLpAkSZK0gX5c9iOXjbmMXerswnn7nZc6R5IkScB//gMTJhTOKQnB4ZYkSZIkSaqg2/55G9PnTufCXS6kRlGN1DmSJEkChg/PXBXG4ZYkSZIkSdJKZpXO4oYJN9Bm1zYcsMUBqXMkSZJUbtgw2H132HPP1CXZ43BLkiRJkiSt09UvXc2iZYvo1bpX6hRJkiSVmz0bSkoyu7YK6YowDrckSZIkSdJavTvrXe55+x4u/vnF7LrlrqlzJEmSVO7//g/KygrrlITgcEtStsSYukCSJEnSBogx0mFUBxrUasDVh1+dOkeSJEkrGTYMmjWDffZJXZJdDrckSZIkSdIaPffxc4z5dAzdWnWjYe2GqXMkSZJU7rvvYOzYwjslITjckpRthfZfWUmSJCmHLV2+lE6jOrHrlrtyYYsLU+dIkiRpJU8/DcuXw+mnpy7JvuLUAZIkSZIkqXq66827mPrdVEb8dgSb1NgkdY4kSZJWMmwY7Lwz7L9/6pLsc+eWJEmSJEn6H3MWzaHb+G4ctfNRnLTrSalzJEmStJI5c2DMmMI8JSE43JIkSZIkSatx3fjrmPvjXPoc24dQiJ+YSJIkVWPPPANLl2aGW4XI4ZYkSZIkSfovH333Ef3e6Mf5+5/PPo32SZ0jSZKkVQwbBk2awM9/nrokDYdbkrIjxtQFkiRJkiro0tGXUru4Nt2P6J46RZIkSauYNw9GjizcUxKCwy1JkiRJkrSScZ+NY8TUEVxx6BU0qtsodY4kSZJW8eyzsGRJ4Z6SEBxuScq2Qv0qgSRJkpQDlpctp8PIDuzYYEf+8Yt/pM6RJEnSajz+ODRuDL/4ReqSdIpTB0iSJEmSpOrhgX8/wDuz3mHIqUPYtHjT1DmSJElaxdy58OKLcNFFUFTA25cKeOmSJEmSJGmF+Yvnc+W4K2nZpCW/2es3qXMkSZK0Gk8/nTkl4RlnpC5Jy51bkiRJkiSJmyfezKwFsxhx5giCpxOXJEmqlh5/HHbcEQ46KHVJWu7ckiRJkiSpwH0+93N6v9abs396Ngc2PjB1jiRJklbju+9g9OjMrq1C/y6Swy1J2RFj6gJJkiRJa9BlbBeKQhE3HXVT6hRJkiStwfDhsGyZpyQEh1uSJEmSJBW01758jSHvDaFTy040adAkdY4kSZLW4PHHoVkz2H//1CXpOdySlF2Fvl9WkiRJqkZijLQf2Z5t625L50M6p86RJEnSGsyaBePGeUrCFYpTB0iSJEmSpDSGvDeE12e8zn2/uo+6NeumzpEkSdIaPPkklJV5SsIV3LklSZIkSVIBWrR0EZeNuYz9t9mfc/c7N3WOJEmS1uLxx2GPPWDvvVOXVA8OtyRJkiRJKkB9XuvDl/O+pO+xfSkKfjwgSZJUXX39NUyY4CkJV+a7V0mSJEmSCszM+TO5aeJNnLL7KRy+0+GpcyRJkrQWQ4dCjJ6ScGUOtyRlR4ypCyRJkiSVu2rcVSxZvoSex/RMnSJJkqR1ePxx2Hdf2H331CXVh8MtSZIkSZIKyL//82/u//f9/O3Av9GsYbPUOZIkSVqLL76A115z19aqHG5Jyi5PCitJkiQlE2Okw8gONKzdkK6Hd02dI0mSpHV44onMT4db/604dYAkSZIkScqOEVNH8NL0l+h3fD8233Tz1DmSJElahyFDoEULaNo0dUn14s4tSZIkSZIKwJLlS+g0uhN7/GQP/tziz6lzJEmStA7TpsGkSe7aWh13bkmSJEmSVAD6/6s/076fxvNnPU9xkR8HSJIkVXcrTkn4m9+k7aiO3LklSZIkSVKe+27hd1z38nUcu8uxHN/8+NQ5kiRJqoDHH4eDD4YddkhdUv043JKUHTGmLpAkSZIK1rXjr2Xe4nn0bt07dYokSZIqYMoUmDzZUxKuicMtSdkVQuoCSZIkqaB8+O2H3PnGnbT7WTv22nqv1DmSJEmqgEcegaIih1tr4nBLkiRJkqQ81mlUJ+rUrMN1R1yXOkWSJEkVECM8+igcdRRss03qmurJ4ZYkSZIkSXlq9Cejee7j57jq0KvYqs5WqXMkSZJUAa+/Dp99Bmedlbqk+nK4JUmSJElSHlpWtowOozrQdIumXHLQJalzJEmSVEGPPgq1akHbtqlLqq/i1AGSJEmSJKny3fvWvbz3zXsMO30YtYprpc6RJElSBSxbBo8/Dm3aQP36qWuqL3duSZIkSZKUZ3748Qe6vtSVQ3c4lLZ7+JVf5acQwm9DCG+FEEpDCDNCCINDCNul7pIkaWOMHQvffOMpCdfF4Zak7IgxdYEkSZJUMG6aeBOzF86mz7F9CCGkzpEqXQjhV8BjwKvAycBlwGHAcyEEP++SJOWsRx+FBg3ghBNSl1RvnpZQUnb5i7UkSZJUpT6b8xl9/9mXc/Y9hxbbtUidI1WVs4C3Yox/XXEghDAPeBrYDZiSKkySpA21cCE89RSccUbmmltaM7/JIkmSJElSHrlszGUUFxVz45E3pk6RqtImwA+rHJtb/tNvVUqSctKzz0JpqackrAiHW5IkSZIk5YmJX0xk6AdD6dyyM43rN06dI1Wl+4BDQwjnhBDqhxB2Ba4HxsUYP0jcJknSBnn0Udh2Wzj88NQl1Z+nJZQkSZIkKQ+UxTLaj2xP43qN6dSyU+ocqUrFGJ8LIfwBuBd4sPzwq8Cv1vSYEEI7oB1Ao0aNKCkpqfSu0tLSKnne6sL15TbXl9tcX26ryPrmzy/muedacsopM5gw4ZPshFWSFK+fwy1JkiRJkvLAI5Mf4c2v32TwrwdTp2ad1DlSlQohHAHcBdwGvAA0AroBw0MIR8cYl6/6mBjjQGAgQIsWLWKrVq0qvaukpISqeN7qwvXlNteX21xfbqvI+gYNgmXL4LLLmnDAAU2yE1ZJUrx+DrckSZIkScpxC5cu5PKxl9Niuxacvc/ZqXOkbOgNjIgxXrbiQAjh38CHwMnAU6nCJEnaEI8+CrvuCj/7WeqS3OA1tyRlR4ypCyRJkqS81evVXsyYP4M+rftQFPxVXwVhd+DfKx+IMU4FFgG7JCmSJGkDffUVjB8PZ50FIaSuyQ2+45WUXf7XWZIkSapUM+bNoMcrPThtz9M4dMdDU+dI2fI58F/fbQ8h7AHUBqanCJIkaUMNGZLZG3DWWalLcoenJZQkSZIkKYddOe5KlpUto8fRPVKnSNl0F9A3hPA1//+aW1eTGWw9n7BLkqT19uij8POfQ/PmqUtyh8MtSZIkSZJy1KSvJ/HgOw/SuWVnmm7RNHWOlE23A0uAC4G/AHOBicDlMcYFKcMkSVofU6bA229D376pS3KLwy1JkiRJknJQjJH2I9uz1WZbceVhV6bOkbIqxhiBAeV/JEnKWY8+CkVFcMYZqUtyi8MtSZIkSZJy0FNTnmLCFxO468S7qF+rfuocSZIkraeyMnj4YTj6aNh229Q1uaUodYCkAhFj6gJJkiQpbyxetpjOYzqz11Z7cf7Pzk+dI0mSpA0wcSJMnw6//33qktzjzi1JkiRJknLMHf+6g0/nfMrI342kuMhf7SVJknLRQw9BnTpwyimpS3JPhXZuhRD2DCGMDSEsDCF8HUK4LoRQo4KPbRtCeCOEsCiE8F0I4cUQQp2Ny5aUs0JIXSBJ1VYI4bQQwqvl75l+DCFMDSFcFUKombpNklR9zF4wm+4vd+eE5ifQepfWqXMkSZK0ARYtgieegNNOywy4tH7W+fWuEMIWwBjgA+BkYBegN5nB2FXreOwFQD+gJ3ApsAVwZEX+XkmSpAK0JTAOuAWYCxwIdAO2Af6aLkuSVJ1cU3INC5YsoNcxvVKnSJIkaQONGAHz5nlKwg1VkSHTX4DaQNsY4zxgdAihPtAthNCz/Nj/CCH8BOgL/C3GOGilm4ZvbLQkSVI+ijHevcqhl8rfd10cQvhbjF7AUJIK3fvfvM/dk+7mohYXscdWe6TOkSRJ0gZ66CHYfnto1Sp1SW6qyGkJjwdGrjLEGkJm4HX4Wh73m/KfD25gmyRJkuA7wNMSSpIA6DiqI/Vr1adbq26pUyRJkrSBZs2CF1+Es8+GGhW6AJRWVZHh1u7AhysfiDF+ASwsv21NDgKmAueHEL4KISwNIbweQmi5wbWSJEkFIIRQI4SwWQjhl8AlwAB3bUmSXpz2IiM/GUnXw7qy5WZbps6RJEnSBhoyBJYv95SEG6MipyXcgsw1H1Y1p/y2NdkG2I3Mdbk6k/nWcWfgxRBC8xjjrFUfEEJoB7QDaNSoESUlJRXIWz+lpaVV8rzVhevLbfm8vh0+/ZSmwPjx44mbbJI6p0rk8+sHri/X5fv68tACoFb5Pw8mc+3S/+F7p43n+nKb68ttrm/9LI/L+cubf6Fx7cb8dNFPk/9v5+snSZK04QYPhgMOgL32Sl2Suyoy3NpQAagLnB5jfBEghPAq8DmZC6J3XfUBMcaBwECAFi1axFZVcLLJkpISquJ5qwvXl9vyen2vvALA4YcfDjXz8+xaef364fpyXb6vLw+1BDYDDgSuBvoBF616J987bTzXl9tcX25zfevnzjfu5POFnzP8jOEcs/sxlfa8G8rXT5IkacO8/z689RbcemvqktxWkeHWHKDBao5vUX7b2h4XgZIVB2KM80IIk4A916NRUj4JIXWBJFV7Mca3yv9xYgjhW+DBEELvGOMnKbskSWnM/XEuV790Na12asXJu52cOkeSJEkb4aGHMtfZOvPM1CW5rSLX3PqQVa6tFUJoQubbxB+u9hEZU8js3lr1k+wAlK1HoyRJUiFbMejaOWmFJCmZ61++nu8XfU/fY/sS/LKYJElSzlq+HB5+GI47DrbeOnVNbqvIcOsF4NgQQr2Vjp0BLALGr+Vxz5b/PGLFgRBCA+AA4J317JQkSSpUh5T//CxphSQpiWnfT+P212/nvP3OY79t9kudI0mSpI1QUgIzZsA556QuyX0VOS3hXcAlwFMhhB5AU6Ab0CfGOG/FnUII04DxMcbzAWKMb4YQngbuDSF0Ab4FOgNLgf6VugpJkqQ8EEJ4ERgDvA8sJzPY6gg87ikJJakwdR7dmZo1anL9kdenTpEkSdJGGjwYGjSANm1Sl+S+dQ63YoxzQghHkbmQ+TPAXKAvmQHXqs9VY5VjvwNuAfqQOY3hK8CRMca1XatLkiSpUL0B/AHYCVgGfApcTubLRpKkAjN++niGfzic7kd0Z9t626bOkSRJ0kZYsACefDJzra3atVPX5L6K7NwixvgBcOQ67rPTao6VAheW/5FUyGJMXSBJ1V6MsSvQNXWHJCm9slhGh1EdaFK/CR0P7pg6R5IkSRtp+PDMgMtTElaOCg23JEmSJElS9gx+ZzBvzXyLR9o+Qu1N/GqvJElSrhs8GHbaCQ45ZJ13VQUUpQ6QVGBCSF0gSZIkVWulS0q5YuwVHNT4IM7c+8zUOZIkSdpIs2bVYsyYzK6tIqcylcKdW5IkSZIkVSM9X+nJzNKZPPmbJwl+OUySJCnnjRq1DTHCH/6QuiR/OCOUJEmSJKma+PKHL+n1ai9+u/dvObjJwalzJEmStJFihBdf3IYjjoCdd05dkz8cbkmSJEmSVE1cMe4KymIZNx91c+oUSZIkVYIJE+Drr2tz3nmpS/KLwy1JkiRJkqqBf834Fw9PfpgOB3dgx813TJ0jSZKkSnD//bDZZss49dTUJfnF4Zak7IgxdYEkSZJUbcUY6TCyA43qNOLyX16eOkeSJEmVYP58GDoUjjjiGzbbLHVNfilOHSBJkiRJUqEb+sFQXvnyFQa1GUS9WvVS50iSJKkSDB0KCxbAccf9B9gudU5eceeWpOwKIXWBJEmSVK38uOxHLhtzGfs22pfz9vNiDJIkSfni/vtht91gr73mpU7JO+7ckiRJkiQpoVv/eSvT505n7DljqVFUI3WOJEmSKsHHH8PEiXDTTX7fvyq4c0uSJEmSpERmlc7ixgk38qvdfsWROx+ZOkeSJEmV5IEHoKgIzjkndUl+crglSZIkSVIiV790NYuWLeKWY25JnSJJkqRKsnw5PPggHHccbOeltqqEwy1JkiRJkhJ4d9a73PP2PVz884vZdctdU+dIkiSpkowZAzNmwHleTrXKONySlB0xpi6QJEmSqo0YIx1GdaBBrQZcffjVqXMkSZJUie6/Hxo2hDZtUpfkr+LUAZIkSZIkFZrnPn6OMZ+O4bbjbqNh7YapcyRJklRJ5syB//s/aNcOatVKXZO/3LklKbtCSF0gSZIkJbV0+VI6jerEblvuxoUtLkydI0mSpEr02GOweLGnJKxq7tySJEmSJCmLBrw5gKnfTeWZM59hkxqbpM6RJElSJbr/fth3X9h//9Ql+c2dW5IkSZIkZcn3i76nW0k3jtr5KE5sfmLqHEmSJFWiyZPhzTfdtZUNDrckSZIkScqS7uO788PiH+hzbB+Cp+yWJEnKK4MGZa6z9fvfpy7Jfw63JEmSJEnKgo+++4h+b/Tj/P3PZ59G+6TOkSRJUiVatAgefhjatoWGDVPX5D+HW5KyI8bUBZIkSVJSl46+lNrFtel+RPfUKZIkSapkw4bB3Lnwpz+lLikMDrckSZIkSapi4z4bx4ipI7ji0CtoVLdR6hxJkiRVsnvugWbNoFWr1CWFweGWpOzyugKSJEkqMMvLltN+ZHt2bLAj//jFP1LnSJIkqZJNnQovvwwXXODHn9lSnDpAkiRJkqR89sC/H2DyrMkMOXUImxZvmjpHkiRJleyee6C4GM49N3VJ4XDnliRJkiRJVWT+4vlcOe5KWjZpyW/2+k3qHEmSJFWyJUvgwQehTRvYZpvUNYXDnVuSJEmSJFWRmyfezKwFsxhx5giC56iRJEnKO08/DbNnw5/+lLqksLhzS5IkSZKkKvD53M/p/Vpvzv7p2RzY+MDUOZIkSaoCgwbBDjtA69apSwqLwy1J2RFj6gJJkiQpq7qM7UJRKOKmo25KnSJJkqQq8NlnMHo0/PGPUKNG6prC4nBLkiRJkqRK9v4P7zPkvSF0atmJJg2apM6RJElSFbjvPgghM9xSdjnckpRdXmdAkiRJea4sltH/k/5sW3dbOh/SOXWOJEmSqsCyZZnh1nHHQRO/y5R1xakDJEmSJEnKJ4+/9zhT5k/hvl/dR92adVPnSJIkqQq88AJ8/TX065e6pDC5c0uSJEmSpEqyaOkiLhtzGc3rNufc/c5NnSNJkqQqMmgQNGoEJ52UuqQwOdySJEmSJKmS9HmtD1/O+5KLdrmIouCv3JIkSfloxgx47jn4wx9gk01S1xQm32lLkiRJklQJZs6fyU0Tb+KU3U9hv833S50jSZKkKjJoEJSVQbt2qUsKl8MtSdkRY+oCSZIkqUpdNe4qlixfQs9jeqZOkQpCCKE4hNAlhPBxCGFxCOGrEELf1F2SpPy2dGlmuHXssdC0aeqawlWcOkCSJEmSpFz39sy3uf/f99Ph4A40a9iMr/gqdZJUCB4AjgSuBT4EmgB7pgySJOW/Z5+Fr7+GO+9MXVLYHG5JkiRJkrQRYox0HNWRhrUbctVhV6XOkQpCCOE44Axg3xjjB6l7JEmFY8AA2H57OPHE1CWFzdMSSpIkSZK0EUZMHcFL01/i2lbXsvmmm6fOkQrFH4FxDrYkSdn08ccwenTmWlvFbh1KyuGWJEmSJEkbaMnyJXQa3Yk9frIHf27x59Q5UiE5CPgohNAvhDAvhLAwhPBUCGG71GGSpPw1cCDUqAEXXJC6RM4WJUmSJEnaQP3/1Z9p30/j+bOep7jIX7GlLNoG+APwDvBboB7QExgeQvhFjDGu+oAQQjugHUCjRo0oKSmp9KjS0tIqed7qwvXlNteX21xfekuWFDFw4MEccshcpk59n6lTK/7YXFjfxkixPt95S5IkSZK0Ab5b+B3XvXwdx+5yLMc3Pz51jlRoQvmfk2OM3wGEEGYC44EjgbGrPiDGOBAYCNCiRYvYqlWrSo8qKSmhKp63unB9uc315TbXl95DD8G8eXD11Vutd2surG9jpFifpyWUlB3/+6U5SZIkKad1K+nG/MXz6d26d+oUqRDNAd5dMdgqNxFYAuyZJkmSlM8GDIBdd4Ujj0xdInC4JUmSJEnSepsyewoD3hxAuwPasdfWe6XOkQrRFDI7t1YVgLIst0iS8tw778Brr8Ff/gJhdf/fR1nncEtS1kT/yy9JkqQ8cenoS6lTsw7Xtro2dYpUqJ4FfhpC+MlKxw4DNiFzHS5JkirNXXfBppvCueemLtEKDrckSZIkSVoPoz8ZzXMfP8dVh17FVnW2Sp0jFaqBwHfAMyGENiGEs4CHgDExxolp0yRJ+WT+fHj4YTjjDGjYMHWNVnC4JUmSJElSBS0rW0aHUR1oukVTLjnoktQ5UsGKMc4DjiRz7a0hQH9gLPCblF2SpPzz8MNQWgoXXpi6RCsrTh0gSZIkSVKuuPete3nvm/cYdvowahXXSp0jFbQY4zTghNQdkqT8FSMMGAD77w8HHpi6RitzuCVJkiRJUgX88OMPdH2pK4fteBht92ibOkeSJElV7NVX4d13YeBACCF1jVbmcEvsusdLAAAgAElEQVRSdsSYukCSJEnaKDdOuJHZC2fzQusXCH66IUmSlPduvx023xzOOit1iVblNbckSZIkSVqHz+Z8xq2v38o5+57DAdsdkDpHkiRJVWzGDHjySTj/fKhTJ3WNVuVwS1L2+O1WSZIk5ajLxlxGcVExNx55Y+oUSZIkZcFdd0FZGVx0UeoSrY7DLUmSJEmS1mLiFxMZ+sFQOrfsTOP6jVPnSJIkqYotXpy5ztZJJ0HTpqlrtDoOtyRJkiRJWoOyWEb7ke1pXK8xnVp2Sp0jSZKkLHjiCfjmG/jb31KXaE2KUwdIkiRJklRdPTL5Ed78+k0G/3owdWp6sQVJkqRCcMcdsPvucPTRqUu0Ju7ckiRJkiRpNRYsWcDlYy+nxXYtOHufs1PnSJIkKQtefx3eeAP++lcIIXWN1sSdW5KyI8bUBZIkSdJ66f1ab2bMn8Fjpz5GUfC7oZIkSYXgjjugXj0455zUJVob351LkiRJkrSKGfNm0OOVHpy252kcuuOhqXMkSZKUBf/5T+Z6W+edlxlwqfpyuCVJkiRJ0iquHHcly8qW0ePoHqlTJEmSlCUDB8LSpXDxxalLtC4OtyRJkiRJWsmkryfx4DsP8o+D/kHTLZqmzpEkSVIWLFkCd90Fxx0Hu+6aukbr4jW3JEmSJEkqF2Ok/cj2bLXZVlx52JWpcyRJkpQlTz0FM2fCPfekLlFFONySJEmSJKncU1OeYsIXE7jrxLuoX6t+6hxJkiRlyR13QLNmmZ1bqv48LaEkSZIkScDiZYvpPKYze2+9N+f/7PzUOZIkScqSSZPg1Vcz19oqcmqSE9y5JSk7YkxdIEmSJK3VHf+6g0/nfMrI342kuMhflyVJkgpF375Qrx6cd17qElWUM0hJWRNDSJ0gSZIkrdbsBbPp/nJ3Tmh+Aq13aZ06R5IkSVny1Vfw+ONwwQXQoEHqGlWUwy1JkiRJUsG7puQaFixZQK9jeqVOkSRJUhb16wdlZXDJJalLtD4cbkmSJEmSCtr737zP3ZPu5sIWF7LHVnukzpEkSVKWlJbC3XdD27aw006pa7Q+KjTcCiHsGUIYG0JYGEL4OoRwXQihRkX/khBCUQjhzRBCDCGctOG5kiRJ+SmEcHoIYUQIYUYIoTSEMCmEcGbqLkkqBB1HdaR+rfp0a9UtdYokSZKy6MEHYe5caN8+dYnW1zqvkBtC2AIYA3wAnAzsAvQmMxi7qoJ/zwXA9hvYKEmSVAg6AJ8B7YFvgROAR0MIP4kx3pG0TJLy2Asfv8DIT0bSp3Ufttxsy9Q5kiRJypKyMrj1VjjoIDj44NQ1Wl/rHG4BfwFqA21jjPOA0SGE+kC3EELP8mNrVD4cuwHoAtyzscGSJEl5qk2M8duV/n1cCGE7MkMvh1uSVAWWlS2j46iONGvYjIsPvDh1jiRJkrLo2Wdh2jS4/noIIXWN1ldFTkt4PDBylSHWEDIDr8Mr8PjuwCvA2PXPk5Q3YkxdIEnV2iqDrRXeBrbLdoskFYqBkwYy5dsp3HLMLdSsUTN1jiRJkrKob1/YYQc49dTUJdoQFRlu7Q58uPKBGOMXwMLy29YohLAP8Eeg04YGSsojfgVCktbXwcBHqSMkKR/N/XEuV790Na12asXJu52cOkeSJElZ9NZbUFICf/sbFFfk/Haqdirysm0BzF3N8Tnlt63NHUC/GOO0EMJO6/qLQgjtgHYAjRo1oqSkpAJ566e0tLRKnre6cH25LZ/Xt/Pnn9ME8nZ9kN+vH7i+XJfv68tHIYSjgF+T+aLQmu7je6eN5Ppym+vLbanXN+CTAXy/6HvO3vJsxo8fX+nPn3p9Vc31SZKkXNa3L9StCxdckLpEG6rKZpIhhN8CuwFtKvqYGONAYCBAixYtYqtWrSq9q6SkhKp43urC9eW2vF7fqFGUQf6ujzx//XB9uS7f15dvyr8U9CjwdIzxgTXdz/dOG8/15TbXl9tSrm/a99MYPmE45+13HhecVDWfaPj65bZ8X58kSYVsxgwYMgQuugg23zx1jTZURU5LOAdosJrjW5Tf9j9CCJsAtwA9gKIQwuZA/fKb64QQ6m1AqyRJUt4LITQEXgA+B85OnCNJeanz6M7UrFGT64+8PnWKJEmSsqx/f1i+HP7+99Ql2hgVGW59yCrX1gohNAE2Y5Vrca2kDrA90IfMAGwO8E75bUPIXBxdkiRJKwkhbAY8C9QETooxLkycJEl5p2R6CcM/HE6XX3Zh23rbps6RJElSFi1YAHffDb/+NTRtmrpGG6MipyV8Abg0hFAvxji//NgZwCJgTScmLwWOWOXYNsBjwBXAuA1olZTLYkxdIEnVWgihGBgKNAdaxhi/SZwkSXmnLJbRYWQHmtRvQseDO6bOkSRJUpbdey98/z109K1gzqvIcOsu4BLgqRBCD6Ap0A3oE2Oct+JOIYRpwPgY4/kxxmVAycpPUn7tCIB3Y4yvb3S5JElSfrkTOAH4O7BlCGHLlW57O8a4OE2WJOWPwe8M5u3/vM0jbR+h9ia1U+dIkiQpi5Yuhd694ZBDMn+U29Y53IoxzgkhHAX0A54B5gJ9yQy4Vn2uGpUdKCmPhJC6QJKqs9blP29bzW07A9OzlyJJ+ad0SSlXjL2CgxofxJl7n5k6R5IkSVn2xBPwxRfQr1/qElWGiuzcIsb4AXDkOu6z0zpunw74ybYkSdJqrOu9lCRp4/R8pSczS2fy5G+eJPilK0mSpIISI/TsCXvuCSeemLpGlaFCwy1JkiRJknLVlz98Sa9Xe/HbvX/LwU0OTp0jSZKkLBs5EiZPhvvvh6Ki1DWqDL6MkiRJkqS8dvnYyymLZdx81M2pUyRJkpRAz57QuDGcdVbqElUWh1uSJEmSpLz1rxn/4pF3H6HDwR3YcfMdU+dIkiQpy954A156Cdq3h5o1U9eosjjckpQdMaYukCRJUoGJMdJhZAca1WnE5b+8PHWOJEmSEujZExo0gD/9KXWJKpPX3JIkSZIk5aWhHwzllS9fYVCbQdSrVS91jiRJkrJs2jR48kno0gXq109do8rkzi1JkiRJUt75cdmPXDbmMvZttC/n7Xde6hxJkiQl0KtX5lSEl1ySukSVzZ1bkiRJkqS8c+s/b2X63OmMPWcsNYpqpM6RJElSls2aBQ88AOeeC9tsk7pGlc2dW5IkSZKkvDKrdBY3TriRX+32K47c+cjUOZIkSUrgjjtgyRLo2DF1iaqCwy1JkiRJUl7p+lJXFi1bxC3H3JI6RZIkSQn88AP07w9t28Kuu6auUVVwuCVJkiRJyhuTZ03m3rfv5eKfX8yuW/pJhiRJUiG6806YOxcuvzx1iaqKwy1J2RFj6gJJkiTluRgjHUd1pEGtBlx9+NWpcyRJkpTAggXQpw8cfzwccEDqGlWV4tQBkiRJkiRVhuc+fo4xn47htuNuo2HthqlzJEmSlMCgQfDtt3DllalLVJXcuSUpa2IIqRMkSZKUp5YuX0qnUZ3YbcvduLDFhalzJEmSlMDixXDLLdCqFRxySOoaVSV3bkmSJEmSct6ANwcw9bupPHPmM2xSY5PUOZIkSUrggQfg66/hwQdTl6iquXNLkiRJkpTTvl/0Pd1KunF006M5sfmJqXMkSZKUwNKlcPPNcNBBcNRRqWtU1dy5JUmSJEnKad3Hd+eHxT/Qu3VvgqfCliRJKkiPPQbTp8Ptt4NvCfOfO7ckSZIkSTnro+8+ot8b/Th///PZp9E+qXMkSZKUwPLlcOONsO++cNJJqWuUDe7ckpQdMaYukCRJUh66dPSl1C6uTfcjuqdOkSRJUiJPPglTp8Ljj7trq1C4c0uSJEmSlJPGfTaOEVNHcMWhV9CobqPUOZISCiE0DiGUhhBiCKFu6h5JUvbECDfcALvtBqeemrpG2eLOLUnZ49cmJEmSVEmWly2n/cj27LT5TvzjF/9InSMpvVuAUqBO6hBJUnY9+yxMngwPPAA1aqSuUba4c0uSJEmSlHPu//f9TJ41mR5H92DT4k1T50hKKIRwGHAc0Ct1iyQpu2KEa6+FnXeGs85KXaNscueWJEmSJCmnzF88n6vGXUXLJi05fc/TU+dISiiEUAO4A7gOmJs4R5KUZc88A5MmwX33wSabpK5RNrlzS5IkSZKUU26eeDOzFsyi77F9CZ76Wip0fwFqAf1Th0iSsitG6NYNdtkFfv/71DXKNnduSZIkSZJyxudzP6f3a705+6dnc2DjA1PnSEoohLAl0B34XYxxqcNuSSosTz8Nb7+dudZWsZOOguNLLik7YkxdIEmSpDzQZWwXikIRNx11U+oUSendAPwzxvh8Re4cQmgHtANo1KgRJSUllR5UWlpaJc9bXbi+3Ob6cpvr+29lZdCxYwu2376I7bd/g5KS6v3Zo69f5XO4JUmSJEnKCa99+RpD3htC18O60qRBk9Q5khIKIewF/BE4LISwefnhzcp/NgghLI8xLlr5MTHGgcBAgBYtWsRWrVpVeldJSQlV8bzVhevLba4vt7m+//bkk/DppzB4MBx11OFVF1ZJfP0qn8MtSdnjKSIkSZK0gcpiGe1HtmfbutvS+ZDOqXMkpdcc2AR4bTW3fQXcC1yQ1SJJUlaUlWWutbXbbnDmmalrlIrDLUmSJElStTfkvSG8PuN17vvVfdStWTd1jqT0JgJHrHLsOOAy4ATg06wXSZKy4skn4b334JFHvNZWIfOllyRJkiRVa4uWLqLLmC7sv83+nLvfualzJFUDMcZvgZKVj4UQdir/xwkxxtIsJ0mSsqCsDK69FvbYA844I3WNUnK4JUmSJEmq1vq81ocv533JQ6c8RFEoSp0jSZKkRIYOhfffh8cegxo1UtcoJX8rkCRJkiRVWzPnz+SmiTdxyu6ncPhO1f9i4ZLSiTE+EGMM7tqSpPy0fDlcdx3suSecfnrqGqXmzi1J2RFj6gJJkiTloKvGXcWS5UvoeUzP1CmSJElK6NFH4YMP4Ikn3LUld25JkiRJkqqpt2e+zf3/vp9LDrqEZg2bpc6RJElSIkuWwDXXwM9+BqeemrpG1YE7tyRJkiRJ1U6MkQ6jOtCwdkOuOuyq1DmSJElKaNAg+OwzGDAAityyIxxuSZIkSZKqoRFTR1AyvYR+x/dj8003T50jSZKkRBYsgO7d4bDDoHXr1DWqLhxuSZIkSZKqlSXLl9BpdCf2+Mke/LnFn1PnSJIkKaHbb4dZs+CppyCE1DWqLhxuSZIkSZKqlf7/6s+076fx/FnPU1zkr62SJEmFas4c6NkTTjoJWrZMXaPqxLNTSpIkSZKqje8Wfsd1L1/Hsbscy/HNj0+dI0mSpIR69oQffoAbbkhdourG4Zak7IgxdYEkSZJyQLeSbsxfPJ/erXunTpEkSVJCM2fCbbfBmWfCPvukrlF143BLkiRJklQtTJk9hQFvDqDdAe3Ya+u9UudIkiQpoeuvh6VL4brrUpeoOnK4JSlrold8lCRJ0lp0Gt2JOjXrcG2ra1OnSJIkKaFPP4WBA+GCC2CXXVLXqDryyrySJEmSpORGfTKK5z9+np5H92SrOlulzpEkSVJCV14Jm2wCXbumLlF15c4tSZIkSVJSy8qW0XFUR5pu0ZRLDrokdY4kSZISeuMNGDIEOnaE7bZLXaPqyp1bkiRJkqSk7n3rXt775j2GnT6MWsW1UudIkiQpkRihUyfYemvo3Dl1jaozh1uSJEmSpGR++PEHur7UlcN2PIy2e7RNnSNJkqSERoyAl1+GAQOgXr3UNarOPC2hpOyIMXWBJEmSqqEbJ9zItwu/pU/rPoQQUudIkiQpkaVL4bLLYPfd4YILUteounPnliRJkiQpiU/nfMqtr9/KOfuewwHbHZA6R5IkSQndcw9MnQpPPw3FTi60Du7ckpQ9fhNXkiRJK+kypgvFRcXccOQNqVMkSZKU0IIFNbjmGjj8cGjTJnWNcoHDLUmSJElS1r37w7sM/WAonVt2pnH9xqlzJEmSlNCQITswezb06uX341UxDrckSZIkSVlVFsvo/0l/GtdrTKeWnVLnSJIkKaGvvoKhQ7fnzDOhRYvUNcoVnrlSkiRJkpRVj0x+hKnzpzL414OpU7NO6hxJkiQl1LUrlJUFbrwxdYlyiTu3JEmSJElZs2DJAi4fezm71duNs/c5O3WOJEmSEnrzTXjwQWjb9it22il1jXKJwy1J2RFj6gJJkiRVA71e7cWM+TO4eJeLKQr+SipJklSoYoRLLoGttoLf//7z1DnKMZ6WUJIkSZKUFTPmzaDnqz05bc/T+GmDn6bOkSRJUkKPPQavvQb33gt16ixPnaMc49fkJGVPCKkLJEmSlNCV465kWdkyehzdI3WKJEmSElqwADp3hgMOgD/8IXWNcpHDLUmSJElSlZv09SQefOdB/nHQP2i6RdPUOZIkSUqoRw+YMQNuuw2KnFJoA/h/NpIkSZKkKhVjpP3I9my12VZcediVqXMkSZKU0PTpcMstcNZZcMghqWuUq7zmliRJkiSpSj015SkmfDGBu068i/q16qfOkSRJUkKXXprZrdXDM1VrI7hzS5IkSZJUZRYvW0znMZ3Ze+u9Of9n56fOkSRJUkIlJTBsGHTpAttvn7pGucydW5KyI8bUBZIkSUrg9tdv59M5nzLydyMpLvJXUEmSpEK1bBn8/e+www7QqVPqGuU6f7OQJEmSJFWJ2Qtmc/2E6zmh+Qm03qV16hxJkiQl1L8/TJ4MQ4dC7dqpa5TrPC2hJEmSJKlKXFNyDQuWLKDXMb1Sp0iSJCmhmTOha1c49lg49dTUNcoHDrckSZIkSZXu/W/e5+5Jd3NhiwvZY6s9UudIkiQpoY4dYfFiuOMOCCF1jfKBwy1JkiRJUqXrOKoj9WvVp1urbqlTJEmSlNC4cfDYY9ClCzRvnrpG+cJrbkmSJEmSKtULH7/AyE9G0qd1H7bcbMvUOZIkSUpkyRK4+GLYeefMcEuqLA63JEmSJEmVZunypXQc1ZFmDZtx8YEXp86RJElSQn36wIcfwnPPQe3aqWuUTyp0WsIQwp4hhLEhhIUhhK9DCNeFEGqs4zE/DyHcH0KYVv64qSGEa0IIm1ZOuqScEmPqAkmq9kIIzUIId4cQJocQlocQ/l97dx4v13z/cfz1SSKpICRoLFWh2qIobUotP2IXpfaiWqWL1k9/qWib0lqiKNXaWnvrR/lpae2UhtBLUJRSW2KttYo0iSQSWb+/P86kxjX33rk3M/fMmXk9H495TObMOee+P/ecmfncfOec05Z3JknqrgsfupCJkyfys+1/Rv++/fOOI0mSpJy8+CKccALsvjvsvHPeadRsujxyKyIGA+OBJ4HdgI8Ap5ENjB3dyaL7lub9KfAMsAFwQul+r8VKLamQkleLlKSufALYGbgPWCLnLJLUbdPemcZxbccxYtgIdvv4bnnHkSRJUo4OPzy7P/PMfHOoOVVzWsJvAUsCe6aUpgO3RcQgYGxEnFqaVskpKaXJZY/bIuId4IKIWD2l9OLiRZckSWo6N6aUrgeIiKuAFXLOI0ndcuJdJzJl9hTO2PEMwi82SZIktazrr4frroOTT4bVV887jZpRNaclHAmMazeIdQXZgNdWHS3UbmBrkYdL96tUnVCSJKlFpJQW5p1Bknrq2SnP8ov7f8HBGx7MhittmHccSZIk5WT6dDjsMFh/ffjud/NOo2ZVzeDW2sCk8gkppZeAWaXnumNTYCHwXDeXkyRJkiQ1sDG3jaF/3/6cuM2JeUeRJElSjo46Cv75T/j1r2EJT7ivOqnmtISDgWkVpk8tPVeViFiJ7Bpdl6WU3uhgnkOAQwCGDh1KW1tbtauv2syZM+uy3kZhfcXWzPWt9corfDClpq0Pmnv7gfUVXbPX16rsnRaf9RWb9TWOR6Y9wrWTruVrw77GUw89xVM81eUyRaqvJ6yv2Jq9PkmS6uWee+C882DUKNh447zTqJlVM7i12CKiP/B7YCYwuqP5UkoXAhcCDB8+PI0YMaLmWdra2qjHehuF9RVbU9d37bXMi2je+mjy7Yf1FV2z19eq7J0Wn/UVm/U1hgULF3DEr45gtUGr8csv/pIll1iyquWKUl9PWV+xNXt9kiTVw5w58I1vwGqrwYkezK86q2ZwayqwbIXpg0vPdSqyqwhfCnwC2Dyl1OUykppQSnknkCRJUh1c9uhlPPyvh7l8z8urHtiSJElS8znlFJg4EW6+GZZeOu80anbVDG5Not21tSJiNWAg7a7F1YEzgd2A7VNK1cwvqVlF5J1AkiRJNTRz7kx+ePsP2WTVTdh/vf3zjiNJkqScPPkknHQSfPGLMHJk3mnUCvpUMc8twI4RsUzZtH2B2cCdnS0YEUcB3wa+lFK6u8cpJUmSJEkN59R7TuW1ma9xxo5nEH6RSZIkqSUtXJidjnDQIDjzzLzTqFVUc+TW+cAo4JqI+CmwJjAWOD2lNH3RTBHxLHBnSulrpcdfBH4CXAK8GhGfLVvncymlN2tSgSRJUpOIiIHAzqWHqwKDImLv0uObU0qz8kkmSe/38lsv8/N7f85+6+3HpqttmnccSZIk5eTss+Hee+E3v4EVV8w7jVpFl4NbKaWpEbEtcDZwIzANOINsgKv9uvqWPd6hdH9Q6VbuYLJBL0mSJL3rg8Af2k1b9HgN4IVeTSNJnTjq9qNYmBZyyran5B1FkiRJOXnmGTjySNh5Z/jyl/NOo1ZSzZFbpJSeBLbpYp5h7R4fxPsHtSRJktSBlNILgOf1ktTwHnj1AS5/7HKO2uIoVl9u9bzjSJIkKQcLFsDBB8OAAfCrX4FnqVZvqmpwS5IkSZIkgJQSo8eNZuhSQzlqi6PyjiNJkqScnHUW3HMPXHYZrLJK3mnUahzcktQ7Uso7gSRJkmrgD0/+gXtfvpdf7forlhmwTN5xJEmSlINJk+CHP4TddoMDDsg7jVpRn7wDSGohHpssSZJUaO/Mf4cfjP8Bnxz6SQ7e8OC840iSJCkH8+fDV74CSy0F55/vf/kpHw5uSZIkSZKqcuZ9Z/LCtBc4fcfT6dunb95xJLWwiNgnIm6IiFcjYmZEPBQR++edS5JawWmnwQMPwDnnwEor5Z1GrcrTEkqSJEmSuvT6zNf5yYSf8PmPf55t1tgm7ziSdATwD2A0MBnYGfhtRKyQUvplrskkqYk99hgceyzstRfsu2/eadTKHNySJEmSJHXpmD8fw+z5s/nZ9j/LO4okAeyaUppc9viOiFiFbNDLwS1JqoN33oEvfhEGD4bzzvN0hMqXpyWUJEmSJHXq0dcf5aKHL+KwzxzGx5b/WN5xJIl2A1uLPAys0ttZJKlVHHkkPP44XHIJrLhi3mnU6hzcktQ7Uso7gSRJknogpcQR445g2QHLcuxWx+YdR5I6synwdN4hJKkZ/elPcNZZMGoU7LRT3mkkT0soSZIkSerEH5/5I7f/43bO2ukshiw5JO84klRRRGwL7A58tZN5DgEOARg6dChtbW01zzFz5sy6rLdRWF+xWV+x5VnftGlL8NWvfoY11pjL5z73N9raFtb8Z7j9ii2P+hzckiRJkiRVNG/BPL536/f4+PIf59Dhh+YdR5IqiohhwG+B61NKl3Q0X0rpQuBCgOHDh6cRI0bUPEtbWxv1WG+jsL5is75iy6u+lGD33WHWLLjzzv6sv/6Wdfk5br9iy6M+B7ckSZIkSRWd9+B5PPXvp7hx/xtZou8SeceRpPeJiCHALcCLwAE5x5GkpnPhhXDDDXDGGbD++nmnkd7lNbckSZIkSe8zZfYUxraNZbs1t+NzH/1c3nEk6X0iYiBwE9Af2CWlNCvnSJLUVJ54AkaPhh12yK61JTUSj9ySJEmSJL3Pj+/8MW/NeYvTdjiNiMg7jiS9R0T0A/4AfBTYLKX0Rs6RJKmpvP02fOELMGgQXHIJ9PEwGTUYB7ckSZIkSe/x9L+f5py/nsPXNvoaGwzdIO84klTJucDOwHeA5SNi+bLnHk4pzcknliQ1h8MOg4kT4bbbYOWV804jvZ+DW5J6R0p5J5AkSVKVvn/b91my35KcsPUJeUeRpI7sULo/q8JzawAv9F4USWoul1wCv/kNHHccbLtt3mmkyhzckiRJkiT9xx3/uIMbnrqBk7c9maFLD807jiRVlFIalncGSWpGjz8O//3fsPXWcMwxeaeROuaZMiX1muS1GiRJkhragoULGD1uNMOWG8bhnz087ziSJEnqRTNnwj77ZNfZ+u1voW/fvBNJHfPILUmSJEkSABc/cjGPvv4oV+59JR/o94G840iSJKmXpASHHgpPPQXjx8NKK+WdSOqcg1uSJEmSJGbMmcHRdxzNZqttxj7r7pN3HEmSJPWis8+G//s/OP542GabvNNIXXNwS5IkSZLEyXefzOtvv84N+99AeDppSZKklnHXXXDEEbDrrnD00XmnkarjNbckSZIkqcW9OO1FTv/L6Ryw/gFsvOrGeceRJElSL3nllew6W2uuCZddBn0cMVBBeOSWpN6RUt4JJEmS1IEjbz+SPtGHk7c9Oe8okiRJ6iVz5sDee8OsWfDnP8Oyy+adSKqeg1uSJEmS1ML+8vJfuOLxKzhmy2NYbdnV8o4jSZKkXvI//wP33w9XXw3rrpt3Gql7PMhQUu/x2g2SJEkNZWFayOhxo1l56ZUZs/mYvONIkiSpl5x/PvzqV3DUUbDnnnmnkbrPI7ckSZIkqUVd8fgV3P/q/Vy828Us3X/pvONIkiSpF4wfD9/+NowcCSeckHcaqWc8ckuSJEmSWtCsebM4cvyRbLTSRhz4yQPzjiNJkqReMHFidp2tddaBK66Avn3zTiT1jEduSZIkSVILOuMvZ/Dy9Je5bI/L6BN+71GSJKnZTZ4Mu+wCAwbATTfBoEF5J5J6zsEtSZIkSWoxr814jZPvPpk91t6DrYZtlXccSZIk1dmcOdm1tV59FdraYPXV804kLWtPZJkAAB80SURBVB4HtyT1jpTyTiBJkqSSo+84mrkL5nLq9qfmHUWSJEl1lhJ885swYQL87nfw2c/mnUhafJ57QpIkSZJayMOvPczFj1zMqE1GsdaQtfKOI0mSpDo7/nj4zW9g7FjYb7+800i14eCWpN4TkXcCSZKklpZS4ohbj2DIkkM4esuj844jSZKkOjv//Gxw66tfhWOPzTuNVDuellCSJEmSWsT1T11P2wttnD3ybJb7wHJ5x5EkSVIdXXstHHYYfO5zcMEFfu9czcUjtyRJkiSpBcxdMJfv3/Z91llhHb45/Jt5x5EkSVId3X037L8/bLwx/P730M/DXNRk3KUlSZIkqQWc88A5PDvlWW7+4s306+OfgpIkSc3qiSdg111h2DC48UYYODDvRFLteeSWJEmSJDW5f8/6Nz++68fs+JEdGfnRkXnHkSRJUp08+yxsvz0suST86U+wwgp5J5Lqw6/rSeodKeWdQJIkqWWNbRvLjDkzOG2H0/KOIkmSpDp58UXYdluYNw/uvDM7cktqVg5uSZIkSVITm/jmRM578DwO+fQhfOKDn8g7jiRJkurg1Vezga3p0+GOO2DddfNOJNWXg1uSJEmS1MS+d9v3WKr/Uhw/4vi8o0iSJKkO3ngDttsOXn8dxo+HjTbKO5FUfw5uSZIkSVKTuvW5W7n5mZs5dbtTWXGpFfOOI0mSpBqbPDm7xtaLL2bX2Npkk7wTSb2jT94BJEmSJEm1N3/hfI4YdwRrDl6TUZuMyjuOJEmSauz112HrreHpp+H662HLLfNOJPUej9ySJEmSpCZ00d8u4ok3n+Cqfa5iQL8BeceRJElSDS26xtbLL8Mf/wjbbJN3Iql3ObglSZIkSU3mrXfe4pg/H8OWq2/JnuvsmXccSZIk1dBLL2WDWW+8AePGwRZb5J1I6n0ObknqHSnlnUCSJKll/GTCT5g8azKn73A6EZF3HEmSJNXI889nA1vTpsFtt3mNLbUur7klSZIkSU3k+anPc+b9Z3LgJw/k06t8Ou84kiRJqpFHHoHNN4cZM+COOxzYUmtzcEtSr0l+a1iSJKnufjD+B/Tr04+Ttjkp7yiSJEmqkb/9bTm23BKWWAImTIBPfSrvRFK+HNySJEmSpCZx90t3c9WTVzFmszGsOmjVvONIkiSpBq68En7wgw348Ifh3nth3XXzTiTlz8EtSZIkSWoCC9NCRo8bzarLrMr3Nvte3nEkSZJUA2edBfvvD+usM50JE+BDH8o7kdQY+uUdQJIkSZK0+C5/9HIe/OeDXLr7pSzVf6m840iSJGkxzJsH3/kOnHce7L47fOtbjzJ48JZ5x5IahkduSZIkSVLBvT33bY66/SiGrzKcAzY4IO84kiRJWgxTpsDIkdnA1pgxcNVVMGDAwrxjSQ3FI7ck9Y6U8k4gSZLUtH5+7895dcarXLH3FfQJv8MoSZJUVE89BbvsAi+9BJdcAl/5St6JpMbk4JYkSZIkFdir01/l1HtPZZ9192GLD2+RdxxJkiT10I03wpe/DP37wx13wOab551Ialx+pU9S74nIO4EkSVLT+eEdP2T+wvmcst0peUeRJElSD8yfD0ceCZ//PKy5JjzwgANbUlcc3JIkSZKkgnrwnw9y6d8v5fBNDmfNwWvmHUeSJEnd9K9/wXbbwU9/CoccAvfeC8OG5Z1KanyellCSJEmSCiilxBHjjmDFgSvyoy1/lHccSZIkddPtt8OXvgRvvQWXXpqdklBSdTxyS5IkSZIK6JqJ1zDhpQmcsPUJDBowKO84kiRJqtI778Do0dkRW8stl52G0IEtqXsc3JIkSZKkgpkzfw5jxo9hvQ+ux9c+9bW840iSJKlKf/87DB8OZ54Jhx0GDz0E662XdyqpeDwtoaTekVLeCSRJkprGL+7/Bc9PfZ5bv3Qr/fr4Z50kSVKjmzsXTj0VTjgBhgyBW26BnXbKO5VUXP4VJEmSJEkF8sbbb3DihBPZ+aM7s/1Hts87jiRJkrpw333wjW/A44/DF74A55wDK6yQdyqp2DwtoaTeE5F3AkmSpMIb2zaWt+e+zc+3/3neUSRJktSJ6dNh1CjYbDOYNg1uuAGuvNKBLakWHNySJEmSpIJ44o0nuOChCzh0+KGss+I6eceRJElSBQsWwEUXwcc+BmefDd/+Njz5JOy6a97JpObhaQklSZIkqSC+e+t3GTRgEGNHjM07iiRJkiq46y44/HB4+GHYfHO46SYYPjzvVFLz8cgtSZIkSSqAW565hXHPjePYLY9l+YHL5x1HkiRJZR55BHbbDbbaCv79b7jiCpgwwYEtqV4c3JIkSZKkBjdvwTy+e+t3WWvIWhy28WF5x5EkSVLJ44/DXnvBRhtlR22ddBJMmgT77uvl56V68rSEknpHSnknkCRJKqwLH7qQiZMncu2+19K/b/+840iSJLW0lOCee+D00+G662CZZeC442D0aFh22bzTSa3BwS1JkiRJamBTZ0/luLbjGDFsBLt9fLe840iSJLWsefPgmmuyQa0HHoAhQ+BHP8oGtYYMyTud1Foc3JIkSZKkBnbShJOYMnsKZ+x4BuG5bSRJknrdpElw0UVw6aXwxhvw0Y/CuefCV74CAwfmnU5qTVVdcysi1o2I2yNiVkT8MyJ+HBF9q1hu2Yi4OCKmRsRbEXF5RHjlY0mSpAp62nNJal7PTnmWX9z/Cw7e8GA2XGnDvONIUkOxd5JUTy+/DGedBZttBuusA2eemf37ppuywa5DD3VgS8pTl0duRcRgYDzwJLAb8BHgNLKBsaO7WPz3wMeArwMLgZ8C1wH/1fPIkiRJzWcxey5JTWrMbWPo37c/J25zYt5RJKmh2DtJqrWFC+HRR+HWW+Haa+G++7Lp660Hp54KBx4IQ4fmm1HSu6o5LeG3gCWBPVNK04HbImIQMDYiTi1Ne5+I2BTYAdgqpXRXadqrwP0RsV1KaXxtSpAkSWoKPeq5JDWvR6Y9wrWTruXErU9k5WVWzjuOJDUaeydJi2Xu3Gww669/hTvvhNtvh8mTs+c22ghOOgn22gs+/vF8c0qqrJrBrZHAuHZNwRVkR2FtBdzYyXKvLxrYAkgpPRAR/yg95+CWJEnSu3rac0lqQgsWLuDc585ltUGrccSmR+QdR5Iakb2TpC4tXAhTpsBrr8Ezz8DTT2f3jz0Gf/97NsAFsPLKMHIkbL89bLstrLJKvrklda2awa21gTvKJ6SUXoqIWaXnOmoW1gYmVZg+sfRc77v/fjYYMwaGDMnlx/eGDaZMsb4Ca+r6Hn887wSS1Oh62nPVzZWPX8lpj57GkFea9LMJmDJlivUVWDPXN2PuDJ6Z+QyX73k5Sy6xZN5xJKkRNVzvdMopcPXVG3T6Z31Kna+jq+fznmfatE+y3HKNkaUe63jrrY0YNGjx11PNPHn87mbM+DTLLNMYWRZnno6ef/vt4Sy1VPbvBQuyQa0338z+XW6llWDtteE734HPfCa7rb46RHSdTVLjqGZwazAwrcL0qaXnerLcmpUWiIhDgEMAhg4dSltbWxXxqrfsY48xbPp0ps+cWdP1NpJYuND6Cqyp6xs0iDfWXZdXavy6biQzZ86s+ftWI7G+Ymv2+ppEt3uuevdOD//rYabPnc7MN5r0swlYuHCh9RVYs9e3y4q7sPLklZv2/bvZP5usr9iavb4m0XC905NPDmPGjGWZNeutTuerxX+gV7OOiK5HEbqbZcGChUyZ8t5fe15ZerqOzrIsscQC5s6dUrNBjq7W09XvJaJ2Ay4RMGjQfPr1m9ujLIvWUassXc/T9e+mvaWXnk+/frP/83iNNeYxePA8lltuLoMHz+VDH5rNqqvOZqml3jva9cIL2a3RNftnk/UVWx71VTO41WtSShcCFwIMHz48jRgxorY/YMQI2tZfn5qvt4G0tbVZX4E1e31/a/L6mn37WV+xNXt9rarevdMIRrBT205Nve80+2vD+orN+orN+oqt2etrVXXvnUY0/75jfcVmfcVmfcVmfbXXp4p5pgLLVpg+uPRcrZeTJElqRfZOkiRJ1bN3kiSphVUzuDWJdtfIiojVgIFUvqZWh8uVdHQtLkmSpFbW055LkiSpFdk7SZLUwqoZ3LoF2DEiyi83uC8wG7izi+VWiogtFk2IiOFk19u6pQdZJUmSmllPey5JkqRWZO8kSVILq2Zw63xgDnBNRGxXuvjmWOD0lNL0RTNFxLMRcdGixymlvwC3ApdGxJ4RsTtwOXB3Sml8LYuQJElqAlX1XJIkSQLsnSRJamldDm6llKYC2wJ9gRuB44EzgOPazdqvNE+5fcm+LfO/wKXAQ8AeixdZkiSp+XSj55IkSWp59k6SJLW2ftXMlFJ6Etimi3mGVZg2DTi4dJMkSVInqum5JEmSlLF3kiSpdVVzWkJJkiRJkiRJkiSpITi4JUmSJEmSJEmSpMJwcEuSJEmSJEmSJEmF4eCWJEmSJEmSJEmSCsPBLUmSJEmSJEmSJBWGg1uSJEmSJEmSJEkqDAe3JEmSJEmSJEmSVBgObkmSJEmSJEmSJKkwHNySJEmSJEmSJElSYTi4JUmSJEmSJEmSpMJwcEuSJEmSJEmSJEmF4eCWJEmSJEmSJEmSCiNSSnlnqCgi3gRerMOqVwAm12G9jcL6is36is36is36emb1lNKKdVivusneqcesr9isr9isr9isr2fsnRqEvVOPWV+xWV+xWV+xWV/PdNg7NezgVr1ExIMppeF556gX6ys26ys26ys265Mqa/Z9x/qKzfqKzfqKzfqkypp937G+YrO+YrO+YrO+2vO0hJIkSZIkSZIkSSoMB7ckSZIkSZIkSZJUGK04uHVh3gHqzPqKzfqKzfqKzfqkypp937G+YrO+YrO+YrM+qbJm33esr9isr9isr9isr8Za7ppbkiRJkiRJkiRJKq5WPHJLkiRJkiRJkiRJBeXgliRJkiRJkiRJkgqjqQa3ImLfiLgmIl6LiBQRB3Uw36oRcW1EzIiIyRFxdkQMrGL9AyLitIh4IyLejog/RsSwGpdRtYgYVqqz0u2pLpYd28FyO/VW/mpERFsHOT9QxbKbR8T9EfFORPwjIkb1RuZqRcSgiDg+Ih6IiLci4l+l/fJjVSx7UAe/l2/1RvYKedaNiNsjYlZE/DMifhwRfatYbtmIuDgippZ+B5dHxPK9kblaEbFPRNwQEa9GxMyIeCgi9q9iuUrb577eyNwdPd2XirDtoNP3kBQRm3awTEfvrVf0dv4K2daKiAsi4tGIWBARbRXmiYj4YUS8HBGzI+KuiNiwyvXvFhGPld43n4yIfWtehBpK2DvZO7132YbtnaKJ+qZSJnun9y9n79QAOnkPsXeqvH57pxYTLdQ7dfLatm+isfsmsHcqW67hP3/D3qmj5Rp+24G9U+TcO/VbnIUb0N7AMOAm4OuVZoiIJYBxwFxgP2A54PTS/Ze6WP8vSj9jNPAmMBa4LSLWTym9s/jxu+01oP2LZEngVuCWKpZ/C2jfWEysQa5a+zPww3bT5nS2QESsRbadbwKOAjYGTo+IWSmlX9clZfd9GPgGcBHwI2AgWdb7I2KDlNLLVaxjG2B22ePna56yCxExGBgPPAnsBnwEOI1s8PzoLhb/PfAxstfrQuCnwHXAf9Urbw8cAfyD7HU/GdgZ+G1ErJBS+mUXy54GXFX2eEZ9ItZEd/elImw7gP8GBrWb9mNgI+CvXSz7PeCesseTa5irpz5Btg/eByzRwTxHAscA3wcmke3D4yNivZTSvzpacURsAVwNnAuMKv2c30XE1JTSrbUrQQ3G3sneCShE79QUfRPYO3WxrL1T/uyd7J3UuVbqneybOlCAvgnsnRYpwuevvVNlRdh2YO+Ub++UUmqaG9CndL80kICDKsyzP7AAWKNs2hfIXiQf7WTdHwLmAweWTVuVrFn5et61l2Xap1T7Jl3MNxaYnHfeKuppA67qwXIXAE8D/cqmnQu8DETedZXyLAUs2W7aEGAmcFwXyx5U2s5LN0AdRwFTgUFl08YAs8qnVVhu01INW5ZN27g0bbu86yrLtEKFab8F/tHFcgn4dt75q6iv2/tSUbZdB9n7A1OA8zqZZ1ipll3yzlshW5+yf18FtLV7/gNkf0QeWzZtKbI/jE/sYt3jgDvaTbsZuDvvur3V72bvZO9UtlxD907N0jeV8tg7VV7O3qkBb/ZOna7b3qkFb63eO9k3/We5hu6bSnnsnQry+WvvVNxt10F2e6eO113z3qmpTkuYUlpYxWwjgb+mlP5RNu06smahs8OjdyjdX1P2814F7i6ts1HsDzyfUro/7yA5Gwlck1KaXzbtCrJmcb18Ir1XSuntlNLsdtOmAC8Cq+STqkdGAuNSStPLpl1B9o2urbpY7vWU0l2LJqSUHiD7tkrDvKZSSpW+NfEwxdpGtVaIbdeBnYDBwO/yDtITVXzObUb2jaHfly3zNnAjnWybiBgAbF2+XMkVwKYRsWyPAqvh2TsB9k6LNHTv1ER9E9g7taJCbLsO2DtVYO/Uuuyd7JtKGrpvAnunsuUa/vPX3qmiQmy7Dtg7VVCv3qmpBreqtDbZ4XL/kVKaCzxXeq6z5V5JKc1sN31iF8v1mogYRLYTVXt+zuUiO/fzvIh4OCL2rGO8xbFD6Zy6syJiXERs0NnMEbEUsBrttjPvHv7eENurkohYEViL7BtA1XguIuZHxFMR8c06RutMpdfUS2TfoOnqNdV+G0EDvaY6sSnVbaOxpe0zOSL+NyKG1DvYYujOvlTkbbcf8AowoYp5Ly6dX/i1iDg9Ipasc7ZaWJvsW6LPtJve1bb5CNnh5pXeN/uQnQpArcve6V32Tg2koH0T2Dt1xt6p8dg7VWbvpM40Ze9k35Qpat8E9k5lGuI11QV7p+JuO3unyurSOzXbNbeqMRiYVmH61NJztV6uN+1OdmhgNY3Gs2SH8D4MLAN8E7g6IvZKKV3T6ZK9607gN2R5Vyc7T/CEiPhkSumFDpZZrnTffntNLd03yvaq5DSyQ8Qv6WK+18jObfoA0JfsjfP8iBiYUjqjrgnfrx6vqTVrkKsuImJbstfaV7uY9Tdk31p4ExhOtr0+GREbp5QW1Ddlt/RkXyrqthsIfB64IJWOfe7AHOAcsnPJTwdGAD8g+yDerc4xF9dgYGaFfWwqMDAi+pf+sK60HBTzfVP1Z++UsXdqPEXsm8DeqSP2Tg3G3sneST3WrL2TfVOmqH0T2DuVL9fIn7/2TsXddvZOvdw7NfTgVulwtJW7mi+lVGkkt5AWs+b9gSdSSo9Vsfz/tfu5NwL3AsdSdgh8rXW3vpTScWWTJ0TEeLIR3sNLt4ayONsvIg4lu7jsXimlf3ex/Diy85QucktEfAA4OiLOqvJUCeqmiBhGdt7j61NKl3Q2b0rpoLKHd0XERLLzyO5KdkqKhtBi+9KuZOcB7vTQ8JTSa8C3yya1RcTrwLmlP3L+XseM0mKxd+qYvVPj9U72Tc3P3qnw7J3U9Fqtd7JvyhSxbwJ7p1Zg71R49k69rKEHt8guVPmrKuaLbqxzKlDpHI6Dgc52nM6Wm1phek/1qOaIWB7Yjuyind2WUkoRcQ3w04joW8cR/sXapimlf0XEPcCnOll20Qhw++21aAS4lturvZ5uv88DvwR+kFK6toc/+yqyi9QOA57v4Tp6oqevjanAij1YLhelQ7tvITs/9QE9WMWfyL4h9SkaqMnoQFf7UqG2XZn9gGdTSg/2YNmryC4Q/Gk6/6zI21Rg6Qrv44OBWR18e2bRcpDP+6Zqy96pY/ZOHcurd2rFvgnsnapl75Q/eyd7p1bQar2TfVOmiH0T2Du1Z+/0XvZO+bN36uXeqaGvuZVS+nVKKbq6dXO1k2h3/seI6E92SGNn38SZBKxWOrduuY7OAdoji1Hz3mSDldWe+7jijy/d6qZG27TTnCm7iN3LvP88n4se1+0bVz2pLyI2J9tu56eUfrY4P77dfW+p9JpaDRhI16+pSudirelrqhZKhxXfBPQHdkkpzeruOsoOR+7t7dMTXWUtzLZbpPQNt5H0/IKeRdl+k8gO81+r3fSuts1zwDwqv28upPpzsitn9k72Tj3JmVfv1KJ9E9g7VcXeKV/2TvZOraLVeif7puL2TaWfbe9UYu/0fvZO+bJ3yqd3aujBrTq5BfhMRKxeNu3zwACyEe6O3Fq632PRhIhYBfiv0jrztj/wQErpuZ4sHBEB7AX8PTXWeVnfIyJWArYAHupi1luAPSKib9m0fckakMfrFK/bIuITZOfH/RMwajFXtzcwmewbHr3pFmDHiFimbNq+wGyy81d3ttxKEbHFogkRMZys4W+E1xQAEdEP+APwUWCnlNIbPVzPTsDSdL3vNoKu9qVCbLt29iB7n+9pk7F36b7Rt9+9ZOdr3mfRhFKTvCudbJuU0hzgz+XLlewL/CWl9Fbto6pA7J0qsHfqfU3SN4G9U7XrsXfKl72TvZN6rhl7J/um92r4vgnsnSjI56+9U0WF2Hbt2Dvl0TullJrmBqxLtiN8iWyU8+zS463K5lmC7IPmIWBnsg/ofwH/125dtwO3t5t2AdkL78vATsB9wDPAB3KuexVgAXB4B89vBcxv93u4k+yDbQeyF9/NZKOkn897O5Zl3AD4I3AQsDXwFbIR4CnAh7uoby2yQ3F/W1p2DNno8Nfzrqss4wfJGp+XyC4c+Nmy27pl861equ/AsmlXk11ocCSwC3BZaZ//nxzqGEx2ccjbyE5TcEjpd39iu/meBS5qN20c2eHHe5JdLPMpYELe26ZdxgtLv9tR7bbRZ4EBpXne835R+h1cSHaI9TbA98hOXXA/0DfvmtrV1+W+VNRt1y7vn4BHOnjuPfWRnWrjtFJt2wE/Jmuar26AOgaSfa7tDfwFeKLs8cDSPEcBs4DDgG1L76OTgaFl6zmw9L6yetm0LUrTziy9J51K9rmwQ951e6vrPmXvVPl5e6cG651okr6plMfeyd6pIbddu7z2TvZO3irvUy3XO2HfVLi+qZTR3ikV4/MXe6fCbrt2ee2dcuidct/wNf7lj+Xdw4fLb23t5vsQ2blHZwL/Bs5ZtHHK5mmrsNwA4HTgTeBtsg/nNRqg7sPJGo1VOnh+ROn3MKJs2kWlN4jZpVomACPzrqVd7lVLv+PXgLmlbXU1sHZX9ZWmbwE8ALwDvACMyrumDnJ3us+SnX82AQeVTftJ6U19VmkbPgR8Ocda1gXuKGV5DTiBdh+mpW1wSbtpywEXk30ATydrDFfIe9tUyN3RdhpWmuc97xdkb+z3lPbZeWQN5S+AZfOup0J9Xe5LRd12ZVlXKG2HIzvZxpeUPd4PeBB4q/Te8yxZozGgAWpZ9H7Q2f4YwI+AV0rbdAKwUbv1HFS+TNn03cn+EJ9D9ofdfnnX7K3u+9TYrj6HSvPZO9k75V3bosyF75tKmeyd7J0abtuVZbV3snfy1vE+Nbarz6LSfE3TO2Hf9L76StMbtm9ql9veqcE/f7F3Kuy2K8tq75RT7xSllUqSJEmSJEmSJEkNrxWvuSVJkiRJkiRJkqSCcnBLkiRJkiRJkiRJheHgliRJkiRJkiRJkgrDwS1JkiRJkiRJkiQVhoNbkiRJkiRJkiRJKgwHtyRJkiRJkiRJklQYDm5J6paI+EJEHNRuWltEXJVTJEmSpIZk3yRJklQ9eydJ3REppbwzSCqQUkOxQkppRNm0dYF5KaVncgsmSZLUYOybJEmSqmfvJKk7+uUdQFLxpZSezDuDJElSEdg3SZIkVc/eSVJHPC2hpKpFxCXAXsBWEZFKt7HtDxEvTZscEZtExIMRMTsi7o6INSLigxFxXUTMjIiJEbFNhZ/z9Yh4IiLmRMSLETGmF8uUJElabPZNkiRJ1bN3ktRdDm5J6o4TgD8DDwOblm6/7mDegcCFwBnA/sCHgcuA3wF3A3sCrwJ/iIiBixaKiO8D5wHXAbuU/n1CRHy7DvVIkiTVi32TJElS9eydJHWLpyWUVLWU0nMRMQXok1K6b9H0iKg0+5LAqJTSnaV5VgHOAY5LKf28NO0V4AlgK+CWiBgEHAecmFI6vrSe20qNyNERcV5KaUGdypMkSaoZ+yZJkqTq2TtJ6i6P3JJUL3OBCWWPny3d31Fh2qql+02Bpci+WdNv0a20zFDgQ3XMK0mSlBf7JkmSpOrZO0nyyC1JdTMjpbSw7PHc0v20RRNSSnNL38D5QGnSCqX7JzpY52rAi7UMKUmS1ADsmyRJkqpn7yTJwS1JDWVK6X4X4PUKzz/Vi1kkSZIamX2TJElS9eydpCbj4Jak7prLu996qbW/ALOBVVJKf6zTz5AkSeot9k2SJEnVs3eSVDUHtyR11yRgt4jYHXgF+GetVpxSmhYRY4GzImJ14C6yawN+DNg6pbRHrX6WJElSL7BvkiRJqp69k6SqObglqbvOBTYC/hcYDBxfy5WnlE6NiH8Co4HvAu8ATwNX1vLnSJIk9QL7JkmSpOrZO0mqWqSU8s4gSZIkSZIkSZIkVaVP3gEkSZIkSZIkSZKkajm4JUmSJEmSJEmSpMJwcEuSJEmSJEmSJEmF4eCWJEmSJEmSJEmSCsPBLUmSJEmSJEmSJBWGg1uSJEmSJEmSJEkqDAe3JEmSJEmSJEmSVBgObkmSJEmSJEmSJKkw/h+jHnSdHRR31gAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"CJyI9v38py3p"},"source":["**• Exponentials**\n","Consider the signals of the form: x(t) = Ae Bt . Plot x(t) for dif-\n","ferent values of A and B. Take A = −5 and 5 and B in range\n","[−5, −2, −0.5, −0.25, 0, 1, 2]. Plot all possible combinations to get a\n","taste of how exponentials behave."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"H3dSadqw6rem","executionInfo":{"status":"ok","timestamp":1610955078187,"user_tz":-330,"elapsed":4298,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"3bca87a4-549e-44e2-df4e-f76b1be79c0d"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","\n","A= [-5,5]\n","B= [ -5, -2, -0.5, -0.25, 0, 1, 2]\n","rnge=1\n","t=np.linspace(-rnge,rnge,100*rnge)\n","plt.rcParams.update({'font.size': 15})\n","\n","for a in A: \n"," for b in B: \n"," x=a*np.exp(b*t)\n"," plt.figure(figsize=(10,6))\n"," plt.plot(t,x,c='r')\n"," plt.xlabel('time (s)')\n"," plt.ylabel('signal value')\n"," plt.title(f'Exponential signal : A={a}, B={b}')\n"," plt.grid()\n"," plt.show()\n","\n"],"execution_count":null,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAAm4AAAGRCAYAAADchD9CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeZzNdfvH8dc1jNCUSqWd3KLIUkNFEVKhfaObSCrtC6XcrUrLrUWS9kWJkvZ9oUS0UmmzV9q7UyQKxfX743Pm53Q6M3OGOed7Zs77+Xh8H2fOd70+Z4y55rOauyMiIiIi2S8v6gBEREREJDVK3EREREQqCCVuIiIiIhWEEjcRERGRCkKJm4iIiEgFocRNREREpIJQ4iYiZWJmbmb3r8f1r5vZl+UYz+BYTPXK657lJZtjE5GKSYmbVFpm1j72S7O47a+oY8xGZrZJLOFoH3UskhozGxr7Nz0vTfevV8LP0Sfree8+Se653MzmmtkIM9u+vMqRQizbmNloM/vJzP4ws+lmdkwZrj/UzEaZ2exYGb4zs4lm1rmY878s4XPdvPxKJpVJ1agDEMmAh4EXkuxfk+lAKohNgMtjX7+e5HgNYHXGoindVcB/gZVRBxIFM6sK9AYWAA3MbF93n5ymxz0JPJGwb0k53XsE8F7s642AVsAZwBFm1tjdfyun5yRlZpsBU4EtgWHAN0APYLyZ9XX3USnc5i5gKfA0MAfYDDgBeNHMLnH3q5NcMxtItj+t5ZWKS4mb5IL33X1M1EFUFu6+IuoY4rn7X0Au154eBGwF7Ef4I6UvkK7E7aM0/iy94e6Pxb2/3cyWAP2BToSkMZ0GATsCh7r7swBmdi/wFnCDmT3q7stKuUcPd38tfoeZjQQ+AC43s9vcfXHCNT/q/ycpCzWVigBmdl2seaJXwv5msSaTSWaWF9tX1G+pSawp54fYOe+Y2X7F3P8kM3s/dt6vZvaKme2T5Dw3s/vNrLWZTY41t/xsZveYWUGS87c2s9vN7CszWxVrmrnLzLZMOK8o5kZmdo2ZfWNmK81sppl1jTuvPfBF7O3lcc02XybGmHD/7mb2TCyOlWa2yMyeMrNmpXz0JTKzg2Kfw6LYZ/eVmT1hZg2TlK1ewrXNYp9z0Wf4gJltnhh/XDPgYDM72MzeM7MVZva9mV0fq9GKv+8ese/RXDP73cx+M7NpZnbEepa1ppntbGZbl/HSE4HPgUnAWOBoM9t4fWIpiZlVN7Oa6bp/gu9ir6sy8KwewIKipA3A3VcDtxBqzroWd2Hc+a8l2fc78ByQDzRKdp2ZVU3n90wqF9W4SS6oWUx/kVXuvjT29cVAO+A2M3vb3efFfjk9AiwHjnP3xKbV0YQmw6GEpp1TgJfMrIu7Tyw6ycyGAhcA7wIXxc7tB0wys8PcPbEZtwXhP/pRwENAe8Iv5zWx64ruuwOhNqAacC+xpjLgNKCDmbV0918T7v0A8CdwQ+y6c4GnzKyhu38JzCLUcNzE35vFSqtpOBP4mdBU9APwr1is08xsd3cvc98rM9sXeAb4BLiW0CS3DaH2pQEwt4RrdwLeIPxxOgL4lvCL96USHtkVOB24A7gPOAw4H1gMXBN33hHAzsB4YCFQGzgeeMLMerr7Q2UsapE9CMnXA0CfVC4ws62ALsBV7l6UkPYHjiV8L+LPzQdqpRqMuy9Ksvs84LJwO/uG8G/0ancvj2bqjeJ+TguAlsBAYD6QWItVlv5fv7r7nyWdEEuWtyUkvonejr22InzP18V2sdcfkxzbE/gdyDezXwnNrP9x9++SnCsC7q5NW6XcCAmPl7A9l3D+joTkYAZrkyEHDkk4b3Bs/ztAtbj92xESnFlx+xoREq6pCeduE3vWl0CVuP0eO3/PhGc+T0i4CuL2PQ38D9gu4dyWhKbDwUlifg6wuP2tYvuvjdtXL7ZvcOJnGhfj/Qn7Nkxy3i6Efme3Jex/Hfgyhe/fsNiztizlvKKy1YvbNz62b++Ecx9JjD+uvMsT7mGEpPH7FMpak9Cn6bPSYkvh3+v9pZ0bd82FsX8vO8bt+wB4Zx1+Hv62JVy7A/AqcBZwKOEPiZdj506I/ze8Dj+nfUqIYyqwVTH/BlPd2qcQQ2Hs3KHFfG8deGgdy9ec8LM7Jcmx54FLgKMIyfYdhJ/db4Bt1vUz1Va5N9W4SS64C3g0yf6f4t+4+xdm1o/wy/01YG9ghMc1nSS4yd1XxV3/jZmNBfqZ2S7uPotQa2PAdQnnfmdmowg1XrsB0+Pu+5a7v5PwrNcINUL1gE/MrBZwMKHGY0VCDcSXhFqKAwiJQ7yb3d3j4njPzJYBOxVTxpS4+3II1TCEGsVqhM93DqFGYV0U1RYeZWZ3e+jLViozq0L4rN5192kJh28EuhVz6VMeah2BkLmY2STgTDMr8Fj/pqKyxp5VkzBYwwjfo1PNbGNfW5ObMnd/PXafsuhL6Bv2Rdy++4HhZtbE3T+N2z8T2L+sccVi+4rQhy7evWZ2F3AyIelIVltVFlcSakkBNgR2J9QePm9mnfzvfcPKUo6ZKZxT1PSbrOZwRcI5KTOzLQi11n8AJyUed/eDEnaNM7MphM/yCsJnK/I3StwkF8zzuKbLkrj7eDM7FOhJqG25oITTZyXZ91nstX7s+I6x958mOffTuHPjE7fPk5z7c+y1duy1EaEZ8MTYlkyy+xR379pJ9qfMzHYDhhBqdTZMOPzFPy5IzUhC4nsbMNTMphKaOh92959KuG6LWAxzkhxLtq9IaZ/7MoBY/8GrYrFtmeSaTQgjC9PKzNoCDYExZtYg7tA7hFq4E4EBRTtjiU9KPwdlcDUhuTiI9U/cPk74OX3azD4DxhFqFgcVHUj15zlRrGk53ip3/4XQVAmwQZLLqsdef09yrKRnbUaojdwGOMjdi23aj+fuD5nZ1YTPVOQflLiJxDGzTYCiQQPbEH4xf53hMEqaasMSXscQ+kQl80cZ7l3Wmp61F4a+dlMIycoQQnK0nNC8NJzQX6nM3P1nM2sFtCXUsLQj9L27wsy6uvtb6xpzMUr93GM1iq8QmoFvJiTcv8auPYHQwT1Tg76KEvYrY1ui48zsQo/17zKzaoRO9ilx9x9SOO1rQtnTNefYy7HXjvE7kyRgJfklrrb7+4Rjkwl/bBT1J9s2yfVF+75N9YGxpG0ioS/kYZ5k0EIpviTU+Iv8gxI3kb+7l9BX7SzgekJtRkcPo8sS7cI/m2Eax14/T3htQhg8UNK5ZTGfkBhVW9fahxJ46af8zRGE5OxQd58Uf8DMarMe86vFPvfXYxuxUaozCP2CiquR+ImQOCYbwZd0VF8ZNCP0WbrS3S+PP2Bm/2gKSxcz2wg4mlCjc1eSU5oBlxL6oz0e29eGMPgh5cekcE59oArJO92Xh/zY60YJ+xMTsJJ0YO18hIlNrIsB3P17M/sW2CvJ9UX7pic59g9xSVtj4Ah3f7mUS5JpQPo+U6nglLiJxJjZqcCRwBXuPtLMnNBcdwmhv0mi/mb2RNFf82a2HaHGZU6sfxuEUZFDgYFm9mJc7cfWhBqahYTO5GUSq416ATjSzPZy97fjj8dqhjYvpUmxOEUjSFOtnSlKav/2i97MTibML7ZwHWLAzDb3f45snE2oSSw2NndfbWYvEqbF2Duhn9t56xJLnOLKuishgV1nsf5yOxBGQZaWmBxLaA6+w90TJ8Ql9m/jPEIfuKLEbZ37uJlZbXf/OWFfHqHJGKC4fqDr6/DY64yE/evUx62UP3IeBs43s0N87TxuVQh/xC0hbhLv4r5XZrYpIZluAhzp7i8W9zAz2yzWTJu4/wzCH4+3p1Y8yTVK3CQX7G5mxxVz7Cl3Xxb7xTuM0OQ3BMDdbzWz/YFLzexVd5+acG1V4A0ze5hQI3AqoaP62UUnuPscM7ue0Fduipk9wtrpQAqAnsXU5qXiNMKouylmNpqQAOYRakEOI0xXMrisN40lhfOBY81sAeEv/+UlDNJ4kdD/50ELk40uJjTzdCXUMq7r/zN3x5LhVwjJXw2gO+HzG13KtZcABxKmZxlJGKV3EKH/G5S9VrHILELfxAtiv7znEPqZnQJ8TBiduK7KMh3IiYTPPOn0Ju7+eyx5PdzMtnX3b9ezj9vdsXnG3iQ0j25OGAlZSBjdHD9xLmY2mLD6xgnufn+Kz2hrZkX9yWrG7n0CoQn+bysLpKGWGcLqG8cAD5nZMELT6L8JI69P8r+v3FDc92oCYVDFw8CmSf7fedPdi2rYe5vZiYTv4ZeEn5P2hGR1AWtXLxH5u6iHtWrTlq6N1KY/aEBICD4hdERPnFpjM8IvqoXAprF9g2PXNiFMzvkDYeTZu8D+xcRyMiGxWkH4RTQBaJvkvKTTQbB2yoT2Cfs3JzTpzo3dewkhgbgZaBx3XlHM9ZLc+0vg9YR9ewDTWNtX7cuSYiT0P5tKWKZnCWGag11JMvVHsn3FfGZHEmosvyE0t/5E6JN0VMJ5SctGmA9vIiHB+YWQ7O0YO/e2uPPqUcz0J8nuDdQljFL+KXbvdwm1bcnOLfZzL+Hf6z++/wnnNYmd93gp5/07dt5F5fCzdGLs+/YDYTLc3wjzm50O5CU5/8bYs5P+PBTzbzt++4uQOD0INCyP/w9SLOe2sWcuiv08vQ90T/V7laQciVufuHP3jv37/opQi7yC8IfBf4FNMlVmbRVvM/d1/cNTJDfF1Sbs6HHTR0j2M7NCQl+l/7j7f6OOp7Iys/eB39x936hjEals1FQqIpWSmdVw9z/i3htrp3eZEE1UlV9supTmrPv8fSJSAiVuIlJZfWhmrxGajjcEDiFMLfKIuyd2dpdy4u7/I4w0FZE0UOImIpXV04RkrRfh/7ovCFNkDI0yKBGR9aE+biIiIiIVRKZm+BYRERGR9ZTxplIzqwqcTxhevgNhSP2j7t6/hGvqkXy9w0fc/djSnrn55pt7vXr11iXclC1fvpwNN0xcojF35HL5c7nskNvlV9lzs+yQ2+XP5bJDZso/Y8aMRe6+RbJjUfRxu5+w7twVhFnQt2ft0j+lOZ8wt1SRxFnVk6pXrx7Tp6e0Wsk6e/3112nfvn1an5HNcrn8uVx2yO3yq+ztow4jMrlc/lwuO2Sm/GZW7IozGU3czKwzYebz5u7+2TrcYo4nLO0jIiIikisy3cetL/DaOiZtIiIiIjkt04nbnsBcMxtpZkvN7Hcze8LMtknx+lFmttrMvjezYWZWI53BioiIiGSTjE4HYmYrCevczQSuISwWfR1h/bu9vJhgzGxr4GLCYtNLCevEXQi84u6HFXNNP8JC3tSpU6dw3Lhx5VqWRMuWLaOgoCCtz8hmuVz+XC475Hb5VfbcLDvkdvlzueyQmfJ36NBhhru3THYs04nbKkLiVtfdf47ta0dYOLqTu79ahnudBtwGtHD3mSWd27JlS9fghPTK5fLnctkht8uvsrePOozI5HL5c7nskLHBCcUmbpluKl0MfFyUtMVMJSRzqY4sLfJY7LWwPAITERERyXaZTtxmAZZkvwFryngvT3gVERERqdQynbg9BzQ1s83j9rUD8gn93sri6NirFosWERGRnJDpCXjvAs4GnjWzosEJQ4GJ7j616CQzmw9MdvcTY+8Hx86dRhic0A4YCDzh7h9ltAQiIiIiEclo4ubuS82sIzACGEfo2/Y0kLjcVVWgStz72YRVE04CagBfAdcDV6c7ZhEREZFskfElr9x9PtC1lHPqJbwfR0j0RERERHJWpvu4VU5Ll1J72rTSzxMRERFZD0rcysOwYTS95BI4/XRYsSLqaERERKSSUuJWHi6+mK+OPRZuvx323BNmz446IhEREamElLiVh/x8Pj/lFHjhBfjuO2jZEh58MOqoREREpJJR4laeunSBmTND4ta7N/TpA8uWRR2ViIiIVBJK3MrbNtvAq6/C5ZfD6NEhiZtZ1rmFRURERP5JiVs6VKkCgwfDa6/B0qWh39ttt4FrdS4RERFZd0rc0ql9+1Db1rEjnHEGHH00LF4cdVQiIiJSQSlxS7cttoDnnoPrr4dnnoEWLeCtt6KOSkRERCogJW6ZkJcH558P06aFZtS2beHaa2HNmqgjExERkQpEiVsm7bEHfPABHHUUXHQRHHgg/PBD1FGJiIhIBaHELdNq1YJx4+Duu0MNXPPm8PLLUUclIiIiFYAStyiYwUknwXvvhT5wnTvDBRfAqlVRRyYiIiJZTIlblJo0CcnbKaeEwQv77AMLFkQdlYiIiGQpJW5Rq1ED7rgDHnsM5s2D3XaDhx+OOioRERHJQkrcssVRR8GHH0LTptCjB/Ttq+WyRERE5G+UuGWTunVh8mS45BK4/34oLAyjUEVERERQ4pZ9qlaFIUPCeqfLlsFee8Hw4VouS0RERJS4Za0OHcJyWZ07Q//+cNBB8L//RR2ViIiIREiJWzbbfHN46ikYOTIsWN+sGUyYEHVUIiIiEhElbtnOLCxQ/+67ULs2HHCA5nwTERHJUUrcKopmzf4+59vee4fpQ0RERCRnKHGrSGrWDHO+Pf54mKh3t93ggQc0cEFERCRHKHGriI48Ej76CFq2hD59oGdP+PXXqKMSERGRNFPiVlFtt12YMmTIEBg/Hlq0gDffjDoqERERSSMlbhVZlSphst6pU8Mghnbt4Mor4a+/oo5MRERE0kCJW2Ww115huax//xsuvzzMAffVV1FHJSIiIuVMiVtlsfHG8OCDYZs5M4xCfeSRqKMSERGRcqTErbI57rhQ+7bLLnDssWHwwm+/RR2ViIiIlAMlbpVR/frwxhtw6aWhBm633eCdd6KOSkRERNaTErfKqmrVMFDh9dfhzz/DhL1XXw2rV0cdmYiIiKwjJW6VXdu2oc/bMceEEagdOsDChVFHJSIiIutAiVsu2GQTeOghGD069H9r3hzGjYs6KhERESkjJW65wgx69QqJW+PGYeqQ3r1h6dKoIxMREZEUKXHLNfXrw5QpYb63sWO14oKIiEgFosQtF1WtCoMHh5GnEPrBDR6sFRdERESynBK3XNamTWg6Pe44uOKKkMAtWBB1VCIiIlIMJW65buON4YEHwioLs2eHptNRo8A96shEREQkgRI3Cbp1g48+gpYtoW/f8P7nn6OOSkREROIocZO1tt8eJk6EoUPh6afDeqcTJkQdlYiIiMQocZO/q1IFLrggLJFVqxYccAD07w8rVkQdmYiISM5T4ibJ7bYbTJ8OZ54Jw4eHJtSZM6OOSkREJKcpcZPi1awJt9wCL7wAixbBHnvADTfAmjVRRyYiIpKTlLhJ6bp0gY8/hq5dYeBA6NQJvv466qhERERyjhI3Sc0WW8ATT8A998C770LTpmH9UxEREcmYjCduZlbVzAaZ2TwzW2lm35jZTSlcV8vMRpnZYjP71czGmlntTMQsMWZw4omhr1vjxtCzZ1jzdPHiqCMTERHJCVHUuN0PnA3cABwADAL+SOG68UB74CSgD9AKeCodAUop/vWvsN7pkCHw2GPQrBmbzJgRdVQiIiKVXtVMPszMOgPdgebu/lkZrmtNSPL2dfcpsX3fAu+YWSd3n5iWgKV4VavCJZdA585w3HG0OP98+PZbuOYaqF496uhEREQqpUzXuPUFXitL0hbTBfixKGkDcPd3gS9ixyQqLVvC++/z7eGHw003hfcffhh1VCIiIpVSphO3PYG5ZjbSzJaa2e9m9oSZbVPKdTsDs5PsnxU7JlGqWZN555wDL74Iv/wSpg0ZOhRWr446MhERkUol04nbVoT+aS2AY4ETgELgSTOzEq7bFFiSZP/i2DHJBp07h2lDDjsMBg2C9u3h88+jjkpERKTSMHfP3MPMVgGrgLru/nNsXztgMtDJ3V8t5roJwHJ3Pzxh/xigvru3SXJNP6AfQJ06dQrHjRtXrmVJtGzZMgoKCtL6jGz2t/K7U2fiRHa6+WZYs4b5Z5zBD127hlGplZC+97lbfpU9N8sOuV3+XC47ZKb8HTp0mOHuLZMedPeMbcCPwFsJ+/KAlcBZJVw3HpiUZP/zwPOlPbewsNDTbdKkSWl/RjZLWv6FC907dHAH90MPdf/hh4zHlQn63k+KOoTIqOy5K5fLn8tld89M+YHpXkxOk+mm0llAsmoXA0paR2k2yfuyFdf3TbLBDjvAxIkwbBi8/HKYtPcpzeAiIiKyrjKduD0HNDWzzeP2tQPygZJWMH8R2MrM9inaYWYtgfqxY5Kt8vKgf3+YMQO22w6OOAJOOAGWLo06MhERkQon04nbXcDPwLNmdoiZ9QAeBCa6+9Sik8xsvpndW/Te3d8CXgFGm9mRZnY4MBaY6prDrWJo0gTefjvM/TZ6NDRrBq+/HnVUIiIiFUpGEzd3Xwp0JIwGHQfcCrwKdEs4tSpQJWFfd8IghvuA0cAM4Ih0xivlrFq1sNrCtGmQnw8dOsCAAbBiRdSRiYiIVAgZXTkBwN3nA11LOadekn1LCNOHnJCeyCRj9torTNJ74YVh0t6XXoIHH4TCwqgjExERyWpRrFUqAhtuCCNHhkELS5eGZO7KK+HPP6OOTEREJGspcZNoHXBAmLS3e3e4/HJo0wZmzYo6KhERkaykxE2it+mmMGYMPPoofPEF7L47DB8Oa0qaIUZERCT3KHGT7HH00fDJJ7D//mEKkY4d4csvo45KREQkayhxk+yy1Vbw9NNw333w/vth0t577oEMLs0mIiKSrZS4SfYxC5P0fvwxtGoFJ58MBx8M330XdWQiIiKRUuIm2atu3bBk1ogRMGkS7LorPPywat9ERCRnKXGT7JaXB2edFeZ9a9QIevSAbt3gp5+ijkxERCTjlLhJxdCwIUydCv/9LzzzTKh904L1IiKSY5S4ScVRpUpYbWH6dNhmm7Bgfa9esHhx1JGJiIhkhBI3qXiaNoV33w0T9j78cKh9e+mlqKMSERFJOyVuUjHl58PgwfDOO2EC3y5dwujTpUujjkxERCRtlLhJxVZYGJpOL7wwzP3WtCm8+mrUUYmIiKSFEjep+KpXD4MWpk4NX3fqBGecAcuWRR2ZiIhIuVLiJpVH69Zh2pD+/eH226FZM5g8OeqoREREyo0SN6lcatSAYcNCwpaXB+3bwznnwPLlUUcmIiKy3pS4SeXUti3MnAlnnhlWXmjRIjSlioiIVGBK3KTy2nBDuOWWsFzWX39Bu3YwYAD88UfUkYmIiKwTJW5S+bVvHxasP+00uOmmUPv25ptRRyUiIlJmStwkNxQUwK23hqlCVq6EffaB889X7ZuIiFQoStwkt3TsGGrfTjkFbrwx1L5NmxZ1VCIiIilR4ia5Z6ONwnQhEyeG2re2beG88+D336OOTEREpERK3CR37bff2tq3YcM08lRERLKeEjfJbUW1b6++Cn/+GUae9u+v2jcREclKStxEYG3ft9NPh+HDw6oLU6ZEHZWIiMjfKHETKVJQACNHhnnf1qyBffeFs8/WqgsiIpI1lLiJJCqa9+2ss8IEvk2bhmROREQkYkrcRJLZcMOwVNaUKVClSmhKPe00+O23qCMTEZEcpsRNpCRFa54OGAB33gm77gqvvBJ1VCIikqOUuImUpmbNMFnvtGnh6wMPhBNPhCVLoo5MRERyjBI3kVS1bg0ffACDBsEDD0CTJvDss1FHJSIiOUSJm0hZVK8O114L77wDm28Ohx7KLlddBYsWRR2ZiIjkACVuIuuisBDeew+uuIItJk+Gxo1h/HhwjzoyERGpxJS4iayratXgssuYceedULcudO8ORx4J338fdWQiIlJJKXETWU/L69eHt96C666Dl14KtW/336/aNxERKXdK3ETKQ9WqMHBgmDpk113hhBOgSxdYuDDqyEREpBJR4iZSnho2hMmTw9JZU6eGkacjR4YltERERNaTEjeR8paXB2ecAZ9+CvvsE5bOatcO5syJOjIREanglLiJpEvduvDii2HOt88+g+bNw1Qif/4ZdWQiIlJBKXETSScz6N0bZs2CQw6Biy6CPfaA99+POjIREamAlLiJZEKdOvDoo/DEE/DDDyF5GzQI/vgj6shERKQCUeImkklHHBGaTfv0gaFDQ/PplClRRyUiIhWEEjeRTNt0U7jnHpg4EVavhn33hVNPhV9/jToyERHJckrcRKKy337w8cdw3nlw991h4t6nn446KhERyWJK3ESiVLMm3HADvP12WLT+8MOhWzf48ceoIxMRkSykxE0kG7RqBdOnw9VXwzPPwC67wKhRWjZLRET+JqOJm5n1MTNPsp1awjX1irlmXCZjF0m7/PwwXUjRsll9+8L++8OCBVFHJiIiWaJqRM/tCMTPg/B5CtecD0yLe7+oXCMSyRaNGsHrr4d+bxdcAE2bwuDBMGBAWBNVRERyVlRNpe+5+9tx2/9SuGZOwjXz0x6lSFTy8uCUU8LUIQccABdeqIl7RUQk9cTNzJqZ2SNmtsDMVprZ7rH9V5tZl/SFKJLDtt0WnnwSHnsMvv8+JG8DB8Lvv0cdmYiIRCClxC2WmM0AtgJGA/lxh1cCZ5XxuQvM7C8zm2Nmp6R4zSgzW21m35vZMDOrUcZnilRMZnDUUaH2rW/fMAp1111hwoSoIxMRkQwzT2HUmpl9SGjePNnMqgKrgJbu/r6ZHQrc4e7bpHCfA4FWwLtAFeBYoDcwwN1vKuaarYGLgVeApUB74ELgFXc/rIRn9QP6AdSpU6dw3Lj0jmVYtmwZBQUFaX1GNsvl8me67LU+/JBGw4ZR8+uv+WH//Vlwxhn8WatWxp6fSN97lT0X5XL5c7nskJnyd+jQYYa7t0x60N1L3YAVQKfY11WANcDusfftgRWp3KeYez8C/AzkleGa0wAHmqdyfmFhoafbpEmT0v6MbJbL5Y+k7H/84X7JJe5Vq7rXru0+erT7mjWZj8P1vc9VuVx299wufy6X3T0z5QemezE5Tap93P4H1C/mWBPgqxTvk8xjwGZAvTJeA1C4Hs8VqbiqV4chQ+CDD6BhQ+jdGw48ED5PZYC2iIhUVKkmbuOAK81sn7h9bmYNCc2WY9cjBk94Tdc1IpXPrrvC1KkwcmRYfWHXXeG66+DPP6OOTERE0iDVxO1SYDowmbW1a08DnwAfAdesRwxHE+ZkW1jGa5gExX8AACAASURBVCAMmBDJbXl5cMYZf586pFUreO+9qCMTEZFyltJsnu6+EjjYzPYD9gM2B34BXnX3lIe2mdnjhIEJHxH6ynWPbWe7+5rYOfOBye5+Yuz9YGAjwuS7S4F2wEDgCXf/KNVni1R6220HTz0FTzwBZ50Fe+0FZ54JV10FG20UdXQiIlIOyjQNu7u/Cry6Hs+bA/QFtgcM+Azo7e4PJsRUJe79bMKqCScBNQg1ftcDV69HHCKV15FHwn77heWzbrklJHK33gqHHhp1ZCIisp5SStzMrHFp57j7ZymccxFwUSnn1Et4P47Qx05EUlWrVkjWjjsO+vWDww4LCd2IEWFSXxERqZBS7eP2CfBxKZuIZJvWrcMyWddcAy+8ALvsEhK61aujjkxERNZBqolbB8LC8PHbUcBdhEEFxU6EKyIRy8+H//wHPvlkbb+3vfeGmTOjjkxERMoopcTN3Scn2Z5y99OAh4Bu6Q1TRNbbv/4FL78MY8aE+d4KC+GCC2D58qgjExGRFKW8yHwJJqEaN5GKwQx69oTZs6FPH7j++jD32wsvRB2ZiIikoDwSt4OAJeVwHxHJlM02g3vugcmToUYNOOgg6NYNvvsu6shERKQEqY4qHZ9kdzVgZ2AnShkpKiJZql07+PDDUPM2ZEhoSr3mGjj1VKhSpfTrRUQko1KtcdsiybYB8AZwiLsPTU94IpJ21arBxReHwQt77hkGL7RpExI6ERHJKqmunNAh3YGISMQaNAg1bg8/DP37Q8uWcM45cMUVUFAQdXQiIkL59HETkcrCDHr0CIMXTjoJhg2Dxo3h6aejjkxERCihxs3MrivDfdzdLyyHeEQkG2y6KdxxBxx/PJxyChx+eFgya8QIqFs36uhERHJWSU2lx5ThPg4ocROpbFq3hhkzYPhwGDw41L5dcUVoQs3Pjzo6EZGcU2xTqbvvWIatfiaDFpEMys+HgQPhs8/C4vUDB4bJe998M+rIRERyjvq4iUhq6taFZ56Bp56CJUvCsln9+lF16dKoIxMRyRkpjSotYmb7AA2B6onH3P228gpKRLLYYYeFmrfBg2H4cPYYPx5uvhl69w6DG0REJG1SnYC3DvAq0JjQn63of2ePO02Jm0iuKCiAG26A3r35o0cPqvXpA/fdB7fdBk2aRB2diEillWpT6Y3Ar8D2hKRtT6AecCkwj1ALJyK5plkzPhgxAu6+O0zg26IFDBqkhetFRNIk1cRtX0Ly9n3svbn7V+5+DTAG1baJ5K68vDDn2+zZ0KsXDB0aat2eeSbqyEREKp1UE7dNgJ/cfQ2wFNgy7tibQJvyDkxEKpgttgjNpVOmwEYbhb5whx4KX34ZdWQiIpVGqonbF8DWsa8/BXrGHTsE+KU8gxKRCqxtW3j//bBw/Wuvhbnfrr0WVq2KOjIRkQov1cTteeCA2NdXAUeZ2Tdm9gVwNnBLOoITkQoqPx/OPx9mzYIuXeCii6B585DIiYjIOkspcXP3/7j7SbGvXyQ0jT4APAkc7O43pC9EEamwtt8eHn8cnn8+1Ljttx/07Anff1/6tSIi8g/rNAGvu09394vdfUAskRMRKV7XrmHU6WWXwWOPwc47h7nf/vor6shERCqUlBI3M/vSzIaa2W7pDkhEKqkaNcI6p598AnvtBeeeCy1bauksEZEySLXG7VGgOzDdzOaa2ZVmtmsa4xKRymqnneCll+DRR2HRorB0Vt++8NNPUUcmIpL1Uu3jNtDd6wH7AC8AfYGZZvaJmV1qZjulMUYRqWzM4Oijw9xvAwfCgw9Co0Zwxx2wenXU0YmIZK0y9XFz97fc/VzCCgrtgdeBs4BZ5R6ZiFR+BQVw3XXw4YfQrBmcdlpoRn3vvagjExHJSus0OAHYENgBqAvUAlaWW0QiknuaNIFJk2DsWPjmG9hzTzj1VPj556gjExHJKiknbmZWw8y6mdnjwP+AewmLzPcF6qQpPhHJFWbQowfMmRMGLtxzT2g+veceWLMm6uhERLJCqqNKxxOStbFAAXAGsJW7H+ruY919WRpjFJFcsvHGMGwYfPBBWHXh5JOhTRuYMSPqyEREIpdqjdsWwEBga3c/0N1HufuSNMYlIrmuaVOYPBlGjw7rnbZqFfrA/aIV9kQkd6U6qrSDu9/h7ovSHZCIyP8zg169QvPp2WfD3XdDw4bhVc2nIpKD1nVwgohI5tSqBcOHh8Xrd9kF+vXT6FMRyUlK3ESk4mjWDKZMCfO+ff11GH3ar1+YyFdEJAcocRORisUMjjsuNJ/27w/33ReaT2+/XZP3ikilp8RNRCqmjTeGG2+EmTOhRQs4/fQwgEFrn4pIJabETUQqtiZN4NVXYdy4sN7p3nvD8cfDDz9EHZmISLmrWtwBMzu9DPdxd7+9HOIRESk7M+jeHQ46CK65JtTEPfkkXHEFnHkm5OdHHaGISLkoNnEDRpbhPg4ocRORaBUUhMTthBPgnHNgwICw8sKIEbDfflFHJyKy3optKnX3vDJsVTIZtIhIiXbaCZ5/Hp55BlasgE6d4Jhj4Kuvoo5MRGS9qI+biFROZnDIIfDppzBkSEjkdt45fL1iRdTRiYiskzIlbma2nZl1NLOuiVu6AhQRWS/Vq8Mll8Ds2XDwwXDZZWEN1KeeAveooxMRKZNUF5nfyMxeBBYCE4DnYtuzcZuISPbaYQcYPz6MQK1ZE444Ajp3hlmzoo5MRCRlqda4XQvsALQFDDgCaA/cC3wB7JWO4EREyl3HjvDBB3DzzfDOO2E1hvPOg6VLo45MRKRUqSZuXYGrgXdi779z9ynu3g94GhiYjuBERNIiPz8sWj93LvTpAzfdFFZfGDVKi9eLSFZLNXGrA3zt7quB5cBmccdeAA4o78BERNJuyy3h7rvh3Xehfn3o2xdatw41cSIiWSjVxO1rYPPY1/OAg+OO7QloiJaIVFwtW8LUqTB6dFi8fq+9wlxwWn1BRLJMqonbBKBT7OubgDPM7E0zmwQMAUanchMz62NmnmQ7tZTrapnZKDNbbGa/mtlYM6udYuwiIqXLy4NevcLi9RdeCGPHhubT66+HVauijk5EBEg9cbsQuBzA3R8EjiIMSlgMnAkMKuNzOwKt47YnSjl/PGEwxElAH6AV8FQZnykiUrqNNoL//jfM/9a+PVxwAey6a5gHTkQkYiUtefX/3P134Pe4908CT67Hc99z92WpnGhmrQl96PZ19ymxfd8C75hZJ3efuB5xiIgkt9NOYeWFl16Cc88Nc8B16QLDhoWJfEVEIlDmlRPMrIqZ1Uzc0hFcTBfgx6KkDcDd3yXU+HVJ43NFRMJcbx9/HBK2adOgadOwBuqSJVFHJiI5KNUJeDc2s5Fm9h2wEvgtyVYWC8zsLzObY2anlHLuzsDsJPtnxY6JiKRXfj707w/z5oXpQ4YPh4YN2fq552D16qijE5EcYp7Cki9m9jBhJOk9wGfAP3rquvsDKdznQEL/tHeBKsCxQG9ggLvfVMw1E4Dl7n54wv4xQH13b1PMdf2AfgB16tQpHDduXGnhrZdly5ZRUFCQ1mdks1wufy6XHXKz/AXz5tFg5Eg2+egjfmvQgPlnnsmvzZtHHVZG5eL3PV4ulz+Xyw6ZKX+HDh1muHvLpAfdvdQN+AU4KZVzy7oBjwA/A3nFHJ8APJVk/xjgzVSeUVhY6Ok2adKktD8jm+Vy+XO57O45XP41a/yTSy913357d3Dv1s39yy+jjipjcvb7HpPL5c/lsrtnpvzAdC8mp0m1j9ty4Jv1SB5L8hhhQt96xRxfDNRKsn/T2DERkcwz46eOHcPi9YMHw7PPhkELl14Ky5dHHZ2IVFKpJm43AqebWZkHM6TAE14TzSZ5X7bi+r6JiGROzZpw+eVh/rcjj4Srrgrzvz34oJbPEpFyl2oiti3QHJhjZneZ2XUJ29D1iOFoYBGwsJjjLwJbmdk+RTvMrCVQP3ZMRCR6228fJu2dNg222QZ694Y2beDtt6OOTEQqkZTmcSMkV2ti5++f5LgTJuktkZk9ThiY8BFhcEL32Ha2u6+JnTMfmOzuJwK4+1tm9gow2szOj8UxFJjqmsNNRLJNmzZhrdMHH4T//CesfdqzJ1x7bUjuRETWQ0o1bu6+Yylb/RSfNwfoCzwOPAo0Bnq7+y1x51QlJHXxugOTgfsIy2vNAI5I8ZkiIpmVlwfHHw9z58JFF8Fjj0GjRnDFFfD776VfLyJSjHT0WSuWu1/k7o3cvaa713D3Qg9LaMWfU8/d+yTsW+LuJ7j7Ju6+sbv3cPdFmYxdRKTMCgrg6qvDAIaDDw6DGBo1Ck2q6v8mIusgpaZSM+tdwuE1wFJgprsX109NRCR31asH48fDG2+E5bOOOw5uuSVM5LvXXlFHJyIVSKp93O5n7ahPi9sfv8/N7Dmgp6e4DqmISE5p2xbeew9Gj17b/+3f/w6L2u+wQ9TRiUgFkGpT6e7AfGAQsAuweez1P8ACoBNwPNCWMHBARESSycsLy2bNmwcXXwxPPhmaTy+9FJbpb14RKVlZ5nG7zd2vd/c57v5L7PU64DbgcncfA1yNBg2IiJSuoCDM+TZ7NhxxxNr53+6/X/3fRKRYqSZurQmLuiczi7D+KITRnrXXNygRkZxRty489BC8+WZoLj3hBGjVCqZMiToyEclCqSZu3wB9ijl2AmuXw9qUsO6oiIiURevWIXkbOxZ++gn23ReOOgrmz486MhHJIqkmbhcD3czsIzO72szOjb1+RJic96LYefsDb6QjUBGRSi8vD3r0CM2nV10FL78MjRvDeefBkiVRRyciWSDVCXgfBfYiTKDbA7g29job2NPdH4udd7q7d09TrCIiuaFmzTBwYd68sHTWTTdBgwYwciT8+WfU0YlIhFKegNfdp7v7MbGVEmrEXru5+4x0BigikrO23hruuQc++ACaN4ezzoKmTeG558C99OtFpNLJ6MoJIiKyDpo3h4kT4ZlnwvtDDoH994eZM6ONS0QyrtgJeM1sPPAfd18Q+7okriZSEZE0MgsJW+fOcOedYfms3XYLo1CHDIFttok6QhHJgJJq3LYA8mNfbxl7X9y2ZRpjFBGRIvn5cOaZYbTpgAHw4IOw005hAfvly6OOTkTSrNjEzd07uPvs2NftY++L3TIXsoiIsMkmcMMNYQTqQQeFGriGDWHUKFi9OuroRCRN1quPm5ltUl6BiIjIOqhfPyxgP21amMC3b18oLAx94kSk0kkpcTOz08zsgrj3LczsG+BnM5thZtulLUIRESldmzZhAt9HHoFffw2DF7p2hU8+iToyESlHqda4nQUsjXs/AvgO6Bm7x3/LOS4RESkrM+jWLTSf3nADvPVWGJHarx/88EPU0YlIOUg1cduBMPkuZrYFsDdwgbuPA4YAHdMTnoiIlNkGG4TVFubPh7PPDgvXN2gAV16pAQwiFVyqidtKoFrs6w7A76xd2uoXQH3dRESyTe3aYdWFzz4L04hcfnkYgXrvvRrAIFJBpZq4vQucYWZNgLOBl9y96Ke+PqHZVEREslGDBvDYY2EAQ926cNJJYQ64l16KOjIRKaNUE7fzgCbAx8D2hEXni3QHppVzXCIiUt6KBjA8+mhoMu3SBQ44AD78MOrIRCRFqS4y/5m7/4sw2W49d58bd/j82CYiItnODI4+GmbNguHDYcYM2H13OP54+OqrqKMTkVKUaR43d//Z/e8rG7v7x+7+U/mGJSIiaVWtGpxzDixYAAMHhmlEGjaEQYPCdCIikpW0yLyISC7bZBMYOhTmzIFjjglf/+tfcPPNsGpV1NGJSAIlbiIiEgYtPPhgaDpt0QLOPRd22SWsyvD3hhYRiZASNxERWWv33WHChDDidMMNoXt32HNPmDw56shEBCVuIiKSyAwOPBA++CBM3vv999C+PRxyCHz6adTRieQ0JW4iIpJclSphtOncufDf/8Ibb0CzZnDiiVT7SWPSRKKgxE1EREpWowZceGEYgXrOOTBmDHv26gUXXaQRqCIZpsRNRERSU7s2DBsGs2ezaJ994NproX79sKzWypVRRyeSE5S4iYhI2ey4I7MuuQTefx8KC2HAANh5Zxg7FtasiTo6kUpNiZuIiKyb3XaDV14J26abwnHHhUTu5Zc1hYhImihxExGR9bP//jB9OowZA0uWQOfO0KlT2Cci5UqJm4iIrL+8POjZE2bPDqsufPQRtGoFxx4L8+dHHZ1IpaHETUREys8GG8DZZ4cRqJdeCs8+G1ZgOOMM+OGHqKMTqfCUuImISPnbeGO48sqQwJ18Mtx1V1gD9dJLYenSqKMTqbCUuImISPpstRXcdhvMmhVWXrjqKk0hIrIelLiJiEj6NWgA48aFAQu77RamEGnYEB54AFavjjo6kQpDiZuIiGROYWFYxH7CBNhyS+jTB5o3h2ee0RQiIilQ4iYiIpnXqRO8+y6MHw+rVsFhh8E++4T1UEWkWErcREQkGmZwzDHw6adw553wxRfQrh0cdBDMnBl1dCJZSYmbiIhEKz8f+vUL870NHQpvvhn6wfXsGUalisj/U+ImIiLZoWZNuOAC+PxzGDQInnwyrIF6+unw/fdRRyeSFZS4iYhIdtl0U7jmmrVzwN19d5gDbtAgWLw46uhEIqXETUREstPWW4c54GbPhiOOgOuuC3PAXXstLF8edXQikVDiJiIi2e1f/4KxY+HDD8PI04suCvtuvTWMSBXJIZEmbma2rZktMzM3s4ISzqsXOydxG5fJeEVEJELNmoW1T6dOhUaN4Mwzw+vo0ZrEV3JG1DVu1wPLynD++UDruO2SdAQlIiJZbO+94fXX4aWXYLPN4PjjQ1L35JOaxFcqvcgSNzNrB3QGbijDZXPc/e24bX6awhMRkWxmBgceGJbQevTRUON25JGwxx7wyitK4KTSiiRxM7MqwC3AlcCiKGIQEZFKwAyOPho++QRGjYKffgoJXYcOYT44kUomqhq3U4ENgFvLeN0oM1ttZt+b2TAzq5GG2EREpKKpWjWsezpnDtxySxiJuvfecPDBYVCDSCWR8cTNzGoDQ4AB7v5nipetJCR5JwL7AXcCpwEanCAiImttsEEYtLBgQZg2pGgVhm7dQjInUsGZZ7gfgJndAezg7l1j7/sAo4CN3D3lgQpmdhpwG9DC3f+xqJ2Z9QP6AdSpU6dw3Lj05njLli2joKDYgbGVXi6XP5fLDrldfpU9+8teddkyths/nu0ee4wqK1fy4/778+Xxx7Ni663X674VpfzpkMtlh8yUv0OHDjPcvWXSg+6esQ1oAqwC9gI2iW2nAw5sC9Qow722iF3Xt7RzCwsLPd0mTZqU9mdks1wufy6X3T23y6+yVyD/+5/7gAHuG2zgnp/vftpp7t9+u863q3DlL0e5XHb3zJQfmO7F5DSZbirdCcgH3gIWx7aifm7fEAYspMoTXkVERJLbYgu48cbQhHriiWuX0TrvvDCgQaSCyHTiNhXokLANjR3rSpjXLVVHx15nlFt0IiJSuW27Ldx+O8ydC927w/DhsOOOcMklsGRJ1NGJlCqjiZu7L3L31+M3oKi36BvuPgfAzOab2b1F15nZYDO70cyONLNOZnYlcBPwhLt/lMkyiIhIJbDjjnD//fDpp3DQQXD11VCvHlx1Ffz2W9TRiRQr6pUTilMVqBL3fjawL2EQwwtAD0LtXI/MhyYiIpXGzjvDI4+EKUP23RcuvTQkdTfcAL//HnV0Iv8QeeLm7ve7u3nciFJ3r+fufeLej3P3lu5ey92ruXsDd7/M3VdGErSIiFQuzZvD00/DO+9Ay5YwcGDoAzdiBKxYEXV0Iv8v8sRNREQka+yxR1gDdcoUaNgQzjkHdtoJ7rwTVq2KOjoRJW4iIiL/0LZtWMh+wgTYbjs49VRo1Cgsq/XXX1FHJzlMiZuIiEgyZtCpU1h94fnnoXZt6NsXGjdmywkTwsL2IhmmxE1ERKQkZtC1K7z3Hjz5JNSoQeNrroGmTWH8eFizJuoIJYcocRMREUmFGRx+OHzwAZ8OHhzed+8OLVqEhC7DS0hKblLiJiIiUhZ5efy0777w0Ufw0EOwciUceSQUFsKzzyqBk7RS4iYiIrIuqlSBf/87TOI7ejQsXQqHHhpGpr7wghI4SQslbiIiIuujalXo1QtmzYL77oNFi8JqDK1bw8svK4GTcqXETUREpDzk58MJJ8CcOXDXXfD999C5M+y9d5hWRAmclAMlbiIiIuWpWjU4+WSYNy8saP/113DAAdCuHbz6qhI4WS9K3ERERNKhWrUwce/8+XDrrfDFF2FeuH33hUmToo5OKiglbiIiIum0wQZw+ukhgbvlFliwADp2hPbtw+oMImWgxE1ERCQTqleHM88MiduIETB3LnToEDYlcJIiJW4iIiKZVL06nHUWfP453HxzGMygBE5SpMRNREQkCtWrw9lnhxq4+AROTahSAiVuIiIiUapR4+8JXFETatEgBo1ClThK3ERERLJBfAI3YkSYTqRjx5DAaRoRiVHiJiIikk1q1FjbB+6WW8Jrp07Qtq0m8hUlbiIiIlmpaBRq0TxwCxeGiXz33hteekkJXI5S4iYiIpLNqldfOw/c7bfDt99Cly5hLVQtZp9zlLiJiIhUBBtsEFZimDcvrIX6449hMftWreCZZ5TA5QglbiIiIhVJ0Vqoc+fCPffA4sVw2GGw++7wxBOwZk3UEUoaKXETERGpiPLz4cQTw/xvDzwAy5fDUUdB8+bwyCOwenXUEUoaKHETERGpyKpWhd69YdYsGDsW/voLjj0Wdt0VxowJ76XSUOImIiJSGVSpAj16wCefhBq3/Hzo1Qt23hnuuw/+/DPqCKUcKHETERGpTKpUgW7d4MMP4cknoVat0KS6005wxx2wcmXUEcp6UOImIiJSGeXlweGHw/Tp8NxzsPXWcNppUL9+WFrr99+jjlDWgRI3ERGRyswsTBvy5pth5YUGDeDcc2HHHeH66+G336KOUMpAiZuIiEguMAtLZ02eHLbmzeGCC6BePRgyBJYsiTpCSYESNxERkVzTrh288gq8805YQuuyy6BuXbjkEli0KOropARK3ERERHLVHnuEVRc++AAOPBCuuSYkcOedB999F3V0koQSNxERkVzXogWMHw+ffgpHHx0GL+y4YxjM8OWXUUcncZS4iYiISLDLLmEVhrlzoU+fMP9bgwbh6zlzoo5OUOImIiIiierXhzvvhM8/hzPPDLVxu+wC3bpRMH9+1NHlNCVuIiIikty228Lw4aG5dNAgePllWp58Mhx8MLz1VtTR5SQlbiIiIlKyLbcMAxcWLuSLvn3h7behTRvo0AEmTgT3qCPMGUrcREREJDWbbMLCXr1g4UK46abQF27//WHPPeGpp2DNmqgjrPSUuImIiEjZbLhhWH3h88/hrrvg55/hiCOgaVMYMwb++ivqCCstJW4iIiKybjbYAE4+OYw4HTs2rI/aq1dY0P7222HFiqgjrHSUuImIiMj6qVoVevSAmTPDhL5bbQWnnx6W07ruOli6NOoIKw0lbiIiIlI+8vLgkEPCgvaTJkGzZnDhhWuX0/rpp6gjrPCUuImIiEj5MoP27cN6qO+9B/vtt3Y5rbPPDoMbZJ0ocRMREZH0adkSHnsMPvsMjj029H1r0ACOPz4ssSVlosRNRERE0m/nncMSWp9/DmecEZK5XXeFww8P88JJSpS4iYiISOZsv31YjWHhQrjsMpgyBVq3DpP5vvyyJvMthRI3ERERybzNN4crroCvvoJhw2DePOjcGXbfHR55RHPBFSPSxM3MtjWzZWbmZlZQyrm1zGyUmS02s1/NbKyZ1c5UrCIiIpIGBQXQv39oQr3vPvjjj9AXbued4Y47NBdcgqhr3K4HlqV47nigPXAS0AdoBTyVlqhEREQks6pVgxNOCIMYnngCateG004Lc8Fdey0sWRJ1hFkhssTNzNoBnYEbUji3NXAAcLy7P+7uTwLHAfuYWaf0RioiIiIZk5cXls96+2147TVo0QIuugh22AEGDoRvv406wkhFkriZWRXgFuBKYFEKl3QBfnT3KUU73P1d4IvYMREREalMzMKAhZdegvffh4MOCn3hdtwR+vaFWbOijjASUdW4nQpsANya4vk7A7OT7J8VOyYiIiKV1W67wcMPhwEMJ58cvm7cOEwl8tZbUUeXURlP3GIDCoYAA9z9zxQv2xRI1ri9OHZMREREKrv69eHWW8NI1MsugzfegDZtoF07eO45WLMm6gjTzjzD86WY2R3ADu7eNfa+DzAK2Mjdkw5UMLMJwHJ3Pzxh/xigvru3SXJNP6AfQJ06dQrHjRtXruVItGzZMgoKShwYW6nlcvlzueyQ2+VX2XOz7JDb5c+mslf54w+2euEFtn/0Uar/+CPL69bl62OP5cf99sPz89PyzEyUv0OHDjPcvWXSg+6esQ1oAqwC9gI2iW2nAw5sC9Qo5rrxwKQk+58Hni/tuYWFhZ5ukyZNSvszslkulz+Xy+6e2+VX2XNXLpc/K8u+apX7mDHuzZq5g/u227pff737r7+W+6MyUX5guheT02S6qXQnIB94i9DMuZi1/dy+IQxYSGY2yfuyFdf3TURERHJFfj707AkffhgGMzRqFEagbr89XHghfPdd1BGWm0wnblOBDgnb0NixroR53ZJ5EdjKzPYp2mFmLYH6sWMiIiKS68zgwAPh1VfhvffCSgw33BDmguvbN8wRV8FlNHFz90Xu/nr8xtoaszfcfQ6Amc03s3vjrnsLeAUYbWZHmtnhwFhgqrtPzGQZREREpAJo2TIsnTVvHvTrB+PGwf+1d/fRclXlHce/v4QWCFQSDCmCISGkFAO1WiCFhTWBUC0qL+ElCUJtqLxUF4uurioU64LwUltQESzGoAhUqFAJCFbeCwQSJNAgUAUSXpQUBCMpIUACCQlP/9hn9ORw7r1z78y9Z15+Bg0sAAAAD8ZJREFUn7Xumjt79pmzn9lzZp45Z599dt8dDj44XR+1Ta+JWvWVE3qyGTC8UDYTuAe4DPgu8BAwfYjbZWZmZu1kwgS4+OJ0JuqcOWli3ylTYJ99YP582Lix6hb2S+WJW0RcERGK3BmlETE+ImYX6r0SEcdFxMiIeFdEfDIi6pm818zMzLrd6NFw5pmwfDnMnQsvvwxHHQW77pqmGFmzpuoW1qXyxM3MzMxsyIwYka6BunQpXHcdjBkDJ5+cLql1xhmwYkXVLeyVEzczMzPrPsOHw+GHpysvLFqUJvE991wYNy6NiVvampNWOHEzMzOz7rbffvCDH6RkbfZsuPJKeN/74JBD4J57WupEBiduZmZmZpDGu82bl8bBnXFG2hs3dSpMnpzOUN2woeoWOnEzMzMz28SYMXDWWelM1HnzYPVqmDULJk5k1JIllTbNiZuZmZlZmS23hJNOSodQb7wRdtqJ9dtuW2mTnLiZmZmZ9WbYsDTe7d57WTNhQrVNqXTtZmZmZlY3J25mZmZmbcKJm5mZmVmbcOJmZmZm1iacuJmZmZm1CSduZmZmZm3CiZuZmZlZm3DiZmZmZtYmnLiZmZmZtQknbmZmZmZtwombmZmZWZtw4mZmZmbWJpy4mZmZmbUJRUTVbRh0kl4Clg/yakYDKwd5Ha2sm+Pv5tihu+N37N2rm+Pv5thhaOIfFxHblT3QFYnbUJC0JCL2qrodVenm+Ls5duju+B17d8YO3R1/N8cO1cfvQ6VmZmZmbcKJm5mZmVmbcOLWPN+qugEV6+b4uzl26O74HXv36ub4uzl2qDh+j3EzMzMzaxPe42ZmZmbWJpy41UHSTEnXS3pRUkia3Y9l95P0gKQ3Jf1C0ikldTaX9FVJv5a0RtJNksY3MYSGSDpB0lNZDA9JmlbHMs9mr1XZ33ty9coeXzy4EfXPAOOf00Nsf1Go14l9f5KkOyStkLRa0n2SPlJSr+w98qvBiaTX9k6SdKektZJekHS2pOF1LLeNpMslrcri/HdJ7y6pd6ikn2av4eOSZg5OJAMzkPgl7Z3F/nS23DJJZ0raolCvru2gKgOMfXwPMV1TUrcT+76nPg1Jp+fqXdFDnd0GP7K+SZoo6RJJ/yNpo6QFdS5X+Xa/WbOeqMMdCYwHfgQcX+9CkiYCt2XLnQ5MBi6QtDYiLs1V/Xq2jr8DXgLmAHdI+qOIeLMZAQyUpKOBeVmbFgHHAT+StHdE/KyXRacDmxfKvg1sjIgXC+VfBebn7r/WUKObqIH4AVYDxS+oJwr3O7Hv/xG4FfgGsAY4FrhV0mER8cNC3e8B/5q7v75Jza+LpFHAfwGPA4cCu5Dej8OAL/ax+PeBXUmfCW8D5wE3AH+We/4PAdcBc4FTgI8BV0taFRG3NzWYAWgg/plZ3fOAp4D3A+dkt0cU6tazHQy5Bvse4HPAfbn7m8zr1cF9fylp+847DDgNuKVQvpT0uZH37MBa3HS7k/pkMfA7/Viu+u0+IvzXxx8wLLvdGghgdp3LXQI8CWyWK5sLPMdvxxe+F9gAfCpXZ0fSF9jxLRD7MuCy/GsB/BS4qp/Ps30W52mF8gBOrjrOZsdPSnZW9lGnI/seGF1S9mPg7kLZs8BXKo7xdGAV8K5c2anA2nxZyXL7Zu/dD+fKJmdlB+bKbgPuKix7M7Co6v5tMP6yPj4xi39crqzP7aANYx+fxfmJPp6/I/u+h+e6CXiiUHYFsKTqOHtp87Dc//OBBXUs0xLbvQ+V1iEi3h7gogcB10fEhlzZNaQv7D2y+7VDSNfn1vdL0h6Ogwa43qaQNIH0y+L7tbLstbiW/rdtBumL/x2HE1pVk+Mv05F9HxFlM4o/DOzQzDY2yUHAbRHxaq7sGmBLYEofy62IiHtrBRHxIPCL7DEkbQ7sT+41zD3/vpK2abz5DRtQ/L30MbRmP5cZaN/3qZP7vig7TPjnwNXNbd7gGuD3ekts907cBomkrYCxpF3FebVDBLvlbp+PiNdL6lU9FqC2/rIYtpVUejmOHswC7o+IskuPzZG0QdJKSZdJ2nYgjR0EjcY/MovpLUkPSzq85Pm7oe8h/VJ9sqT805LWZ2NF5ksa19+GNmg3CjFGxP+S9jr01gfvWC6T77tdSIdgyl7DYaTEuGoDjb/MvqRDR88UyvvaDqrSaOyXZ2OjXpR0gaQtc491U98fQYq1LHGbJOlVSeskLZLUUELcAlpiu3fiNnhGZrevFMpXZbejcrfFOrV6o0rKh1Jt/X3F0Kvsy3gfyve2/RtwEnAA8CXS2Lg7+hogO0Qaif9p0mGHo0gfbC8A1xW+tDq+7wEk/TXwQeCCwkM3Ap8FpgGfJ33xLxzivRED7YN6lmvaaziImvIelLQ9aVzUlRHx69xD9WwHVRlo7OtI4zc/TXrvXgJ8hk0/37qm70k/yn8SEU8Vyh8G/h44GDgGGE76bJ88gLa2ipbY7rvy5ITsi+E9fdWLiLLMuq1VFPss0i/x4q5jImJ27u69kp4gjQU4mDTgs6mGKv6IuKqw3v8kjfM6g9yh0aFURd9L2pN08sFFEXF3YT1/m7u7UNKPgUdIg5kvbFYbbHBJ+l3Stv066SSb32jF7aBRkU6uOjlXtEDSCmCupD+OiEcratqQU5ohYArpxIRNRMRFhbo3A48BXyCdzGAD1JWJG+nX37frqKcG1lHLtot7D2rZ9qrcbdkehlG5Os3Un9hr69+GTX89FGPoyyzSwPQVddS9lfQF8CcMQuJGNfETESHpeuA8ScMjYiMd3vfZOLmbgDtJv7x7FRE/k7SM1PdDZaB9sAooO1ycXy7/Ghbr5B+vUkPvQUkCvks6Q2+/iOh1mR62g6o0c/ubTzrxbE/gUbqg7zMzSJ8X/9FXxYhYmyVvB9fdwtbTEtt9Vx4qjYhLI0J9/TW4jjWks0eLYwWKY4eWAmOzMXHFek3f49fP2GvrL4vh5Yh4qa/1SfpD4APUOXA1stNvSGfpNN1Qx19cPZvG1bF9L2kM6cyq5cCsfnxBF1+jwbaUQoySxgIj6L0P3rFcJt93zwBvldTbjbQHumzM31AbaPw1F5Kmkji0H3tqh7qPe9Jo7HnFz61u6HtIP8oXRcRzddZvlb4fqJbY7rsycRtCtwDTC+O1ZpISuto8WLU5XabXKkjagTQnTHFOnCEVET8nvcmOqpVJGpbdr7dtR5Omt6jrsIjSxJxbAw/1q7GDoEnx15YTaYzPo7kkpiP7XtLWpMPdkKZMWFvPOiXtQfpwG8q+vwX4qKTfy5XNBN4A7uljue2z+ZoAkLQXMCF7jIhYB9xN7jXMPf/9EbG68eY3bKDxozTZ6snAsRGxqJ6V9bAdVGXAsZc4Mrt9CDq/7yFNREwau1zXj/Ls5I2P0wKf7Q1oje2+0flEuuEPmETaMI8l/Vq4OLs/JVdnCmlOrnzZRNJhv++RTg8+lZSJH194/ktIkzf+JWmiysWkSS23aIHYjwY2kgYe70+am+cNYI/eYs899gRwQw/PfSLpYr0zSCcnfI50WO4BYHjVsTcSP+mD7xTSlB/TSYnM28Ahnd73pIR0PfBJ0gf7b/5ydT5O+sA/JnvuzwC/BH5OP+eQajDGUcCLwB3Agdl78nXg3EK9p4HvFMpuy9p7OGnMzjJgYaHOh7LX50JgKnB+9j74SNX920j8Wd8GcHmxj4Ht+rsdtFnsc0gT1R6eLXd2tl1c1w19nyv/B9L3WdmcftsAC0knnk0jJS2LSSd27FV17FkbR5C+x48E7ieNv6vdH9FT7K2w3Vf+4rXDX7ahRsnfglydqVnZ1JIOfBB4kzTh6Cklz7856Yy7l0gzzd8M7Fx13Ln2nZC9gdcBPwGmFR7vKfYPZOWzenjeaaSZx/8v+wB4jnQlgW2qjrnR+IHvZBv3G1mfLgQO6oa+72FbCbIj4Vmd95PGvr2U9f2vSInhDhXEOAm4K+urF0lXABheqPMscEWhbCQpcXkFeJX0A63sS+ww0h72daTDKaXbQ4V93O/4s77qqZ9n93c7aLPYZwFLSFeEWJ9tH2cDm3dD3+fKHwFu7eF5tyAdZXkui301afzyPs2OoYHYx/fyHh7fU+ytsN3XZu83MzMzsxbnMW5mZmZmbcKJm5mZmVmbcOJmZmZm1iacuJmZmZm1CSduZmZmZm3CiZuZmZlZm3DiZmZmZtYmnLiZWceQNEPS7JLyBZLmV9CkYjt2lPSapF3qrL+XpJcllV0M3My6kCfgNbOOkSVnoyNiaqF8EvBWRDxVScN+245vAiMj4uh+LHMn6ZI6cwatYWbWNrzHzcw6XkQ83gJJ27uAvwIu6+eilwN/I2mz5rfKzNqNEzcz6wiSrgCOAKZIiuxvTvbYJodKJc2RtFLSn0paIukNSYsk7SxpjKQbJL0u6QlJB5Ss63hJj0laJ2m5pFPraOIM0jUh7yo81+mSnpb0pqQVkm6VtH2uyg+BbYGP9vc1MbPO419wZtYpzgF2Il0E+rNZ2fO91B8BfAs4n3QB9K8DV5IuCn0LMBc4FbhW0tiIWAsg6fPAl7LlFgB7AudIWhsRF/eyvmnAgxGxsVYg6VPAF4DTgMeAdwMHAFvV6kTEq5IeAw4EburzVTCzjubEzcw6QkQ8I+llYFhELK5jkS2BUyLiHgBJOwDfAM6MiK9kZc+TEqopwC3Z4c4zgXMj4qzsee6QNAL4oqRv5hOzgj2BGwtlk4HbI2Juruz6kmUfzeqaWZfzoVIz61brgYW5+09nt3eVlO2Y3e5L2ht2raTNan/ZMr8PvLeX9W0PrCyUPQJ8TNJZkiZLGt7Dsiuz5c2syzlxM7Nu9VpEvJ27vz67faVWEBG1si2y29HZ7WPAW7m/u7Pysb2sbwvSYdi8y0iHSmcADwArJJ1bksCty7XBzLqYD5WamdXv5ez2E8CKkseX9bHsyHxBljh+DfiapLHAMcA/kcbmzctVHZlbt5l1MSduZtZJ1jO4e6buJ50ZukNE9PdEgWXAzj09GBHPAf8i6ThgUuHh8cCT/VyfmXUgJ25m1kmWAodKOoy01+qFiHihWU8eEa9kU4xcJGkccC9pyMmuwP4RMb2Xxe8DDskXSLqEtCdtMbAa2B/4A9JZpnl7Aec1IwYza28e42ZmnWQucDtp7Nh/Ayc2ewURcX72vAeRzhK9mnSIc2Fvy5HOFp0kaadc2f3Ah0mT7N4MTAdOiIgbahUkfRDYjvKzTc2sy/iSV2ZmQ0TSo8BVEfHlfizzz8DeEXHg4LXMzNqFEzczsyEi6Sjgy8DEiNhQR/2tgOXAkRGxYJCbZ2ZtwGPczMyGznxgAmleuOV11N8JONtJm5nVeI+bmZmZWZvwyQlmZmZmbcKJm5mZmVmbcOJmZmZm1iacuJmZmZm1CSduZmZmZm3i/wH5JzTKVvgEpgAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"wFQl0Vhpv2w2"},"source":["**• Sinusoids**\n","π\n","x 1 (t) = 5 sin 2πt , x 2 (t) = 2 sin 2π\n","3 t , x 3 (t) = 4 cos 3 t , x 4 (t) =\n","π\n","3 cos(2πt + 3 ) , x 5 (t) = x 1 (t) + x 2 (t) , x 6 (t) = x 3 (t) + x 4 (t) ,\n","x 7 (t) = x 1 (t) + x 3 (t) , x 8 (t) = x 1 (−t) , x 9 (t) = x 3 (−t) ."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"9DhhPDQ06hex","executionInfo":{"status":"ok","timestamp":1610955084198,"user_tz":-330,"elapsed":3482,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"7fa9e051-7875-4e2a-d1af-59401f867d4f"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","\n","rnge=5\n","t=np.linspace(-rnge,rnge,rnge*100)\n","\n","# 5 sin (2 pi t)\n","x1=5*np.sin(2*np.pi*t)\n","plt.figure(figsize=(10,6))\n","plt.plot(t,x1,c='r')\n","plt.xlabel('time (s)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 5sin(2 $\\pi$ t)')\n","plt.grid()\n","plt.show()\n","\n","# 2 sin (2/3 pi t)\n","x2=2*np.sin((2/3)*np.pi*t)\n","plt.figure(figsize=(10,6))\n","plt.plot(t,x2,c='r')\n","plt.xlabel('time (s)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 2sin(2/3 $\\pi$ t)')\n","plt.grid()\n","plt.show()\n","\n","# 4 cos (1/3 pi t)\n","x3=4*np.cos((1/3)*np.pi*t)\n","plt.figure(figsize=(10,6))\n","plt.plot(t,x3,c='r')\n","plt.xlabel('time (s)')\n","plt.ylabel('signal value')\n","plt.title(f'Cosinusoidal signal : 4cos($\\pi$ /3 t)')\n","plt.grid()\n","plt.show()\n","\n","# 3 cos (2 pi t + pi/3)\n","x4=3*np.cos((2*np.pi*t) + (np.pi/3))\n","plt.figure(figsize=(10,6))\n","plt.plot(t,x4,c='r')\n","plt.xlabel('time (s)')\n","plt.ylabel('signal value')\n","plt.title(f'Cosinusoidal signal : 3cos(2$\\pi$t + $\\pi$/3)')\n","plt.grid()\n","plt.show()\n","\n","# x1 + x2 \n","x5=x1+x2\n","plt.figure(figsize=(10,6))\n","plt.plot(t,x5,c='r')\n","plt.xlabel('time (s)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 5sin(2 $\\pi$ t) + 2sin(2/3 $\\pi$ t)')\n","plt.grid()\n","plt.show()\n","\n","# x3 + x4\n","x6=x3+x4\n","plt.figure(figsize=(10,6))\n","plt.plot(t,x6,c='r')\n","plt.xlabel('time (s)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 4cos($\\pi$ /3 t) + 3cos(2$\\pi$t + $\\pi$/3)')\n","plt.grid()\n","plt.show()\n","\n","# x1 + x3\n","x7=x1+x3\n","plt.figure(figsize=(10,6))\n","plt.plot(t,x7,c='r')\n","plt.xlabel('time (s)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 5sin(2 $\\pi$ t) + 4cos($\\pi$ /3 t)')\n","plt.grid()\n","plt.show()\n","\n","# x1(-t)\n","x8=5*np.sin(-2*np.pi*t)\n","plt.figure(figsize=(10,6))\n","plt.plot(t,x8,c='r')\n","plt.xlabel('time (s)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 5sin(- 2$\\pi$ t)')\n","plt.grid()\n","plt.show()\n","\n","# x3(-t)\n","x9=4*np.cos(-(1/3)*np.pi*t)\n","plt.figure(figsize=(10,6))\n","plt.plot(t,x9,c='r')\n","plt.xlabel('time (s)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 4cos(-$\\pi$ /3 t)')\n","plt.grid()\n","plt.show()"],"execution_count":null,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAAmwAAAGTCAYAAACVuU50AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOy9eZxcR3nv/a3RjLYZaUYarbYkS5Ys7xtysA0YLwQHCBBMAmFJbghvcEJyk5ebkO3mkhC2BHIhyUvgJiHc67CHhMXBbNcYy8YLNpZtvMmydlnSSBrNaDbNSKOZqfePp8vTanXPnNNdW/fU9/PpT8+cPn26zjl1qp76PU89pbTWJBKJRCKRSCTipSl0ARKJRCKRSCQSU5MMtkQikUgkEonISQZbIpFIJBKJROQkgy2RSCQSiUQicpLBlkgkEolEIhE5yWBLJBKJRCKRiJxksCUSiUQikUhETjLYEolEIpFIJCInGWwJKyilblBKaaXUO0KXpRryll8ptUcptdnX7/k+nk1iLlsptsqqlLpEKTWmlHqlpaJ5QSn1C0qpUaXUeaHL4gObdbNe77kNZlq9CUUy2BJTopQ6Vyn1z0qpZ5VSw0qpY0qprUqpf1VK3Ri6fImZTaGzLfcaCly0TwD3a63vNBuUUhuVUh9QSv1YKdWtlBpUSj2ulPozpVSrr4Ippa5QSr1fKbW29DOt9e3Ak8BHfZUnK7Fcvymwds+VUv+glDqghPOVUl8stLv9hXb4WaXUJ5RSK72cGfVbbxqJ5tAFSMSLUuoq4B7gFPA54GlgHnAecDMwCNxd2P3ewmen/JfUCqn89cuPgH8u2Vbtdaj5OiqlrgVeCbyh5KN3Ar8D/CfwxcJv3Ah8CHizUuoarfVItb+bgyuAvwA2A3vKfP73wL8qpS7WWj/toTxZcXH9rDw3Nu+5UkoVjnO71lorpVYBK4FvAPuBMeBS4FbgLUqpK7TWR2opf0bqtd40Dlrr9Eqvsi/gW4AGLq/w+YrQZQx4bfYAm2v4/g2Fa/uO0Ofi4Vo5O9fCcW8LfY4lZfo80A20lGy/Cmgvs/+HCufxXz2V7x2F37uhwudtwHHgkxbuednfqPKYUVw/1/cceHHhs5un+c03Ffb7o0apN+k19Su5RBNTcR7Qo7X+abkPtdaHzN/lYkGUUu8obLtJKfVepdROpdRJpdRzSqlfKz5WQWrX5eT2cvFiSqm5he9sK7gI+pRSTyql/qZkvyVKqU8ppZ4vxFg8X/i/s2S/srEsSqnVSqmvFlwRA0qpbyml1pe7HkqpBUqpDymlHlJKHS2c6w6l1F8rpeaX+8505DjPSuVfq5T6WqHsA0qp25VS60qvaZ575epcC8ddr5S6oIrvzVZKtU2zz7TX0kI9bkbUkR9orU9TbbTWj2it+8sU7d8K75dkPNc/VZVdweY1qJSaVea77wf+T+Hfu4v2v62onEOIavlLWcrji7zXz8f9Luxv+57fAvQx6b2oxN7C+6Jp9qupzhS+/37qtN40EsklmpiKncD5Sqk3aq2/XsNxPoK4Hf4JOAm8G7hNKbVDa31/lcf8FOJu+BwSO9KMGJg3mR2UUu3AA8AG4H8DjwJXFn7/JqXUi7XWg5V+QCnVgbhMVgP/CDwDXI80pPPKfOVs4DeArwFfQlwX1wN/VPjdn3NxnlOUvxNpQJcXyr8VuK5Q/krxM1nvlYtzBbgLOAdQOb7zS8CvALOUUt1IZ/g/ynSUVV/LAlmuzSZEaXg4R/lXFd4PZ9z/HuA/kPP+U8RNBnLdPgR8GfiC1nq8zHe/jrjXbi2cz9bC9p0l+z0I/JxS6gKt9bMZyxWKStfPx/0G+/f8FuA7pcafUmpu4XfmAhcxGS/2nQy/V0udgcasN/VHaIkvveJ9AdcCo4gM/hxi9LwbuLDMvjdQ4vZiUkJ/DJhdtP1spAH8ctG29xf2XVvm2HsocT8CvUijNlX5P1w45m+XbP+dwvYPTlP+jxS2/XrJ9/+usL20TLMpcYkUtn+wsP+Lp/q9Cucw7XlOUf6PFba9vWTfj5WWP8+9cnWuRfda56ijDwHvRRSO/wJ8pfBbTwBtVdSZWuvxrxf2fX3G8s9CBhWngPNznPfnECN5btG2txV++3XTfNeczw1T7PMrhX1+MWuZKlzHir9h4zXV9fNxv23fc+DCwrF+qcz3/mvhM/PaTcmz7arO+Ko36TX1K7lEExXRWj+IjB7/FWhHGqZPA88ope5VSp2b8VCf1lqPFh33AGIA1jIFvB+4WCk1lRvpFiSupDQg/Z8K22+Z5jfegIyAP1eyvexMKK31qC6MipVSzUqpRUqpJcAPCrtcPc3vlSPLeVbidUAXMnou5n9O8Z1M98rRuaK1Xqu1zqyuaa2v1lr/T631N7XWn9NavwX4MyQo+/8t2b2WawnZrs3SwntvxmP+HTIw+nOt9bYcZbkceE5rfaJo25WF97IhDDnpKbwvm25HpVSTktCDF15IewHQXvqZUspmvzPV9fNxv8HuPX8DcAL4XpnvfROZ2HAL8AHEbbok42+C+zoDOepNIj/JYEtMidb6Sa31O7TWy4G1wK8hbrbrgNuVUrMzHGZXmW09QGeZ7Vl5DxK78WQhxuRflOQCKq7T64BtWuux4i8W/n8OmM7gPBfYrkvcBFrrLqSxPAOl1G8rpZ5ARuK9iGG4ufDxtLEmZchynpVYB+zQWk+UlP9IpfKT4145OFdb/A2iDP98yfZariVkuza68D6t0amU+iCimvyz1vqvMpaBwjN3IWd2si8C+rTW+7Iea6qfKbzrKfcS1iD3vvj1zcJn3yzz2RoL5cty/Xzcb7B7z29BYuHOSEujtd6vtf5BYXDyF0hb/DGl1J9m+F0fdQby1ZtETpLBlsiM1nqv1vpzSKzS/UjA7IszfLVSXERxAzfVA35GrKWWvD9rgV8Ffgi8AukcNmc0Iq2jlPp9JG6mC/hNxGB4JeJKgCqetwDnmeVeOTlXWxSUv4OUqA8WrmWWa9NdeF881YEKQdz/Awnk/q0Mv13MRUALZ3a+V5bZVi2m/N1T7iUcQu598eu9hc/eW+azQ2WOkYss18/T/QZL91xJ+o6rmDR2p0Rr/QTitv3tDLv7qDOQr94kcpImHSRyo7XWSqmHgJcicR02MO6ExRTl+CkE2q4EdpQpRy/wBeALSikF/DUS9P4LwL8jI+TzlVLNxSpbYVbXRsqPoIvZBZynlJpVrLIpSVbZUWb/Xy2U/dXFqpZS6lXT/M6UZDjPSuwBNiilmkrKs6xC+fPg5FxtUKgzq4Afl35Ww7XMylOF94ru/kLH/RdIqMFvaK3zqhGXF94fLzrmOkRNytL5Zvm9DYX3p6bcCyi42H5QvE0pZZ63LVrrzRl+LzN5rp+H+w327vkbkHvznzl+ex7TGIoFaq0zYLneJPKTFLZERZRSrywYN6Xb5yGJc0FmTtrgucL7z5Zs/2+U1FOl1KzCDM4XKDSAjxX+NQ3YN5H4kt8oOea7Ctu/MU2ZbkdmWP6Xku1/XGH/caRRe2EEXrh+fzLN75Qlx3lW4luIsfvWku3vLbNvXqyea9ExMqf1UCWpWYr4IDIY/VbRvrVey6w8BgwA15T7UCn150jH/XngnaXu6oyYzre4o72kzLZKGHfbVOd8DXA4Z1ydc7JeP4/3G+zd81uA+7TWp6lTSqkVFY57I3LfzxiYlKHWOgN1XG8ahaSwJabib4FOpdR/IsuODCMpLt6GKFSf01o/aem3fgBsAz5Q6Ih3Ay9DGoCjJfsuALoK5XoMOILEa70bOMZkR/0xJLnkp5RSLyrseyXw/xR+62PTlOljyLl+Rim1CVnp4QYkYLi0TCDT5v8K+K5S6uvAwsL3q82invU8K/HRwu//H6XUi4FnkdjDlxTKX0ucie1zNeRJ6/E/lFLXIGlK9iEpD16DZJJ/CPhk0b61XstMaK3HC9fjDUqpOVrrk+YzpdTvAH9ZKOsPgLeJ6PMCh3XRskZTcDnQXYilNJhOvU0pNV9rPTzF938CTAB/ppRahCQ73a21fqhQzjaknvzvDGXxRs7r5+V+g517rpRaDLwcUf9K+V8FVf+HSO61uchksLcgq838QYZi1lpnoE7rTUPhc0pqetXXC1HRPoWMwI4iU8J7kA7ynUBT0b43UHl6/A1ljr0Z2FOybSMyO2oYCYr/KuJy3cPpKShmI8bCw4XynCzs87+B80qOuRSZ2bofMSb2F85pScl+Z5S/sH0NYpwMFF7fAtaXlqmw7ywkx9GOQpn2Ikafmar//ul+r+R4ec6zUvnXITmUBgvlv72w7ShFKQ+quFdWz7Vo3z1kTOuBuLW+DxxAZtYdR1w+/52i1AV5rmW5slZxbUym+l8s2X4bp6dlKH1tznjeR4E7S7ZdjNTtEeB3Mhzj1xB13KTtua3kMw1cUkPbYa7jGdeshmNmvn4+77eNe46o+JryaY3eDNwBPF+o5yPI4OuTwBpfdcZHvUmvqV+qcKETicQMoaBgHgX+SWudN+A9kQGl1PeAVq31daHLkhel1KOIUfLG0GWpJ2q550qpbwDrtNZX2C+ZH1K9cU+KYUskGphCvGEpJs4si/stUR1/AFyrlLp52j0jQin1BiS2qVKcZqIytdzzBxFluC5J9cYPSWFLJBoYpdTdiLvyUWSA9grgtUim9ZfrykvRJBKJRCIiksGWSDQwSqk/QOJj1iIpAPYjMW1/qadYRzWRSCQScZEMtkQikUgkEonISTFsiUQikUgkEpHT0HnYlixZoteuXRu6GHXF8ePHaW1tDV2MRBHpnsRHuidxku5LfKR7ko8tW7Yc1VovLfdZQxtsa9eu5ZFHHgldjLpi8+bN3HDDDaGLkSgi3ZP4SPckTtJ9iY90T/KhlNpb6bPkEk0kEolEIpGInGSwJRKJRCKRSEROMtgSiUQikUgkIicZbIlEIpFIJBKRkwy2RCKRSCQSichJBlsikUgkEolE5CSDLZFIJBKJRCJyksGWSCQSiUQiETl1YbAppZqVUn+ilNqulDqplNqvlPrb0OVKJBKJRCKR8EG9rHRwG3AT8JfAs8Bq4KKQBUokEolEIpHwRfQGm1LqVcAvA5drrZ8JXZ5EIpFIJBIJ39SDS/SdwA8bxlg7fhxGR0OXIlGOHTvgxInQpaiN3btB69ClSJRjYAD27Aldito4cAAOHw5dikQ5tIa+vvp+/icmpI4lylIPBtvVwHNKqX9QSg0opYaVUl9XSp0VumC5+c3fhLY2uOmm+n6oGpE774SNG+Gyy+DZZ0OXpjo++1k491y4/HLo6gpdmkQxjz4KF18MF14IjzwSujTVcf/9cNFFUr+2bQtdmkQxR47AeefBokXwqU+FLk11bN0Ka9fCqlVwxx2hSxMlSkduOCilTgKjwE+BjwALgI8Bh4BrdMkJKKVuBW4FWL58+aavfOUrfgtcgdYdO/iZd72LwY0bWfDcczz9vvfRfdNNoYt1BkNDQ7S1tYUuhldmjYxw9dvextiCBczu7aXn6qvZ+r73hS7WC2S5J7OPHuXFv/ZrjJx9Nm07d7LvLW9h97ve5amEM4+8z8kV73kP8/bvRzc3o5XioS98AWbNclhCy0xMcPXb346eNYvm48cZWbWKxz75ydClOoOZ2H4BrPvMZ1jz5S8ztH49cw8f5qEvfIGxhQtDFwvIfk8u/PCH6XzgAUY7O2kaHeXh225jYu5cDyWMixtvvHGL1vqqsh9qraN+IcbaENBZtO3lgAZeMdV3N23apKPhbW/TeuFCrY8e1frSS7W+8srQJSrL3XffHboI/vna17QGre+6S+vf/V2tZ8+W+xQJme7Jxz8u5/Dss1q/4Q1aL1mi9ciI87LNVHI9J7t2yb350Ie0/uIX5e/77nNWNifcd5+U+/Of1/ojH5G/9+4NXaozmJHt18CA1gsWaP3mN2v905/KvfnoR0OX6gUy3ZMDB7Rubtb6Pe/R+v/+XzmHf/s352WLEeARXcGmqQeX6DHgSa11T9G2+xBDrj5mio6Pw7e/DW9+M3R2wlveAo89Bt3doUuWAPjP/xRXwstfDr/xGxJjGIkym5k77oBLLoHzz4ff+i04elTcvInwfOELoBT86q/Ca18Ls2fD178eulT5+NKXYN48+IVfgDe9Sbb9x3+ELVNCuPdeGByEW2+VkI5LL4Xvfz90qfLxjW/A2Bi8+91w443Q0VF/5+CBejDYtgKqzHYFTHguS3U8/jj090vsGsDP/qy8//CH4cqUEMbHxdj5+Z+H5mZp8NasgXvuCV2y7PT3w49+JOcAYni2tMB994UtV0K46y7YtEnq1cKF8vx/4xuhS5WPO+6AV78aFiyADRvgiivg9ttDlyoB0la1tMC118r/r3ylPPvDw2HLlYd774XVqyUOr7lZzuF730ux3iXUg8F2B3CpUmpJ0baXAy1IXFv83H23vN9wg7xv2gTt7fCDHwQrUqLA009DTw/83M9NbnvJSyTAul4ai3vukdHpa14j/8+bJ3Xs/vvDlishA4JHHoFrrpncdvPNMpu3XiaGHDoE+/bBy142ue3lL5fzGh8PV66EcM898OIXw/z58v/NN4uX4N57w5YrK1pLWV/+clGiAV71Kjh4UNrnxAvUg8H2z0AP8C2l1OuUUm8DPg/8QGtdHxLC5s1wwQWwcqX8P2sWXH+9qCKJsDz2mLxv2jS57aUvlcbi+efDlCkvW7ZAUxNcVRSn+tKXSod68mS4ciWkwzl+HK6+enKbqWtbtoQpU14efljeX/ziyW1XXSUKTr3OqG4UBgelHl1//eS2666T9qBeBmw7dsig4OUvn9z20pfKe73OqHZE9Aab1noAWeXgGPAV4FPAXcCbQ5YrF1u2nD7CBpkav307jIyEKVNCeOwxGZlu3Di57SUvkfcHHghTprw89pgMCMwIG6TBO3lS0kkkwvHjH8t78fN/xRXSodZLZ/TQQ+KmetGLJreZwUG9nEOj8sQTonIadyhIO3D++fJZPfDgg/JerOBu2CCegno5B09Eb7ABaK13aK1fo7Vu1Vov0lq/Q2t9LHS5MnH0qIweLr309O2XXipJArduDVOuhPDYYxK3Vpxi4bLLYM6c+lFAHn0Urrzy9G2mc33ySf/lSUzyk5/A4sWwfv3ktra2+srH9tBD8kzMmze5beNGOY96OYdGxbgML7749O2XXw4/rY+IIZ56SibiFA+aZ82SSVT1cg6eqAuDra4xHeYll5y+3RhwqUMNx8SEGGzFygGImnD++fVhTHd3S2bwUoNt9WoZaT/TGAuE1C1bt8qzr0rmTV11Vf0MCJ588sxnZNYsqXNJwQ3L009Dayucc87p2y+/HPbulZUPYuepp2QA01yyUqYxOuslltgDyWBzzVNPyXupwrZhg6g4yWALx969EgNy+eVnfnbRRfVh7JgYvFKDralJ3KT1YHQ2Ms8+K8Z/KZdeKsp7b6//MuWht1ey6F9wwZmfXXghPPec/zIlJnn6aWmrmkq6ctOm1YNL8emnz1QIQc6hp6d+Jud4IBlsrnnySXGJrFhx+vbmZnnQ6uGBalR27JD3ch3qhRfKuo+xT403Qd/lGrwLL0wGW0h6euRVztgxdS52g8csQVXuHDZulJCP2I3ORqaSsXPZZfIee/8yMCAzkMudgxE5jOiRSAabc556qrxLBMRgi73BbmS2b5f3884787OLLhIpPvY1E7dvl9xYy5ad+dkFF8hM16Eh/+VKTNadcgMCE68Te/0yA4JyBpt5bsxzlPBLb6+otOWMnbPOknYh9ntjvBjlzmHDBnnfudNfeSInGWyu2b69fIMNslD3889LzpyEf3bskEBqk26lmAsvlPfY3aLbt0vHWW5AYM4hpV4Ig7nu5Z7/detEZY99wPbssxIQvnbtmZ8ZozP2c2hUzHUvV7+UkokuxosQK8YDcFGZRYtWroS5c5PBVkQy2FwyMCAug+IZYsWsXy+B7/v2+S1XQtixQ0Zx5Yyd886TwOrYjR1jsJXDNOSxj7IblW3bJAN9OWOnpUUGbLEbO88+K4ZZuYXqzz1XYqdS/QrD7t3yfu655T/fsCF+g23XLqlD5Z6RpiY5t2SwvUAy2Fyya5e8V3qgzHazX8Iv27dPyu6lzJ4Nq1ZNNooxMjoqcXaVDDbTCO7d66tEiWK2b5dnvHT2m2Hjxvhdotu2VfYQGOUtdqOzUTFtU+kMUcOGDbLP2Ji/MuVl1y5Zsq2lpfzn69cng62IZLC5xBhilRS2ZLCFY3xcrnslYwfEbRWzwbZ7tyi0lc6hrQ06O8WoS/hn377yyoFh40Yx6iYiXRJ5YkLqTqUBJ0jdSwpbGPbsgaVL5Tkvx4YNcOpU3Cu27N49df0691xpp1NqDyAZbG4xI4NKFXLlSkntkQw2/+zfLwpVJWMa4jfYjLujkkoIYjAkgy0Me/dWVj9A6teJE5I2I0YOH5bVMqYyOs85J26DoJHZvVvqUCVMuxCzW3TXrqkNtvXrZWm3WJ8RzySDzSW7dklKj46O8p83NckDlww2/5i4wak61LVrJQfQiRNeipQbY4hN1eAlgy0Mx49L/OpU9ct8FqvL2pRrqnNYs0aSN6cl9vwzncFmBqOxGmzHj8ugYDqDDZJbtEAy2Fyyc+fUlREmJd+EX/bvl/fVqyvvYxrDWDvUffskjqhcSg/D2rVS/uRS8EuWAUHsBpsx9KdS2NaskfeksvllfHx6l/tZZ0n7EOuAzXgvpjI6zfmliXlAMtjcMt0ICMRgSI2df8w1z2KwxeoW3bdPyl+a5byYc86J2+3WqDSSwTadwgapDfPNgQMSnzZV/9LUJBOnYr03003KAyk/TA6wZzjJYHOF1lLJTINWiVWrxHUSq9utUXn+eWhvl+SSlTCju5gNtqk6U5g8h1hH2Y2KMcKmev7b2+UVs8G2ZEnloHaYHPAkBcQvxgibrn9ZvTree2Pq/VQq4cKF0kYngw1IBps7enrECDMjhEqcfba8HzzovkyJSZ5/fmp1DcSl0NISr7Gzb9/0DXbsKk6jsnev5C4766yp9zvnnHjvzZ490w8Izj5b8hjGahQ0KgcOyLvpPyoRswdn/35x2S5dOvV+q1Ylg61AMthckSVGCpLkG4osBltTkzSIpnGMiVOnxMifzmAzDXpaQNkv+/bJs10pB5uh3g22OXNkneRYjYJGJavBtmaN7Ds+7r5Medm/X56RconLi0kG2wskg80VpgHLqrDFaBQ0Ms8/P/29Abk/MTYWBw9KnqzpDLbFi2UUmxRcvxw4MH1nCvEabCakY7pBDUgdTAqbXw4eFGN58eKp91u9Woy1Q4f8lCsPxmCbjmSwvUAy2FyRFLZ4OXFCUhFk6YxWrYrTmDYd5HQGm1LilksGm1+6uqZ3h4Lcv4EBecXEwICkXchidKYO1T9mQDCdOhVzjGHWQfOqVfI8xbxigyeSweaK558Xd8jy5VPvt3ChBPXGaBQ0KsZ4yWKwGZdobGkxTAOc5RzOOivVL98cPCiJsafDGHWxGdSmPFkMtpUrk8vdN1kVXNM+xOaynpiQc8g6aJ6YSHWMZLC54/nnpTEut2hyKWmE6hfTGWVRQFatkqSgx465LVNe8nSoZ58dn0HQyBw/LgpVlvoVq8GWNUYKxGDr60vJc32S1WCLNe3K0aOy0kxWhQ1SH0ky2NyRNf4D4o2TalRMPMeKFdPvG2uM4cGDosxOlZbEkFyifjFKQBaFLdZZ4nkNNogzTqoR0VrqS5Z7094O8+fH136Z/i5rHDHEdw4BSAabK7IGVEJSQHyTx2CLdXR38GA2BQdkv8FBeSXcYwy2PApbbJ1RHoPNPEfJZeUHo2ZmqV9KxemyzjopDybr1+HD7spTJySDzRVdXdlG2CBxbocPxxcn1ah0dYmresmS6fc1DUpsHWrWoHaY3C+2RrtRMYOvLM9/W5vEscY2YDtwABYtgnnzpt/XnGeqX37IY0yDPP+x3Zs857BkiaRYSgpuMticMDgocSx5DLbRUejvd1uuhHDokFzzqZZ0MqxcKaPUelfYzHcS7smjsJn9Yrs3WWOkIBlsvsnjIQC5P7HVr64uaX+nWgfZMGuW7JcMtmSwOSFPDAtMPnipQvrh0KHsjV1Li4zwYro3JoYla/0y+8XWaDcqXV2SI2vRomz7x2iwZY2RAslUP2tWMth8YVyD02UgMMToEj10SIywLJPyYNILNcNJBpsL8hps5sFLFdIPXV3ZDTaIr7Ho788ewwKT9SstAO8HY0xPlyPLEONqGnkU3KYmqWOxGQWNSjUG29BQXDGseQbNIPvGNGgORDLYXJBXsk4Km18OHcpuTEN8BluetCQgSk9zczLYfHH4cPbOFCYVtokJd2XKg9ZSV/KcQ+pQ/XH4sKxe0tGRbf8YY1jzxHhDql8FksHmgqSwxcv4uFznPKO7Zcviujd5DTal4juHRqYaY+fUKZn9FwPHjkl58pxDjG63RuXwYXmesyq4McYYVquwzfCJeclgc0FXl4yAplvnzdDZKb781KG65+hRUTLq2SWad0AA8Z1DI3PkSLZgakNsLmtTjrznEEv5G528Cm5sBtvEhJxDXoXt1Kn4Eph7JhlsLjAxUllHQGa2TJJ83ZPXXQ3SOB4/Lq8YMB1jnkZ72bLUofpgYkLWqc1j7Jh9Y7k/eWOkQM6hu3vGKyBeqMblDvFMbOnpkXVB87bBMOMHnclgc0Fe/zwkBcQX3d3yvnRp9u/EqIDMmZNtlQNDql9+6OuTzqieDbZqFLalS0UBSamJ3JPXYOvoEI9PLIJANR6CFOcNJIPNDXlnIYLsnzpU9xiDrRqXVSz3x7jcsiq4MKmwJQXELdUYO2bfWOpXtQobxGN0NioTE/ljJE0Mq2n7QlONlyO2ZyQQyWBzQd4HCpIC4otaFLZY7k/eGCmQczhxIq6p/Y2IqSN57k9np3SqsRg7R45ImEZnZ/bvmPONxShoVI4dEwU3b/8SU0hENQqbWZWmp8d+eeqIZLDZZnxcKlXeDrWzc8ZXRi+YzijrhBCIb3RXjcGWFBA/VKOwNTdLhxTLvTl8WMqTNakpTA6AYjmHRqWa+FWQ+xOLMV3NOZj2+uhR++WpI5LBZpveXpGt8yg4IA3k8LAkRLuQMVMAACAASURBVE24o7tbjOMsy1IZGsFgi00lbFSqMdjM/rEYO7UMCGIxChoVU0fy9i+x1a+5c6G1Nft3WlokFm+GixrJYLNNNTFSkCRfX3R352/s5syRxiIGY8ckNa2mwYY4zqGROXJE3Jt53IkQV4eaN6gdksLmC6Mw5X3+ly6N596YNjhPDC7IM5UUtoRVqomRgskGfoZXSOfkTblgiCXP1NCQxKJVOyBI9cstR47Is9zcnO97MSU2rkZhmz0b2tvjeEYaGfP8muc5K8uWifcmhtRE1QyaQc55hrdfdWewKaXOVkoNKaW0UqotdHnOoFrJOnWofqi2sYhlUki1LjczIEgKrluqUT+h/hU2iGsmYqNSi8EGcdSxZLBVTd0ZbMDfAEOhC1GRal2iqUP1Q7UdaiwGW7X1a/58iRtJ9cstR49Wb7D198PJk/bLlIfhYVFxq1GhYzI6G5Xubsm/OGdOvu+ZOhmDQV2LwTbD26+6MtiUUi8HXgX8z9BlqYh5IPLGsCSFzT1maZNqO9QYDLZqFTZIM5F90Nub/9mHSUUrdIda7SxEiCtOqlE5ejS/ugbxKWzVtF9JYasfg00pNQv4JPABIN671t0NixbJrJY8mGnLqUN1h7m21caw9fWFV0CSwRY3PT35UsYYYulQa6lfS5aIwZpwRy0KLoQfEAwPSxxdNefQ2SnfHx62X646oW4MNuC3gDnAp0IXZEqqCdgFMfDa22f8CMIpprGqZoQay/JU1cZIQjLYXKO1XN9qFLZYZvFWs8qBYfFiOf+0moY7qlXYYpnFW+2kPEiZFICcU5nCoJTqBD4I/IrW+pSaYjqwUupW4FaA5cuXs3nzZi9lNFy+fTtq9mwer+J3r25tZeCZZ9jquczFDA0Neb9mvmh//HGuBB7fv5++nOfYeeQIlwJbvvMdBs8/30XxKlJ8TzY8+igrWlu578c/zn2ciyYmaN2/n5806P31SbnnZNbICNeNjrKzr4/nc17juQcOcA2w9Z57ODxvnrVy5mXFvfdyAfDgzp2czDmjcHVfH+tPnuTe73+fiblz3RRwGhq5/QK4Zv9++jo7ebaKc7xu7lwObtnCTs/Xp/ieLNi2jU3Ak4cO0ZOzHEsOHeIS4JHvf5+hDRtsF7M+0FpH/wL+EfhO0f/vADTQNtX3Nm3apL1z8cVa33JLdd998Yu1vvlmu+XJyd133x30953y9a9rDVo/9lj+7z74oHz329+2X65pOO2evPWtWq9fX92BfvM3tV661EqZZjpln5O9e6WOfOYz+Q84MCDf/djHai5bTXz4w1KO4eH83/3MZ+S7+/bZL1dGGrr90lrr+fO1/v3fr+67a9dq/au/arc8GTjtnnznO1JHHngg/4HuvVe+e+ed1soWI8AjuoJNE71LVCl1MfBO4ANKqQ6lVAcwv/Bxu1Iq3HC0HNW6RCEFVbrGSOnVxBjFslJALfWrs1NijJLLyg2mflXjEm1rg3nzwrusjhyRWYjVqHzmvFMcmxtM/FY17kSIY1JILS7RlKs0foMNOA9oAR4EjhVeJo5tPzIRIQ4mJqTRrvaBStOW3WI6knqOMarVYBsfl/QRCfvUYrApFcdM5GpzsEGaOOUac12riWGDOPLkVZuWCFImBeojhu0+4MaSba8C/hh4DbDLe4kqUe06ooa09IZbenslI/v8+dPvW0prq7xCd6hHjsA111T33eJcfx0d9sqUEGoZEEAcecwOH65tQABJYXNFtSmjDEuXwk9/aq881XDkiLTBCxbk/24aEMRvsGmtjwKbi7cppdYW/vyR1jqeJLq1TIkHGUEcPy5LDwUK2m1oTMqFvGvYGZYuDWtQT0zI79faofb0wPr19sqVEGpxuYPc14MH7ZWnGo4cgY0bq/tu6lDdYgzhWhU2ratvA2ul2nVEQZZ7W7RoRosa9eASrR9q8c9DWu3ANb291XemED4txrFj4tK0YbAl7FOLSxTiUNiqXQkEJp+tpLC5wVzXWgYEJ0/C4KC9MuWl2lUODDPcC1WXBpvW+jattYpKXYPa/POQfPSuqTYLvSH0pJBaFdxksLmlp0cmD8yeXd33jcEWalKI1vKMVKvgzJ0r4QapfrnBGGyLFlX3/RhysdVqsIVugwNTlwZbtCSFLW5qVdhCNxbJYIubWgcEy5bJ8ml9ffbKlIf+flFwazmHxYuTwuaKY8fkvVqDLYbVDmwYbDO4/UoGm01Mh1ptg5cUNrdUu2yQIbRLtFaDraNDYkdmcIPnlFrrV+hM7rW63CD8M9LI9PZKupVqEyvHsPxZLS53CD9oDkwy2GzS3S2NXd51RA2hG+xGx4ZLdGAARkftlSkPpqGt1mU1a5aMzlP9ckO1y1IZQiugtcbgQVLYXNLbW726BpOGUiiF7cQJGBqqfsAJKYYtdAEailrlXjOyncEV0hkjI/KyoYCE6pCSAhI39W6w1ZqWxHw31S83HDtW27MfOoat1pAhkDZ4ZGTGLgCfDDab1Cr3zp4NCxemBs8FJv6jVmMHwhnUPT2Sv6jaoHZIHapLalVwQxtstaYlMd9NCpsbao3BnTtX2o9QCpstgw1mbBuWDDabdHfXJvfCjJd8nWGjMwodY1hrgw3JYHPFxETtCkjo+mXDJWrqV1r+zD71/vzbNNhmaB+ZDDabHD1afXyRYYYHVTrDlrsHwiogtZQfksHmir4+MdpquT/t7dDUFN4lWkuc1OLFMDYmsUoJu9Qawwb1b7CF9nIEJhlsttDaToc6w6ctO8NG/Ffo0V29j7AbGRsDgqYmub8hBwTt7ZJRvlpCD2oamVoVXKh/gy10GxyYZLDZYnBQRpY2FJAZWhmdYsMlGrozsqWwHT8uGc8T9rBRvyCswm6jfqXVDtxw4oQE2tezwdbTI4OS9vbqj2EUxlC5CgOTDDZb2FBwzPdNgHzCHjYUkLlzZQH4kAqbDYMNkgJiGxvxX+b7IV2iNgwCSPXLNrUmzTXEUL+aajA7OjrkfYb2kclgs4WtBnvRosmM4wl79PbK7Mr582s7TigFxEZQO6QO1RWNYLAlhS1ebMxyB7m/fX1h+pdaE0uDDJrnzk0GW6JGbDXYZgTR31/bcRKnY0Z3StV2nFAdan9/7UHtkAw2V9hQcM33QyogqX7FiS0PTmenxFuHMHhsKLggokYy2BI1YeuBmuE+emfYGN1BOIXNVoxU6lDd0NMjg4Fa4nNgsn6FSIth4xkx7VdS2Oxi02CDMM+/jQEBSB2bof1jMthsYdMlCjN2BOEMW41FqFm8NhUcSAabbXp65NmdNau243R2yoQQ35ncx8akE6y1fs2eLclZU/2yi80YNghzf2wNmpPClqgZWwrIDA+qdIYtOT7ULF7b9WuGjlCdYWtAEKpDNfXBxjOSVjuwT1LYJunomLH9YzLYbNHbK8tK1ZLDCJJL1BW2DLYlSySe7NSp2o+VB1sKW2ur1NFUv+xic0AA/jtUWx4Cc4yksNmlt1dmVy5cWNtxQtWv0VFJfZUUtppIBpstbMywgvpyif7938Nf/iV0dYUuyfTYuj+hFoC3pbApJSPUejDY7r4b3v522Ls3dEmmp69vUr2shVCJQW0NCKB+FLbt2+Hd74Zvfzt0Sabn2DGpX7WkxIBwBputWa4wow22GuWgxAvYGmHXi0v0e9+D97xH/v7BD+Dee2ufgemKkRF52VRAjh6F5ctrP15WbCwbZKiHBu+xx+C1r5VYrjvvhG3b7Jy7K/r6YN262o8TWmGz9Yzs21f7cVwyMABXXy3Pwec/D1u2wPnnhy5VZWz1LwsWiMJezwOCRYvk/k1M1G7A1hkz62xdYkvBqQeXldbwe78HF1wAH/843Hcf3HNP6FJVxuboziggITrUjo7ag9qhPhS2T3xCAthvv12WtPniF0OXaGr6+2ufIQrhDTZbHWrsA4LbbpMyfu1r0NIC739/6BJNjS2DTakwLmubA4JFi6QPmoGpr5LBZgtbBptS8Td4Tz4p7oT3vldcCkuXwqc/HbpUlbGtHkCYEaqN+gXx16/hYfjmN+GXfgle/3q48kr47GdDl2pq+vrsGGymjvruUG0FtcPkgCBEapIsaA2f+hRcey288Y3wpjfBHXfI8k+xYmPhd0MIg822wgZxt2GOSAabLWyNgCD+WTB33CHvr3kNzJsHr341/PCHIlHHiK0p8RAuxsjWlHiIX2H79rdhaAje+lb5/x3vgMcfh+eeC1qsipw8KS8bMWwtLWL4hahfta7zaOjokEk5IyO1H8sFu3dLXfqVX5H/b7lF6ttdd4Ut11TYWOXEUO8KW72EDTkgGWw2GB+XymNTAYm9Q920CVaulP9f8Qp5IJ98Mmy5KmGkcxsGWyiXlU2FLXaD7e67ZTbc9dfL/694hbw/8EC4Mk2FqV82jB0Ip4DUus6jIfbUMSZ8w9Svm26S2C4zEI0Rm4JAUtjqlmSw2cDI/zPBZTUyAg89BDffPLntppvkPdYRquk4bHSo8+bJeqT1rLCZ+hWry+rHP4af+ZnJeL0LLxQj4P77w5arEqZ+2VDYIJwCYlPBhXgNtnvvFaX8oovk/zlzZALCww+HLVclbK0jbAhlsM2aJYZxrczg1FfJYLOBzfgPiNsl+uSToiheddXktlWrYP16mXwQI43QodpW2EZH44zZGR6GJ56Aa66Z3NbUBC95ycxR2EKspmErBhfiN9juuQeuu+70We1XXSX1LsZnYmBABle2Y9h8DtjMgMBGJoGksCVqwuYMK4jbJfroo/L+ohedvv3KK6XBixGbCht4N9jU+Licg02FDeJs8B59VAYEV199+vaXvASeeSbOMruoXyEmtcyE+tXbKzFs1157+vaf+RlZnivGNsy2INDZKQO248ftHC8LtidNQZz1yzHJYLOBzYBKiNtl9eijUr5zzjl9+2WXwc6dErwbG/394sZsabFzvM5Or4lBm801nQkKiHFLlRpsmzbJe4xxkkZhq2cFd6YobE8/Le+XXnr6duMxeOQRv+XJgguDDfzWMZsu9/nzJfVVMtgSVWEzoBKkwRsb8zsCysqjj4q6ViptX3aZvD/1lP8yTYetLPSGxYu9NnbNxiCwHWMUY4P39NOSkHjZstO3m3ijZ57xX6bpcDHpYHBQVBBf2Ha5Q5wGm2mfLrnk9O2rV0t6oi1b/JdpOsxzanNAAH4NNpv1qx5SXzkiGWw2cOEShfgavPFxafCuuOLMzy6/XN5jdCnYNtg8KyAtg4OTv2uDWOsXwLPPSkLmUlavhra2OA022zGSvpMzj46KMm5rQGAM1xjr11NPSfnOPvv07UrJ5JZnnw1TrqlwoeCCf4PNVv2CuMOGHJIMNhvYzGEE8fro9+2TfFPlOtRzzpEZQD/9qf9yTUd/v32FrbfXW965loGByd+1QawKiNawdat0nKUoJSpbjAZbf7+Ur63NzvHMffbldrc94JwzR2ZTx1a/QAy2Sy4pH/x+/vmyBFpsuIiRhPp1iUJS2BI1YLJQ21rXLFaDbft2eT/vvDM/Mx1qrA2ercYOpMGbmJDZWx54wSXa6EG7R45ImcoNCEDql4lBiom+PskbZ+v5N/fZl8FmO0YK4sz1p/WkwVaO888Xw8J3/OB01LnCpswEB1vtFySDLVEDNgN2IV4FxGSa37ix/Ofr18vEg9hw4RIFbx3qCy7RRlfYjDuqnMIGYrAdOuR1wkcmXCi4UL8KG8RpsPX0yDWttMi72R7boNOVguvJYLPefkHcqa8ckgw2G7jwz0N8FXL7dmk0Vqwo//mGDeI29RksnQUXkw7AX4M3MGDX5d7SAq2t8dWvrVvlvZLBZjrUHTv8lCcrthZ+N/heT9T2pCmIs0PdtUve168v/3nMBptNBbelRY7nqX41G09EUthqJhlsNrCtsMVqsD33nLhDKyU/XL9eXIV79ngt1pRobb9D9exSaB4YsOtyhzgVkB07YO7cMwPCDeeeK++m442FOldwraclgjiDwo36X8lgW7dOjJkYDTab7Rd4TU3kRGEz9SvG1FcOSQabDWwHVC5cKO+xNXjPPVfZHQqisEFcCsjIiCxEXccuq5aBAbsDAojTYNu9G9aurWyYrl07uV9M2O5Q29okz5QvhW2muESNob9uXfnPm5tlUGBidWPBdgwueE1NZH3SFIjBNj4eZ95PhySDzQY2c8yArLnW3h6XwnbqFOzdO2mUlcOMXGOKY7OdcgH8K2yDg3YbO4jTpbBnz6RRVo62NsnP1ugGm1J+kzP39sLs2eImt0WMBtvOnbBypSRercTatdLOxYTtGEnwWr+cuUQhvjbMMclgq5XRUUlyaVsBia1DPXhQRjRTdajLlkmnGpPC5sJgM41FUtjssmdPZfXDsG5d47tEwW9yZpvrPBpM/YrJZbVr16RbvRLnnBOnwZYUttOJOfm3Q6I32JRSb1JK/adS6oBSakgptUUp9dbQ5XoBF1PiIb4O1TRia9ZU3kcpaRBj6lBtZ6EHcZ20t/tt8Bp9QDAwIM/SVAMCEIMtJoXNRYwk+FXYbMfggrRf4+Nxrdaya1fl+DXD2rWyjmtM5a7z+tU8OCixgbZmuUJS2CLm94Eh4L8BrwfuBr6klPrdoKUyuJhhBfF1qMZgK11DtJQ1a+D5592XJysuFDbwutpB88BA4w8IzESV6RS2c8+VmchjY86LlImhIZlo40Jh8+kSdVG/IJ427ORJ2L9/+vpl2reYVDZXMWzHjolR7ZgW037ZVHBjXq3FIfVgsL1Oa/02rfVXtdY/1Fq/F/gyYsiFx8UMK4jPYNu3T96nUthAlhAy+8aAK4PNV4c6OkrzyIgbBaS/39tqDdNiVLMsCtvYmHS+MeBCwQX/LlEX9Qvi6VAPHBA1dLoBp6l/scx0NwquiwGnObZjWlwMOJPCFida66NlNj8GnOW7LGVxMcMK4lNA9u6VxZHnzZt6vzVr5CGKxaVge1kXgy+FzZXLfdEiabA9rdYwLaaDnM5gMx1uLCquK4PN96QDFx4CiKcNMwb+qlVT72fqXywK2/CwqGAuBgTgpQ1rdhHjba6HB4MzJqI32CpwLfBc6EIA7lyisSWe3Lt3+tEpiMIG8XWo9TrLymX9gng61H37ZDBgFj6vhOlwY1HYXCq4w8Nw4oTd45aitf20RBBf/TLtkWmfKrFihcyYjUVhczkgAC9tWEt/v/36ZVJfJYMtbpRSrwDeAHw8dFkAdy7R9nZpsE+dsnvcatm3L5vBZlymsbhF+/qkAZ471+5xfbmsXLrcIZ5Bwf79kjB3ujiX2Ay2eu9Qh4clvqvRBwTGYJtOYWtqEqMuFoXNpcsd6ldhmzVLJjHEUr880Ry6AHlQSq0FvgTcrrW+rcI+twK3AixfvpzNmzc7LdO6xx9ndXMz9z7yiNWgyrO7uzkPuO8732HM9sM6BUNDQ2deM625bs8eDl5yCTunuZ5zDx3iGuDZO+/k0OzZroqZmY3PPMOS1lYeuOceq8ddOzjI2r4+7rnrLvSsWVaPXUznffdxKfDI7t0MWazLHXv2cAXw+ObN9EUwSr3ymWeYaGvjpxnO8WWtrRz68Y/Z4fjZngrznCx74AEuAh7eto3hkRFrx1/a1cXFwE++9z2OT5eKogbmHDnCtcC27m66LF7Plv5+Xgps/8lPODCdqmWRsu0XcN5DD7GsrY37H3lk2mNc0dYGzzzD4wHrl2Hh00/zIuCJvXvptVieefv3czWw9f77OTxVXjoLvGxggOePH5+278jLtXPn0vvss2yL4D55Q2tdFy9gMbAVeBiYn+U7mzZt0s5517u0XrHC/nFvu01r0HrnTvvHnoK77777zI29vVKWT3xi+gOMjmqtlNZ//ufWy1YVb3mL1uedZ/+4f//3ck26u+0fu5jPflZ+Z/duu8d9/HE57te+Zve41XLOOVq//e3Z9r3oIq3f+EanxZmOF56TT39armNXl90fuOsuOe7mzXaPW8pjj7mpB6OjctwPfMDucaehbPultdavf73Wl16a7SBvfavW69ZZK1NNfPe7ch0feMDucY8eleP+3d/ZPW4pIyPyOx/+sP1jX3xx8HbABcAjuoJNUxcuUaXUfOAOYDbwWq31cOAiTeIi/gPiCqo8eFDez8owz6OlRbKJxxLD5iKpKfhb7cB1DFsMLtGJCalj07mrDKtWNb5L1NfyZ67qV0uLrJwQQ/0CaY+yKn1nny31MYakv67qV0eHeITqtX6BXJMY+kePRG+wKaWagX8HzgNepbU+ErhIp+NihhXEZbAdOCDvWQw2iKtDdW2wuW7wenqYMPEaNjHXJIb6dfSoxGpWWvS9lNjql6sYSXA/IHAVIwlxzXTfvz/7gODssyWuz9cs3alwZbDNmiX3p57rVzLYouTTwGuADwKdSqlril5zApctKWzlOOss6OpyV548uEg6Cf461N5exhYutJt0EmDBAjlmDPXLGF95DLaurjgm5Jgs9Lbvj8cBwWm/Z5NYDLYTJ6C7O5/BBpMD1ZC4SksEfma6u1bYYqhfHqkHg+3mwvvfAw+WvFaGKtQLuEg6CfVtsK1cOfmd0LhIOgn+XKI9PZwyU9ht0tQkU+NjaPBMx5jHJao1HDrkrkxZcTUgmD9flDtfLncXg85Fi+KoX6aeZB0QmP1iaMP6+0UNa221f2wfM91d1q+ksFVGKXWZUurflFI7lVInlVIvKmz/sFLq1a4KqLVeq7VWFV57XP1uZtrashsyeTCdQAwN3sGD0vhOlzTXsHKlPKgnT7otVxbq3SXa2+vGYIN4Gry8CltMCoirAYFSfhSQnh4xDm27dCEehc2o/Sszju9Nex5L/XKh4IK/+gXJYLNEJoOtYJBtAVYAnwNaij4+CcSxrmcItm2DD37Q/nFjU9jyGKVm39AKyMmTMDLiRgFZuFBUKg8K29iCBW6OHUuDd/CgXMvly7Ptv2KFvIeuX+BmYW6DLwXEhYcA4jPYTL2ZjhgNNhf4VNhcudxHR90nl46IrArbXwG3aa2vBz5c8tnjwBVWS5WQWVbz58fRoR44kM9gMyPZ0C4FV6scgBgYPtYTdamwxdKhHjoky541Z0wLaepXDHGSrhRc8FO/XMXgghgaMcwSzauwzZ4t9TEGg82Vyx28KWwTpi+zTUyihieyGmwXAP9W+Lt0rvMAkiMtYZuYFJCs7iqYNO5Cd6guDTbwM0Lt6ZFJBy6IpX4dPpxdXQPpTJVqfIXNl8vKpcI2MBA+PUZXlwywli7N/p1YJk65crmDtF/9/TA25ub4MDngdOHSTQZbRY4AldJtXwxEsg5RgxFDhzoxIR1j1tEpxKOAuFrn0eB6AfiRERgZafwYtrwGW3MzLFsWvn6BWwXEl8vKpcI2MQFDQ26On5WuLqlfeVYkWbYMjkSQQcr1gADcqqC9vW5DOiAOL4EnshpsXwE+oJR6WdE2rZTaCPwx8EXrJUvE0aH29MgILI/BtnSpNI6hXaIup8SDewWkcOxTrhq8WFyieQ02kHik0Arb2BgcP+52QFDvChuEr2NdXfnaL5D6ePiwm/LkwXUMG7gdFLia5Q5JYZuC9wGPAPcwqabdDjwFPAF8xH7RElHkmTGNVp4OtalJOtTQCki9u0QLnbWztWTNgCCky0prUTLq0WAbGJB3lx3qiROyQLsLtHY76SCWDjWvhwBmlsLmeNCZDDZ7ZDLYtNYntdavRXKi/SvwL8gi7D+vtX6t1jqCDJYNSAwKm+kU83aoK1eGN9h8uERdNnYFY9CZwtbeDuPjohKFYmhIXL+pfp2J6w51YEDuvyuXaL0rbMPDYd25ExMNobA5c4nGtFqLJzJOyxK01ncBdzkqS6KUjo7wldEobFmnxBuWLQvvUnDtEl28WBr00VGZWWYb4xJ1OUsUpI7ZXvoqK6aOLFuW73srVsh3JyZE0Q2Bq2WDDMUdatakwnlwucoBxKGAjI+LUlaNwgby3VDPxtCQqKD1OiAoHDspbPbIZLAppS6abh+t9TO1FydxGjEobNW4REEavCeftF+ePPT1SWfuqsEtXu0gb4eQhUKH6nSWKEgdyzML2CbV1q+VK2Vpqt5eWLLEfrmy4Mtgc9WhusyRBXF0qEePilGft36Z/Q8fhnMrzbdzjM8BgQuGh+HECXftl1leL7SC65GsCttTnJnOo5QcU3ASmWhvF3fRqVOSly0Ehw6JepS30Vi6VEanWruZ0p0F405wpcAUj1BdGGyuFbYYZllVa7AZxberK5zBVu8uUZdZ6CEOl6iJQ8ur4BYrbKFwbbC1t8vkMMcDAmchHU1NYrSFFjU8ktVgu7HMtkXAzxVev2etRIlJikeooTolM4Mvr9G1bJmsNDA0JA9VCFwmNQX3I9SeHpgzh4k5c9wcP4YYENMhVqPggizqHYp6V0BmgsJm6keeHGxwusIWCtchHUrJkoOO65ezASfE4YXySCaDTWt9T4WPvqmU+hDwZuAOa6VKCLEYbHnj1+D0EWpIg81VYwfuFRAzg8+VQhlDh2o6xLwdagwGW1LYpmbuXFHnQypspn7kVdhMfYxBYXM56HQ5ccp1SAfMOIPNhq/obuAXLBwnUUosLqu86gfE0eA1gsLmqjOFeOpXZ2d+l7+pXzEobK46pHnzxOhxWb/AXR1TKnyHatqfvAOCOXOk7QipsLlWcMFtaiIfClsME/M8YsNg+3lg5kT9+SQGl9WhQ9UZbLHEgLgenYLbDtWVuwriqF/VDggWLxaDIHT9am3NvgZqNbhUQHp7xRhwWf7QHWp39+S6v3kJnYvNl8GWFLa6Iess0a+W2TwbWWP0POC/2yxUokBol9XEhDR4tRhsoV1WLhu71lZx+bjsUDdudHNsEAWnubk+DbZZs8SYCV2/XA4IwH2H6lLBhfDJv48ckXqSZ1kqQ+jVDnwYbJ2d7mbzu550AHJttm51d/zIyKqwLS3zmgP8CHid1vqjboo3wwltsPX2Sh6jvPEfMDNcokq5dSm4PV++4QAAIABJREFUVtiUCr881eHD1dUvkDoW2iXqsjMF9y4rl/ULwisg3d3V16/QCltfn4QKzJ3r7jdcDgh6e2HuXCZclj90/fJM1kkH5WaJJlwT2mA7elTe88Z/gDQyCxaEa/DGx2Fw0L0C4spl5XrZIEPoBq+aZakMoQ021wouyP3fts3NsX0obB0dYdcUPnKkuvYLpF7efbfd8uTBhHS4TIvU2eku+bcvBdcsrxcqfZRHAqUIT2TC+P5DG2zVzlBdtixch2rWefThsnKhgBw/Lo1oI7usTpyQ+1SvBpvrGEmobwUXwg8IururN9iWLZNB06lAKy/6UnDBzaDT14BzbEzylc4AKipsSqmP5TiO1lr/sYXyJIppbpY4qVAdarU5jAwmeW4IXOcwMnR2wo4d9o/rOkeWIWRQeLVJcw3LlsE9lTIOeaC/HzZscPsbRsF1oSD46FBDu9yPHKneJWrqZXc3nHWWvTJlxYfBVjxxqpr0TVPhS8EFuVbz57v9rQiYyiX6phzH0UAy2FwQcoRqQ2Hbs8dacXLhOkeWobMTHn7Y/nFdp1wwtLfD9u1uf6MStRpsS5fKdRofry6ovFZ8TToYHRXF1eYSa+PjUn4f9Wt4OMxqLadOwbFjtSlsIEZfCIPNh8vdtcLmctIUnJ6ayMVqM5FR0WDTWq/zWZBEBUIqIEZhq8Vg+8lP7JUnDz5mWMGky8q2AlKssE1M2DtuKSFdojYMNq3l+lerolSL1v5dVjYNtmPH5Bx8KGwgrm/Xv1WKGfTUqrCFmina329f9SrFZWoiXy5RmDETD1IMW+yEVthaWyX9QzWYGDaXBkclfCpsJ0/aj6HwpbCFHBBUuyyVIWDy3KbRUVG+fLmsbCsg5ng+FDYIMyioNmmuIXQuyXqOYTMDKV/1a4YYbLkyJiqlXgZsBM6Yp6u1/rStQiWKaG93F3Q8HbUE7IJ8d2zMj+ulFJ8KG8g9shlDUaywGde0C9rbZTZtCLeiUS5qSesBQQy25uPH5Q8fLlGw3waY4zWyAlJrDG4MCpvPGDabDA/LgKaR61cAsibOXQ7cBVyExKsZ348u2i0ZbC5ob4ddu8L89tGjta1hWjxCbVSDrbjBW73a3nFNA7pokb1jlsNcn4EB979Villntto8TQENtllDQ/JHvStsvlyiIRW2agcECxbIElUhFDaTlsh1/Wprk8lttuuXzxhcmDEGW1aX6MeBfmA1YqxdDawF3gdsR1S3hAtCukRrVdhCrnbg22Bz0eC1trpNmglhl6fq6bE3IPBMszHY6l1ha+QOtVaFTalwqx34SkuklLRhtuuX7wFBMthO43rEaOsq/K+01vu01h8BvkBS19wRMii8VoUt5GoH/f0Se+d6ZprLDtVHkHbIDrXWczTfDekSrdcYI18u0ZAd6pEj1a8jagi12oGvASe4We3AV4xkW5vc45CpYzyS1WDrALq11hPAAFCsMT8AvMR2wRIFOjokqP3kSf+/bdMl6hsf8R/gVmHzabCFaPB6e2tr0Jub5fuNbLDNnSuxkS4UkKYm9+UPWb+6u6X9aqphbp0L9SkLPg02F+foS8FVShLMJ4XtNHYDJsnJ08Dbiz57HeBoMbJEMAVkZERyP9XiEjXGXiiXqK/RKdSvwhbaJVrrOQZa7cCbSxTcKCA9PRKzWIsxk4WQq7XUkjTXsGSJ20k/lWgUhc3XoDMZbKfxbeDmwt8fAn5RKbVfKbUb+D3gky4KlyCcwVZr0lwQd+SiRY2tsLlSQJJLNBuhDDZfChu4Wa/WR44sEBW0rS2cwlbLgBPCKWy+VmoBN/XLl8IGM8pgy7r4+58W/f1dpdRLgFuAecCdWuvvOipfIlSHWmvAriFkDIiPxg7cNXiN7BK1lWl/6VJ47jk7ZcpB89CQqFM2k9lWwsV6oj5yZBlCdajd3XDFFbUdY8kSmQDgYnH0qTDXy5eC68LlPm+e+0lTkAy26dBaPwI8YrksiXLUs8IG4dYT7e+3m2ZjKmw3eBMTkom+kRW2vj47mfaXLoX77rNTphzMOn5crp3t9T3L0dkJTz9t95g9Pf6WWwq1nqgNl2hxjKrrVQeK8R3DNjIir2qTpJdSa/xzHjo6YN8+P78VmEwuUaXUHqXUR5VSV7ouUKKEelfYQgbt+lTYbJ6jLWMmC7NnSyPtu0O1NUtx2TI5lufVNJqHhvzVL1cKiK+lokIoIKOjUqdrbb+M0eE7js13DBvY9RL48hDAjFLYssaw/Tvwy8AjSqnnlFIfUEpd4rBcCUMol5UthW3JknAxID47VNuNHfhr8EIsT2Vr2v/SpWKsuVi8egqajx/3466CSZe71tPvmxWfLtEQ9cs8QzYGnMXH80Vfn7gTfbhhXcx0rzXHYh6SwXY6Wus/1FqvBV4GfAd4J/BTpdRTSqn3KaXOc1jGmU2oWXzd3RKjU2v2e6M+2exspuPUKZH361Vh822whWjwbJ1joNUOvCtsY2OS+d4Go6MwNOS3ftWrgmuMDt8GW3+/vwGBi5nuR4/6rV8DA377mEDkmtOttX5Qa/0eZMWDG4DNwO8CW62XLCEsWCDvIWLYOjtrn/bf2SkdhJlV5wOf7gSwr4CEMNjqtUMNabD57lBtKSBJwc2OuUYhXKI+2y+ob5fo+LjfPiYQ1fbGrcAa4BygHQiQ1XWGMGuWGG0hDLZa3QkQxqXg22BbvFgaDLOcTK2kDjU7rhIXT0OzmXTgA9vnaCvcIStmQOBTAbGVByyUS9SnwWZbYRsf9zdpCmbUeqKZDTal1Dyl1JuVUl8DjgCfRRZ/fyew3FH5zG9fpJS6Syk1rJQ6WIihm+XyN6MihMvKZAmvlZlgsNk+x5niErWRad9V4uJpmOXTYLN9juY4Pg22sTEJU/CFrTxg8+ZJnsWksGXHGOc+Z4ma321wss4S/SpipH0RaAN+B1ihtX691vqLWushVwVUSi0CfoAYh78AfAD4A+AvXf1mdIToUJPClh0XLqtZs/yVP5RLdPFiOy538KuwTUz4n3QA9hU2nwou+K1jNjPth5jp7nPS1Lx5MGeOvXP0Xb9mkMKWNQ/bUuAPgf/QWvtep+O3kAS9b9RaDwB3KqUWAu9XSn2ssK2xCdGhdnfDddfVfpyQBpvvDtWmArJokZ8cXxDOJWpjlmJrq6yo4bN+DQ2htE4KW1aKO1Rfud96eqRetLbWfqwQy1P5nHSglN3k3yE8BDAjDLass0Rv1Fr/YwBjDeDVwPdLDLOvIEbc9QHK4x/fCtvEhL1p2TNBYbOtgPgM2AW5TidOwEmPoai2ztF0Nj7rl89lg8C+ghtKYfPZhpk8czYGPSEUNp8uUbCb6y/kgKDBcbzyrxUuAJ4t3qC13gcMFz5rfHwrIH19YrTZeOBMZ+NzhBrKJWqzwfNtsIHfOmYzD5iLxaunwreCO3u2LIFl02BraxM3mA9C5JK0Wb98K2ynTsHwsF+DzabCFsolmmLYomARUO5OHCt81vj4VthsStotLVL+RlbY6t1gC6mA2MC3AuK7foF9BcSX+gGpfuWlEeoXJJeoA6paSzRmlFK3ArcCLF++nM2bN4ctkAXO7e9nVV8f93o4l6GhIbbceSebgCcOHKDXwm9e3drKwDPPsNXTvVj/1FOcNWcOP7r/fi+/B/Cy1lYOPfEEOyyc4zUHD3Js5Uq2FY41NDTktB537tvHpcCWu+5i8MABZ79TzMuOHKFreJidFs7rYq2Z9/zzPOKpfnU++KBcr507GWxp8fKbm+bM4eT27Txl4Rwvfe45Zs+ezRZP12t2dzcvAbY99BBdy50mFHjhWblq3z5OrFxp5XqtHRpi7bFj3HPXXehZ7pMTzD1wgGuArV1dHPZ0jzaOjtJ56BAPWvi9dY89xurmZu7dsgWUct5+oTXXNzWx74kn2N0A/f1U1IPBdgzJ9VbKosJnp6G1/mfgnwGuuuoqfcMNNzgtnBcefBC+/GVuuOYaWa7EIZs3b2bTunUAXHbDDXDNNbUf9OyzmdfczHJf9+KLX4RFi/B675ctY9W8eayy8ZtDQ6y8+GJWFo61efNmt+dSmKm5acMG8HHNRkdhZITVl1/Oahu/t3Ej7Nrl734XjNpNN90kv+2DNWtYMDxs5xy1hnXr/F2vIUkicP6KFZzv+DdfeFZOnqRt40Y75/jkk/Cv/8r1l11mZ+b8dDz6KAAXXnMNF/q6R9/9Ltx5Jzdcf33tcX9f+hIsWcINN94IeGi/ADo6OKejg3Maob+fgnpwiT5LSayaUmo1MJ+S2LaGxbeP3lYOI0MIl4JPdwLYiwEZGZFXI7tEbaZcMMfxGcPme9IB2I8x8lm/WlslTU29ukR9LwAfwiVqVqQZHq79WL7rF8yY9UQrKmxKqd/OcRyttf5fFspTju8Cf6iUWqC1Novp/TIwAtzj6DfjothHv2KF+99z0aFu22bnWFkIYbDZigHxHf8B4QYEts5x8WKZ5To8LElOXVPvMUZHj/qNYVPKb2oiM+ixOeAEf4POUPUL5BxrTYXiO0YSksEG/EOO42jAlcH2j8DvAV9XSn0UOBd4P/CJGZGDDfwrID090sjamgU3UxS2nTtrP05Ig823wuaiQ/VksE20tNDkODzhNMxM2ImJ2pINnzolS6j5VkB8znS3PeD0rbCFUnBBrt2aNbUdq6cHzj+/9jLlYYYYbBWffK11U46Xs0hMrfUx4BXALOBbyAoHfwv8havfjI4QHWpHh7gxbNDZKZ3EqVN2jjcdoRQ2Gy6rEAbbwoVioPscEIBdhQ38uUX7+hhra/PzW4bOTjHWBgen33cqfOfIMvhU2FyEdBQf1zW+08aA3ZnuySXqjHqYdIDW+hngptDlCEYIg81WYwenj94czxIDwilsfX2y8HEthm4Ig62pCRYsqF+XaIAOdaytjdl+fk0o7lBrqdshDbZ6V9h8G2wLF/r5PbCX/Fvr5BJ1SC6DTSm1CtgInOEL0Fp/x1ahEiX4NthsJp2E0zvURjbYtBajp5aOwnZnk5UQHaptBcSXwtbfz5iNJY/yYM7x6FE499zqj+M7qamhowN27PDzW7YVtvnzJcmwz0kHra3Q7FFPsaWwDQ7C2FiY+jUDEudmqhFKqQXAV4GbzabCuy7azX2CmpmK76BwmzOs4PTOxjUhsoTD6Q1eLdcuhMIGfmOMenoke78to8d24uLpCOESXbZM3ru7azuOeQYbWQGxPehRSq6Xx/oVrP2qddATakDQ3i5hN7XGeEZO1jP7K2ANcB1irN0C3AB8FtgNWEjWlajIggV+Y4xsu0R9uhRCzLACe24538sGGXzHGNla5xH8x7CFUNhM/q9aDbaZMiAA+14Cnwqbz/g1kPye8+fX3n6FdLlr/ULOv0Ylq8H2GuDDwEOF/w9qre/VWt8K3A78oYvCJQo0NUk8QyO4RF0TymCzZTQcOTKppvjE9yw+m/Vr3jx5NbLCZstgC62AjI+7/63eXhnwzJtn75g+Z7qHCOkAO+cYakAwQ5anymqwLQee11qPA8eB4tb2O0y6ShOu8OVSGB+vPQ6rlJlgsNk6x+5uP9nUSwmhsNnEc4c67lthM6qrDYWttdWuMZMFoxjVOss1Cyakw5aCCzPDYLPh9k0Gm1OyGmzPA0bj3A68tuizq4ETNguVKIMng63FSMo2FRATtDsTDLZ6Vdh8xhi5MNhspVWZjkKMpHeXqFJiyB85UttxQqRcAL9xuLY9BOB3NY1QBput+gVhXKKQDLYCdwI/W/j7b4HfUUo9oJS6G/gg8DkXhUsU4UkBaR4o5CK22agr5W+EGspga28X13W9KmzGJar19PvWim2XKHivX95doiD1woZL1HdnCn47VNuTpmByQODj+ejr8x/DBjJQrNVg6+mRdtB3+ZPBdhp/TCFRrdb688AvIpMNjgH/FfgTJ6VLTOJLYTMuizrvUL0bbE1NsGhRbeeotTSYoVyi4+Nw/Ljb3zF5mly4RH0oIMZg862wgR2DzcW1z4LP1VpcKWxjYxKH55pQCtuyZXYGBIsW+Z+paepXg6f2yJTWQ2s9DAwX/f8N4BuuCpUoQ3s7bN3q/GeaTYOaDLb81OqWM6tBhHKJglw/l+rR8DCcPOlGAfFRvwodQhCFbdkyeO652o5Rax63avHpEnWlsJlju2xbTp6UVyiX6PHj8qp2QHLkiJ9cm6Ukha08SqlZSqn5pS8XhUsU4WkW3wsKW70GhYc02JYsqW2EatwRoVyi4L6O2U6aazAKm2uXVVLYqsNX/TIKrov6Be7bsJDtl41cfyFjcCEZbABKqYVKqX9QSh0ETgKDZV4JlxiXqOMOqcVI/vUatNvfLzPgZntdOEhYvry2GBDTUIZyiYJ7BcTVLLLFi8Vl5XoWYqFDGA8Vw3b8OIyMVPf9sTG5vyFj2BzXr6YTJ2B01M2AE9wbbCEWfjcYQ6uWNuzw4TAK27x5sjJEgxtsWde++CdkZui/AM8Ao85KlChPe7s0uCMjMuvSEc0DAzJJwHbQaHHQrs3p9qWEiv8AafAeeKD67xuDrZFHqC6SmsLpHarLNRhDukSLc7GtWZP/+2YGX8gBgeP65WzA6Ss5c4iF3w31rLApNSPWE81qsP0c8N+01v/isjCJKSgeoTo02FoGB90EjS5eLPFZQ0OycoMrQhpsy5dLp1jtAvAzySXqSgHp7YV16+weu5jQLlGQelKNwXb4sLyHUEBmzxYVxLHC5nTSFDS2S7S4flXD6Kjc3xAGG8wIgy1rr3wc2O+yIIlp8DRCbR4YsN/Ygb8FukMrbBMT1TfqySVaPb7WEzUKm8NBU0VqXe3AGGwN3KE6SUsE/hW2enSJhvQQgN/VWgKR1WD7OPDbSqnGXVU1dny6FFwEJfvqUEMrbDDZMeblyBFRH+fOtVemrDSKS9RHh7pgQXUKaq3YMthCKGzgpUN15hJtbhZXeyPHsLW2ivcmDQiiJatL9GzgcmBbIVlu6TBca63/2GrJEqfjyWXVPDjoZtq/zw519Wq3v1EJGyPUEOoaSEPtI2i3t1c6BtuL28+EAUGtMUahDTYPyb+dKWzmmL5coiFi2KC21Q7M90IabDt2hPltT2Q12H4JmCjs/8oyn2skuW7CFT4VNhcu0ZnQodpQ2EI1diZo14dL1KWC63pAECoLPYjC09JSm8E2Z47bSRlT0dEBx445/QlnChv4mene3y/Poss436moZbUD872QA4KksIHW2mEUbyITnmKMnLlEZ0oMG9SmsFUTTG4LHw2eixxZIIbMggWNPSAw64lWa7CZpKYuZ2lPRXs77N7t9CdaBgdFLXYRVuAjObNxufteKcCwbBl0dVX33RgUtgY32FJMWr3gQ2EbG6P5+PH6VdgKC3MH61AXLRK3Yj0qbCAKiGuFzUUWeoMPl1VfX7j6BbW5rELlyDJ4iGFzNmkK/LlEQ9avWhW2uXPdrpQyFe3tkodxYiLM73sgk8KmlPovU3w8AQwAP9Va77VSqsSZtLXJqMtlg2fcFS461Nmz5RxcKmzGHRJSAam2wdM6bAwb+FPYLr/czbF9uawuuMDtb0xFLQrb4cOwapXd8uTBg8vdmYcA/NSvWAYE1eTLPHxY2r+QCq7WYrSFvIYOyRrDdhsSpwZQfDeKt2ml1B3A27XWQ3aKl3gBpST2xGWH6mrZIINrl0LIKfGG5curU9j6+iQxckiDraMDtm93+xuuXKLgz2UVukPdtau67x4+DC96kd3y5KG9fXKtTNuTTgq0DAy4e4YWL5bntNo8i1no7w8XIwlicI2OitGTN9YxBg8BhH9GHZLVJfoiYAfwJ8CFwJLC+58CO4GfBX4NuA74qP1iJgD3LgVXKRcMrkeoMRhs1SpsoXMYgXsFZGLCvUvUZf3SOuykA6heYZuYCLcwt8HDTPfmwUG37ZfWbidOhDY2aonDDW2wzYD1RPPkYfu01vpvtNbbtNa9hfePAZ8G/kJr/QXgw8Atrgo743HtsnKVhd7gWgEJmcPIUK3CFjJprsF1/RoYEMOhXuvXyIiooKE71MFBUany0NsrylBIg83DxCmnLlEfM5FDG2y1rHYQi8HmOg43IFkNtmuBrRU+2wr8TOHvLYCjpyXhXAFJClvtGIVN6+n3LSb0DCsQBWRwUDp2F/ioX8eOuQs6jqF+VZs8N3TKBXCvsGntftIBuB90hm6/IL/BpnV4BTcpbC+wH3hHhc9+ncllqxYBjoNIZjBJYZuaGDrU5cvhxAkxfPIQi8IGk5M3bOOjfhm3pQvMcUO7RCF/hxo6aS64V0CGhmgaH3frcgd3bZjWccSwQf4BQX+/xL7FoLA1sMGWddLBnwFfVkpdAnwL6AaWAq8DLgLeUtjvlcCPbBcyUcCDwaabmlCuEmsahW1iwk2eoRgMtuIRap7rGHLhd0Nxg7dokf3ju1pH1FDcobpQWWKoXytWyHtet3sMBptrhc21guvaJRqDy73aAUEMHoJksAla639XSu1GVjN4G7ACOAT8BPh1rfWWwn6/7aqgCdwbbD09jLW10eIqaePixWKsDQy4GUXG0KEWr3awYUP27xkDz9HsuUzUe4fqOjlzscKWN4bMFsZgy5vcNPQ6j+C+Q3Wt4LpW2GJov8xKGMlgi5KsChta60eANzksS2I6jMFWTY6cLPT2cqq9nRb7RxaKR6iuDLa5cyXnWyiqjQHp6oKVK+2XJw+uXVY+XKLgp0OtNrlorRiD7dChfN87fFhSUbgylrPgun65HhC0t4tnwNWAIAaDDaqb6R6DwWba/gY22NJKB/VER4coVEOO0tz19nLK5Rp2rhWQ0DOsoPr1RGMy2FwrbC7creCnfkHYOjZvnvx+NQrbsmXhljwCWXJJqfpV2JqapO66GhDEECMJ1c10j0HBNeshN7DBVlFhU0p9FfhTrfXOwt9TobXWv2y3aIkzKO5QXRhWPT2MuVwY2ocCErqxqzYGpKsLrr7afnnyYK6dSwWko8Nd0lHXMUaxdKgrV+ZX2ELP4AMxeBYurF+FDdwuTxXDgADgrLPgiSfyfSeGGFxoeINtquHWUnjBO7as8H+lV0CzegbhIQYkKWw10tIiHUaeEarWM0Nhc5k0F8SQUspthzprliwuHpIVK6pT2EIbbOA2+bfrlVrAbWqiWAy2lSurq1+LFkn7FxIPy5+FpKLCprW+sejvG7yUJjE1HlxWY5s2uTk2+FHYQjd2IB1jHoVtYEBmiDW6weZyWSoQY6qjw63CZozCkKxcCQ8/nO87hw/DhRe6KU8eXHaovb2MzZtHs8sY1sWL4eBBN8eOScEdGIDjx6G1Ndt3urpEmQvNDFbYpkUpFbhmzTBcdqinTsHgIKd8uEQbWWEDMdjyjFDNvqENttmzJUbKpcvKpcIGbnP9xVK/jMKWNTmz1jNDYXMd0gFuXaKxGGzG8MrThh08mAw2D2Qy2JRS71ZK/VHR/1copfYDPUqpLUqpVc5KmJjEpcFWWB9vzKVLtLlZYlgavUM966x8o/BYDDZw2+C5domCGGyNPiBYuRKGh7NPPhoYkDQkIQPCDY7rl9MBJ7h1ifb1SRsZ2uVu2qF6NNhcr7cdmKwK2+8CxenP/z/gIPD2wjH+2nK5EuVwGRReMKLqusGLpUM9+2xpwLIqICaAvNENNtcuUXDfoYZWPyB/LjYzeIihQ3XpEu3pcTvgBKm/Q0OS1d82sbjc8yps4+Oy79lnuytTVly2X9/8Jnz2s26OnZGsBtsaYBuAUmop8FLgj7TWXwE+CNzkpniJ03CpsPky2Fy5rE6dEtUhFoPt5Mns5xmTwtbR4aZDHRuTeptcorVj6klWFffAAXlfFYEjxPGkAy8DTnBTx2IZEOStX93d/3975x5fV3Hd+9+y5Lds2ZYs+SW/MWCDHbBjSkgBQ9qENHELSehN2ibQNqRpbpI2udCW5hZoaNoAueRRSFKaNHzyIoFbkhCHVwgGAzbGYMDvt/ySLVuyZVu2ZCxr+sc6Ex3L57H3ObP3rL3P+n4++mxpv8462rNnfrPWmhkWbVI6BFGth/zgg8BXv+r+viEIKthOArCZnIsAnEDfElSHAERSyohoJBHdQUQriegIEe0nokeJaFYUnyeeYcM4sTqKCi/jlUish82ufymhQbU9zaAV3r59PMO4BNuj6qFmQu6RC7ZK8LBZ4WWFWDH2ZJZ6luQBCep9DkN7ezwdTiCaMialfI0ezfVRUj24QPi1nIMQR0pHEYIKtpUAPkVEcwB8BsATxhgrYaeDw6NRMBnAxwE8CeCDAD4BYDyAl4moKaLPlEuUEwNmeoyRJ+1G5QGRMiQe6GsYwzSokyb5D4UA0XlA4pgjy96/oyOaHraUBtWWLyvEimHLoYQGNarJv3t7eZSoetjKh4i9bEE7nPY8KR0CILq0IZ8rhSD40lSfBy/6vgbAbgB/nnXsjwG86Nguyw4AM4wxXXYHES0DsCtjwx0Rfa5cohJsSfewJVmw7d4tI1wFRJdjFPXC7xZboR4+DNTXu7tvTw/32qNapSEMI0bwcwoj2OrqeASwb6Ka/PvoUaC3N9p5JIHoBZsE0QOwuA9af0nqEESdNpQED5sxZr0xZgZ4ktypxpjNWYf/T+bHOcaY49liLbPvEICdAASUDg9E2aBWV+N01COUxozhxtS1B0SSYLNJ4WE8bE1CHMYRdwhiCYlmf54r7P9EggcEYIEfVLDt2SNHCEQ1cCquDmclhEQBro927w52bksLe+UkTBsTlWAzJlEhUQCAMabdmDOTD4wxa4wxB92alZ/MoIeZADYXOzeVRBmyGjMm+rBcXR0XftffQZJgGzSIp1AIIthOn+bzpAi2UaOA7m4eNOGSOEOi2Z/nCilzZFnCCLa9e2V5cAH3739GQGlI1BGTJ7NgC5JruHcv13e+VzkA+v5/rsvX8eM8MthzSDSJi79/BUAngO95tsMPUXpA4ug9VEqDOnFiMMHW2srhtrQ3qHGHRF17QKRp3lRpAAAgAElEQVSVr6R62KLKMbKj3KMOiQ4fzh0y1+Xr5Ele7URK+WpqYpsOBvDF7NrFAk8CSa+/ihA0h80ZRFQLHjhQEGPMxhzXfhLAnwL4gDEmZ4tPRDcBuAkAGhsbsXTp0rLslcZ5XV0YdeAAVjj+XvO2bcOAqip0dnZG+j8bs2cP5gJ49emncSxoyDAAk155BTMBvLBuHXqCuvIj5IKhQzFk40asKvK/HLFhA+YDWNPRgfY850b9TLJpbGnB+QBefuopdDkUkdNWr8bkAQPw3GuvRerFHbp3Ly4BsOHFF9EadFmdAIx69VW8DcDq5mYcWbo01meSi6k9PZjS2ornn34apoBng06dwhUHDmDHqVPYKaAuHLprFy4BsH75chxw+HwaXngBswEcqa6O/LlcWlOD9rVrsdnh5ww8fBiXAdh84ABaBDyn+iNHcAGAVY8+is5zzy147sKNG9E5fTrWC6i/fvt/fOUVtDisv2q2bMECAGv37UObz+djjIn1B8BfAjDFfnJctxhAD4Cbg37W/PnzTer49KeNqa11f99584xZvNg8++yz7u+dzfLlxgDG/OpXbu972218354et/ctlc98xpiRI43p7S183iOPsN2vvZb3lMifSTa/+AXbs3Kl2/t+4hPGjB3r9p65aG9n+++91+19H36Y7/vmm8aYmJ9JLh54gO1pbi583vbtfN53vhOPXcXYt4/tue8+t/f9xjeMAcwL//3fbu+bizlzjLn2Wrf33LSJ/y8//KHb+5bKqlVsz6OPFj6vt9eYoUON+dzn8p4S67vS3c1233mn2/s+/TTf97nn3N43BwBWmTyaJvaQqDHmP40xVOwn+xoiugzAQwC+ZYy5O26bRVFb+9sRUU6Ja8hyVCHRw4d52auqKrf3LZUpU/g5FQv9WG+glBy2KEMKcYQT7EzxlRASBYonhjc383bq1CitCU5UOUZx5bAB0Yx0l1a+bIhz167C57W1cSh3ypTobQrC4MHRrIcsJCQqPoctM/fbYwCeAM8BV9mMGsWJoK4nBowrhy2qUXySEnaBvgbSNpj52L0bGDLEe0XwW6JMCo/jOw4YwFNvpL1BDVq+duw483zfDBnCOWBRdAhGjoSJo8MWxVyS0spXfT0/q2Idgp07eStFsAH8/tuJul1h6xMddJAfImoAC7VO8PqlC4nodzI/s/1a5wk7D5TLAtndzcs6xVEYrQckCg+bhDmyLLYCsxVaPpqb+VwJk+YC0U27EOekk1E1qFVVQE2N2/uWihVg27cXPq+5mUWsFA8uEM3yZ3FOuVBXl37BRsRe3GIeNntcyqADgP+HrgWbEA9b7IMOQjIbgM0cfLbfsecAXBmrNRLIFmyues1xFsaqKn6h1MPGbN8OzJgRtTXBiTIketFFbu+ZjyhCVocPy1iY2zJkCE9Uaj1o+dixgxteCVMuWKIY6R5nh8CWL2PclQdpgg3gOqxY/SXVwxZFh6Cmhr3DHhHtYTPGLC2Q53alb/u8EIWHLa5JTS1ReECkedjGjOEpAAp52IwBtm0Dpk+Pz65i2GkRkhoSBaLzsElqTAFg2rTigq25mc+TRBSTf8ddvk6e5KiEKyQKthkzuH4qxM6dLGQk1b1RhEQFLEsFCBdsSg7sC+2yQMY1qaklqqRdSZUGUfEeans75yJK8rBVVfHgDZcNapwhd4A/J+0eXICFWJCQqJT8NUsUk38fOhRv/QW47RR0dLAXVMLyYZaZM/k7Fmprtm/n8iXF8wxEFxIVkGesgi1pWFHiskFNi4ctaQ2q7b1K8rAB7kNWcZevSugQAFxu9uzhGdhzcfIkT94s0cOW5JBoFJMz2w6BJOFjO5KFvGxbtgCzZsVjT1CiComqYFNCE0VINOketlOneOkQaQ3qrFlcoeWbgsWKOUkeNsB9yCruhN0xY1gQ9PS4u6fUDoEx+RPDm5v5uDTB5nrQQW8vP584OwSAew+btPI1cyZv8wm2nh4+JlGwHTniduorDYkqJTFiBIetohBsSfWwScz/AIBzz+U5ivINjbeCTWKD6tID4qNDALh9RyQ2qFbob92a+/jGzGIx550Xjz1Bce1h6+hgYZr0kKi08mU9//nK186d3FmWJtjs1Feu6zD1sCmhIXIfoz90iEedDRvm7p6FqKtz6wGx/wtpHja7pMumTbmPb9kCjB8f3/89KEkPiUYZspLE+efzdsOG3Mft/iJLC8VObS17xE+dcnO/uOfIiqJ8SfTgDh/O9VM+wbZ5M2/POSc+m4LgOgoVtwe3ACrYkojrkELc7l77Wa5eKMkeNiC/YFu3DpgzJz57ghJVSDTuBtWVB8QuzC2tQ1BfD4wdy+UoFxs38tQfdqoWKdj39OhRN/eLO0JQKR42gMOiVpj1Z8sW3krzsLnO87bhVQ2JKiXhethy3AmVrlc7kOpha2zkEZe5BFtvLze0F1wQv13FiCokGneD6qp8Se0QAMDs2cD69bmPbdggLxwK9AlIVw1qWxtv6+vd3K8Ygwez9yntHlwAuPBCYM0aDjH2Z/Nmrt/Gjo3frkK49rAJmTQXUMGWTFwLNl8eNlc9VKkNKhF72WwuUTY7drDXRqJgsyHRXJV0KcQdcq+U8gWwh3b9+rOflTFc7mzYVBKu1xP10aBGkYcrsXzNnctTD+WaT3LNGu4wSBrZCrif+irulI4CqGBLIkn3sLnOAZHqYQO4wnv99bMb1LVreStRsI0aBZw+zXlGLvDVIagUD9uRI8C+fWfub2nhkKNkD1uSBZvL5am6u/lHYvmaO5e3b7555v7eXmD1auDii+O3qRiuQ6Jxp3QUQAVbEkm6h811Doh9MSUKtgUL+Hv276GuWcPb2QKXxI2iQY2zMa2t5fUzK6FDYHMg+zeoq1bxNq7lwMLger3a9nZ+3nHm6rmcmsi+ZxIFm+1Q9i9f27ax502yYNOQqCICO+jARcjKmPgb1Cg8bIMGcdhNGgsW8PaVV87c/9prPGzeLgUliSgEW1z5RQA33qNHV0ZIdP58/r7Ll5+5f8UKoLpaZoMaRfkaM4b/D3HhMiQquXyNGMHTx7zxxpn7V6/mrcTyNXy426mv4h6FXAAVbElk9GgeEu9iLTs7vD7JHhA7C720XAqAk3YHDerzeAAskpctA975Tn92FcK1B6StLf7eqUsPiPQGde5c4KWXztz/8svAvHmyljqyuB504GOOLJchUcnlC+BOwYoVZzoIXnuNl9KSOMqdyO1qB+3tfff0jAq2JOLS5esjPu/aAyJxDiPL4MHcoK5c2bdvwwYWMVdc4c+uQiTdwwa4XU9UeoP6jndwg2rnNTx9mj26l1zi1658jBzJW1flq63NX/lyMZu+9PJ11VW8BJqdxgPgDufb3sadUYm4TBtqb+dnU1Xl5n5loIItibgUbL5GwLj2gAjo/eRl0SLghRf6Kubnn+ft5Zf7s6kQLgVbb68fD4jLkNXhwyy8JXqrAOCyy4DOzr48o1df5b8vvdSvXfmorgZqapLvYevtdTOXnHTBdvXVvH3mGd62tXEI/r3v9WdTMVxOLn/okIhwKKCCLZm4HAXjawSM6wZVamUHANddx96PJUv47yVLgIkT5a0hanEZErWTTia9QyC5fF11Fff+H36Y/37oIfZ8/MEf+LWrEC7n+vMl2Oxnl4sVFlLL2IwZQFMT8PTT/PcTT3B4VHL5cu1hEzDgAFDBlkxczjPjawRMJXnYFi7kGecfeojXD12yBLjhBpk5d4BbD1vck5paXCeFS21MAWDcOPZ2PPgg8NZbwE9+Alxzjex3wuXyZ748uICbOkxQUntOiIAPfAB47DGguRn4wQ94UvD5831blh+XOWxxT3tVABVsSSSKkKh62KJjwADg4x8HfvlL4Npr2RvyyU/6tio/w4Zx2MqlB9dHg3rsmJv1KqULNgD4i7/gudguuYTnYPvoR31bVBhXy+udOMFzmCXZw3boEL9zEke5Wz7/ea7HFi8Gnnyy72+puPawCRHTgv/jSl6iGHSQVA+bMfI9bADwj/8I/O7v8vxF99zDIVGp2BFRLp6PLV9xe9hseXbxjhw+LL98vf/9wN/8DU/S/LnPccdAMq48bD7rr+zPLwdBOVJ5mTQJuPNO9rAtWMBlTTI2h83F1FcaElXKwoasXHnYamriH+1jPSBvvVXefTo7eVSc9AZ14EDOAdm/H/jsZ31bUxxXgtqGRH2FrFw0qB0d8hZQ78+AAcC997J37Z575IbbLbW1bjxsPkPugLtOjXTBBgA338xtzssvc30mmdGjOW+43KmvTp3igSUq2JSSqapyV+H5qixceUCkj7DKZvBgFsdJwFXI2rcHxFWOURIaVAAYP16+WAPcDTrwVb5sB9GVh02IIChKVZXsUKjFVRTKXi/k/U/Af17Jiathy77cva48IJKXDUoyLj1stoMRJ67KV28vl7GkNKhJwYZEyw1Z+RJs1dVcB1dKSDRpuBqYJ2hZKkAFW3JxlVTpq7Jw5QGRPiQ+qbj0sNXVxe/1cZVj1NHhZ1qStGNXazl+vLz7+GxQXU3OrILNPa48bMJG8KpgSyquBFvSPWySF35PMi49bHHnFwHA2LG8PXiwvPv4mlg67bjqsPmaRxJwszyVXctZiCBIDa7mKlUPm+IEV/PM+MqfcJW0qx62aBgzpm/KhHLw1SEYPpxzBm1Seqn4FARpxpUHtL2d11P1sUSSi06Nj7WcKwENiSqicOFh6+31HxJ1UWEDfrw4acalB8RHZUfEXrZyPWzCKuzU4Or99+XBBdykDQgLuaUGDYkqonAx6ODoUX/5OSNGcOJuuYKgrY3vM2KEG7sUxlXI2meDWl/vzsOmgs0tLjtsvp6Ni5CoMEGQGmprudPmwsNWXQ2MHOnGrjJRwZZURo8GurqAkydLv4fPcA+Rmx6qr6T2tOPCw2bzc3w1qC48bJrDFg1pEGxjxvBI156e0u+hgi0aqqrcjOK1+YVC2hcVbEnFRYNqGzOfIQUXITcNh7rHhYfNLg2VdA8bkfyJc5OGKw+ubw8bUJ4XRz240VFf78YDKkhMq2BLKvYFL6dBsoLNjqiLGxdJu21tWtlFgYsOge/GyFUO2+jR3GNX3DFwIIeZ0iDYyvkO6mGLjrq68jtswtoXFWxJxXotyqksfAs2lyFRxS0uPCC+B4TU13OeZjnLn2n5io5yc8BOneKQpM+QKFBep8Zeq9MSuceFh+3gQaChwY09DlDBllRsI+jCw+arQLoKWWmD6p7hw3mqhHIaI1/riFpsR6ScMpakZYOSRrmCzXd+oSsP29Ch/KO4xYWH7eBBfw6NHKhgSyquBNvQodw4+8CGrEpdnsYmtWsOm3vsoJAkh0RdvCM6qWl0lCvYfHtwXQg2LV/RUa6HrbeX6w71sCll4yqHzWfvoaGBw1VHj5Z2vU1qVw9INJTboNqy6atBdbHagXpwo8NV+Up6SFTLVzTU1fHk3ydOlHb9oUMs2tTDppTNoEE891i5OWy+BZu1oxR8e3DSTrmDQuwIS1+rULjysGn5ioZyBduBA7xtbHRjT1hqa3kwSrkhUfWwRUO5ed6+c7xzoIItyZSbA3bggN/CaD/bVrxh8R0SSTvlDgppa+N7+BphWa6H7a23gM5OFWxRUVfHy+uVOo+ZrTd8haxczCWpgi06yhVsvstXDlSwJZlyBZsUD1u5gk0b1Ghw4WHz+WxsQ1jqO6JTLkRLufOY2XrDZ4fNRZ6nlq9oKDdtSD1silOSLtjK9YD4zmFJO9Z7UOqgEN/lq7qav4OG3GVSbtL+wYN8j+pqdzaFpZywrjGawxYlGhL1CxF9logMET3i2xYRlFNZHD/OS1v5dPdqSFQ2dXW89FlXV2nXt7b6yy+ylNOpUcEWLeUKtgMH/IeryqmDT5zgsLt62KKhXA+bBA9uPxIj2IioAcDtAMqcujxFlNMYSeg9DBnCs52X4wHxmdSedsqdPFdCg1rOagcq2KIlDYKtnPKlIfdoKbf+OniQJzQeONCdTWWSGMEG4F8B/BLAet+GiKG+nqe2KGUBeAmCzX5+OR42XTYoOspZnqqnh59Pkj1s2qBGiwvB5rv+amxkO3p7w19rv7eWr2gYOJBH8pbj1PBdvvqRCMFGRAsBXA/g733bIopyYvRSBFtDQ+mCTdg6b6mjnB5qWxvn6Pj2gNTXq4dNKmnwsDU2cueklIETvldqqATK6bBJKF/9EC/YiIgAfAPAXcaYvb7tEUU580xZkeRbsJUbshKUX5A6yvGwtbby1reHbezYPvEYlvZ2nu/Q10ogaaemhr0gpQi2U6e4XPpuUO3n2/IeBvXgRk85OYYCPWweh9cE5kYAjQDuCXIyEd0E4CYAaGxsxNKlS6OzzDOjdu/G2wC8/swz6AjZqDatWIEZAJZt3IjTu3f/dn9nZ2es/7NZp0+jbs8eLC/hM+fv3ImT9fVYm+JnDMT/TCyDDh7EOwBseukl7AspjEevWoV5AFbv3YsjHp/PpCNHMLOnB8uWLMHpmppQ1567di3GjBiB5c89d9YxX88kbVw6YgTa16zB5pD/y0Ht7XgHgM0dHWjJujbu5zJq3z6ug598Eh0hIwXjX3oJ5wJYvnEjTpa7SLlgfL4rFxJh0I4deLWEz39HSwvapk0LXTYjxRgT6w+AWgDnFfvJOrcVwPVZ1y8F8EiQz5o/f75JNWvWGAMY89Ofhr/25puNGTTImN7eM3Y/++yzbmwLyq23GlNdbczp0+GvbWoy5mMfc26SNGJ/JpYTJ7h8felL4a/9/vf52k2b3NsVhgcfZDu2bAl/7bXXGjNnTs5D3p5J2pgzx5jrrgt/3euv83N95JEzdsf+XNauZTt+/OPw1955J1/b3e3eLkF4fVf+7M+MmTIl/HWnTxszYIAxX/iCc5OKAWCVyaNpfHjYPgTggQDnEYBbAewC8BQR2aGA1QAGZv4+Zow5HY2ZCaCckKh19xK5tSksY8dyDkhHR/jQgIZEo2XoUP4ppfcvZZbw7Hdk5sxw1/qe+LcSKDVkZdMofJcvG/IvJQ/34EFeXnDwYLc2KX2UmsMmcB1RwEMOmzHmP40xVOwnc/q5ABYAOJz1cxmAxZnfL43bflGUM8/MwYP+Kzug9PVEu7t5HiNtUKOl1BzD1lbO/6qtdW9TGMqZnFkFW/SUKtikdAjs0mul5LBJGOWadurqeM7R7u5w10npEPRD+qCDLwBY1O/nDQDPZ35f4880AQwcyPOYldpDlVBZlLo8lY7gi4dSBZsdYSXBg2vtCYsKtuhJumAbMIBtKEWwSek0p5lSZ1KQMiivH6IHHRhj1vbfR0QdANqMMUvjt0ggpbp8Dx4EzjnHvT1hKbVB1WWp4qHUaVckrHIA9NkQtkHt7eUyJqzCTh1WsBkTTtwfOMBLUkmYNLuxsXTBNnmye3uUPrIF28SJwa+TMu1VP6R72JRilCrYpLjjSw2J6rJU8VCqYJMyh9HQoZwnFLZB7ejg3EoJ3yHN1NXx8kydneGuk+LBBcrzsEmog9NMqWlDGhJ1gzHmSmPMB33bIYZSBFtXF8f1JVQWVnBpSFQmNiQadh4zKR42oDQPiJSQW9opNSVCSocAKK18GaMh0TgodWCeLY/C2pfECTalH/X14ePzkty9Awfy8lJhPWwaEo2HhgZO2A3jATFGVoM6bpwKNqmUOspSSoQA6BNsYTo1R47w5L9SvkNaKXU1DYHriAIq2JJPXV3p7l4plUUpYbfWVg6HSPkOaaWUkPWRIxzmkuRh278/3DUq2OKh1JUCJHUIGht5Pedjx4JfI60OTivlhESllK8sVLAlnfp69n6EGba8bx9vx4+PxqawlLIAfGsrv4zVosfNJJ9SBoVIEzsaEpVLqR42SQ1qKQNbVLDFw6BBPJNC2AiOJA9uFirYkk4pMXrrbZAi2Boawr9QknKk0kwpOUZS1hG1NDby4twnTwa/xn5fHdQSLbZRDCN2TpzgTmoaBJuU75BmSkmJ2L+frxOGCrakYwtVmAJpPWxSGtRSRlmpYIuHUkKi0rxT9h0J6yVUD270DBrEuUJhno00sVOKYBM6z1cqKSUlYt8+OQ6NLFSwJR3bGIUpkPv38wzdUpZEGT+ePYRvvRX8GhVs8VBKSFSihw0I36BKEQRpJ2wOqzSxU0oenrTvkGbCeti6ujgPVwWb4hzbGIURbPv2yXL32hcjzHdQwRYPQ4cCNTXhG1QiOeFEFWyyCethl5iDSxTuO+zfz57FIUOis0thwnrYbPmS1EZmUMGWdEoRbPv3y6nsAGDCBN7aF6UYNodFBVs8jBsXXkxLCieW4oVWwRYfjY3hOgQtLby19YZvqqu5vIf5DtI6zWlm3Dj2mAUdmCetQ5CFCrakM2QIL88StocqqbKwL0ZQwSYt5JZ2xo0L/mwAbrgkPRtbvmxDH4TWVhVscVGKh41I1vMJOxJZaFJ7Kgk7ElnaoLwsVLClgTAeEGPkedjCNqgq2OJl/Phwgk1ah2DwYPaABC1fXV28NJWkdyTNNDYChw7xRLJBaGnha6R4cAEVbJIJ62FXD5sSKWFi9NY1LKmyaGgABgwI72GT1MNOM+PHhwsn7t0bbqHlOJg4ke0Kgi2HUkJuaSfsSPeWFnnPJkwdbIzYUYipJGwO6759QFWVnBzcLFSwpYEwHjaJ7t6qKn6pNCQqk/HjgaNHOXewGL293KBKE2wTJgT3sAnuYaeSsDmsEgXbxIlsV5DlqTo7+V2S1GlOM6V42Bob2YkgDHkWKeEJM2zZehmkNUbjxwdvUFtaOIdFK7x4CJNjePAg0NOTbMEmLak97dj/c1APaEuLvPpr4kSOXBw6VPxcKxy0/oqHsNOuCPZ+qmBLA42NwT0ge/bwtqkpWpvCEiZPau9efgmFLcybWsIINtvoShM7Eydyhd3TU/xcDYnGi/0/BxHUp05xp0Das5k0ibdBRKd6cONl8GCeQiXJHtwMKtjSQJgG1Qq2pHtApNmfZqwnIIxgk/Z8JkzgcG2QXnZLC3cG7MLRSrSMHctpEUHe/9ZWDjtKa1CtYLP1ayHUwxY/kyYF9+Du3i3PoZFBBVsaCNO727OHkymHDo3WprBMmsTDroOs9ygxqT3NlOJhk/Z8wnhxbEiEKFqbFKaqisVLkGcjNVxty3uQOtgKNs3BjY+JE4OJ6ePHed1hFWxKZITp3e3Z03e+JCZP5m2Q76CCLV7sJLhBBduAAfIaozANqsQcqbRjk/aLsXt33/mSGDeOy33QOnjwYJGjEFNLUA+bfX4S20ioYEsHtvJKsmCzPRpbIefj5Eled1RaDzvNDBjAAiZIhbd3LzdekubIAsK9I/v2afmKm6ApEbt28XbKlGjtCcvAgdxJCfKO7N7NdbB6cONj0iQOpxdbr9q2P+phUyJjxAigtra42AH6KgtpWA+brZDzYSt1aT3stDN5crDyJdX72dAADBpUvHwB6mHzwYQJwcTOrl3A8OGcRC6NSZOCdQgE50illokT++a/K4QKNiUWglQWXV1Ae7tMwWZtKiYKpOZIpZ3Jk4OJnZ075Xk/APYSTp7M9hWis1N0DktqmTCBp8Qott7jrl38HCV6p4LmSalgi5+ged5SB+VlUMGWFoIINltYJVYWQ4dyTkcxUaCCzQ9NTVy+envzn2MMC6KpU2MzKxRTphQXbPa4RNGZZoLm4VrBJhHbqSk0ee7p01yHSayD00zQlIjdu9kbP3hw9DaVgAq2tGAb1EJYMSTRwwYEC7sJ7wGllsmTOf+j0ALKBw6whyTJgk1qjlTasWWmubnweZIF29SpwLFjhSfP3b+fRZsKtngJ42ET/GxUsKWFIEmVO3bwdvr0eGwKS1NTccHW3Mz5ehJzWNJMkBxD29hKFmz79xcOu6mHzQ+2zBQS1F1d3CmQKtimTeOtrWdzITxHKrWMGgUMGxasfRH8bFSwpYVJk9gVX6gHsX07j96T7GHbubNwSGHHjr6KUYmPtAg2oLAneudOfkd0UtN4mTiR52Mr5GGzja1UMR1GsEmtg9MKEddLhcpXby8/uxkz4rIqNCrY0kKQymL7dq7spE25YJkxg0MKbW35z9mxQ64gSDNhBJvUBtXaVciLs2sX97CrquKxSWFsR7JQg2qfm1QPiHrYZDN9OreB+di3j73vKtiUyLGFbNu2/Ods3y43HAoAM2fyduvW3MeN4QpdPWzxM2oUT6dQTLDV1QE1NbGZFQor2IqJAqmCM+0U84DYesHWE9IYORIYM6Z4p1lTOvxgBVu+CI5tOwW3kSrY0sKkSTx5Y5oF24EDvMC9Crb4IeL/e6EeanOzbLHT1MTvSL7yBahg80kxwbZlC48mlzypcRDROXOmzGlJ0s706RzBaW/PfdzWbephUyKnqoob1HyCzYYaJYudqVN5vqx8DartuUr+Dmlm1ixg8+b8xzdvBs45Jz57wlJdzZXxpk25j3d3cw6ohtz9MGUKT1qcb+DUli0sdgYIbramTSvsYbOCTYkf66zI1+nctq1vvkahCC75SmhmzMgv2KSPEAV47pumpuLfQQWbH845hyu7np6zj3V3s2fhvPNiNysU556bX3Ru3crhEunfIa1Mn86J3/k8VFu2yO4QAH3vyKlTZx87dYq/mwo2PxQTbNu3s1gbNCg+m0Kigi1NTJ/OYidXjH7jRt5Kr/BmzszvYbNCTj0gfpg1ixudXEn7W7dyYytd7MyaxbaePn32MfuOnHtuvDYpjC07GzacfaynhxtU6fXX+efzO5Kr09nczOVO+ndIK7ajn0+wbd0q26EBFWzpYsYM4OjR3DH6devY3Su9QZ05k3vSuUTnunUs1oYPj90sBSx2gNweqqSInVmzgJMncw+esKFS+z2VeCkk2HbtYiEkXezMns3bXN9B+qCJtDNsGK8RnKv+6u0F1q/ve35CUcGWJmxjmauyWLuWK4ohQ+K1KSxz5vBM4bkW6V23jo8rfrCN5adO+NQAAA/7SURBVJYtZx+zgk262LHvSK5Ke9MmHryjHQI/1NbygIJc9Zd9XtIFmxWd69effUwFm38uuIDbwv7s2sXrCF94Yfw2hUAFW5qYN4+3b7xx9rGkiJ1836GnhxvUJHyHtNLQwFMX5Era37iR8z+ki51CnZqNG+V7CNPO+efnfjavv85b4Q0qamr4Pcj1Hdas4ek8Ghrit0thLryQ28L+KRFr1vD2ggvitykEKtjSxIQJPA/Wm2+eub+7m3t3wgsjgL4Kuf932LqVR4+pYPMHEZehXB2CtWu5sZVOQwO/J6+9duZ+Y1iIqmDzy/nns3DunxKxejWnQyRh/rJ8onP1auCii3RKD59ceCG3h/1zDFWwKbFDBMyde3aDumkT9yiSIHZGj+Yeav/vsG4db5PwHdLM29/ODU/2SNHjx1mwvf3t/uwKw/z5wKpVZ+7bsoXzPy+6yI9NCjN7Nk9B1D/H0IqdJGC9ONnTk5w6xZ3QpHyHtGIdAlagWdau5WllRo6M36YQqGBLG/PmcWHMdvm+/DJvk1JZzJt3tmB7/XWeay4JXpw0s2ABT15sc9YA9ladPg1ccok/u8KwYAHbf+xY3z77jvzO7/ixSWEWLuTt8uV9+44eZUGdlPrr0kt5YEu2F3fDBhZwSfkOaWX2bB5811+wrV4tP9yOhAg2IppCRD8mokNEdIKI3iCi9/i2SyRvexvQ1XVmg/r880Bjo/yEXcvFF7P9hw/37XvuOd4/bJg/uxQWO8CZHiordmxjK50FCzjktnp1374VKzj/SDsEfpk3j9/xF1/s22fz15Iidi69lLcvvdS3z5a1iy+O3x6lj6FDWbRldwj27+f25p3v9GdXQMQLNiJqArAcwCgANwJYDOD7AIb6tEssV1zB26ef5q0xLHYuvzw5uRO/93s8zPo3v+G/u7pYFNjvpvhj1ixgxAhg5cq+fStXcn5RUpKprejMblBffpkFpy767pfqavbUZgu2X/+avSJWCEln/Hie8yu7fC1bxuE26aOoK4Grr+bn0d3Nfy9dyttFi7yZFBTxgg3A3QC2AfgDY8zPjTG/NsbcY4x51LdhIpk6lROnn3iC/965E9izhwVbUli4kCu3p57iv1es4HDClVd6NUsBN5xXXgn88pcsqk+e5M5BkspXQwOLtp/9jP9ub+cQfFIEQdq57DJ+HkeP8t9LlvCzqavza1cYLruMRUFPD78njz0GXHONdggk8K53sRPAetmWLuVOaAK8n6IFGxHVArgOwP3GmF7f9iSG97yHC+GJE8CPf8z73vUuryaFYuBA7u08+STnRv3851zRJcBlXRFcfz2wezd7pZ54AujoAD78Yd9WheO669j+PXv4Henp4e+l+Oeaa1jkPPQQry362mvA+97n26pwXHstcOAA12ErV/Lvixf7tkoBOFJTVQU8/jgPBlmyhPdVV/u2rCjSLbwYwEAAhoheBLAQQCuA+wD8mzG5psNXcN11wNe+Btx1F/DAAyzWpK9w0J+PfISF2r338nf4yEd4Yk3FP4sX87qv3/wm0NYGjB2brA4BwO/Irbfye/LMM5z7OXeub6sUgL1pc+cC993XNzo8aWLn/e/nvOH77+doQXU1C1HFPyNG8PP51rfYa7tnD/Dtb/u2KhAkWfMQ0YcB/AjAUQDfBPAkgEUA/hHAp40x9+e45iYANwFAY2Pj/Iceeig+gwUx55/+CWOXLQMAvPnlL+NQwITwzs5O1NTURGlaMIzBxZ/6FEZm5jNa+d3v4kSFLvou5plkMf1b38Lkn/wEALDtppuwO2keNgDnfvnLGJ9JHdh4yy3YH6JBlfhM0sS4xx/HeXfdBQDYu3gxtvzt3wa6TtJzmfLgg5j2ve8BAHbccAN2fuxjfg3yhKRnYhm2axfefuONoN5eHDvnHLz67W+LyfFetGjRq8aYBbmOxS7YMmHO8cXOM8ZsJKKPAPghgJ8YY/5X1j2+C+D3jDFNhe6xYMECs6r/fEuVQmsre9h+//eBd7878GVLly7FlVJyxTZu5LDI5ZcDV13l2xpviHomlt5e4ItfBOrrgb/+azGVXSi6u4FbbuGcvOuuC3WpyGeSJozhHMNly4A77mCvSABEPRdjgAcf5Hkw77yzYvPXRD2TbH76U6C5maM3kyb5tua3EFFeweYjJPohAA8EOI8A2Hkdnu137DcAbiSikcaYoy6NSw2NjcBXvuLbivI47zzg9tt9W6HkYsAA4LbbfFtRHkOGAF//um8rlFwQcR7Ytdf6tqR0iIAbbvBthZKPBOasxj7owBjzn8YYKvaTOd2u79G/+27/1oEIiqIoiqKkHtGjRI0xzQDWAegfD7sawDZjTGfsRimKoiiKosSM9FGiAPB/Afx/IrobwFMArgTwZwA+6tMoRVEURVGUuBDtYQOAzAS5HwXwPgBLAPwxgE8ZY37o1TBFURRFUZSYSIKHDcaYHwD4gW87FEVRFEVRfCDew6YoiqIoilLpqGBTFEVRFEURjgo2RVEURVEU4ahgUxRFURRFEY4KNkVRFEVRFOGoYFMURVEURRGOCjZFURRFURThqGBTFEVRFEURDhljfNsQGUR0EMBO33YkjHoAbb6NUM5An4k89JnIRJ+LPPSZhGOKMWZsrgOpFmxKeIholTFmgW87lD70mchDn4lM9LnIQ5+JOzQkqiiKoiiKIhwVbIqiKIqiKMJRwab05z98G6CchT4TeegzkYk+F3noM3GE5rApiqIoiqIIRz1siqIoiqIowlHBphSFiD5LRIaIHvFtS6VCRCOJ6A4iWklER4hoPxE9SkSzfNtWSRDRbCJ6hohOEFELEf0zEVX5tqtSIaIPEdEviGgvEXUS0atE9GHfdil9ENHEzLMxRFTj254ko4JNKQgRNQC4HcBBz6ZUOpMBfBzAkwA+COATAMYDeJmImnwaVikQ0WgAvwZgAPwhgH8G8HkAd/i0q8L5HIBOAH8LYDGAZwH8iIg+7dUqJZu7wc9IKRPNYVMKQkTfATAIQBOANmPMBz2bVJEQ0XAAvcaYrqx9YwDsAnC3MUZFQ8QQ0T8AuAU8seXRzL5bwB2acXafEh9EVG+Maeu370cALjXGTPNklpKBiC4H8DMAXwILtxHGGBVvJaIeNiUvRLQQwPUA/t63LZWOMeZ4tljL7DsEXsljgh+rKo5rADzZT5g9BGAogCv8mFTZ9BdrGVZD3wnvZFIFvgH2ROtKBw5QwabkhIgI/LLdZYzZ69se5WyIaCyAmQA2+7alQjgPwMbsHcaYXQBOZI4pMrgU+k5I4K8ADAZwn29D0kK1bwMUsdwIoBHAPb4NUfLyFXBuyPc821EpjAbQkWP/4cwxxTNEdDWAPwLw575tqWSIqA7AFwH8qTHmFPf/lXJRwVYhEFEtOEm9IMaYjZlz/xXAp/uH4RR3hHkmOa79JIA/BfABY0x7BOYpSqIgoqkAfgTg58aY73k1RvkXACuMMb/ybUiaUMFWOXwIwAMBziMAt4KT2Z8iolGZ/dUABmb+PmaMOR2NmRVFmGfS9wfRYnC4+u+MMY9GYZiSk8MAanPsH505pngiMwDncXBO5594NqeiIaI5YA/n5Vntx7DMtpaITqsjoDR0lKhyFkT0M/C0Bfn4XWPMC3HZo/RBRJcBeBrAd40x/9u3PZUEET0PYK8x5sNZ+5rAnZvFxpjHvBlXwRDRMPB0K43g0aEHPJtU0RDRHwEo1JH8jjHmL+OyJ02oh03JxRcAfLXfvq8COALgNgBrYrdIsT3XxwA8AeAzns2pRB4HcDMRjTDGHMvs+2MAXQCe82dW5UJE1QAeBnAOgHeoWBPBCwAW9dv3HgB/B+C9ALbHblFKUA+bEggiWgqdh80bmQmMXwVP2vpRAN1Zh48aY9Z7MayCyEycux7AWgBfBjAdwP8D8FVjzBd82lapENF/gCeU/iyAlf0OrzbGnIzfKqU/RHQDgP+CzsNWFuphU5RkMBvApMzvz/Y79hyAK2O1pgIxxhzOjEL8d7CnswPAveCJcxU//H5m+7Ucx6YBaI7PFEWJFvWwKYqiKIqiCEcnzlUURVEURRGOCjZFURRFURThqGBTFEVRFEURjgo2RVEURVEU4ahgUxRFURRFEY4KNkVRFEVRFOGoYFMURVEURRGOCjZFURIPEV2fmU29//6lRPSIB5P62zGRiI4R0YyA5y8gokNElGuxeUVRKhCdOFdRlMSTEWX1xpgr++2fDeCUMWaLF8P67PgmgFHZC8cHuOYZAMuMMbdHZpiiKIlBPWyKoqQWY8x6AWJtJICPAfhuyEv/C8BfZRY4VxSlwlHBpihKoiGi7wH4AIAriMhkfm7PHDsjJEpEtxNRGxFdQkSriKiLiF4gomlE1EBEPyOiTiLaQERX5fisvySidUR0koh2EtEtAUy8HkAXgN/0u9c/ENFWIuomolYieoKIxmWd8gsAYwC8O+z/RFGU9KE9N0VRks4XAUwGMArAX2f27Slw/jAA/wHgLgDHAXwdwPcBnATwOID7AdwC4GEiajLGnAAAIroZwJcy1y0FMB/AF4nohDHm3wt83tUAVhpjTtsdRPRRALcC+DsA6wDUAbgKwHB7jjHmKBGtA/AuAEuK/hcURUk1KtgURUk0xphtRHQIwABjzIoAlwwF8BljzHMAQEQTANwH4DZjzD2ZfXvAQuoKAI9nwpq3AbjTGHNH5j5PE9EwAF8gom9mC7J+zAfw8377FgJ4yhhzf9a+/85x7RuZcxVFqXA0JKooSqXxFoBlWX9vzWx/k2PfxMz2UrD362EiqrY/mWsaAUwq8HnjALT12/c6gPcS0R1EtJCIqvJc25a5XlGUCkcFm6IolcYxY0xv1t9vZbYddocxxu4bktnWZ7brAJzK+nk2s7+pwOcNAYdbs/kuOCR6PYCXAbQS0Z05hNvJLBsURalgNCSqKIpSnEOZ7fsAtOY4vqnItaOyd2QE470A7iWiJgB/AuBfwLl338o6dVTWZyuKUsGoYFMUJQ28hWg9UcvBIz0nGGPCDgDYBGBavoPGmN0A/o2IbgQwu9/hqQA2h/w8RVFSiAo2RVHSwEYAf0hEfwT2UrUYY1pc3dwY05GZKuRrRDQFwPPglJJZABYZY64tcPmLABZn7yCib4M9ZysAHAGwCMA54FGj2SwA8GUX30FRlGSjOWyKoqSB+wE8Bc4NewXATa4/wBhzV+a+14BHff4YHMpcVug68OjP2UQ0OWvfcgCXgyfH/RWAawF83BjzM3sCEV0EYCxyjx5VFKXC0KWpFEVRIoaI3gDwA2PM3SGu+VcAbzfGvCs6yxRFSQoq2BRFUSKGiD4E4G4AM40xPQHOHw5gJ4APGmOWRmyeoigJQHPYFEVRoucRANPB87rtDHD+ZAD/rGJNURSLetgURVEURVGEo4MOFEVRFEVRhKOCTVEURVEURTgq2BRFURRFUYSjgk1RFEVRFEU4KtgURVEURVGE8z9I4yRmtAvn9wAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAAmwAAAGTCAYAAACVuU50AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOydebhcVZW335WJTCSEzNyb5CZkJhNJCFOAhFlEZFIRbEW06UYcuvVTtLVbW1ttENvuTxFUPmUQUZSpGQQJJGEKZIDMITPJzU1CEjLPw93fH6sOt1Kpqnuq6kxVtd7nqefcW+fUOavq7Nr122uvtbY45zAMwzAMwzCSS4u4DTAMwzAMwzDyY4LNMAzDMAwj4ZhgMwzDMAzDSDgm2AzDMAzDMBKOCTbDMAzDMIyEY4LNMAzDMAwj4ZhgMwzDMAzDSDgm2AzDMAzDMBKOCbYqR0QmiYgTkRvjtqUYCrVfRN4VkWlRXS/q8wVJkm2LEhEZISKHReSiuG0JAhH5qIgcFJFBcdtSLlRaG0gi1i6bxwRbhSIiA0Tk1yLyjojsFZFtIrJERO4Xkclx22cYHiLSXkRWpcThL+K2Jwv/BbzmnHshjJOLyC9EpEGUISLyUOq7uiP13X1HRP5LRHoXcM4xIvI9EanL3OecexJYANwe3LsojKDeZ4Qc0wZEZLCIfF9E3hCRzSKyS0Tmisi3RaRDsRfKd+/KgWLbcxLaZdJpFbcBRvCIyHhgOnAIeABYBLQDBgEXA7uAqanDX07tOxS9pYFg9pc/3we6x21ENkTkTOAi4MqQzi+pcz/pnHMiUgv0Bh4H1gGHgZHAzcB1IjLGObfJx6nHAN8FpgHvZtn/P8D9InKKc25RyW+kcIJ6n6GTpw3cBNwK/C/wEPodngz8B/BxETnDObeviEs2d+8SSwDtOe52mWycc/aosAfwFOCA0Tn294rbxhg/m3eBaSW8flLqs70x7vcSwWcV+nsFxqKd+FdT1/pF3O87w74Hgc1A65DOPyH1vi9u5riPpY77hs/z3pg6flKO/R2BPcDPS2wbWc9fwudR0PuMsw0A44HOWY7/j9R7+GKR18t77+K+R81cs6T2XGq7rPSHTYlWJoOA951z87LtdM5t9P7OFqckIjemnjtfRP6PiKwUkQMiskxEPpN+rpTr3mVz32eLFxORtqnXLE25x7eLyAIR+UnGcd1E5C4RqU/FNdSn/u+acVzWOCsR6SMij6Tc8DtF5CkROTnb5yEix4vIf4jImyKyJfVeV4jIf4pI+2yvaY4C3mcu++tE5NGU7TtF5EkR6Z/5mRZyr8J6r6nzniwiQwt8TUvgN8BzwGPNHNtGRL6RmnLam7qvs0Xki2nH+G0zfu9NK9RbMMU5dyhj37dSn3u+x67Ue8zHVcB2mjzeuViT2nZp5jhE5HvA71L/Tk2z5z7vGOfcbuAV4NrmzhcxOd+nnzaQOi6wdpCvDTjnZjvndmR5D39KbUekncdve/kBzdy7qCiyjZfUnhPcLhOBTYlWJiuBISJytXMu7w9hM/wIna77FXAAuAW4T0RWOOdeK/Kcd6FTCQ+gcSGtUIF5vneAiHQGXgcGAr8F3gJOTV3/fBGZ4JzblesCInICOtXYB7gHWAych3Yi7bK8pAb4PPAo8AfU43Me8I3UdS8J433msb8r2mn1TNm/BDgnZX+u2Bi/9yqM9wrwItAPkAJe88/AUOCafAeJSBvgedRj8Dfg98B+dGrlauAXBbYZv/dmHDrin5nFrOnAX9Aflm+h0z2gn8F/AA8Dv3fOHWnmM7gKeDaLIGybunZbYDhNcT3PNnM+UPHbG512+hHafkD7hXRmAJeIyFDn3Ds+zhs4ft+nnzaQOi7odpCvDeSiNrV9L+05X+0l9XwPmr93UVBMGw+iPcfeLhNL3C4+ewT/AM4EDqIu52Vox3ULMCzLsZPImPaiySX/NtAm7fkaVAw8nPbc91LH1mU597tkTD8CW9EvdD77f5g65xcynr819fwPmrH/R6nnPpvx+v9OPZ9pUxuyTHkBP0gdPyHf9XK8h2bfZx7770g9d0PGsXdk2l/IvQrrvabda1dAG+2PTn3clvq/jhxToqiYdMCPsuxrUUSb8XtvPpt67RU59j+ACt62ac9dn3rNR3ycf1jq2Guz7Ptiap/3WJ3ZHpo5t9cuJuU55lOpY67xe94sbSPn+X2ex9f79NMGwmgHzbWBLMe3RAXjIWBIMe3Fz72L6h4V0saDas+ltMtKf9iUaAXinJuBjgzvBzqjnc4vgcUi8rKIDPB5ql865w6mnbcBFYClpF3vAE4RkRF5jrkKjRn5dcbzv0o9f1Uz17gSHd0+kPF81uwj59xBlxoRikgrEekiIt2AKalDTm/metnw8z5z8RFgAzqCTefOPK/xda9Ceq845+qcc4V41+4BVqGejea4AdiGJidkXrcx9WchbcbvvfESIbbm2D8aWOac25/23KmpbdZwhAyuRL1Ez2XZ9wQa6H4V+r63A918nLMQ3k9te+Q7SERapKYZP3ig/QpA58x9IlLI74rf9+mnDUDw7aC5NpDJf6MD5n9zzi3N2Fdqe8lJiPeoEJuDas++2mU1YlOiFYpzbgE6UkNE+qHTXp9Hp9aeFJFx6T/wOViV5bn3UZd4sfwTGsS7QERWodN8TwFPpXW8/YHZzrnD6S90zh0WkWVooHo+BgCzXMZ0lHNug4hsz/YCEfkC8I/AKRxb7qbZuKEs+HmfuegPzMw8zjm3KZf9FHCvQnivBSEin0I773NdxtRJDgYBczN+NDIppM34vTfOMznLe2iDehQezdg1FtjunFvb/NviKjQ2anfmDufcOpqmoJ4QkUeBWSLS3jn3Yx/n9oP3vlzeo6Av6hHJxhNZnuuPz+zGAt6nnzbgXTvIdpCzDWSSij/7IvDrzHsUUHvJR+D3qAibg2rPfttl1WGCrQpwzq0BHhCRB9HYqLPRbJ5Xm3lprvib9M4r35fqmPblnHtSNEHhMlREXgh8DnhFRC70ISIDR0S+CvwUjY35v8B6dEq5BriPIuoVxvA+/dyrUN5rIYjIcahX7Vlgo4gMTO2qSW07p57b4pzLJU5LooB7szm1PTHLaYYDrTnWy3BqlueOQbTcwXjg733aPF9E3ga+AAQl2Lz3tTnvUbARFdjpjEY9vv+HY9/vRookpPeZ61p+2kG+NvABooke30ETBv4xyyEltRcfhHGPfNsccHv22y6rDhNsVYRzzonIm6hgq2nueJ94UwUnkjZiSwWZ9gZWZLFjKxpg+3sREeA/0RiVjwJ/Rr1FQ0SkVfpIOZWxNZjs3qR0VgGDRKRlupdNtFDjCVmO/7uU7R9K97CIyKXNXCcvPt5nLt4FBopIiwx7euSwvxBCea8F0A6dZvpw6pHJp1KPr9M0BbwMGCoixznnDuQ4b0Ftxue9WZjaZgsBGJ3azk27Vn/UQ+l3OtShNbz80o5mhEMafrwTnlhemO+glFdrSvpzIuJ9xnOcc9N82uSXbO/TTxuA4NtBvjbgnft7aN20+4HPO+eyffaFtJeCPUsh3aNCbA6yPftql9WIxbBVICJyUaqDyny+HVo4FzRzMgiWpbYXZjz/z2S0LxFpmcrg/IBU5/Z26l/vy/sE+qP++Yxz/n3q+cebselJNMPy0xnP35bj+CNoZ/OBNyr1+X2zmetkpYD3mYunULH7yYzn/08x9mQQ6HtNO4ffsh570BpMmY8vpPY/l/o/veN/CP2R+E6W63rvw1ebKfDevA3sBM7I8j68H7P0H64RWZ7LxVXAq865o7wIItIr28Giq5OMAN7wcW4Ab1oqX1s7A3gvS6xV6BTxPv20AQi+HeRrA4jIv6Fi7UHgpjzhDoW0Fz/3LgoKsTnI9hxbu0w65mGrTH4GdBWR/0WX+tiLlri4Hh1lPpCKcQuCKcBS4Pui5ShWAxPRL92WjGOPBzak7Hob2ITGUtyCBhQ/lTruDvRH+y4RGZs69lR0umJpan8+7kDf629EZBy60sMkNBg40ybQ1PUfA38VkceATqnXF7v6gN/3mYvbU9f/nYhMAN5BYw/PStlfSmxH0O/Vw1dZj1TM2l8yn5emOn4rnXOZ+/8HTcT4joichk7n7kdj8IaggwW/bcb3vXHOHUl9Rldm8eyMBjY75zakPef9OHVMxebszfYZiMiJwLmoJyeTu1Oe4JfQWlVt0QSi69AVSr6W7ZxZmAU0At8WkS6oUF7tnHszZUNHtE391uf5gqbQ9+mnDUDA7SBfGxCRW4F/B9ai/eD1R2tH3nNNS1kV0l7y3rsI8WVzkO05Ae0y2ZSSYmqPZD5QL9pd6ChoC5qW/T4aVHsTR6fBTyJ3WY9JWc49DXg347nBqGdkL5r98wg65fouR5egaIOKhZkpew6kjvktMCjjnN3RzNZ1qJhYl3pP3TKOO8b+1PN9UWGwM/V4Cjg506bUsS3ROkMrUjatQTt2L039e81dL+N8hbzPXPb3R+tp7UrZ/2TquS2klSIo4l4F+l7Tjn2XAsp6ZHl9HXlWOkA7+m+j4nt/qp3NIq18g582U8i9SR3vVW6/JuP5LcALGc+dkrrmPuDWPO/10+QuhfNx4GmgPvU+96GC/edA3wI/08+gnnSvxM99GfscMKLI++W1jWPanc/XF/w+/bSBMNpBnjZwH0eXqsh8pPd9BbWXfPcuwnvky+Yg23Op7bLSH5L6kAzDSDgpD+YW4FfOuWyBzUYIiMhzQAfn3DkBne9xoL9zbkwQ5yvShrdQMX91XDaUE0G3gUoiyPZs7TI/FsNmGAkkFW+YiRdn9kKWfUZ4fA04U0QubvZIf8wA/iWgcxWMiFyJxg/liuk0jiXoNlBJBNKerV02j3nYDCOBiMhUdLryLXRgdQFwOVpF/VzX/JJHhmEYRgVhgs0wEoiIfA2NDalD09/XoTFt/+7yrKNqGIZhVCYm2AzDMAzDMBKOxbAZhmEYhmEknIquw9atWzdXV1cXtxllxZ49e+jQoUPcZhhp2D1JHnZPkondl+Rh96Qw5syZs8U51z3bvooWbHV1dcyePTtuM8qKadOmMWnSpLjNMNKwe5I87J4kE7svycPuSWGIyJpc+2xK1DAMwzAMI+GYYDMMwzAMw0g4JtgMwzAMwzASjgk2wzAMwzCMhGOCzTAMwzAMI+GYYDMMwzAMw0g4JtgMwzAMwzASjgk2wzAMwzCMhGOCzTAMwzAMI+GYYDMMwzAMw0g4JtgMwzAMwzASjgk2wzCMdJYtgylTYM+euC0xDMP4ABNshmEYHk89BSNGwEUXwcSJsH173BYZhmEAJtgMwzCUzZvhuutg9Gi47z5YtAi+9KW4rTIMwwBMsBmGYSg/+xns2wcPPgif+Qx8+cvwhz/AqlVxW2YYhmGCzTAMg3374K674NprYehQfe6rX4XWrVXIGYZhxIwJNsMwjL/9DXbuhM9/vum5k06Cyy+Hv/wFGhvjs80wDAMTbIZhGPDoo9ClC0yefPTz114LGzfC66/HY5dhGEYKE2yGYVQ3hw9rduhHP6pToOl8+MNw3HEq6AzDMGLEBJthGNXN229r+Y5LLz123/HHwznnwIsvRm+XYRhGGibYDMOobqZP1+1552XfP2kSLFgAW7ZEZpJhGEYmJtgMw6hupk2DwYOhV6/s+ydN0u3LL0dlkWEYxjGYYDMMo3ppbIRXX83tXQM47TRo106FnWEYRkyYYDMMo3pZtgx27ICzzsp9TJs2MGECvPlmdHYZhmFkYILNMIzqZfZs3Y4fn/+48eNh3jw4eDB8mwzDMLJggs0wjOpl9mxo375pdYNcnHYaHDig64sahmHEgAk2wzCql9mzYcwYaNUq/3GeB27WrPBtMgzDyEJZCDYRaSUi3xSR5SJyQETWiYgt8GcYRvEcOaI12JqbDgUYMABOPNEEm2EYsdHMsDIx3AecD/w78A7QBxgep0GGYZQ5K1fC3r0wenTzx4qoJ27+/PDtMgzDyELiBZuIXAp8AhjtnFsctz2GYVQICxfqduRIf8ePHAn33msLwRuGEQvlMCV6E/CSiTXDMAJl4UL1nA336awfORL27IHVq8O1yzAMIwvlINhOB5aJyC9EZKeI7BWRx0TkpLgNMwyjjFm4UGPTOnTwd7znibNpUcMwYqAcBFsv4EZgDHAd8FlgHPC4iEiMdhmGUc4sXOh/OhTglFPUI7dgQXg2GYZh5CDxMWyApB4fdc69DyAiG4DpaCLCi0cdLHIzcDNAz549mWbLyRTE7t277TNLGHZPgkcOHuTcpUtZO24cqwv4bE/v3ZtdL73E7rFj7Z4kEPuuJA+7J8FRDoJtG7DKE2spXgUOopmiRwk259yvgV8DjB8/3k3yFm42fDFt2jTsM0sWdk9CYMkSaGyk3yWX0K+Qz/bUU2lXX0/Hjh3tniQQ+64kD7snwVEOU6JLUA9bJgJYupZhGIWzfLluBw8u7HVDhuhrLVPUMIyIKQfB9jQwUkS6pT13LtAamBePSYZhlDWeYBs0qLDXDR4M+/Zx3ObNwdtkGIaRh3IQbL8G3geeEpGPiMj1wIPAFOfcq/GaZhhGWbJsGXTtCl26FPa6IUMAaL9uXQhGGYZh5Cbxgs05txNNLtgG/BG4C41b+3icdhmGUcYsX164dw0+mEJtt3ZtwAYZhmHkpxySDnDOrQAui9sOwzAqhOXLYfLkwl/Xuzd07GgeNsMwIifxHjbDMIxA2bsX1q0rPOEAtA7b4MG0r68P3q6gcA4efhi+8hWYMyduawzDCAgTbIZhVBcrVui2mClRgMGDaZdkD9udd8L118Ndd8FZZ8FLL8VtkWEYAWCCzTCM6qLYDFGPIUNou3EjHDgQnE1BsWgRfPOb8LGPwcaN0KcPfPnLcPhw3JYZhlEiJtgMw6guShVsgwcjzjV56pLET38Kxx0Hd98N3brB7beriPvjH+O2zDCMEjHBZhhGdbF8OfTsCccfX9zrU6U9WLYsOJuCYNMmeOgh+OxntWQJwNVX6wL3998fr22GYZSMCTbDMKqL5cuLSzjw8DxzS5cGY09QPPYYHDwI//iPTc+JaDzbSy/Bhg3x2WYYRsmYYDMMo7pYtqz46VCATp040LVr8jxsjz2m72vEiKOfv/56XUrr0UfjscswjEAwwWYYRvWwaxe8915pgg3YV1PTFAuXBLZtg6lTdQpUMpZeHjYM6urgxRdjMc0wjGAwwWYYRvWwZo1u+/cv6TT7e/eG1asDMCggXnpJM0Evvzz7/gsugGnT4MiRSM0yDCM4TLAZhlE9eEtK9e1b0mn29e4N69fD/v0BGBUA06ZB+/YwYUL2/RdcANu3w1tvRWqWYRjBYYLNMIzqISDBtr93b11RwPPYxc20aTBxIrRpk33/+ec3HWcYRlligs0wjOph7Vpo1Qp69SrpNPt699Y/Vq0KwKgS2bwZFi6ESZNyH9Ozp8axzZoVlVWGYQSMCTbDMKqHNWu0+n/LliWdZr8n2JIQxzZjhm7PPTf/cRMmwMyZ4dtjGEYomGAzDKN6WLu25OlQgIMnnght2ybDw/bmmypAx47Nf9xpp6lg3bw5GrsMwwgUE2yGYVQPAQk2WrTQTNMkeNhmzoRRo6Bdu/zHeQkJNi1qGGWJCTbDMKqDw4ehoSEYwQYq2OL2sDU2qgA7/fTmjx07Vmu0zZkTvl2GYQSOCTbDMKqD9eu1DllQgm3AABVszgVzvmJYvhx27MhdziOdjh3V5gULwrfLMIzAMcFmGEZ14JX06NcvmPP17w87d+oqA3Hh1VVrLn7NY8QIE2yGUaaYYDMMozoIqAbbBwwYoNs4p0XnzoXWrXX5KT+MHKleuaQU/DUMwzcm2AzDqA48wdanTzDn85a3ijPxYN48OOWU3AVzMxk5UqeFlywJ1y7DMALHBJthGNXB2rVw4okayxUEnmCL28M2erT/40eO1O3CheHYYxhGaJhgMwyjOlizJrj4NYBOnaBr1/g8bBs3wnvvwZgx/l8zaJBOoS5aFJ5dhmGEggk2wzCqg6BqsKXjZYrGgZc8MGqU/9e0agUnnwxLl4Zjk2EYoWGCzTCM6iAMwda/P7z7brDn9Mvixbo95ZTCXjd0qAk2wyhDTLAZhlH57NihJTiCFmx1dTrV2tgY7Hn9sGQJdOkCPXoU9rohQ2DFCi0kbBhG2WCCzTCM4Dh0KJlCYM0a3QYZwwYq2A4e1HiyqFm8GIYP19ULCmHIEL1PcXkGDSOpOJfM/iuFCTbDMILhnnugZ0+oqYFHH43bmqMJugabR12dbuNIPFiyxH/9tXSGDNGtTYsaRhMrVmgWdfv28LnPxeM1bwYTbIZhlM6MGXDrrZqx2KcP3HBDsgRB2IItam/V5s2wZYt62ArFBJthHM3Bg/ChD6mn/Lrr4Le/he9+N26rjsEEm2EYpeEcfPGLKtSeeAKeflpHqV/8YtyWNbF2rZaz6Nkz2PPGJdi8wrfFeNi6doUTToCVK4O1yTDKlXvvVQ/bAw/A/ffrgPMnP4ENG+K27ChMsBmGURqzZumalt/8ptYm69ULbrsNpkxJTkX9tWtVULYIuMtr105FYNSCzcsQLcbDBprdGucKDYaRFBob4Y474Jxz1MsmAt/7nsZ5/uxncVt3FCbYDMMojV/9Cjp0gOuvb3ruppvUo3XPPfHZlU7QRXPTqauLx8PWoUPxy2zFWT/OMJLEG29o/3DzzU0JPAMHwtVXw+9+l6gkhLITbCJSIyK7RcSJSEBrzBiGURSHD8Pjj8M116h3zaN7d7jqKnj4YV27Mm7CqMHmUVcXvbdq8WKdDi00Q9TDqx+XwMBqw4iUhx+Gtm3hiiuOfv766zVOdNq0WMzKRtkJNuAnwO64jTAMA3jzTdi2DT784WP3XXWVBsfPnBm9XekcOgTr14cn2Pr3V0EYpTAtNkPUY8AAOHAgnnIkhpEUnNO42w996OgBJ8Cll+q6w488Eo9tWSgrwSYi5wKXAnfGbYthGMBf/6pxYRdddOy+Sy/VpZD+93+jtyud9evVkxSmh+3QoegClHfsgIaG0gRbEhauN4y4WbEC1q2Diy8+dl+7dnDZZZpE5Vz0tmWhbASbiLQEfg58H9gSszmGYQA8/zyceaZW3M/khBM0kPeZZ6K3Kx2vpEeYMWwQXRzbO+/ottiEA1APG1jigVHdvPSSbs8/P/v+iy7SgZj3nYuZshFswD8CxwF3xW2IYRjAnj3w9ttw3nm5j7ngAl2kfEuMYyxvlYMwPWwQnfjx6qd59dSKoV8/jX8zD5tRzbz0khb6HjQo+/4LL9TtlCnR2ZSHVnEb4AcR6Qr8APiUc+6Q5Am0FZGbgZsBevbsybQEBQyWA7t377bPLGEk9Z50njuXU48cYUHHjryfw77OnTpxKrDw7rvZcs45kdrn0XfaNAYAL69eTWNA05bp96TFwYOcC6yeOpU1xWZtFkDdlCn0a9GCl+vrcSXEoJ3RrRvbZ8zgnQS2rWJJ6nelmknsPXGOM6dMYfupp7Jk+vSch51+0kns+eMfWThyZITG5cA5l/gHcA/wbNr/NwIO6JjvdePGjXNGYUydOjVuE4wMEntPfvxj58C5zZtzH7N/v3Nt2zr3T/8UnV2Z/MM/ONetW6CnPOae9O7t3E03BXqNnHziE87171/6ec45Rx8VRGK/K1VMYu9Jfb32X//zP/mP+9znnDvxROcaGyMxC5jtcmiaxE+JisgpwE3A90XkBBE5AWif2t1ZRNrFZ51hVDEzZsDgwdCtW+5jjjsOzjgDXn45OrsyCbOkh0eUtdiWL9fPvVSseK5RzcyerdvTTst/3JlnwtatsGxZ+DY1Q+IFGzAIaA3MALalHl4c2zo0EcEwjKiZNQtOP7354846C+bNg337wrcpG2EWzfWISrA5p4ItV8xNIQwYoNmmBw6Ufi7DKDdmzdIs9jFj8h93xhm6nTEjfJuaoRwE26vA5IzH7al9l6F12QzDiJLNmzV7qrnODmDCBK1R9vbb4duViXPRediiqMW2aRPs2hWMYOvfXz8fLynDMKqJWbNgxAgt35GPYcOgc2cTbH5wzm1xzk1LfwBeju0rzrmlMZpnGNXJvHm6HT26+WMnTNDtrFnh2ZOL7dth9+7wBVv//rrqQ0NDuNdZvly3QXnYwKZFjerDOR1AjhvX/LEtWuhMwhtvhG9Xc6bEbYBhGGXI3Lm69SPYevfW1Pk4VjzwarBF4WGD8KdFgxRsVjzXqFbee09LDfnN/Bw/XpeD278/XLuaoSwFm3PuPuecOOdsiSrDiIN581SE5Us4SGfChHg8bGEXzfWIUrC1atV0vVLo3RvatDEPm1F9LFyoW7+CbexY9aB7r4uJshRshmHEzPz5/rxrHmPG6DIwe/aEZ1M2wi6a69G3rxaijUKw9e+voq1UWrSAPn2gvr70cxlGObFggW5HjPB3/Nixun3rrXDs8YkJtiBIyDpjhhEJR45otf1TTvH/mpEj9XuyaFF4dmVj7VotLdK9e7jXOe44OOmk8L1VQWWIephgM6qRhQuhRw99+KGuTpfaM8FWxjinI+tvfStuSwwjOlav1lIQhSw+PmqUbufPD8emXKxdq6KkRQRdXdilPZxTL6UJNsMojQUL/E+HgnrPTz3VBFtZI6JTE9bhGdXEkiW6HTrU/2v694cOHZqmIqIiipIeHmELtg0bdEo5aMHW0BB+ORLDSArOaR82fHhhr/v0p+Gaa8KxyScm2EqlttYEm1FdvJOqqlOIh61FCx3RxuFhCzvhwKOuTvuCw4fDOX+QGaIeffqoWCthTVLDKCs2bNBSP0OGFPa6G2+E224LxSS/mGArlT59YN26uK0wjOhYsgR69dKYjkLwBFtUMZ8HD8L69dF62I4cCa8/CEuwQVM2rWFUOt4SU4UKtgRggq1UPMHW2Bi3JYYRDUuWFDYd6jFqlK7Jt3598DZlo6GhKc40Cry6ZmFNiy5frmU4gnw/nmCzWQKjWliaqrUfxHq8EWOCrVRqa+HQIV2qxzCqgWIXH/cSD6KKY4uqaK5H2LXYli/X1QlatgzunCbYjGpj2TJdjqq2Nm5LCsYEW6lYh2dUEzt2wPvvw8knF/5aLysrqji2qIrmevTpE24ttmXLgrgYTlEAACAASURBVJ0OBZ3W7tjR+i+jeli6VL9HUWSOB0z5WZw0PJVuHZ5RDXh1xooRbF266PclKsHmFc2NaiTdpo2u/hCGYGtshJUrgxdsIlbaw6guli0ry/g1MMFWOp6HzRIPjGpg5UrdeguHF8qoUdF62Hr00OmPqOjfP5ziuevW6TqGQQs2MMFmVA9HjuiAqpgBZwIwwVYq3bvryNo6PKMa8BYKL1awjRihUxJhlb5IJ8oabB5h1WILI0PUwwSbUS00NGjMebH9V8yYYCsVEZ1yMQ+bUQ2sXAldu0LnzsW9fuhQLbcR9pqbEJ9gW7dOfxSCxBNsYWS29emjddgOHAj+3IaRJDzvt5fRXWaYYAsCG6Ea1cKqVaWNTr1yIF7x3bBwLtqiuR51dRpvFvQAbvlyaNtWY+SCxgvraGgI/tyGkSS8GQITbFWMrXZgVAurVpUW/+EF+4Yt2LZu1WWcovaweT8EQcexLV8OAweGk9lmme5GtbB6tX6Hou4XAsIEWxB46/FZ8Vyjkjl8WDMvS/GwnXiiJgKELdiirsHmEVYttuXLw4lfAxNsRvWwerU6WFq3jtuSojDBFgS1tfpj9t57cVtiGOHhrZNZasDu0KGVK9hqa3UEH6RgO3JEPZsm2AyjNFavLtvpUDDBFgxW2sOoBrz4j1JT4qMUbFHHsLVuraItSMG2dq0maoQl2Dp00Bp5JtiMSscEm2EjVKMqKLUGm8eQIbpawpYtpduUizVrNEi/W7fwrpGLoEt7hFnSw6O21pIOjMpm/35dx9gEW5XjVVI3D5tRyaxa1VTNvxSiyBT1SnqIhHeNXARdPHfZMt2GKdhqakywGZWNt/JJmdZgAxNswdCtGxx3nHnYjMpm5Ur1HpW6+HiUgi0O6upU/Bw8GMz5li3T9T579w7mfNmoqbEBp1HZlHkNNjDBFgxWPNeoBkqtwebRr58OcMIWbFHHr3nU1WkduKAGcEuX6jRymN7C2lrYtCn4gr+GkRTKvAYbmGALDptSMCqdd99tKltRCi1basX+sATbgQOwYUO8HjYILo5t2bJwVjhIp6ZGReaGDeFexzDiYvVqHSj26hW3JUVjgi0ozMNmVDL79mkxWi9es1TCzBT1vodxCTZvBB+EYNu3T2NvvILDYWFxuEals3q1DqbCKD4dEeVredLwPGzOxW2JYQTP+vW6DVKwrV6tmVtB4wUXxyXYamrUixhE4sHKldqnROFhA5slMCqXMi/pASbYgqO2VoOMwyxVYBhx4f2QB7WW5dChujLIihXBnC8dz7MVV+fcqpWW+gnCw7Z0qW7D9rCZYDMqnXffNcFmpLAOz6hkvKmyIAUbNAmSIFmzRqc9gvIGFkNQtdiiKOkBumRY27Y2JWpUJnv2aEhHma4h6mGCLSgsBsSoZIL2sHlTfGHEsb37rtoZ53qBAwYE4z1cuhROOgmOP770c+VDxBKnjMrFy9j2ityXKSbYgsI8bEYl09CgoqFTp2DO17Gjdp5hCbYgsllLYcgQXVt4x47SzhNFhqiHCTajUvEcKXF63QPABFtQ9Oql0zDmYTMqkYaG4LxrHmFliiZBsHkiy5vSLBavBlsUWKa7UamYhy0aRORjIvK/ItIgIrtFZI6IfDJuu46hVSsVbTZCNSqRdevCE2xBZlYfOqS2xi3YPJFVSoze++9r3E2UHrb16y3T3ag8PMEWdB8WMYkXbMBXgd3APwNXAFOBP4jIl2K1Khs2QjUqlbA8bLt3BzvIWbdOs0/jFmwnn6we91I8bFFliHrU1GjR4fffj+Z6hhEV9fXQs6cWzi1jWsVtgA8+4pxLr5XxkoichAq5n8dkU3ZqasLJejOMODlyRCvgBx3/kb6maFDn9mqwxS3Y2rTREgKl9Afea6PysKUnTnXrFs01DSMK6uvLfjoUysDDliHWPN4GToralmYxD5tRiWzaBIcPh+Nhg2Dj2LxSGnELNlDPWCmCbdEiLbURxPqtfrDEKaNSqa8v+4QDKECwicgoEfmTiKwUkQMiMjb1/A9F5EPhmZiVM4ESo3lDoKYGdu6EXbvitsQwgiPokh4evXtr1mnQgk0kGZ3z4MGwfLlO0RbDwoUwbJiumhAFJtiMSmXduorwsPmaEk0Jsv8FXgceAL6btvsA8CXgr4Fbl92WC4ArgZty7L8ZuBmgZ8+eTJs2LQqzAOixcyfDgZmPP87eMi3Qt3v37kg/M6N54r4nXV99lZHAnI0b2RWwHWNPOokjM2YwL6DzDn3jDU7o1o03Xn89kPPlws89OUmEwXv3MuMvf+FAjx4FX+PMOXPYduqpvBPRvZfDhzm3RQvWvPoq70Y1DRswcX9XjGOJ+5603LOHc3buZOXBg9SXe9twzjX7AOYCv0n93QpoBMam/r8CWO/nPKU+gDrgPeBxP8ePGzfORcrUqc6Bc1OmRHvdAJk6dWrcJhgZxH5P7rpL2/WGDcGf+9Ofdq6mJrjznXeecxMnBne+HPi6Jy+9pJ/bCy8UfoGtW/W1t99e+GtLoXdv5266KdprBkjs3xXjGGK/JwsX6nfp4YfjtcMnwGyXQ9P4nRIdCvzJ03gZ+3YCJxatGH0iIieiXrw1wA1hX68obLUDoxJZt07L1hThJWqWoUN1Ci6oMIIk1GDzKKUW26JFuh0xIjh7/GDFc41Ko0JqsIH/GLZNQK7I11OAtcGYkx0RaQ88DbQBLnfO7Q3zekVjMSBGJdLQoPFmLULIUQoy8eDw4WTUYPM46STo0KG4xIOFC3UbtWCzxCmj0vAEWxLiWkvEbw/8R+D7IjIx7TknIoOB24CHArcshYi0Av4MDAIudc5tCutaJdOunS6ibB2eUUmEUYPNI0jB1tCgJUiSIthE1MtWrGA7/vjovQLmYTMqjfp6/S6elLzCEoXitw7bvwLDgenAxtRzTwK9gL8BPwretA/4JXAZ8BWgq4h0Tdv3tnPuQIjXLhzr8IxKo6EhPE/PySdrFmQQgm31at0mRbCBlvaYMaPw1y1cqJ+5SPA25aO2FrZvhz171DtoGOXOunU6Q9C6ddyWlIwvD5tz7oBz7nLgYuB+4F7gD8CHnXOXO+cOhWjjxant/wAzMh69Q7xucSRpSmHrVrjxRhg4EH7yk+LLCxjVTRjLUnm0aaPtMwjBtny5bgcOLP1cQTFihBbzLSRGz7kmwRY1SQrrcA5+8xstbXL11bBxY/OvMYxMKqRoLhRYONc596Jz7l+cczc7577pnHshLMPSrlnnnJMcj3fDvn7BJMXD1tgIN9wADz+sweLf+Ab8PFkLQxhlwM6dunxUmGvwBbUI/PLluvRMkjrnkSN168Wk+eG993R5qGoXbL/7Hdx8s3r6nnsOrrpKl84yjEKoNsEmIsObe4RtaNlQW6sd7sGD8drx0EPayf3sZ/Daa3DppfCd7+jizobhl7CK5qYzdKiKrcOHSzvP8uW6KkAYyRHFMmqUbufP9/8aT9ydckrw9jSHF5gdt2Dbtg1uuw3OPhvefBPuuw/eeAPuvz9eu4zywjmdIaiAhAPw72FbCCxo5mFA0w/bhg3x2eAc3HknDB8Ot9yicTA//7nGpdx7b3x2GeWHN70fZoc3dCgcOtQUg1YsK1bAoEHB2BQU/fpp8sCCArpIT9zF6WGLO6zjV7+CLVu032rZEj72MRg3Dn76UwvtMPyzc6f+7oU54IwQv4JtMnB+xuMa4NdoXbSPhmJdOZKEWmzTp2un/9WvNgUtDxwIF1yg0wzW4Rl+icrDBqVNizY2JlOwiajwKsTDNmeO9iM9e4ZnVy46dIDOneP1sDmnA8vzzoNTT9XnROBrX9Oadi++GJ9tRnkRRf8VIX6TDqZneTzhnLsFTT74eLhmlhFJiAH505+04/3kJ49+/qabtLDoyy/HYpZRhnjtOMyU+CFDdLtkSfHnaGiA/fuTlXDgMXo0zJvnf6A0ezaMHx+uTfmIO3Hq5Zdh5Ur43OeOfv7KK6F9e3j88XjsMsoPLwSoAkp6QIFJBzmYinnYmojbw3bkCDz2GHz4w9q5pXP55Zra/NdIln01KoGGBq0t2K5deNfo0kW9SaV42Fas0G3SPGyg4mvnziYb87Fzp3qRxo0L365cxJ049dhj0LatZoam066dxuI++aTNEhj+qEYPWzN8GNgewHkqgxNO0I4lrg7vtddg0ya49tpj9x1/PEycqMkIhuGHqAJ2hw0rTbB5JT2SKtgAZs1q/ti33jr6NXEQp2BzDp5+Gs4/P3sduCuvVK/JnDnR22aUH9XoYRORR7I8nhCRd9CCtr8M18wyQiTeKYXnn9d1Hy+9NPv+Sy/VeBrLFjX8EOYqB+kMHapToi5zqWKfeCU9kpgNdsopOoibPbv5Y2fO1G2cHrbaWq15VmrWbjEsXQqrVulsQDYuTpXlnD49OpuM8qWhQZ0ombNNZYpfD1v3LI/jgFeAjzjnbg/HvDIlzhHqiy/ChAnqTcuG1+FNmxaZSUYZE5VgGz5cK+wXm129YoWumpCkkh4erVpp8LwfD9urr+pyVt27h29XLmpqdMoxjkK1zz+v28suy76/Z0/9fCwO1/DD+vUVMx0K/pMOJmd5fMg59/fOuWfDNrLsiMvDtmOH/ihccEHuY0aO1KmGYpbLMaqLgwd1ej0Kr9Xo0botJJsyneXLkzkd6jFhgk7j5Sv82tiogu2cc6KzKxtxJk5Nnw79+2s5lFycey688orFsRnN09BQMdOhEEwMm5FJTY0q+6g7lJdf1mvmE2wtW+qPxxtvRGeXUZ5s2KBTlFGMUL0VAebNK/y1jY2aVZhkwXbeeZrFms/LtmSJFoydODE6u7IRV+KUc9qHnXtu/uPOPVe9sYWsHmFUJxXmYcu5+LuI3FHAeZxz7rYA7KkMamu1EOjmzdHWUnrtNc0CPf30/MedeSbccQfs2xdu9p9R3kSZYdWliy4fU4yHLcklPTw8r9n06bkFmTfNF7dgi8vDtnixLsl13nn5jzvzTN3OmtW0koRhZHLkiA46K8jDllOwAR8r4DwOMMHmkd7hRSnYZszQWJm2bfMfd8YZGlA8e3b80y9Gcok6JX7UqOIE27Jluk2yh61rV31/06bBt7+d/ZjnnoO6Oo3Fi5Nu3aBNm+gF26uv6ra5PmnAAOjUSaeYM2u1GYbH5s0q2irIw5ZzStQ517+Ax4AojU48cUwpHDqkI05v9JkPr2TA22+Ha5NR3ng/2FFlXo4eraU9Cl3ge9Ei3Q5P+JLGkyerKNm799h9+/fDlClaP9FbnSQuRPRHLuop0dmzteZfc4K1RQsYO7apBIphZCOKot8RYzFsYRDHlML8+TrFecYZzR/bq5dmoRUTL2RUD+vWqbe2S5dorjdqlHp+C13xYNEi/aGPYymnQvjoR1WYeZmQ6UyfrkIuV3Zk1MSR6T5njpYz8SNYx47V/iuO0iNGeeCVrqoGD1s2RGSiiNwkIl/IfIRlYFnSs6cG90c5QvXqN/kRbCLqzZg7N1ybjPLGK+kRlcfHi0cqdFp00SKtdRa3Z6o5zjlHp0Yfe+zYfY88otnbkydHb1c2ohZsBw5oEoHf+nPjxqn4Xbw4XLuM8qUCPWz5Ytg+QER6Ai8Cw9F4Na9nTK9yacVzPVq2hN69o+3w5s5VT0i+dPh0xoyBn/9cR6itfDUDo9qIqgabx6BB6tErRLA5p4LtuuvCsysoWrWCK66Av/wFdu1qqpW4a5eu/3vddclJAqqt1SWgnItGCC9YoGEdfgXbmDG6nT/fEg+M7Kxfr9PnSfe8F4BfD9tPgR1AH1SsnQ7UAf8KLAcGh2FcWRN1Lba339ZOzG/nOnq0jmqXLg3XLqN8iWpZKo9WrdRTVshU/fr1WuLhlFPCsytIbrlFBdq99zY99/vfw549yQqgr6lRD9a2bdFcz1tqyq9gGzRIM+K9+EXDyMRL+qsgh4RfwXYeKtq8MuTinFvrnPsR8HvMu3YsUU4pHD6sI1Rv1OmHYqefjOrAuXhqGBWaKerV4ioXwXbaaVpH7M47tYTFtm3wb/8GZ53lL5whKjyhHlUfNmeOzhDU1fk7vnVrXfHABJuRiwqrwQb+BdsJwGbnXCOwE+iRtu914KygDSt7amuj6+yWLdPR8Kmn+n/NkCHqLi40wNuoDt5/Xz2wUXd4Y8bo6gp+vztepnMhg5W4ufNO2LJFl4m76CLYuhXuuitZMXjefY9qlqCQhAOPU04xwWbkpsJWOQD/gm010Dv19yLghrR9HwG2BmlURVBTo1MfO3eGfy0veaCQH63jjtN6RibYjGxEXYPNY8IE3XpJNM3x9tu6lFFUmaxBcNppOg26eTPU18OjjyZPcEaZ6X7ggM4QFLrg/Smn6ELxe/aEY5dR3lSxh+0ZILVqOP8BXCMi60RkNfBl4OdhGFfWRDmlMHeuFrocOrSw1w0bZoLNyI7nWYkyhg1UuLRuDW++6e/4t97SEg/lxsc+BmvW6ALrV14ZtzXH0ru3erui6L8WLiws4cDDmwa3PszIZP9+nSWoRg+bc+5bzrnPp/7+KzoFej/wOHC5c+7O8EwsU6KcUpg7F0aM0B+6Qhg2TKdTrZaRkUlcHra2bTUhxo+HbccOWLGisFCAJCGSrGnQdNq0gR49oum/vAK4hQo2r1DyO+8Ea49R/mxIhdtXmIetqPQJ59xsYHbAtlQWUXnYnFPB9pGPFP7aYcN0ZLtqlQbwGoZHQ4OKiV69or/2hAnwwAO6rEzLlrmP8+LXylWwJZ2oEqcWLICOHXVquxD699c2unJlOHYZ5UsF1mADnx42EXlXRG4XEesZ/eI1lLBHqBs2aCxMMT9aw4bp1qYUjEy8lPhCvbZBcNZZsHt389miM2bo9vTTw7epGokqcWrhQp0hKNTb2LYt9OkDy5eHY5dRvlTgKgfgP4btz8AngNkiskxEvi8iI0K0q/xp21YXUQ67wysm4cDDWyzbRqjxcfiwriH5wgvqLU0KUddgS+e883Q7bVr+4159VafFunYN3aSqJKr1RBcuLL4sy8CBOi1uxMeSJZo4s2NH3JY0Uc0eNufc151zdcBE4FngJmCeiCwUkX8VkUEh2li+RNHheV6IkSMLf+2JJ8IJJ5hgi4vGRrj6ai3tcPHF8OlPJ0e0Rb3KQTq1tfpDnE+wNTbCa6/BxImRmVV11NRoyZF9+8K7xqZNOkMwosjxvwm2ePnd77R24rXX6uDJ82zFzfr10a6DHBEFrSXqnJvhnPsndMWDScA04EuAzallI4ophcWL9TqdOxf3+gEDTLDFxZ13wlNPwY9/DP/yL1rq4fe/j9sqJU7BBjBpErz8ssaxZWPRIh3Rm2ALD8/DGuaPsFdHrRTB5hUgNqJl3Tr40pf0O/jMM/p9vO66ZAw6vRpsSU3qKZKCBFsaHYC+QD+gM3AgMIsqiSg8bEuWNMWiFcPJJ2vSgREt+/bBHXfAZZfBbbfBD36gsVhf/7rWpYqTvXv1BzBOwXbhhbrk1BtvZN//t7/p1ps+NYInikz3UleqGDhQtzbojJ5//VcdUP32t9qP/fSn8Mor8OKLcVtWkTXYoADBJiLtROTjIvIosAn4f+ji7zcBlbO6apDU1qq7P6wf4MZGFWxeensxnHwyvPtubk+GEQ4PPqiegW98Q0eBLVrA978P770HTzwRr22eVziuGDaASy/VhIcnn8y+/+mnNQygb99o7aomoiieu3ChhmYUm43sxeHatGi07NgBf/oTfOYzTdm9N96o9/EnP4nVNKAiVzkA/1mij6Ai7SGgI3Ar0Ms5d4Vz7iHn3O4QbSxfvA4vrCmF+nqt8l2KYBswQEt71NcHZ5fRPA8+qNNA557b9NyFF+pair/+dWxmAfHVYEunc2eYPFnFa+YUy7ZtOpIvppSN4Z8oShMVmyHqMWCAbk2wRcsjj+gswU03NT133HHwhS+o9zuqJc2yEdc6yBHg18PWHfg60Ns5d4lz7nfOue0h2nUUIjJcRF4Ukb0isj6VpZqnQFNCCLvDW7xYt6V62MCmRaNk0yYNmL/22qN/qFq0gM9+Fl56SSvgx0USBBvAVVdpyYY5c45+/skn1SN8+eXx2FUtHH+8PsL68XVOY9iKjV8DaN9e26kJtmh55BFdj/q0045+/hOf0O2jj0Zvk8eOHRrWUa0eNufcZOfcPc65LWEblImIdAGmoNOvHwW+D3wN+PeobSmYsGNAvPpppcawgcWARMnTT+uP1Uc/euw+b5miZ56J1qZ0vPYat2D75CehQwddGD2dX/5Sl2E744x47Komwiye29CgP67Fxq95WKZotOzZowlBl19+rGd08GDNGv3zn+OxDZIz4AyBYpMOouQfgXbA1c65F5xz96Bi7asi0ile05ohCg9bjx6l1aGqrdVYIRNs0fHMM1rwc/ToY/eNHKn7nnoqers8GhqgUyf1rsRJ587wd38HDz8Ma9fqc6+9BrNmwa23VlwGWCIJM9PdSzgoxcMGJtiiZvp0OHgQLrkk+/6rroLXX9eSMHFQoUVzoTwE24eA551zO9Oe+yMq4pKdItapk3oIwvKwLV5c2nQo6NI/dXU2JRoVzuno9PzzswsOEY3NeuEF7RTjIO6SHuncdhu0aqVTxWvXasxMTY0GOxvhE2ame6kZoh4DB2qyzq5dpdtkNM9zz0G7dnDOOdn3X3SR9nNTp0Zrl0eFFs2F8hBsQ4GjVvd1zq0F9qb2JReR8EaozgUj2ECnRc3DFg3vvANbtuTu7ECTD/buVU9SHCRJsNXVwX//t8b19eunnpQHHojf+1ct1NTo8ndhZJEvWaLLn5W6UoWV9oiWV17R5ePats2+f8IEXRs2rvIeJthipQuQLcFhW2pfsglrhLpxo8Z/lBK/5uEJtiQUPKx0XnlFt+nZoZl4Yu7ll8O3JxtxLkuVjc9/XqdYvvtdXYrt/PPjtqh6qK1VsbZpU/DnXrw4uP4LbFo0Crw1fs88M/cxrVtrfcQpU6KzK53167VUTLt28Vw/RFrFbUDQiMjNwM0APXv2ZFpz6xGGzNBWrThh1SreCNiOE+bMYQww9+BBtpd47trGRgbu2MGrTz3F7hYtYv/MKpmhf/kLJ3bpwuvr1uX1vJ5WV8eBxx9n/plnsnv37ujuyZEjnLdhA2sPH2Z10trBpElauy4BdkV6T2Kk69atjATmPPkku4YGOKHhHBMXLOC9Cy9keYmfY6tdu5gIrHjpJXZfdllV3Je4OGHuXMY0NjK/Qwe25vmca/v2ZeAzz/DaY4+xu02bSO/JiHnzaNu5M7MrsB3kFGwi8oUCzuOcc3cHYE82tqGrKWTSJbUv05BfA78GGD9+vJs0aVJIZvnkb3+Dl15i0rnnatmGoFiwAIAx119ffNFJjx074O67mdi7N9P27CH2z6ySueUWmDiRSZMn5z/uQx+iw4MPMmniRKa9+mp092T9emhspN9ZZ9HP2kFOpk2bVh3fk06d4DvfYVyvXiqYg2L9etizh5oLL6Sm1PM6B8cfz8BWrVjXsWN13Je4mDEDgFE336xerFy0aQN3383ZLVowLep7cuAADB5cke0gn4ftFwWcxwFhCbZ3yIhVE5E+QHsyYtsSSW0tHD6sUwqlCqt0Fi/Whdt7BrDIRHppjyBtNI5m925YulTX22uOs86Cu+9uKt0SFRWcEm8UQVirHQRRQ9JDROMbvUxiIzzefFNLd+QTawBjx+rU6IwZ8KEPRWObR0ODlhapQHK6fJxzLQp4hFnE9q/AJSKSHmX8CWAfMD3E6wZDWLXYvCWpgiht0K+fbq3DC5e5c9UbMHZs88dOmKDbmTPDtSkTr50mKYbNiI/u3fWHN4z+C4IRbKBLlK1ZE8y5jNzMnQvjxjV/XNu22s+lPHKRcfiwZgxXYMIBlEfSwT3o4vKPiciFqRi17wH/lVHqI5mEVYstqAxR0Iy7Ll1MsIWNV7HfT4c3cKB6UKMWbOZhM9Jp0UJ//IIWbEHOEIAOOk2whcu2bfoZZ6sfmY0zz4TZs5Eo16l+7z1dY7tC+6+Ckg5EpBYYDByTz+ucezYoozLOu01ELkCnaJ9CM0Z/hoq25BOGh23zZn0EJdhAR6gm2MLlrbf0B8rP6K9FC132ZeZMrfgfFQ0N6lHp3j26axrJJoy+IcgZAlDBtm0bLffuDeZ8xrHMn6/bMWP8HT92LOzbR7so16mu8AGnL8GWmo58BLjYeyq1Ta8DEdq0qHNuMVCeufw9emjhzyA9bEEsSZWJCbbwWbDA/+gUVLDdfjstDhwIz6ZMGhqgd+9gE2SM8qauTqvbB8nixXDFFcGdr29fAI57773gzmkczdy5uvXbh6WEXccoy614qxxU+ZToj4G+wDmoWLsKmAT8P2A1YIv65SKMKYWg4z/ABFvYHDmi962QZXhOPRWOHKH9u++GZtYxJK0GmxE//ftruzh0KJjzbdkS/AxBKg63rQm28Jg3T2cI/CamDR0KbdpEK9gq3MPmV7BdBvwQeDP1/3rn3MvOuZuBJ4Gvh2FcxdCnDwTpFl68WCtJ9+kT3Dn79rUphTBZtQr27y9MsKVGsh2jXDYsSascGMmgrk7jgoLqw8KYITDBFj4LF+pax35p3RpGjKBjlCtQNDTocos9ekR3zQjxK9h6AvXOuSPAHiA9p/dZmqZKjWz07w+rVwd3Pq9CeJCLX3tTCmFUNDdg0SLdFrJu4oAB0L49HaISbM6ZYDOOpa5Ot0F5esOYIejdG1q3tv4rLJxrijsshDFj1MMW1So669dXdEiH33dVD3RL/b0cuDxt3+nA/iCNqjj699fRaVBTCkEt6ZJOylvX1jq8cPAWui6kw2vZMtoR6o4dsGePCTbjaPr3121Qg87Fi6F9+2BnCFq0gNpa2m7cGNw5jSbWrdM6koX+7owZQ5vt23UpxSio8AGnX8H2AnBh6u+fAbeKyOsiMhX4AfBAGMZVDAMG6JRCEDFiO3boKCLI0SlY0G7YLFqknoqOqy45HQAAIABJREFUHQt73ahRKtiiGKF68R8Ww2akU1urg4cgPWzDhgXvBenXz6ZEw6LYaWwvQcFLWAgbE2wA3AZ8F8A59yBwDZpssA34IvDNUKyrFLwRahBTW2FMJ4C6kVu2NA9bWLzzTnFe0dGjab1zJ2zYELxNmVR4wK5RJK1aqWgL0sMWdP8F0K+fTYmGRbErU5hgCxRfZT2cc3uBvWn/Pw48HpZRFceAAboNosMLckmXdFq1gpoa87CFgXOwbFlxazF6S6zMnx9+qroJNiMX/fsH42HbuVOn14IO6QDo25fj3n9fQ09atw7+/NXMkiXQtWvh9Rk7d2Zf7960i0Kw7d6t7atCS3pAESsdiEhLEWmf+QjDuIrhpJO0AwnCw7ZokS774QUCB0nfvuZhC4OGBti7V9fgKxQvK8srWhkmXumZCu7wjCKpqwtGsL2TWv45JA+bNDYGvyqDofdt6NDmj8vC7oEDtSRI2Hg12Cp4wOlLsIlIJxH5hYisR5eJ2pXlYeSiZUvt8ILwsC1apF+cliHUKe7b16YUwmDZMt0OGVL4a7t0YX+PHtEItoYG6NZNBwSGkU7//vqDWGoRZ2+GIAwPm62JHB4rV8KgQUW9dPfJJ2sfGHbJqCqYIfC7NNWv0MzQe4HFwMHQLKpU+vcPzsN23nmlnycbffty3ObNWuQ1DEFYrSxdqttiPGxoh9c2ihFqhcd/GCVQV6dT+2vXFv3DDejUWps2TWEiQZJKnLI1RQNm3z7tG04+uaiX7/XaztKlWgw8LCp8lQPwL9guAf7ZOXdvmMZUNAMGNC3+XSw7dqi7v5BaXoXQty8tDh/WBXQruNFHztKlWsagSDG0Z8AAuv3pT+rdOO64gI1LwwSbkYv0WmylCLbFi3Xg0qqgZaz94WU325RosHiOhiIF2x7P87l4cbiCrQo8bH5j2PYA9i0ohf794f33NSiyWLzphBAFG2BTCkGzfLn+yBVZ6HhPXR0cPgxhL/Fiy1IZuQiqFlsxxVf90r49h44/Pth1m42mfmfgwKJevq+mRgW69/sVFg0NcPzx+qhQ/Aq2nwJfEJHKLB8cBUFkihZTLb8Qki7YDhyAb31LA/G/+U0VMeXAqlVFd3YAe70RqlfSJQwOHND1HSt4dGqUgJc4VUriwb59+l0II34txYHu3ZPtYXv6aTjrLLjkkuT2s5l4hbuL9LC51q11wBq2YFu/vuJnhvz6pWuA0cDSVLHc7Rn7nXPutkAtqzTSa7F5tWkKZdEiaNeu6VxB41UeT2pH8s//DHffDaefDrffrsWI77gjbqvy09ioIv3yy5s/Ngd7vfsSpmCzorlGPlq21AFdKQPOZcs0liksDxsq2Dom1cM2dSpcfbX234sWwTnnaDJR585xW5aflSvhhBPgxBObPzYXw4fDggXB2ZSNKgjp8OsxuxZoRAXeRcDHsjyMfATlYQujQrhH584cads2mVMKM2fCPffAV74Cb7wBt9wCP/lJNNmTpbBxo3qvSgiybmzbVjPgwhRsnlciyOWCjMpiwIAmb0sxhFVDMo0D3bol08PW2KgDzr59tS/76191YHznnXFb1jwrVpQ0QwDoPV+xovQs43yYYFOcc/2beYSQ8lNhdOmiI6lSMkUXLQpvOhRARKcUkijY7rhDR3g/+IH+/8MfaiD/z34Wr13N4d3vUrPihg1rqmEVBvX1ujXBZuRi0KAmL1kxLF6sg81Skhaa4UD37po0dTBhhQyefFJrkX3ve/o7cNZZ8PGPw3/9F2zbFrd1+Vm5sujp0A8YNkxFq1fiKGgaG6tiStRi0qJkwIDiBdv27dogwxRspEaoSRNs772nHd5nPtMUUNqlC3z2s/DQQxp7lVS8+13qNLYn2BobS7cpG55gsylRIxeDBmmm+pYtxb1+/nytRRhipvOBbt30jyiWciuE3/xGvWuf/GTTc1//utYme/TR+OxqjkOHNG6xVMHmeVXDmiXYvFljms3DBiLy6TyPT4nIFSLSL2xjy56BAzVjsBjCTjhIkcgphYce0i/j5z9/9POf+5x2KE89FY9dfli1SrND+5X49Rg2TIO2w4ovrK/XOJVCF6c3qgfPM1ZsHzZvXvHxuz456C2dlKQ+bMsWeOEFuO66o+tbjhunJU4eeig+25pj7Vqty1mqYBs8WL2rYSUeeANOL3GuQvHrYbsP+F3qcV/a43fA/cATwCoReVJErMfPxZAhGsNWjLs+KsHWvbt68sLy5BTDU09pZmhmdtmYMSqEnngiHrv8sHq1eq1K9Sp4y8KENUJdt86mQ438eIWfi5nW2r5dC9qGLNgOJFGwPfaYDjjTvWugA7kbboDp05PnEfQosaTHB7RrpzNMYQk2byBb4X2YX8E2FlgBfBMYBnRLbb8FrAQuBD4DnAPcHryZFcKQITpaKSZwd8EC9X6U6qlphoPdumnnkpRpxu3b4ZVXsmdZisCVV8Lf/qYL/yaRVauCyer1xGpYcWz19RXf2RklUlenHqJiPGxectCoUYGalMkHgi1JYR3PPKN9QDaxevnlGhM4ZUr0dvmhxJIeRzF8uHnYSqSQOmy/dM79xDm31Dm3NbW9A/gl8F3n3O+BHwJXhWVs2eOtJVnMj+5bb6lHKawM0RQfxIAkZYT6t7+pyP3wh7Pvv/xyzTx69dVo7fLLqlXBLMPTrZs+wvKwmWAzmqN1axUexXjYvKXVQvawHe7QQZORktJ/HT6s5Twuuih74ewxY/R7/cIL0dvmh5UrdW3h3r1LP9fw4dp2Dh0q/VyZ1NernV27Bn/uBOH31/9MINcvxRLgtNTfc4DK/sRKwRNs3tqSfjlyRDu8MJf1SJG4EeqLL2pW1RlnZN9/5plaRXv69Gjt8sP+/Tq9HNS6icOGhSPY9u3TOBtLODCaY+jQ4gac8+frj2nYWXwi2o6T0n/NmgW7dqlgy0aLFnDBBephKzb7NkxWrFDvWhCOgmHDVKwFsaZ2JmvX6oCzyNVkygW/d2EdcGOOfZ+ladmqLsD7JdpUuXTqBL16FS7YVqyAPXtg7Nhw7ErjAw9bUjq8V16Bs8/OvRh9hw5w2mnJFGxeVfigCh0PHRqOYPPutXnYjOYYPlz7r0JXGfESDqL4Qa2tTY6HbcoUfc+TJ+c+5sILNYat0N+FKHj33WD7LwinD6uvr/jpUPAv2L4NfFxE5ovID0Xkn1Lb+WhR3X9JHXcR8EoYhlYMxYxQ33pLtxF42A526aLiKAkd3pYt+uWeODH/ceedpyPZPXuiscsvQdVg8xg2TNejLbasQi6sBpvhl+HD1UtSSBzukSOwcGHo06EfUFOTjP4L4LXXYMSI/FN1Z52l2zfeiMamQggyVCJMweZ52Cocv4Vz/wycASwFrgd+nNq+A5zunPtL6rgvOOc+EZKtlcGIEZrxWYj7++23oU2bUCuEf0DLlhqvkAQP22uv6facc/IfN3Gijvhnzw7fpkLwVrUIUrBB8B2eCTbDL14fVEjw+PLlOu0elWCrrU1GpntjI7z5Zu5wDo+hQzXsI2mCbfduLeobVL/QqZOK6aATpw4dUg+lediacM7Nds59LLWyQbvU9uPOuTlhGlhxjBihMQ1r1vh/zVtvaVmL1q3DsyudmppkCLbXX9f3PH58/uNOS4VQzpoVvk2FsGqVprP37BnM+cIWbBbDZjSH1wYLEWxewkHIGaIfUFurA7hNm6K5Xi6WLtUs9zPPzH9cixa6PnLSBFsYmZdhxOGuX68OkCoYcNpKB1EzcqRuFy70d7xz6mGLYDr0A5Ii2GbP1lF527b5j+vRQzuVpHnYvJIeQcXt9OmjGXBBd3jr1umUTbt2wZ7XqDy80kJeXUg/zJuniUFRzBBAU7X7uKdFPQHWnGAD9cItWJCs8kRheN69kKAgEyy8GmzV7GETkUdE5OS0v/M9/hSdyWXOiBG6XbDA3/Fr18LWrZEkHHxAEoJ2nYM5c7QauB9OOy15HrY1a4Ktm9eihWYah+Fhq4LRqREQI0b4779Av5cjRoS6JNVReJ7iuAedM2fqVKdXcDgfEyboFKrnjUwCYQi2YcN0hmn9+uDOWUUhHfk8bN0Bbw6uR+r/XI8eIdpYWXTqpD/ifju8t9/WbdQetl279BEXK1fquoXNTYd6jB+vHq33E5SkHIYQCmMReBNsRiGceqoOGvbta/7YxkYVbKefHr5dHp5gi3vQ6c2M+CmJMWaMbufODdemQli7VmcHglyfM4ywjipZ5QDyCDbn3GTn3Dupvyel/s/5iM7kCmDUKP9fzLfe0i98VPEf0PQFjXOE6k1v+vWwecclZYS6f79mc4Yh2NasCTYj1gSbUQhjx2rmp59B57JlOvCaMCF8uzy6d9fY1zj7ryNHtPac34H2SSdpAd0kCbb6ei1D1aZNcOcMI1O0vh66dKmKdZBLimETkROCMiTH+TuJyL+LyEwR2SEiG0XkcRHx4WNOMOPHq5fEjwfr9ddVrLVvH75dHkkYob71lnYUftdO9QSttwRO3HifXRiCDYKr2bRnj2aCWcKB4RdPhHjlhvIxc6Zuo/SwtWihAijO/mvpUvVA+hVsIupl82ZUkkAYA7levXSaOGjBViUDTl+CTURuEZFvpP0/RkTWAe+LyBwRCau37wv8PfA8Wu/tH4DewJsiUr536LTTmmK08nH4sAaunn12NHZ5JMHDNn++Bin7Hd317KnJB4XE1oRJWHEVxWTp5cMr7ltXF8z5jMqnXz/1aPgRbG+8Accf3+RZiYq443A94eVNdfphzBhNRgtj6aZiCEMIiQQf1rF2bVUkHIB/D9uXgJ1p//9fYD1wQ+oc/xmwXR6rgZOdc//qnHvBOfckcBkaW3dTSNcMH79lKObPVw9ItQq2QqeBR45MjoctLME2cKBm3AU1QvVqxQVVzdyofER0WtRPks/LL2th2FwrlYRF3Jnuc+dqkkUhQnX0aF0Xefny8Ozyi3Phea6CXrHFPGzH0BctmouIdAfOBr7hnPsj8APg/DCMc87tcc7ty3huK7AGCHlRuhDp1k09Gs11eF7h2KgFW7t2cOKJ8Y1Qt2zRQoheCRS/jBqlI9QjR8KxqxDCqm3Wpo2KtqA8bCbYjGI4+2wdHO3cmfuYLVu0/Md550Vnl4fnYYtrfc5Fi9STVEjtTK/sSRgrARTK1q2wd284nqthw2DjRq1RVyp79qitJtiO4gDgzU1NBvbStATVViDUWLZ0UoJxILAsqmuGwhlnqCDL16FMnapfmDjcvXGOUL1pzUI9bKNGabB/IcvmhEV9vQrzMGqbBVl8cvVqjY/sYYneRgFMnKgZoPmKvb78sm7jEmx79wYjCoph8eLC684NGaLbJAi2MEtleGEdQUyLegXogyyflGD8CraZwK0icgrwZeA555znxhiATo9GxU+B3cB9EV4zeCZP1lo0udzfhw7Biy/CJZdEa5dHOQq2sFYCKIYw3fTDh8OKFXDwYOnnWr1avb1RLMptVA5nnKHB/d4sQDamTtUBi9/SPEESZ1jHnj0qJLz+yC8dOujgPOiyPcUQpmALMlPUG5yffHLp5yoDWvk87mvAU8ACoJ6j48c+AeT51h6NiHRGEwfy4pUUyXjtLcCngGucc1kLbonIzcDNAD179mTatGl+TYuUdh06cDqw7J57WH/FFcfs77xgAafu3Mmimho2R/gedu/ezbRp0xjSogVdV63i9Rg+v8EvvED3Tp14bcmSgjqvVrt3MxFY+eyz1HfuHJ6BPhj/zjvs79WLhQF8ft498ejhHMOPHGHmQw+xt8SpzPELFnCge3cWJPR7klQy70k1Mu7kkzny5JPMnZylqpNznP7oo+wZM4aFr78emU3efem0aRNjgfnPPsvWLVsiuz5Ax6VLGQ8sbGxkS4FtZFTPnrSeOZM5Mbetk158kcHA6/X1HPRTby8Pmd8VOXKEc1q3Zt3zz7OqxP6r5vnnGQS8tmEDh6rh++ic8/0AugKS8dxIoHsB5/g84Jp7ZHndFcBh4Ot+rzVu3DiXWBobnevTx7lrr82+/9vfdq5FC+e2bo3UrKlTp+of3/2ucyLOHTwY6fWdc86de65zZ59d3Gt79nTuppuCtacYTjjBuVtvDeRUH9wTj7fecg6c+/OfSztxY6NznTo598UvlnaeKuSYe1KNfOtbzrVsmb2PWrhQ2+ivfhWpSR/clzVr9Pq/+U2k13fOOffAA3rtJUsKf+1XvuJc+/bOHTkSvF2FcNttzrVuHYgdWb8rI0Y495GPlHxu9+UvO3f88dqXVQjAbJdD0xRUh805937qhOnPLXDObS7gHPc656S5R/prRORs4I/APc65nxRic2IRgYsvhuef17irdJyDRx6Bc8/V9Pk4qKlROzZsiP7a77xTfBmAIUOCq1FWLLt3a+xMWFOiQ4Zo+yk18WDbNg0at4QDoxg+8hFN8HnuuWP3PfWUbi+/PFqbPHr31u9IHFOiixdrskEx03TDhmnsXdyrNNTX62+An1UaiiGoTNFVq2DAgKoJ6Uj84u+puLmngOfQ+LnK4ROf0OK5zz579PNz5mhs2w03xGMXxLeA8tatsGlTeQu2sNe2a99e485K7fAsQ9QohQkTNFnliSeOft45eOABjXM7KaZk/tat1ba4BNvgwYVliHokJQ437FIZw4ap2Mp0VhTKypUq2KqERAs2EemBCrXdaO23CSJyRupRYApOApk8WTuVP/zh6Ofvu0+/7NdcE4tZQHwLKHtiqxTBtmVLvGuKRrEY8bBhpXvYTLAZpdCyJVx7LTz5pA6yPF57TQXH3/99fLZBfMVzlywpPEPUI4ylm4ohCsHW2FhazbnGRu3DTLAlhuFALdAHmArMSHv8Mka7gqFVK/i7v4PHH28Krm9ogHvvhU99Kr7pUIgvy8r7HEoRbBCvl+3/t3fn4VVV5/7Avy+ESaYwhyGBBISAyDw5z4jiVWtFq7ZW723tVevUVm376FOt0+9Wfh1sr9ap7XPba/VKqzhhpQoqiiAYg8wkQBIEQQSEEOas+8d79s0xJGfca6+9c76f58mzYWefnTc5ZPHuNbwrqIRtzZrsas4xYaNs3XSTFnt9/PGGczNn6u4Gl1/uLi7AzUp3r6xQpglbr15aA9PlStEjR/TnZrOclB+lPT77TH/eTNjCwRgzP8E8t9Ndx+eLO+7QIa4f/EAbvltv1V+Yu+5yG1f37lqpO+gn1NWrtThsplsleYme64RNpCHptWHECP334iVdmdiwQR8KHK+opQgrLQWmTwceflh/5154QXvcfvxjLVPhkouEbe1a7flJt6SHR8T/nQDStXWrlpWy+cA5dKh+r9l8n+vX6zFHSnoAIU/YckLv3sCDDwJz5uhE2Vmz9O+unxpEdEjBRQ/bscdq72MmBg3S4WTXCVtBQWZzWFLlx1yXDRvYu0bZe+wxfbibMEGHSEeNAn70I9dRacL2xRe6CXtQvGkKmfawAf7vtZmuIEYIjjlGi91m0355Ndhc/18ZICZsYXDTTTqPbfp04Mkngdtvdx2RcvGEms0KUUATvSFD3CdstrdK8WMTeCZs5IfCQi3yfdVVOlLw7rvaS+6aNw93c4B13Veu1JWVQ4dmfo/hw3VO4I4d/sWVjiASNiD7xHT9eu1YyJFdDgAmbOFxxRXAn/8MfOc7riNpEHTCdvCgPjVlk7AB7leKBpGw5edrj2ymT6j19cDGjUzYyB+jRgG//70OjXbp4joa5WIe7po12uPTrl3m93A9D9dL2GxviVhaqt9jfX1mr1+/XtvZMDwcBIQJGzXPGxINagPlykqdv+dHwlZRARw+7E9c6TAmmIQN0GGXTHvYtmzROXA5NJxAOcZFaaKKCp3SkQ1vTparPZGrq3XI0vait+HDdbh648bMXr9+fU7NXwOYsFEi/fvrf+pBlcjIdoWoZ9gwnTSbzYT8TO3apXsJBpGweZvAZ5JQe0/v2QzdEIVZ0KWJjNGEbciQ7O5TXKxDfa4SNu+B03YxWm+v6GXLMnt9jtVgA5iwUSJBDyl4CZs3JJApLwmpqMjuPpkIav4HoAlbbW1mPQhr1+qRCRu1VJ0760dQPWzbt+vOIdkmbO3ba7LpOmGzbeRITQrLy9N/7d69upqVCRtRjPeEGlSDt3atzsvq3Dm7+7gcUggyYTv+eD1m8oS6Zo0Oe9gsPULkWpDzcL0HxGwTNkDbMJcJm+35a4CWfRkyJLOEzfvZcEiUKCboHjY/5n8AQJ8+mox4dXqCFGTCNnq0HsvK0n/t2rX6s7a1VyBRGARZmshL2PxIIlwlbAcPakHaINovQNuwTB44vcVWmda7iyi21tS8vn31P/Sgetj8mP8BaDd7SYm7Hra8PK3DZluXLtqwf/xx+q9ds4bDodTy9e8fbPvVqlXmRb/jDR6sQ361tdnfKx3eIrMgE7bKSt1TOx2rV2s778cDfoQwYaPmeYlHEA1eba0+2fnVxV1S4q6HrV8/3WcxCGPHpt/DdvCgLsjIdq4gUdj1768rorPZwi1VFRU6lJhNSQ+Pq2kdQY4QAA2jBJ98kt7rVq3SxRkdOvgfU4gxYaPEgtpA2Uuu/OhhA7TBW78+uJIknqAm7HrGjNHv88svU3/NunVa+4gJG7V0AwZosha/Ob0tfo0QAO4TtiDmsAENCVu689iyLbAeUUzYKLHCwoZfYpv8nLALaA9bXZ0OKwQp6IRt7Fg9ptPgLV+ux5Ej/Y+HKEyCrMXWkhK2oNqwwkItAp7OPLYjR3RKR47NXwOYsFEyAwboL7Htnio/J+zG3yfIBs8Y/Y/BRcKWzjy25ct1yDYHn1ApxwS1cGrHDv3wK2HLzwd69Ag+Yauu1oK5HTsG8/VEtB5bOg+cVVXA/v052X4xYaPEBgzQmje7d9v9OhUVQK9eQNeu/tzPq88T5Dy2zz/XQsNBJmwFBUDv3unNY1u+XCfrtm9vLy6iMAgqYfMSK78SNsDNStGgRwiAhpWiqW5R5fXGeWWNcggTNkrM++W1PSxaUeFvTZ1Bg4KvFu79jLz6dUEQ0V62dHvYOBxKuaB3b108ZXtI1O8pHYC7hC2o+Wue0aO1UyDV77W8XNu9HGzDmLBRYkEVz/Vz/gegK7UGDAi2hy3o+R+eMWOAFSt09WcydXXaMOZgY0c5qFUrXbVtu4fNS9j8rLw/eLAOUR465N89k3HRwzZhgh4XL07t+vJyHSEIatg2RJiwUWLeL6/NhG3/fr2/nwkbEHwtNlcJ29ix2qh7iwkSWbZM59rl4HAC5aggdjuoqNAHRD/LTAwerBPsq6r8u2cie/fqPLyg26/jjtNC54sWpXZ9eXnD6tIcw4SNEuvbV7ufbQ6JbtigSYTfCZtX2iMomzYBbdvqXLwgTZ6sx4ULk1+7ZIkeJ060Fw9RmARRmsjvEQKgYYpIUG2YqwfOvDztZUslYdu9W38eTNiImtCmjf3iuTbmfwDaw/bZZ/rkGISaGv3PIejtngYO1GGf999Pfu2SJTqvJ8h5dkQueT1sNle620jYgl44FXQNtniTJ+s83AMHEl/nLa4aM8Z+TCHEhI2SKywMJmHzeyNf734bNvh73+a4mP8BaA/oiSemlrB9+KH2ronYj4soDPr3t7vSffduLczrd8LWt6/OxQ2y/QLctGFTpugc3KVLE1/njSJMmWI/phBiwkbJebXYbKmo0HIePXr4e18XT6guGjtAE7aNG4HNm5u/prZWt3TxJvkS5QLbC6dslPQAtKd+4MBg2y+RhlIoQTr1VD2+/Xbi6xYu1AUHfv9fERFM2Cg523NAKiu1sfO716e4WI9BPKEeOaLDLq4StlNO0eO8ec1fs3ChDgt5c96IcoHtWmy2pnQA+tAZVA9bdTXQp4/Oww1az566ECpR+2UM8MEHwAknBBdXyDBho+QKC4E9e+wNKdiY/wFoI9CxYzBPqFu3AocPu0vYxo7Vp865c5u/Zt483eHg5JODi4vItaASNr+ndAD60JkLIwQAcPrpwHvvNV+eaP16HXrO0eFQgAkbpcIbUrAxLHrokA7l2WjsRLTBC+IJ1eX8D0ATsbPOAt54o/nJ1fPm6fy1zp2DjY3IJS9hszWto6JCF2Z16uT/vUtKgJ07gV27/L93Yy6K5sY780ytE9ncXFzvYfTMM4OLKWSYsFFyNueAVFXpcKKNHjYguCEF1wkbAEydCmzZ0nQ9tj17dMHBGWcEHxeRS+3a6VCfzYTNVvsV1LQOY9z3sJ19tr5Xs2c3/fnXX9cdbIYODTSsMGHCRsnZLJ5rc/4H0NDDZnvz+jAkbNOn60Tl//mfoz/32muaGE+dGnxcRK4VFuocLRtsJmzewinbCduuXbqS1mX71akTcM45wIsvHt1eHzwIvPkmMG1aTq9wZ8JGydksnhtEwrZ3L7B9u537e2pqtFp3t252v04iBQXag/bss0c3eM89p5/3FicQ5ZKiIjvtV12drsy23cNmex6bl8y6TNgA4OKLdYpM4/Iec+fqKvfzz3cSVlgwYaPk2rbVIQUbPWyVlZroFBT4f28guCEFbzjB9dPfFVdoEhy/68Hu3drDNmOGznUjyjVFRZqU+N3T7iVSthK2/Hx9CAyi/QLczmEDgK9/Xf8/eOyxr55/6ikt+H3uuW7iCgkmbJQaW8Vz163TBQe2Ep2gnlBdz//wXH65rha9//6Gc488ohXEv/1td3ERuVRUpD3tO3f6e1/bIwRAMCtFwzClA9AE9VvfAp55RleEAhrbyy8D11zjpuRIiDBho9TYKp5bUaGFEG0JuofNtU6dgB/9CJgzB3jpJV3UMXMmcNFFwPjxrqMjcsP73fS7DbNZ0sMTxMKpmhrd07NPH7tfJxW33abzba+/XntEb7hBE7Xrr3cdmXNM2Cg1NnrYjhzRJ0ebT6edOulm7DYbvEOHdHVmGBI2ALjpJt3NYMaMhj33HnrIbUxELnlDfX4vPKio0HqP+fn+3jeet3Cqvt7e16iXNPh2AAAbTElEQVSp0fInYZgyMWwYcN99wN//rgnkK68ADzygK0RzXKQSNhG5RUSMiMxyHUvOGTBA50L5WTy3ulqTHZs9bID9WmybN+uTYFgSto4dtYftu9/VOR/vvAMMH+46KiJ3bCZsNh84Ae1hO3hQHwptqa52P38t3u2367y1iROBp58Gbr3VdUShkOc6gFSJSG8A9wD43HEouSm+FtuIEf7cM4j5H4AmbEuW2Lt/WOZ/xOvZE/jd71xHQRQOvXsDbdrYGRK1vfI6fh6urX0+a2rCteVTq1bAv/2bftD/iVIP20MAXgGw0nUgOclGLbYgEzavQK8NYUzYiKhBq1b+12I7cEDvF0QPG2BvlKC+Xtt1tl+hF4mETUQmAbgMwI9dx5KzbOx2UFEBdOgA9Ovn3z2bUlys+3za2sCeCRtR+HmlPfziFeS2nbAVFekqelsrRbdt06kpbL9CL/QJm4gIgN8C+IUxxtLuvZRUv37+F8/1Snq0svzP0PZK0ZoaoGtX7tFJFGZ+F88NaoSgXTt9YLbVfnlJbJjmsFGTojCH7VoAfQDMTOViEbkOwHUA0KdPH8yfP99eZC1QbW1tsz+zE3r0wI4PPsAan36mE5ctQ11hIVZYfo/ab9uGKQBWz5mDzyzcf2RZGdp3744llr6PRO8JucH3JJwSvS/F9fUo2rQJ77z5JowPqyEHvP46hgBY8NlnOGz538KYbt2AsjJ8bOHr9Hz7bYwEsGTrVtRauD9/V/wTeMImIl0B9E12nTFmdezahwDcZIzZl8r9jTFPAHgCACZMmGBOP/30LKLNPfPnz0ezP7Nhw9B3/3709eNneuQIsGULOl52WfNfzy+HDgFXX43Sdu1QauNr7dsHlJZa+z4SvifkBN+TcEr4vqxdC/zlLzht6FB/hv9mzQLy83HyhRfa3+Fk3Dhg7lw7/+Y+/hgAMOFrX9Oi2z7j74p/XPSwzQDwZArXCYCfAqgG8IaIeIVu8gC0if19jzHG0kxyOsqgQcCCBf7ca9MmXapuezgB0NVhtocUJk60c28i8kd8aQ8/Ejbbu7TEKy4GPv0U2L8faN/e33vX1Ohc4u7d/b0v+S7wOWzGmKeMMZLsI3b5MAATAOyM+zgJwIWxP4doHXIOKC7WROvw4ezvFdT8D4+t7V3q6nRjec7/IAo3v3c7WLsWGDrUn3sl460Urary/941NQ0LGyjUwr7o4C4AZzT6KAfwTuzPn7gLLQcNGqRDmX40eF7CZrtorsdW8Vxvwu7Agf7fm4j84z1U+ZH0HDig9wmy/QLsPHT61eNI1oV60YExZnnjcyKyC8B2Y8z84CPKcV6jsXFjw58ztW6drn6yVQiyseJirRS+b592//uFK6yIoqFzZx3227gx+3tVVmpJj6B72Gw8dNbU6I4oFHph72GjMPH2cvOj0aioCKakh8fWkAJ72IiiY/Bgf3qp1q3TY1A9bAUFOnfN7x62Awf0QZbtVyRELmEzxpxujLnUdRw5qbBQEyw/nlArKoJr7AB7tdiqqvRnYrv4LxFlr6TEn6Rn7Vo9BtWGidiZ1lFToz2FTNgiIXIJGznUpo0mbdk2GvX1OqQQ1IIDwN4ckOpqHdbNC/XsAiICNGHbuDH7hVPr1ul+vd26+RJWSmwsnPJGHJiwRQITNkrPoEHZ97B5y9ODTNgKCnTOnI0eNjZ2RNFQUuLPNnVBrhD1eL2Dxvh3TyZskcKEjdLjR7d80CtEAR22HDTI/4StupoLDoiiwpvLmm1P1bp1wbZfgLa9u3cDO3f6d8+qKh1u9faKplBjwkbpGTQI2LxZJ6tmypuwG2QPG6CNtZ8Jm1fihE+nRNEweLAes0nYamu1DXTRwwb424ZVVen827Zt/bsnWcOEjdJTXKxd8t7qyExUVGgDEfRTnd+Tdj/7TIdX2MNGFA0DBuh802wSNhcjBICdebic0hEpTNgoPV5pj2zmsXlbuviwAXNaiouBXbv8G1JgDTaiaGndWtuwysrM7+GtEA26h83GSncmbJHChI3S40ejsWoVMHy4P/Gkw+8GjxN2iaIn29IerqZ0dOmim7P71cPGKR2Rw4SN0tOvn5b3yDTpOXBAhxRGjPA3rlT4nbCxh40oerItnrt2rZby6djRv5hS5ec83C1bdEoHE7bIYMJG6WndWhMfbx5Hutat0ye7ltLD1q2bbnlDRNFQUgLs2KHTIzKxenXww6EeP2uxcYQgcpiwUfqOPbZhWCBdK1fq0UUPW7duQH6+vz1s7F0jipZsSnvU12sbNnKkvzGlqqREE60jR7K/FxO2yGHCRunzErZMCjiuXKl1f4YN8z+uVPi5UpQTdomiJ5uErbpay3ocd5y/MaWquBg4dEiLj2eLCVvkMGGj9A0dCtTVaS2idK1apQ1mhw7+x5UKv4YUjNHEj40dUbR4CVsmK0VXrNCjq4TNz1psVVW6iMHFXDzKCBM2Sp9Xf8hb3p6OlSvdDId6iou1JEl9fXb32b5dn7S9QpxEFA1dugC9e2c2rcN1wuZnLTaOEEQOEzZKn5ewpdvgHT4MrFnjPmE7cECL3mbDezpnwkYUPaWlunggXStW6Er5IDd9j1dUpNvs+dXD5tXVpEhgwkbpKyzUjdTXrEnvdZWVOv/CxQpRj18rRb2EzRuiIKLoyDRhW77cXe8aoCWVCguz72Grr9eRBiZskcKEjdLXqpUuGki3wVu1So8ue9iymb8Sz2swvQSQiKKjtBT44gud2pCq+nptw1ytEPX4sXBqyxZg377gi/9SVpiwUWZGjGgo0ZEq7/rSUv/jSVVJiSacmZYl8VRWavFMV4sniChzXhuUzkPnhg2a5LjsYQOy36kBaKijyYQtUpiwUWaOO0671GtrU3/NypU6B8Nlodm2bfUJNZMFE/HWr+dwKFFUedMyvF7/VLhecOApLtY5uHV1md/DS9g4BzdSmLBRZrxhzXSeUJctcz+cAOhwbrrz7xqrrGRjRxRVRUXaO57OKIGXsLmc0gE0PChu3Jj5PSorgbw8Fv6OGCZslBmv0Uq1wdu/X68dO9ZeTKkaOlSHRDMt7bFvn9agYw8bUTS1aqU9ZZ98kvprli/XBKdLF3txpSKbwr+eigrtqcvL8ycmCgQTNsrMkCG6Ysl76kxm+XLdTmXMGLtxpSKbwr9Aw4Rf9rARRdeoUUB5eeo7tixbBhx/vN2YUuGVVcpmlKCigvPXIogJG2UmL0+fUMvLU7u+rEyPYelhAzKfx8aSHkTRN2qUrhLdujX5tXv36gjB+PH240qmRw+gV6/MypIAmqAyYYskJmyUubFjgY8+Su0JtaxMhxLCUAbD28c004TNG4pgDxtRdI0ercdUHjrLy3UKxYQJdmNKVaZ15ADg88+BPXvYfkUQEzbK3Lhx+sufytDi0qWa4LUKwT+5fv2AY47Jroetc2egZ09/4yKi4HjDm8uWJb92yRI9hqGHDcguYfNGCNjDFjkh+N+TImvcOD1+9FHi6/bv1x62KVPsx5SKVq10Hkg2PWwlJYCIv3ERUXB69NBdA5YuTX7tkiVA3776sBcGw4frcG46hX89rMEWWUzYKHOjRmnSkixh++gj3ZIqLAkboPPYMp20y5IeRC3D5MnAokXJr1u0KDzDoUBmhX89FRX60MptqSKHCRtlrlMnfdJL1uAtXKjHE06wH1Oqhg7V1Z4HD6b3usOHtYeNT6dE0TdlitYzS7TwYOtW7Y0/5ZTAwkoq24StqEj3g6ZIYcJG2Tn5ZOD997VkR3MWLtTFBn36BBdXMsOGaczp7sm3caMmeS43sCcif0yerMdED50LFugxTAlbURHQvn3mCRtHCCKJCRtl55RTgC+/1DprTamvB+bPD1djBzSU9kh3WNTbysblfqhE5I9x47REkTcK0JR339VdEbw5u2HQurU+dKabsHklPZiwRRITNsqOl4h5T6GNlZUBX3wBnHNOcDGlwivtkc5egkBDA+m9noii65hjgEmTgLfeav6aefN06LRt2+DiSkUmK0U//xzYsYMjBBEViYRNRAaKyF9FZIeI1IlIuYhMcx0XQbvmCwubb/DmztXj2WcHF1Mq8vOBAQOa7xlszurVOrTbrZuduIgoWFOnAh9+qA+WjdXUaNmP884LPq5kSkt1Ssf+/am/xttK0PUG9pSR0CdsIlIIYCGAfADXArgQwJ8BdHAZF8WIANOnA//4R9MNx+uva72jgoLgY0vm+OPT20sQ0ISNw6FELcfUqTpU+OabR3/u1Vf1eMEFwcaUitJSnXKybl3qr/ESNtcb2FNGQp+wAXgYQCWA6caY2caYfxpjZhpjXnAdGMVcdJFu3dK4l+3TT4F33gEuucRNXMmMHKlDoocPp3a9MXo9EzailmPiRO0xf/nloz/30ktaczGMv/NeTF4SloqVK3XHmbDUk6O0hDphE5GuAC4B8Kgxpt51PNSMM87Qyv/PP//V888+q0nOVVe5iSuZkSN1xadXSDKZTz8Fdu7U+nNE1DLk5QGXXQb87W/A7t0N5zdt0pGDGTPCWSR7+HCNPZWdGjwrVmjvWhi/H0oq1AkbgHEA2gAwIvKeiBwSkU0i8hMR/osLjXbtgCuvBP76V2DbNj13+DDw5JP69HrssW7ja87IkXpMdVjUaxiZsBG1LNdeC+zbBzz3XMO5p57SB87rrnMXVyLt2mnS9vHHqb9m5UoOh0ZYnusAkvAmPj0O4DEAdwE4A8D9AL4E8GjjF4jIdQCuA4A+ffpg/vz5gQTaUtTW1mb0M+tw4omY/PjjqL7lFqz/3vdQ8OqrKF2zBsvvvRfbQ/oetDp4ECe3bo2a2bOxoVevpNcXvfgiSgC8u2sXjgT4PWX6npA9fE/CKeP3xRiMHzIEbe6+G4sHDEBeXR0m/vKX2D1pEj6prgaqq32P1Q+lBQXotngxFqbwPbfdsQMnbtuGdR064FO2X9FkjAn0A0BXAKXJPmLXXgnAAHi20T3+AKAm2dcaP368ofTMmzcv8xdfc40xgDE332xMx47GTJliTH29b7FZMXq0Meeem9q1V1xhzMCBVsNpSlbvCVnB9yScsnpfFizQ9mvaNGMmTzamfXtjVq/2LTYrZs7UmLdtS37ta6/ptW+/bT+uOPxdSQ+AJaaZnMbFkOgMAKtS+ACAnbHjvEb3eAvAABHpYj1aSt1jj2m9tUce0Tplf/tb+OdKjB+vmz/rg0Biy5ZxOJSopTrpJOA3v9GFUhUVwNNPh7/e4pgxekxlWLSsTI+jR9uLh6wKPGEzxjxljJFkH7HLvcSt8f/63t+5ECFM2rcH3ngDqK0FliyJxkqkceOA7dt1gnEidXVa0oONHVHLdfPNunfopk06Lzfsxo7V45Ilya8tK9MVr1272o2JrAn1ogNjzEYAKwCc2ehTZwGoNMbUBh4UJdexY/h71jzjx+tx6dLE1y1dqnuPTppkPyYicqdTJ334jILu3XWbvUR7oXrKyhoSPIqkUCdsMXcDuFREHhaRc0TkAQDfAvAzx3FRSzB6NNCmDfDBB4mvW7xYj95m0UREYTBlirZfiaZ1fPEFUFnZ8IBKkRT6hM1ogdyrAVwA4FUAlwO40Rjz304Do5ahQwdgwoTm90L1LFoEDBoE9O4dSFhERCmZMkWHcauqmr/m/ff1ePLJwcREVoQ+YQMAY8xfjDHDjTFtjTFDjDG/dx0TtSAnn6x7CSbak2/RIg6HElH4TJmiRy8pa8qCBTqSMGFCMDGRFZFI2IisOuUU3fHgww+b/vz69VqHiU+nRBQ2o0YB+flHbw0Y7733dDi0A7fgjjImbEQnnaSLJJra/BloOH/OOcHFRESUitatgTPPBObObXoeW12driLlA2fkMWEj6t5dhxVeeaXpz8+dC/TvH/6aTESUm845R0cB1q07+nP//Cdw4AAwbVrwcZGvmLARAcC//IuW7ti8+avnDx3SHrazzopOqRIiyi1Tp+rx1VeP/twrrwBduujUD4o0JmxEAHDBBXqcPfur5994A9ixA7jkkuBjIiJKRUmJzlH770bFE44c0YTt3HOBtm3dxEa+YcJGBAAjR+rk3ccf/+o8kP/6L6BHD+C889zFRkSUzDe/qaMEq1Y1nHvtNWDLFmDGDHdxkW+YsBEBOtx5441AebmuqAK0rtHs2cA3vsGnUyIKt298Q0t3zJzZcO63v9X5txdf7C4u8g0TNiLPVVdpYdwbbgD27gVuuw1o1Qq4807XkRERJVZQAHz/+8Af/wgsXAg8/7wumLrxRk3kKPLyXAdAFBodO2pjN3060KsXsG8f8OCDQGGh68iIiJK7+25g1izgtNOA+nrghBOAH/7QdVTkEyZsRPHOPx+YMwd47jng7LOBK690HRERUWq6ddOaa/fco3++9VZO52hBmLARNTZtGmsWEVE09e4NPPqo6yjIAs5hIyIiIgo5JmxEREREIceEjYiIiCjkmLARERERhRwTNiIiIqKQY8JGREREFHJM2IiIiIhCjgkbERERUcgxYSMiIiIKOSZsRERERCHHhI2IiIgo5JiwEREREYUcEzYiIiKikBNjjOsYrBGRzwFUuY4jYnoC2O46CPoKvifhw/cknPi+hA/fk/QMNMb0auoTLTpho/SJyBJjzATXcVADvifhw/cknPi+hA/fE/9wSJSIiIgo5JiwEREREYUcEzZq7AnXAdBR+J6ED9+TcOL7Ej58T3zCOWxEREREIcceNiIiIqKQY8JGSYnILSJiRGSW61hylYh0EZF7RWSxiHwpIp+JyAsiMtR1bLlEREaIyJsiUicim0Xk5yLS2nVcuUpEZojISyLyqYjUishSEbnCdVzUQET6x94bIyKdXMcTZUzYKCER6Q3gHgCfOw4l1xUB+C6AfwC4FMD3APQFsEhECl0GlitEpBuAfwIwAC4C8HMAPwRwr8u4ctwPANQCuA3AhQDmAXhGRG5yGhXFexj6HlGWOIeNEhKRpwG0BVAIYLsx5lLHIeUkEekIoN4Ysy/uXHcA1QAeNsYwabBMRH4C4A5oYcvdsXN3QB9oCrxzFBwR6WmM2d7o3DMATjDGFDsKi2JE5FQALwJ4EJq4dTbGMHnLEHvYqFkiMgnAZQB+7DqWXGeM2RufrMXO7YDu5NHPTVQ55zwA/2iUmD0LoAOA09yElNsaJ2sxZeDvhHOxqQK/hfZEc6cDHzBhoyaJiEB/2X5hjPnUdTx0NBHpBWAIgLWuY8kRpQBWx58wxlQDqIt9jsLhBPB3Igz+HUA7AP/pOpCWIs91ABRa1wLoA2Cm60CoWf8fOjfkT47jyBXdAOxq4vzO2OfIMRE5C8DFAP7VdSy5TER6ALgPwDeNMYf0+Z+yxYQtR4hIV+gk9YSMMatj1z4E4KbGw3Dkn3TekyZeez2AbwL4ujHmCwvhEUWKiAwC8AyA2caYPzkNhh4A8IEx5jXXgbQkTNhyxwwAT6ZwnQD4KXQy+xsikh87nwegTezve4wxR+yEmVPSeU8a/iJyIXS4+k5jzAs2AqMm7QTQtYnz3WKfI0diC3DmQOd0XuU4nJwmIsdBezhPjfv/45jYsauIHGFHQGa4SpSOIiIvQssWNOcUY8yCoOKhBiJyEoC5AP5gjPm+63hyiYi8A+BTY8wVcecKoQ83FxpjXnYWXA4TkWOg5Vb6QFeHbnMcUk4TkYsBJHqQfNoY852g4mlJ2MNGTbkLwK8bnfs1gC8B/AzAJ4FHRN6T68sAXgdws+NwctEcALeLSGdjzJ7YucsB7APwtruwcpeI5AF4HsCxAE5kshYKCwCc0ejcNAB3AjgfwPrAI2oh2MNGKRGR+WAdNmdiBYyXQou2Xg1gf9yndxtjVjoJLIfECueuBLAcwH8AKAHwSwC/Nsbc5TK2XCUiT0ALSt8CYHGjT5cZYw4EHxU1JiLXAPgjWIctK+xhI4qGEQAGxP48r9Hn3gZweqDR5CBjzM7YKsTfQXs6dwH4FbRwLrkxNXb8TROfKwawMbhQiOxiDxsRERFRyLFwLhEREVHIMWEjIiIiCjkmbEREREQhx4SNiIiIKOSYsBERERGFHBM2IiIiopBjwkZEREQUckzYiCjyROSyWDX1xufni8gsByE1jqO/iOwRkcEpXj9BRHaISFObzRNRDmLhXCKKvFhS1tMYc3qj8yMAHDLGrHMSWEMcjwHIj984PoXXvAngXWPMPdYCI6LIYA8bEbVYxpiVIUjWugD4NoA/pPnSPwL499gG50SU45iwEVGkicifAHwdwGkiYmIf98Q+95UhURG5R0S2i8hkEVkiIvtEZIGIFItIbxF5UURqRWSViJzZxNf6joisEJEDIlIlInekEOJlAPYBeKvRvX4iIhUisl9EtorI6yJSEHfJSwC6Azg33Z8JEbU8fHIjoqi7D0ARgHwAN8TObUpw/TEAngDwCwB7ATwC4M8ADgCYA+BRAHcAeF5ECo0xdQAgIrcDeDD2uvkAxgO4T0TqjDG/S/D1zgKw2BhzxDshIlcD+CmAOwGsANADwJkAOnrXGGN2i8gKAGcDeDXpT4GIWjQmbEQUacaYShHZAaCVMeaDFF7SAcDNxpi3AUBE+gH4TwA/M8bMjJ3bBE2kTgMwJzas+TMA9xtj7o3dZ66IHAPgLhF5LD4ha2Q8gNmNzk0C8IYx5tG4c39v4rXlsWuJKMdxSJSIcs1BAO/G/b0idnyriXP9Y8cToL1fz4tInvcRe00fAAMSfL0CANsbnfsYwPkicq+ITBKR1s28dnvs9USU45iwEVGu2WOMqY/7+8HYcZd3whjjnWsfO/aMHVcAOBT3MS92vjDB12sPHW6N9wfokOhlABYB2Coi9zeRuB2Ii4GIchiHRImIktsRO14AYGsTn1+T5LX58SdiCeOvAPxKRAoBXAXgAejcu9/HXZof97WJKIcxYSOiluAg7PZELYSu9OxnjEl3AcAaAMXNfdIYUwPg/4nItQBGNPr0IABr0/x6RNQCMWEjopZgNYCLRORiaC/VZmPMZr9ubozZFSsV8hsRGQjgHeiUkqEAzjDGfC3By98DcGH8CRF5HNpz9gGALwGcAeBY6KrReBMA/Icf3wMRRRvnsBFRS/AogDegc8M+BHCd31/AGPOL2H3Pg676/Ct0KPPdRK+Drv4cISJFcecWAjgVWhz3NQBfA/BdY8yL3gUiMhZALzS9epSIcgy3piIiskxEygH8xRjzcBqveQjARGPM2fYiI6KoYMJGRGSZiMwA8DCAIcaYwylc3xFAFYBLjTHzLYdHRBHAOWxERPbNAlACretWlcL1RQB+zmSNiDzsYSMiIiIKOS46ICIiIgo5JmxEREREIceEjYiIiCjkmLARERERhRwTNiIiIqKQ+19WRjZ1OUkBHgAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"kCilLnl2PApM"},"source":["**• Complex Exponentials**\n","Consider the signals of form: y(t) = Ae Bt cos ωt . Plot the sig-\n","nals, where A = 0.1, 0.5, 1, 2 and b = −0.25, −0.5, −1, 0.5, 1 and\n","ω = 2π, π 6 , 5π"]},{"cell_type":"code","metadata":{"id":"a-8QH5AXw9wN","colab":{"base_uri":"https://localhost:8080/","height":1000},"executionInfo":{"status":"ok","timestamp":1611050179914,"user_tz":-330,"elapsed":14821,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"932b8ac1-1328-41de-8251-bae9db23ed50"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","\n","pie=np.pi\n","A= [0.1, 0.5, 1, 2]\n","B= [ -0.25, -0.5, -1, 0.5, 1 ]\n","omega = [2*pie, pie/6 , 5*pie/3]\n","\n","rnge=10\n","t=np.linspace(-rnge,rnge,100*rnge)\n","plt.rcParams.update({'font.size': 15})\n","\n","for a in A: \n"," for b in B: \n"," for w in omega:\n"," x=a*np.exp(b*t)*np.cos(w*t)\n"," plt.figure(figsize=(10,4))\n"," plt.plot(t,x,c='r')\n"," plt.xlabel('time (s)')\n"," plt.ylabel('signal value')\n"," plt.title(f'Continuous Exponentially varying sinusoidal signal: {a}*exp({b}t)*cos({w}t)')\n"," plt.grid()\n"," plt.show()\n"],"execution_count":96,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAA0oAAAEkCAYAAAARu8HuAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeZwcVbn/8c+TPZlsQCDshB0BWRT0B6IEFRUuyCKLCAqIoAIiLiiISwA3RC4X4aoXUAFREJRFVhFhkEWUfd8xQAhIIBOykf35/fGcztRUd89098x096S/79erXzVTdfrUqbXrqXPqlLk7IiIiIiIi0mlQowsgIiIiIiLSbBQoiYiIiIiI5ChQEhERERERyVGgJCIiIiIikqNASUREREREJEeBkoiIiIiISM4KEyiZ2WFm5mY2udFlkRWLmbWb2dRefH9K2jcnZca15P6alvnCRpejEgOprKVUs9+a2eS0vIfVOK9J6ftTavl+mTynmll7X+XXl5q5bCsKMzvdzP5tZsPqOE8zswfM7Df1mmdfM7MvmtlsM1ul0WURaTZmNtLMppvZ9yr9TsWBkpmNMrPjzewOM5tpZovN7D9mdkO66BtSW7Erl37Mp5jZ+P6e10CVLla6++zU6DI2o7RvH9bocohI6zCz95rZLWY2J13c3mRm21Tx/V3N7Jdmdq+ZLajk5kv6HW3vbdn7k5mtD3wZONXdF1X4nc+b2e/M7CkzW2pmZV8SWe587/FiySnAZ7rbDuk6ZEol5aonMxsHnAKc5e5vlpi+uZn93sxeNbOFZjbNzK4ys4kV5P0uM/tpCiQ70udeMzvazIaW+c5uZvY3M3vNzOaZ2dMpj4m5dP9lZn9ONyDmp7wfSNtpRIl8DzCz35jZw+latMuNyBLpt0jLPTUdJy+a2aVmtnWJtOWuneaWSPu1dEOqsD5fNbPbzGyfMuUYZGZfSfvoAjN72czONLO2XLrJ3ZSj8HlfJv0aZvaDdP6YYd3c5LPOm7TdfdbKfeeD6Tz1Vto+95nZZ8rkP7WbfCf0Ztuk9Cunfei5lH5GWufvz6WbYmZ757/v7m8DPwZOMLM1Ss0jr6Lgxsw2Aq4HNgFuAX4EvAGsBnwY+A2wOfCNSvLrhcnA94ALgVm5ab8FLgMqOqmu4B4Cziwz7el6FmQAOR6YSuxbeR8BrJ6FWYGNBJY2uhAVGkhlLWWg77ebAivsG9HN7P8B7cArwHfT6GOBO8xsR3d/tIJsDgY+BTwGPAmUvLg3sy2Bee7+79z4QcBu7n59TQvRf04EZgOXVPGdk4BVgAeBNmDtbtKWPd+7+58tamJPBvYvjLcIQO939znZ9GY2Bni3u7dXUdb+cjQwHjg3P8HMPgpcDTwP/Az4D3ENtwMwNv3fnW8Q13tXA+cDg4E9gP8F9jKzj6VAszC/I4HzgPuB04F5wPbEut/XzN7p7vNS8ncS59pfAa8S5973A2cB/2VmH8nmnZbzvcDDaXk2LVfodMH9D6AjlWcasCHweWAfM9vB3R/Mfe2OlDZrcYns30PsRzcQ18QrE/vMlWb2XXc/LZf+LOA44CriGu0d6f9tzezD7r4spXsS+HSJ+Q1P5XoD+Fdm/KbAt4CXgXuB3Up8t+DvZfJeA/gJ8KC7v1IYaWYHAb8D/k1c+88D9gUuMrO13f2HJfJ6CvhBifH5Y6eqbWNm6xHnzNHEvvIMMA7YCugS3BGxwkXE/pr3q1S+rwInlJjelbt3+yF22KeInWTfMmm2B47uKa/efog7PQ5M6u95DdRPWj/XNbocA+1DnOza+ynvov0WOCyNm9zoZa9xmQwY3ehy6NNn23Ny2h8Pq/H7k9L3pzR6Weq0vnp9viAudGYDa2XGrZXG3VxhHmsBw9PfXy93TgEOIi5CTiEuotqJC817iYu8MY1ep5myjgXmAmdX+b1JwKD093WkCqJatl9aT4uA1TPjzkjfOzid06ekv6cCP26C9TYoleWaEtNWA94EbgSG1pj/+4ARJcZfkva7PXLjnwam578DfD+l37uCef5vSvue3Ph1gSHp73O7uy4E/i9N3zo3/sNp/P/kxjtwYS+2wxAigJsDDM6M3wJYBvwpl/5LaZ6fqiDvg1LaM3LjxwCrpr8n1LIMxI0GB47JjBsKzABeA8ZnxlvalxYBG+Ty6fbY6uW2uYMIBteoIO9u1wERRM0gnT+7+1TS9O5zRLR6prtfWSqBu9/r7j/PjjOzvc3sLovq1rnp773y303Vbe1mtpmZXW/RBOEtM/ujma2eSXchESEC/DtTlTclTS965iMz7oNm9nUzez5Vjz5jZofmylG2jb2VeMYkjd/Kotr6zVQF+ISZfcPMBufSlXxWoNQ8LapmjzezR6yzOcbTZvYrK1O9XQuLqu5lZvar3PjRaX7/Kax/yzy/YGZfSutvQRp+qUz+HzCzv6Zt+bZFNfoRJdK1p31gzVTd2mFRtfsXM9ukRPrhZvYtM3s8lWGWmV1rZtvm0mXLfHhKv9CiWvcbubQOrAfsbF2riSdly5j7znvM7MK0DuanbXWXlaly74mZ7ZPmeWSZ6Y9bVDWXrCEws8EW7W4fKDP98yn/vdP/Y8zs+2b2TzN7I62b58zsx2Y2Kvfd7Lo8xsyeABYAXzeza9Lyjy0xz+3T976bGVfUJKAwzsx2MLPbLc4Zb5rZBWY2ukS+O5vZP9J+9ZqZnW1RfV/RMzIWVfdnWZwPFqR53W9mJ+TS9aqspfabNL5Xx71Vfm4tN/+9zOxB62z6cRrxg5hPV/E+Ug0zG2FxTn067TuzzOxRMzsjl67kc0AWz2A8ncrzrJkda6XP/4Xz9qZm9kOLZkYLLZrr7F4i36PN7GYze8XMFlk0o7nEumnSk/v+OIvfsaLmJSXSbkTcYLzCM3dv099XAB+2zO9fOe7+irsvrCDdpUSrDwN+neZ9BvBVd9/dM7UkFs14fmFmL6X1MN3MzjOz1TJp+vP3Y3eiRuiGnpYrt4xTvfOOfFnWw/k+uZE4JpY33XH3E4APEoHmV9LnY8Au7n5ibh7bmtkVaT0sTMfZpWa2YS7d5yx+G9+2+K282Uo0j0/r+/Z0HL6dts2V1vU38j1puUqtty8QtR3fcPfFFo9SVHU94e53ufuCEpP+kIZb5saPBTpKfGd6Gs6jZy+m4Uq5srzk7ksq+H6hHNn5VlQOMxuWP6dXIpXrFWIfzq7jg4jj739yXzkfmA8cUkH2n0vDC3LznOPuM6ota4GZGfBZ4G2i9qhgSyLwutrdl7fi8og0LiaW7+AyeQ6xEtcFORVvGzP7ALAT8BN3f9XMhpb6HSr8vqZ/D80e47mkN6Zl26WHMlbU9G6/NMxXQ5ZlZkcTdwKeAk5Now8Drjazz7t7Pq+1iDtcVxHVYFsTVW9jieYjEJHnWGAf4gT1Rhr/SAVF+iFRM/Z/wELgi8CFZvacu99V6XJlmdl2wO1ETdv/EhH3nkQV89aU2XkqcDKxzq4FfklUR68PfJyodi1V/Zs3tMyPtXtqt+zu15vZ/wBfMbO/uvtlKc3PgY2B3d39tdz3vwSsTqzHOcSB/zMzW9ndTykkMrM9iW35GlG9PAf4JHCBmW3g7ifn8m0jqoPvIaqPC+3TrzGzLd19acp3KHATsCPR1PJcotr1SOAuM/uAu9+Xy/sLwESiqnUWcTI63cymufvvU5pPE1Xib9C1uri7E88+wGbA5cTJfBXgUKLK/eBM3pW6llhfnyVOnMtZNNHZHDg5naCKuPtSM7uEaHe7hbs/nkvyGWL5Ck1s1iJOun8Cfg8sAXYmmldsC3y0xGyOT8t5firry8B9xL55ELFfZB1B3EH7dXcLnmxD3AX+TSrP5Mz3jyokShcRNxNV9T8mtukBxN3OSl0BfIA4vh4hzg3vSPM8o/zXqitrlSo67ms4t3ZhEcj/ibjrdyqx3Q8H/qtE8lr2kUr8L7GfXwz8N/E7tDFxEdotM/smsd0fIO6AjiJ+M7o7Vi8i1t9PgWHEfny1mW3i7lMz6b5OnIN+BswkLhI+B3zQoplQ0TMfOfsQ+8QpRG1Dd7ZPw3+UmHYPsX7eTefx2hec2Ec9N245M1s3lWkYcc58HtiI+M3cxcy2c/e3+vP3g9jHIGq7+kMl5/sHiGuFycTxWFBYh9n/8+twD+KYmUdc0D5HLPdHiX3q+ZTudOJY+hfxuzeGOH/cZmZ7ufsNKd3OwJ+J5pU/Is55axJ33Tcimh9B53rLNskq2J2oqRxvZg8R1yjLzOxuIljuzbouNHHMN937C3GheiaxHuYS+/13iGunW/MZWTRjHE5c670P+CZRE/bPXpTvL8T1x28tHuCfBmxAXKu9StftW7Afca0w2MxmEMHgt939rVIzMLOViaaIE4imdx8DbssFidsT+06X7ePuC9I22Z5uWDy3twtwp7v39SMUOxP70iXZgIjYFhCBXF5h3P8rMe29afpQM3sLuAY4yd3zAVE126Zwc+slM7uWuGEx2MyeJZ5lLDTTnUEc47+ldBPKgsK5dzJxXVleBdVXbwJvVVF9txJxQDwHjM2MH0ucIObQtQpvKnGiOSCXT6HKddPMuCmUqWKlRFOmzLgHgWGZ8WsRJ8FLM+MmUabpSKn5AncRFw5bZcYZceHswIcy49uBqSXyLZoncYJ+otL1XSJP7+YzN5d2GHGh+xaxc346pftpLt3kNH4OsHbu+/8iLkLWTuMGE4HDLGDNXNq7iAvAjXPrxok7Xdl5npDGfzQz7iv5cZl96yUy1b2ZMk8HxmXGjyIOpH/k8phKmeriUtsPaCuRbhTR3OCJ3PhS+09h38zurz9M4zbPff/8tK+tWap8mXRbpO//JDd+wzT+Z7ntUdQEAziNXFOHzLqcCayWSz84rft/lVgXbwE3lNg/Lywxbhnw3tz469O+NToz7l9EbdYGmXFD075V8vjN5Tkupft5hcdSb8patN+k8ZPyZaWC457qz61d5p/ZVm8AE3Lr5EVyTe+q3EeKlqmb5ZiZ3y/KpJtK12N6ZeKO5yNkmvMQF6FvUXw8TUnjrgMsM377NP5HufmVOqY/ROnzU5eypXGHVbEOvpbS7lZi2u5p2lE95ZP7XndN7w4gbmycSnHTu+tJTe+IC5rXyZzn0/jtiHNQdp/t89+PNP52YGY1y15ieXvV9C6leQ54NPP/j9P3DqGz6d0hZJre0fn78jqZJpWZPApNAzclziN30vXaZE3it3MqqdkWcTPByZ17S+R9UUo3tsS0DiJwm0c8l/WJtA8Wxm9R43oeDbyQyrxybto44npoCV2vQ35NmeZ/wB9zae8hnv/qrgw9Nb0z4ubFnFze/6TEb2oa/3WiNvEzxLPvTpx3SjY3J86phXwXEzfjVs2leRT4T5nvF64bh3WznIXz7qE9rI+qm94RQYUDO+fGr5S23wNkzqFp2v8U1ktu/PXAt9M+9kki2FlCBEFr5tJWvG2Im+9OHFt3EZURhxM3EBw4PJe+x3WQttW1Pa2fSprejSX3AFYPdiVqCH7m7rMLI9PfPyMOrA/nvjPd3S/PjSvcbdi4inmX83PP9Jzj0bzhmVrzTk0QdgT+7O7La7Q81nzhDlVNTbCIH521SlW/V+GfxHbIf/bIJkrr5EBiZ72KuBt4H3GntpTfufu03PfPIu4I75lGv5toP/xrz9w9SGl/QrSjzjcTWkbsG1mltv8hxJ30+81sQuFD/OD+FdjJzEbm8vmNZ+4Cuft84uTbq/3KOx9CJTVjWIX4kbwVeEcFVc6lnE8c3MubKFr0hnMgcKMX343Jl+lx4sHZgy0e0i4o9E5zUSbtIncv1FIMMbOV0rq8JSV5b4lZXOzur+fmuZTUlMfM3pmZtB9x7ujSNKcb/3D3/F3DW4l9a1Iq50TiIvcad38hU4bFwNkVzudt4ibJe3NNbarRY1lrUMlxX8u5NevdwDrEMVGokScdH0V3VWvcRyrxFrCFRQcD1dgVGAH8wjN3aj1qLn5X9lvxrItn0t9LBJxdzgGFY9qiGeS4tKwPp/L2uKzufqG7m7tPqWBZCk1GSjWbW5BL0xeeAD7g7t8ljgHSPvxeYn3OsegxbQ+i9mJB7hw7lQgcCi08+uv3A2BVIphutDeJZ3sK/gK80zvvXJP+fmeaBlFrNIF4VOEVcryzaeBexHr7Se7aZDpRK7keUWsLsf8BfMK67114VWBJ9tyQMYbYn65y98Pc/U/ufiZxnTKKzs5EKmbxiMElRM33F909v80WEzdmriJaW+xP1CwdSuwrpZxCHOeforNlRa+6OU/H/mvExfUxRAA0hWhFcE3a77Pp3+vuP3X3q939Ynf/JFHj/06ipUsp+xLb/rPEtchIYp1njaL08Q49HPNpXR9G1ApeUSaPmlj0Iv0J4Dl3vz07zd07iN/3bYlWWFub2UYWjy8UHhMYlfvOf7n799M+dpm7f4G4BlmL2L7ZtNVsm8L6nEM0d/2du/+G6PRjFvDD3HVPJWbS9RgvqZJMZ1O8wbuzfhrmm/5kx22QG/9CPiFxkoJeHiQ95F9r3t0t45PEhX9+GSv1LeKgucOirfzvzOxTVt27JN5w91tKfNrzCd39eaLnj62IO84HFS6OSniyxLgn0rCwvLVs/+le3I651PZ/B9HcbUaJz2fprPrO6uttD0SwbNFu/z/EHbk3Ujm+kJJU3YW9R49UtwCfzrQfP4A4/i4o+8WuLqKzWUah7fEhwOPufn9uGY42s0eIk/fMVP72NLlLm/DkmRLjIIKhpWQCvPT368RFVyUqOQcU9q1SzQ4qaoqQLkqOJ5rA/Nvi2a9zzOxDFZaz0rJWq5LjvpZjK6sw7akS054oMa6WfaQSx6fvPmrxnNgFFs9N9fR7VOv2r+gcYPEsaztxPM+i89wyjtqXtZxCs5XhJaaNyKXpNXd/zHM93qXxy9z9uvTvpsQ1wRGUPsduSjRjzn6/r38/IG4WFT2LafH80+q5T3++Y8nINKtz99s81+NdGj/H3W9L/xaC73wvannVHMvnpvx+Dsy0eCXLcWa2ar4o3czv7TS8MFf2diKYmdxDebtIx+qviYDvZI9n4PLTC83kD0gBxx/d/UiiefPnzKzopo67P5quVS5196OIoPFGy3SFXS0z+z7RZPEwd/+5u1/j0dTzAKKmtOdez6LMiyjdRBl3/7u73+zuv3H33YmL+bvMLHvemE/p4x16PuY/SjRxvDTd7O1LnyICu3I3NY8jmq99iuhR+Vmixq3wvFSpwLwLj0cRppJbf1Vum8I+fGnu5kIHcZ2xOt30flhGl2O8nEoCpceAsWZW64V/Jbrrgrcvurctl3827+5WVm/fEVUu76J83f0fRFOp/Yg7MdsQd0sfSu1g+0Phbt5Iqt/R+kKl29+I6utStWWFT/5ZhT7v3jkFHzcTd8YuIu6qfizNv/BsUq0vcz6PuDP48fT/EcQdl0qfVbiUuJNXqEXaifjBvTibyMy+SjRvfZV4HvC/iPIflpKUKn/JE7S7v0z8KB5i8QDsxsQzQBd3c9GU19/ngOXc/ZdEzc+RRJOC/YBbzOyy7r6XUWlZm/2471aN+0iP3P0aYv1/mqiJ+xDRhWt7P1349nj+N7PtiWN6daJr6r2I2pNdiaCqr1/OXqgdzndpmx1XVCPRF9y93d0nl5hUWB+XUP78WurdKX39+zGDaGaZ93ViX8x+duyD+ZWzMmWefXP3KRXWHPaax7Nx2xPPp5xD3Dg7C3jGzHbIJJ0BDMnXkCSFmrz8c2MQ67HiGwEpCLqA2BdO8dLdQ+9E3On/U7Y2NynUiOxcwewKtXdf6DZV+bIOJfabOzz3zJy730QEND2WI/2OTaf4Rmw5FxHnkn0z46YDE8ysVLC0FnGDu9zrbQo3ISu9YVqNI4imcReWmujuC9z980TNy05EpyFrE7XtUPrGWylTyay/GrZNT/swVH9DayW6f74VqCwA+BNx0fM54q5nTwp377YA/pabtnkuTbV6jPx6oVBtXOoEnQ8SC3fmtiiRdjPiRzW7jDOJZi895QuAu88l1vufoMsD3EdQ2cPmFbPodejjRPvrfYnq1a3c/dUSyd9RYlx+m2a3f09pq/UsEUTc6hX0blSlavatrYiHYU919+9lJ5jZ50p/pWKFZwSOMLPHiAdaT/cKe/hx9zfM7AbiHQSjiR+zZRS/j+TTxIlrt+y6NLOP1Vju84gL6b3pbDJSabO7Sk1Nw1IXY1VdoKX9+wKig5HBRBvtg8zsTO/dg81ZfX3c9/bcWpi2WYlpm5cY19f7yHKpmc4lwCXpxsOPiQfb96J805KpabgpxQ+C9/YC/VNEjchu2ZqX1PS1r2uToLOjgh0ovvj5f8T56H7q6zk6n5O4pafE0C+/HxA3Z3c2swmeaSJK3Oy5M/f9h6lNt+f7dDG7DlCyp99uFGrdtyEC73Kyx/LzuWlF68SjiXN7+mBmWxH7x7fpvEv/WBpuTDSBzPoXcdyvnUlXsDbxm9OjTJB0OPD9boLFQrA/uMS0Iblhd4YR11O13iyaQNTiFJUjnXcGV1IOi5ferk00269E4RGAbLnvJW6+vIfoZCCb9zZEh1al5r0acTPiYS/urKpXLF6q/C6iOXupAGS5VHOzvPMz6+w5tNLeKTeia4cf1W6bfxEBc6n3oxXGVbQfp3lMSvnnj4cildwlu4Bo1vB1K9EFbZrhu9OPOkT7zHnAlyx6MCmkGUP0ejM3palF4c3IfX6HNVWpv0b0cJS907gBmS5CU9rXgbuBPbPt7NP3Cu2zr8p85RlgjJm9J5N2ENE5QRdWure6QpfPfbrcFi/7OgO4jWiD+0niuZLflmkGc7CZrZ35/jBiGZYSD88WyvoScLh17d59KJ0dNFxTY5EvJu7SfLXM8vT4dvFuzKXy9Vu4Q92lpiPtC7U+mwYsv3N1IVHVXgjCqg04LiLaDR9CtAv/qxc/37SUXBOX1P79RGpzPXHH7PNETdtd7l7pnaaKpBP5fcTLDZcHG2nfKtd2vAuL58nybaqX0tl7Zl8eY3193Pf23Ho/cVfu8Oz8LJ6nK3XHtq/3ESy6se/SLDXdcS40Vepu/f+V1Gtpurgo5Lk6tfcyWlDymCZuDlZUm2RVdA/u7s8R+/L+ZrZmJo81iWP21uyFi8WzQpuVqS3oE6nm4gbiZaBFPVlZWDXzf3/8fkBn084uZXD3F0o0J++oYVGh5/P9tsRF+u3dpCnlZqIZ9tfMbI38xMy1xZ+JY+sEy3TTnb5zONG5yoNpXKn96SmiKVJ2GdrTsFQvZL9Nwy7HuUUPtWuRu9hN+1q+K3Mjnhs6HPihu3+nxHwKCk0qD7bibsgPS8PlN6SsfFf4x6VhpQFK3n+IGuEPWPQal3UA8TuZLUe5ptOnERfV12bStlnp11cMJp63yZf7D8Q2Pz73lSNTOco9Z/kZosOivr7xCJ3N56rKO63LbxK/cVdkxpc8pszsGCKYuTYzuqptQ7Q6mEO0XFm+3tMxszfwTDqvFvR0jBeOkx6P8R4jaXefb9Hd5fVEl6o3Ez9YbxJ393chLup+ktLPsnjQ63+Bf1rne0gOIyLKz3uZLhYrUNjpTjez3xFt+h9z9x4jwgqdS7wM7UYzu5p41uMLRMSZ77rxy8QKvsPMCt2D70Gsi9+7e/aO73lEDzNXmdnZRFvX/Si9/p80s3uIDhmmE29LPip9p9KmQWuZWbk++f/h7s+nO6WXEe1LD0l3jB+06H73LOIg+FHuu88Q2/SXxA77KWK9nJaaX+HRTfWxRKB4r5mdl9IeSOyYP3T3ZytcjryzieYfZ5jZB4m7yrOJziM+ROwPPfaJX8Y9RC3OaXQ+Z3atZzptyHiSaEf+jXTR/TSwCREkPErpWoRqnE8ElQcBt9ewvq4njs/TiQuXi0qk+SOxfW80sytTuk9RWffzRdJ2/zVxhxMqq32uxdeJ88/dZvZz4kHnA4iLGui5ZnAT4HYzu4o4rjuIO91fJGqK7+jmu9Xq0+O+t+fWtI2+QvSw9C8zK/Sm+Flif1k395U+3UeSMcCrZvZn4kLwddLD4MS2uLbcF939TTM7hegd8i6L7vBHEevpGaJNe62tDq4iLtpvSOesRcS5Zis6X0XRk2q6B4f4DbmN+A05J437EhGYfS2X9ljixsnhZJrIWNQsFJrpFp7j+LR1dgpyTpW/t18kam3+bmYXE9toEFELuhdxs2pKf/1+JIVmN7vTNYDqVrro3zr9u1EaVzgfzXL3czPJezrf707s51dXOn9Yfr10BHHsPGZmhe7BVyWuDf6buHv/tMV7w75BrOs/0Nk9+Gjg4HQDB+D8FGDeTARQI4nf0zF0bVJ9P1ELtTtxLZMt1y1mdilRa34DsV7XI/a3VyneX59M85qUGXcGca54mDhf5a8xnk9NiHH3h83sT0QnAfelY3V+Wgd7Eus/e8P0MTO7k7g59ApR27Ar8bv+KLl3D1m8U+cD6d/t0vBYM5uV5v/9NFxm8b66c+jc96YRNTifI47tn2ay/na6SXAbccN3NLE+dyHOzedk0m5M/Jb8kbgGmEkEnQcRNdwXufvy3xN3fzRdKx6bzqc3EL89xxHXkuVeKXIEcW2TbxXSRWZfL9wI3Coz7u/u/vdc+hHEDabpdFMrZGafJ65t7yDW12ZEcLcE2N+7vsftM2n/v4loATCEeP5tb6LmdHkLnGq3jbt3mNnXidcL3JOuN4YR56xhxL6cdQ/xPrpvEtvSvfM1BhDb9Q1iW3fPK+8+cBTxQ3In8YO2mIgIryeaaAzOpd+HqHUpdEl5NyXexEyZbjop86Z44sTyQpq/k7orpfvuwSeXyL+d4i6fhxAB36vEjvkAcVBPSflMyqXfmjiRziTudD6Zyje4xPx2Jx6EW0jsmKcTB9PyZUjpTiSqYF9PaV8mIvZ3VbidvIfP51K6XxM/Drvnvm/ESXQxqQvk7LYgDupnU9meBb5cphw7Exe0s9O6fBA4opLtkMZPyq+bzDY6jrjTUNi3niXuxnykp/0nTbuQdCM7M241osnTTDrfNTKpm31lvbRdZhA/AP8i9vmifaXMuMMos2+m6X9L0z9d6TGa+/456ftvASNLTB9M1H4+l7bli8S+/44S+2TZdVlinSxN27yoq+XM/nlhT3HJLTkAACAASURBVOO6W0fE+3buSfvVa0QA/d6U9hs9lHEV4kLuIeKB/bfTOvgfcm/77qOy9vlxT+Xn1qL9No3fN1Oml4m7pbvmt3GV+8ik/Lgy638YcQH9LyI4W0j8BvyazGsDUtqplP5tOIa46C6cg46l8+322S7Lp1DivF0ub+LH/H46O2e5jAgeS6UtNa6wD3S7DnLf2YE41ucSwcFfymzzwrIcVmae5T5Fy15BmSYQF8XPEMfYLOJi9WzSqwvo/9+Pn6f9o2x3ySW+c2E362FqLm3Z832a/gLxMuCq1l3m++8hrg3eSMv6EvEbtUEu3ZHEb+MC4rz5V+D9JY7XPxMXkQuJ35zbgU+UmO83iAvYiSWmDSEC2KdTPq8TgdY6JdKWWmftPexrF+bSD0vleZjO3kafIW50tOXSfoe4EP9P2ndmE7/xJ+XT5o6Hkp8S6T+Ryv9Wyn96Wvb1c+n2Io7BV9I2mUecK79F5pUEmePk3LR8M1O+b6RteDC57rTTdwYTN0EK2+AVIngu1+34jmmZflfBPtfdtik6JxE3Khz4QQ/5vp8IJmbQ+Tvwc0p3rf6+tK++lLb5AuK6+MdkXl1Ry7bJHQ/3pG0zh7iB8L4S6TZO02bn9wui99i5wBmVHM+WviRSlsXb7m8j+qm/sLGlaQ3prt8OxMno7Z7SN4NUBf4y8CuPhz/rOe9PEHdxD/Kud42kBaQamWOJYLfbtvZSX7X8flg8P/AUcKy798cD7N3Ney/i2aR3u/tD9Zx3b1k0o30WON/dv91TepFWZGZfJl7ls7GXfp6yi77uyUdEesnMNiKaKFwyUIKk5IvEHbNyb8LutfScxIjcuKHEc2tL6GynLyug/LZP49Yg2vE/piBpxeDuU4la3m9b/3YB3kV6DmcK0WPngAqSADzeofQ94LhunrcRaVkW79o8kahN6jFIgt53ey0ifcTM3ktnm+VFwJmNLVFlzOyTRBOlE4C/eO59TX1sOPBiekbxaaIp3YHEsySn60J5hTc5PdtxJdEUaRLRfGk0vehkQpqPu59InbepRxObbXtM2MQ8Xn9Q9AJpEYF087moo5XuKFASaR5fJO6Mv0A8zDu1scWp2KWkl6XS9aWz/WEx8VzkXsTJzoiA6Rh3L/e2d1lxPEc8FHwkESQvIHqP+5FX2KW1iIhIpfSMkoiIiIiISI5qlKQmEyZM8EmTJvX7fObNm0dbW1u/z6cZtfKyQ2svv5a9NZcdWnv5W3nZobWXvx7Lfv/997/h7qv2nFKkkwIlqcmkSZO4774+fUl0Se3t7UyePLnf59OMWnnZobWXX8s+udHFaJhWXv5WXnZo7eWvx7Kb2Yv9OgNZIanXOxERERERkRwFSiIiIiIiIjkKlERERERERHIUKImIiIiIiOQoUBIREREREclRoCQiIiIiIpKjQElERERERCRHgZI0pwUL4Ac/YPyDDza6JCIiIiLSghQoSXMaNgy+/31WueeeRpdERERERFqQAiVpToMGwcYbM/LllxtdEhERERFpQQqUpHltuimjpk1rdClEREREpAUpUJLmtckmjJg+HZYsaXRJRERERKTFKFCS5rX22gxauhRmzGh0SURERESkxShQkua1xhoxnD69seUQERERkZajQKlJmNn+ZvZnM3vFzOaa2f1mdlCJdEea2bNmtiCl+VCJNGuZ2VVmNsfM3jCzc81sVC15NdSaa8bw1VcbWw4RERERaTkKlJrHV4G5wFeAjwO3Ab83sy8VEqTA6ZfAxcBuwOPAdWa2ZSbNUOAvwHrAJ4EvA/sD52VnVkleDacaJRERERFpkCGNLoAst6e7v5H5/1YzW5MIoM5J46YAF7n7aQBmdjuwLXAicEhKsx/wDmAjd/93SrcYuMzMTnH3Z6vIq7FWXz2GqlESERERkTpTjVKTyAVJBQ8CawKY2QbAJsDlme8sA64gaoQKdgPuLQRJydXAIuBjVebVWEOHsqStDd58s9ElEREREZEWo0Cpue0APJP+3iwNn8qleRJY2cxWzaTrksbdFwHPZ/KoNK+GWzx2LHR0NLoYIiIiItJiFCg1qdSxwt7AmWnUSmk4K5e0Izd9pRJpCulWyqXtKa+GWzJ6NMyc2ehiiIiIiEiL0TNKTcjMJgG/B65x9wsbWpgMMzsKOApg4sSJtLe39/s8txg1imUvvsiDdZhXs5k7d25d1nGzauXl17K3N7oYDdPKy9/Kyw6tvfytvOzS3BQoNRkzWxm4EXgRODgzqVDbM46uNUEr5aZ3pDR5KwEPV5lXF+5+Hqn3vO22284nT57czZL0jdfHj2fcf/5DPebVbNrb21tyuQtaefm17JMbXYyGaeXlb+Vlh9Ze/lZedmluanrXRNK7jq4DhgF7uPv8zOTC80Sb5b62GTDT3Wdk0nVJY2bDgA0yeVSaV8MtGTNGTe9EREREpO4UKDUJMxtC9Dq3MfAxd389O93dXyA6dtg/851B6f8bM0lvBLY3s/Uy4z4ODAduqjKvhlvemYN7o4siIiIiIi1ETe+ax8+B3YkXxK5iZqtkpj3o7guJdx9dYmZTgbuAQ4nA6lOZtH8ETgauNLPvEM3rzgJ+n3mHEhXm1XBLRo+GxYth3jwYPbrRxRERERGRFqFAqXl8JA3PLjFtfWCqu19qZqOBbwLfAR4nmug9Vkjo7ovN7GPAucR7khYClwEnZDOsJK9msGTs2Pijo0OBkoiIiIjUjQKlJuHukypMdz5wfg9pphFdi/c6r0ZbXAiOOjpgnXUaWxgRERERaRl6Rkma2vIaJXXoICIiIiJ1pEBJmtqSMWPij46SPZaLiIiIiPQLBUrS1JY3vVONkoiIiIjUkQIlaWpdOnMQEREREakTBUrS1JaOHAlDhihQEhEREZG6UqAkzc0MxoyB2bMbXRIRERERaSEKlKT5jRkDc+Y0uhQiIiIi0kIUKEnzU6AkIiIiInWmQEmanwIlEREREakzBUrS/BQoiYiIiEidKVCS5qdASURERETqTIGSND8FSiIiIiJSZwqUpPmpe3ARERERqTMFStL8CjVK7o0uiYiIiIi0CAVK0vzGjIGlS2HBgkaXRERERERahAIlaX5jxsRQzymJiIiISJ0oUJLmp0BJREREROpMgZI0PwVKIiIiIlJnCpSk+SlQEhEREZE6U6AkzU+BkoiIiIjUmQIlaX4KlERERESkzhQoSfNToCQiIiIidaZASZqfAiURERERqTMFStL8FCiJiIiISJ0pUJLmN2QIjBihQElERERE6kaBkgwMbW0wb16jSyEiIiIiLUKBkgwMCpREREREpI4UKMnAoEBJREREROpIgZIMDAqURERERKSOFCjJwKBASURERETqSIGSDAwKlERERESkjhQoycCgQElERERE6kiBkgwMCpREREREpI4UKMnAoEBJREREROpIgZIMDAqURERERKSOFCjJwNDWBosWwZIljS6JiIiIiLQABUoyMLS1xXD+/MaWQ0RERERaggKlEsxsKzP7g5k9b2YLzexdafwPzGy3RpevJRUCJTW/ExEREZE6UKCUkwKh+4HVgYuBoZnJC4EvNaJcLU+BkoiIiIjUkQKlYj8CLnT3nYEf5KY9BGxT/yKJAiURERERqScFSsU2A/6Q/vbctNnAyvUtjgAwalQMFSiJiIiISB0oUCr2OrBBmWlbAC/VsSxSoBolEREREakjBUrFLgNONbOdMuPczDYBvgn8rjHFanEKlERERESkjhQoFfsOcB9wO521R9cAjwGPAD/srxmb2UZm9n9m9oiZLTWz9hJpzMy+ZWYvm9nbZvZ3Myt6bsrMNjezv5nZfDObbmanmtngWvJqCgqURERERKSOhjS6AM3G3RcCe5jZh4APAROAmcDf3P2v/Tz7LYDdgXvo2tte1olEMHcC8BTwVeAWM9vS3V8DMLOVgFuAJ4C9gA2BM4nA+NvV5NU0FCiJiIiISB0pUCrD3f8G/K3Os73W3a8BMLM/EkHacmY2gghufuTu56Zx/wCmAsfSGQR9ARgJ7Ovus4G/mtlYYIqZ/cTdZ1eRV3NQoCQiIiIidaSmdzmpyVq3n/6at7sv6yHJjsBY4PLMd+YB1wLZF+HuBvwlBUkFlxHB085V5tUcFCiJiIiISB2pRqnYYxR3C543uIfp/WUzYCnwbG78k8CBuXS3ZhO4+0tmNj9Nu7aKvJrDsGEwZIgCJRERERGpCwVKxXYpMW4l4KPpc1x9i1NUjrnuvjQ3vgMYZWbD3H1RSjerxPc70rRq8lrOzI4CjgKYOHEi7e3tvVqYSsydO3f5fHYaPpzXnn6a5+ow32aQXfZW1MrLr2Vvb3QxGqaVl7+Vlx1ae/lbedmluSlQynH328tMutrMvg8cAFxXxyI1DXc/DzgPYLvttvPJkyf3+zzb29tZPp9x41h75ZVZuw7zbQZdlr0FtfLya9knN7oYDdPKy9/Kyw6tvfytvOzS3PSMUnVuI3qRa5QOYHS+m2+idmh+pgaoAxhX4vsrpWnV5NU82trU9E5ERERE6kKBUnX+i9JN2urlKeL5qI1y4zdL07LpNssmMLN1gFGZdJXm1TwUKImIiIhInajpXY6ZXV5i9DAigNgY+FZ9S9TF3cBsYH/g+wBmNgrYk9QkLrkROMHMxrj7nDTuQOBt4kW61eTVPBQoiYiIiEidKFAqtmqJcQuAO4CvuvsN/TXjFKjsnv5dCxhrZvul/29w9/lm9mPgO2bWQedLYgcB52Sy+iXR6cSVZnY6sAEwBfjvQpfh7r6gwryaR1sbvPVWo0shIiIiIi1AgVKOu5fq9a5eVgOuyI0r/L8+8TLYHxPBzEnAKsB9wK7u/p/CF9y9w8w+BJxLdAU+CziLCJayesyrqYwaBdOnN7oUIiIiItICFCg1EXefClgPaRz4Qfp0l+4J4IN9kVfTUNM7EREREakTBUqAmf2kiuTu7t/st8JIeQqURERERKROFCiF/atI64ACpUbo60DpySfhvPPgmGNgo3znfyIiIiLSyhQoAe6+fqPLIBVoa4P588EdrNsWij1bsgT22QeefhpuvhkefRQGqbd8EREREQm6MpSBo60tgqS33+59Xu3tESTtuSc88QTcfnuPXxERERGR1qEapTLMbCdgE2BEfpq7/7z+JRLa2mI4b170gNcb110HI0bAb34Da68N114LuzSyw0MRERERaSYKlHLMbCLwN2Bz4nmkQhsvzyRToNQIhUBp/vze53XXXbDDDrDKKvC+98Ett/Q+TxERERFZYajpXbEzgbeAdYgg6b3AJOA7wLNELZM0QqEWqbcdOixcCA8/DNtvH/+/733w+ON906RPRERERFYICpSK7UwES6+m/83dX3L3HwKXoNqkxumrGqWnnoLFi+Fd74r/t94ali2Dxx7rXb4iIiIissJQoFRsPDDD3ZcBs4HVMtPuBnZsSKmk6zNKvfHcczHcdNMYbr11DB9+uHf5ioiIiMgKQ4FSsX8Da6S/HwcOzkzbE5hZ9xJJ6Kumd88+G8MNN4zh+uvD6NEKlERERERkOXXmUOx64CPA5cD3gWvMbBqwGFgXvWy2cfqq6d1zz8HEiTBmTPw/aBBstZUCJRERERFZToFSjruflPn7RjPbEdgHGAn81d1vbFjhWl1fNr3baKOu47baCv7wh97lKyIiIiIrDAVKPXD3+4D7Gl0Ooe+a3j33HHz4w13HbbwxdHTAzJmw8sq9y19EREREBjw9o5RjZlPN7HQz27bRZZGcvmh6N38+vPJKcY1S4Xml55+vPW8RERERWWEoUCp2BXAgcJ+ZPWNmp5rZlo0ulNA3NUr//ncMC4FRQeH/Qo94IiIiItLSFCjluPsJ7j4J2Am4Afgs8LCZPWZm3zGzjRtawFY2eDAMH967QOmVV2K49tpdx2+wQQxVoyQiIiIiKFAqy93/4e7HA+sAk4F24EvAkw0slrS19a7p3fTpMVxzza7jR42KcQqURERERAQFSpVoI7oFXw8YByxsbHFaXFtb72qUXn01hmusUTxtww3V9E5EREREAAVKJZnZSDM7wMz+BLwO/ApwohnexIYWrtWNGtW7QGn6dBg/vvN5p6wNN1SNkoiIiIgA6h68iJldDuwGjABuBY4BrnL3WQ0tmIS+aHqXb3ZXsP76UeO0cGE8CyUiIiIiLUuBUrFVgROAP7r7G40ujOT0tuldd4HSOuvE8JVXOjt3EBEREZGWpKZ3Oe6+i7v/UkFSk+qLpnflAqV1143hSy/Vnr+IiIiIrBAUKMnA0pumd8uWRdM6BUoiIiIi0gMFSjKw9Kbp3ZtvwuLF5QOlwruVXn65tvxFREREZIWhQEkGlt40veuua3CAkSNh1VVVoyQiIiIiCpRkgOlN07vXX4/haquVT7PuugqURERERESBkgwwhUDJvfrvzpgRw1VXLZ9mnXUUKImIiIiIugcHMLOjq0ju7v6LfiuMdG/UqAiS3n679Etju1NJoLTuunDLLTEPs9rLKSIiIiIDmgKlcG4VaR1QoNQobW0xnD+/+kDpjTdg0CBYeeXyadZdF+bOhbfegvHjay+niIiIiAxoanoHuPugKj6DG13ellYIlGrp0GHGDFhllQiWyim8dFbN70RERERamgIlGVgKtUi1BkrdNbuDzncp9VUX4XffDR/5CHzmM52dSYiIiIhI01PTuzLMbG1gE2BEfpq731D/EgnQteldtaoJlF58sfr88559Fj76URg9Gv7+d3j0UbjnHhg+vPd5i4iIiEi/UqCUY2ZjgMuBjxRGpWG2mzU1v2uU3ja922KL7tNMnAhDhvRNjdJJJ0WHEPfdF5+994Zf/AKOP773eYuIiIhIv1LTu2I/AtYF3k8ESfsAk4FfAf8G/l/DSib93/Ru8GBYa63eB0rTp8PVV8Mxx0R+e+0FkyfD6adHj30iIiIi0tQUKBXbHfgB8M/0/3R3/7u7HwVcA5zQsJJJ7U3vli6FN9/sOVCC6NCht4HSRRfFPD/72c5x3/0uvPYaXHZZ7/IWERERkX6nQKnYROBld18KzAOyfUnfQGeTPGmEWpvezZwZ70aqV6D0+9/D+98PG2/cOW7yZNhkE7jwwt7lLSIiIiL9ToFSsZeBCenvZ4E9MtPeCyyoe4mkU61N7wovm50woft0EIHStGmwbFl18yiYNg0eewz23LPreDM49NDo2OGFF2rLW0RERETqQoFSsb8CH05/nwUcY2Z3m9ltwGnAxQ0rmdTe9G7mzBiuskrPaddZBxYvrr0775tvjuFHP1o87ZBDYnjFFbXlLSIiIiJ1oUCp2DeB7wG4+2+BTxCdOHQAxwInNq5oUnONUrWBEtTe/O4vf4E11oB3vrN42rrrwnbbRUcPIiIiItK0FCjluPt8d38j8/9V7n6wu+/r7r9w9xrbY0mfGDw43kNUa6C00ko9p+1NoOQOt98OH/xgNLUrZe+9431K06dXn7+IiIiI1IUCpW6Y2WAzG5X/NLpcLa+trfqmdx0dMVx55e7TQe8CpalT4T//gR13LJ9m771j+Oc/V59/OTNmwDPP1P5clYiIiIh0oUApx8zGmtm5ZjYdWAjMKfGRRmprq61GadAgGDOm57QTJsCIEbUFSv/4Rwx32KF8ms03j97wrrqq+vzzpk2Dj38cVlsNNt0U1lwTzj8/arZEREREpGZDGl2AJvR/RE93FwBPAIsaWxwpMmpUbYHSSitFsNQTM1h77doDpba20s8nZfPfay84+2x46y0YN676+UDUXk2eHO+H+u534/mniy6Co46Kcpx3HgzRIS4iIiJSC11FFfso8BV3v6DRBakHM9scOAfYAZhFBIinpPdINadam95V8nxSQa3vUrrnHth++54DlL32gp/+FG66CQ48sPr5LFgAn/hEBFq33w7veleM/+xn4ZRT4jN4cARL5Z6VEhEREZGy1PSu2DxgWqMLUQ9mthJwC+DAXsCpwNeAUxpZrh7V2vSukueTCmoJlBYvhkcfjV7terLDDvHy22uuqW4eBaeeCg88EDVIhSAJIiiaMgVOPhkuuCACpr6weHHM77bbIhicO7dv8hURERFpUqpRKnYmcLSZ3dwCPdx9ARgJ7Ovus4G/mtlYYIqZ/SSNaz6jRnX2Ylepjo7qA6Xp02HJksqbrz39NCxcCFtv3XPawYNhjz3gyisjCBk6tPKyPfVU1EYdemg8n1TKaafBK69EoLThhvDpT1eef9Yjj8S8/vSnrrV4ZhHsHXQQHHxwdbV1IiIiIgOAAqViawFbA0+nl8zOyk13d/9m/YvVL3YD/pILiC4DTgd2Bq5tSKl60tYWnRhUY+bMCBgqtc460YPcq6929oLXk4ceiuE221SWfq+94De/iaZzH/5wz+kLTj45Opv4yU/KpzGD//s/ePFFOOIIWG89+MAHKp/HokVRM3X66TByZARau+wCEyfC7Nlw//3xLqgvfQlOOAH23x8+//no7a+apn4LFsB998Gdd8I//wmvvQazZsHgwbxr6dLooGLSJNhgg+gAY5NNYlmqffbKPWrBOjpiX5g5M5otDh0ayzdyZATgbW3xGT06hoMHVzePJUti3WU/ixfH9MJ6Mev8ZP/P/D3szTdj3yuVrrv/e9JXafpxfoPefru4xngAlLvmNPmvLFnSuc+0mFZedmjt5belzdvaX1qbAqVi+wHLiHWza4npTryUdkWwGXBrdoS7v2Rm89O05g2U6tH0DqL5XTWB0vDhcXFfiV13jQv0a66pPFB66KGohfrud6Onu+4MGxY1QTvsAPvsE4HIRhv1PI8nnoBDDoEHH4wg64wzimuMPv7xqK166KF4DuqSS+C3v40e/Y46Cj7zmdK1TG+8EU337rwzPvfeG8EEdAZBKUhd8tJL8PzzcMstXbf30KGw/vqRfuLECGpGjoyga/78+GQDosLfS5b0vOx5I0Z0Bk0jRsDSpZFP4ZMPivpIN53Lr/CqCOdXSDs3ugAN1MrLDq29/NtssQU89lijiyFSRIFSjruv3+gy1NFKFNeYAXSkaV2Y2VHAUQATJ06kvb29XwsHMHfu3KL5bDJrFhNmzeLuSue/bBk7z5rFi7NnM7XC77S99hrbA4/fdBMzKrwA3qq9naHrrcf9d91VWbmALbfdltGXX849++5bdPe51LJv+e1vM76tjXu2354lFS7LiO98h3cffTSLd9mFB885h8Xjx5dOuGwZa115JRuedx5L2tp4+rTTeHOnneDhh7ufwQEHMGjPPVnt1ltZ87rrGHv88Sz72teYv956LFh9dZYNHcrQOXMYOW0aI15/PWY1ZAhzNt2Ut/bZh7e23JLZW25ZVK65c+cyevRocGforFmMnDaNUdOmdQ6feIKh99zD4LffZtDChSwbNoxlw4ezdPhwlowZw+KxY1my+uos2WQTFo8Zw5KxY1k8enQMx4xh6ejR2NKlDFqwgEELFzJ44UIGL1gQ+aXh8s+CBQxatAgfPLjzM2gQPnQoy4YMiWH6+JAhneOGDMEztVIGnV23u4M7y7d6+h93Fi5cyIjhwytKuzzfnlTSZXwfditvNc5v4aJFDB82rKnKVFOaGi1auJBhw4f3W/7NrJWXHVp7+WePHs2sOlxTiFTN3fVp0Q+wGDi+xPhpwA+7++673/1ur4fbbruteORXv+re1lZ5Jh0d7uD+3/9d/Xd++tPK0i9b5j5hgvsRR1Q+D3f3X/0q5vPAA0WTipb9vvsi7amnVjcPd/c77nAfMcJ9443dH3usePqTT7rvvHPkv8ce7q+9Vv08Ch580P2kk9x32819m23cN9vMfYcd3A86KNZne7v7/Pk9ZlNy27cILXvrauXlb+Vld2/t5a/HsgP3eRNce+kzsD6qUcoxs890M3kZMBt42N1frFOR+lMHUOolPiulac2p0D24e2XPAHSkRammw4Fx46LJVaU9302fHs3KKn0+qWDPPeM5mD/8Abbdtvu03/teLMOXv1zdPAB22gn+9jfYe+/oJe/ww+GDH4zOJ266CS6/PJb3gguii/HedCm+zTbVrwcRERGRJqNAqdiFxHNI0LVFS3acm9l1wMHuPpD7SX6KeBZpOTNbBxiVpjWnUaMiSHr77fi7J4Ue8qp5Rsmsui7Cq+3IoWDVVeFjH4tnfH7wg/KdB/zzn3D99fDDH8LYsdXNo2DHHaMN+Mknw4UXRmcPEEHh0UfDt74Vz/yIiIiIiN6jVMK7gOeAE4F3ABPS8CTgeeDDwKHA+4ne4QayG4GPmtmYzLgDgbeB2xtTpAq0tcWw0pfO1hIoQW2B0lZbVTcPiG6+X3klanxKcYcTT4QJE+DYY6vPP2u11eD886OW7cEH4fHHoybs7LMVJImIiIhkqEap2JnAz9397My4mcBPzGwR8D1339nMJhIvZz2mEYXsI78EjgOuNLPTgQ2AKcB/e7O+Qwk6A6V58yJ46EktTe8gAqVHHqks7UMPRffjtdT27Lln1CydfTZ85CPF02+8Edrb4ZxzYMyY4um1GDlSzeNEREREuqEapWI7AE+WmfYksH36+35glbqUqJ+4ewfwIWAw0RX4KcBZwPcaWa4eFZrbVdpFeK01SuuuG+/1WbCg57QPP1x74DFiBBx3HNxwQ3H3qIsWwTe/GUHYUUfVlr+IiIiIVE2BUrFpwGFlph2epkN0ePBmPQrUn9z9CXf/oLuPdPc13P077t7cb36rteldtTVKG2wQw6lTu083Zw4891zvamiOPjpqo7785a5dD592WgRPZ54Z70USERERkbpQoFTsZOAAM3vEzH5gZsen4SPEy2i/ldLtCtzRsFK2smzTu0p0dERTsxEjqpvPhhvG8Pnnu0/36KMR3Gy9dXX5Z628crzY9dZb4fTTwZ3Vb7ghOng49FDYa6/a8xYRERGRqukZpRx3v8LM/g18E/gUsDrwGnAvcLi735/SHd24Ura4WpreVVubBJ01Sj0FSg8+GMPePvPzVF28kwAAGhZJREFUuc/BLbfASSfBWWex2euvxzNLv/hF7/IVERERkaopUCrB3e8D9m90OaSMapvedXRU/3wSRA9xbW3wwgvdp3voIVhlFVh77ernkTVoEFx6Key6K9xxB8+OH8/GP/2pmtyJiIiINICa3snAU23Tu5kzawuUzKL5XSU1Sttu27uXtBYMHgxHHgkXX8wr++6rIElERESkQVSjBJjZ5cBJ7v58+rs77u4H1qNcUkYtTe8KzeiqtcEG8PTT5acvXhzPKB13XG35i4iIiEhTUqAUVgWGpr9XA7ybtNJo9Wp6B1GjdOONsGxZNI3Le/LJ6MJ7221ry19EREREmpICJcDdd8n8PbmBRZFK1KszB4BNN4WFC+HFF2H99YunFzpyUKAkIiIiskLRM0oVMrPxjS6DJIMHw/DhlQVKCxdGzVOtNUqbbx7Dxx8vPf2hh6Lr8U02qS1/EREREWlKCpRyzOyLZvaNzP/bmNk04E0zu9/Metm1mfSJtrbKmt51dMSw1hqlLbaIYblA6cEHYautIngTERERkRWGAqViXwJmZ/7/GTAdOJhYXz9uRKEkp60N5s7tOd3MmTGstUZp/HhYc0144oniaUuXwgMPwLvfXVveIiIiItK09IxSsXWBpwHMbFXgfcCH3L3dzBYB5zaycJKMGVNZoNTbGiWIWqVSNUqPPQZz5sCOO9aet4iIiIg0JdUoFVsIFF5eswswH7gj/T8T0LNKzWD06AhSetLbGiWIQOmJJ6IGKevuu2OoQElERERkhaNAqdi/gGPMbAvgOOAmdy9cIW9ANMOTRhszpn6B0rveBW+/Xdz87u67YY01YNKk2vMWERERkaakQKnY14AtgEeBdYCTM9MOBO5qRKEkp55N73baKYZ33tk5zh3uuAN22AHMas9bRERERJqSAqUcd3/C3TckXkI7yd2fyUz+evpIo1XT9M4Mxo2rfV6TJkWHDtlA6Ykn4t1KH/lI7fmKiIiISNNSZw5luPubJcY92oiySAmVNr3r6IggqTfdd5tFrVI2ULr22hjusUft+YqIiIhI01KNkgxMlTa9mzmzd88nFey8M7z0UudzStdeG88urbVW7/MWERERkaajQEkGptGjYeFCWLy4+3QzZ/bu+aSCffeFQYPg4osjWLr7bthvv97nKyIiIiJNSYGSDExjxsSwp+Z3HR19U6O0+uoRLJ17bgRIo0fDkUf2Pl8RERERaUoKlGRgKgRKPTW/mzkTVlmlb+Z5xhkRdD37LPziFzBhQt/kKyIiIiJNR505yMA0enQMe6pR6qtnlCB6v3vuuXinUm960RMRERGRpqdASQamSpreLVvWd03vCoYNi4+IiIiIrNDU9E4Gpkqa3s2eHcFSXwZKIiIiItISFCjJwFRJ07uZM2OoQElEREREqqRASQamSpreKVASERERkRopUJKBSYGSiIiIiPQjBUoyMBWa3nX3jJICJRERERGpkQIlGZhGjYJBg1SjJCIiIiL9QoGSDExmUatUSaC00kr1KZOIiIiIrDAUKMnANWZMz4HS6NF675GIiIiIVE2Bkgxc48bFu5LKmTlTze5EREREpCYKlGTgGjcOZs0qP12BkoiIiIjUSIGSDFzjx8Nbb5WfrkBJRERERGqkQEkGrvHjVaMkIiIiIv1CgZIMXGp6JyIiIiL9RIGSDFyFGiX34mnuCpREREREpGYKlGTgGj8eliyBt98unjZvHixerEBJRERERGqiQEkGrvHjY1iq+V3hZbMKlERERESkBgqUZOAaNy6GCpREREREpI8pUJKBq1CjVKqLcAVKIiIiItILCpRk4Oqu6d2MGTFcddX6lUdEREREVhgKlGTg6i5Qev31GK62Wv3KIyIiIiIrDAVKTcLMDjSzK83sVTNzMzusTLq1zOwqM5tjZm+Y2blmNqpEuiPN7FkzW2Bm95vZh2rNq2kVnlEq1fRuxgwYNEhN70RERESkJgqUmsd+wCTgunIJzGwo8BdgPeCTwJeB/YHzcukOAn4JXAzsBjwOXGdmW1abV1PrqUZpwoQIlkREREREqjSk0QWQ5Q5092VmNhr4XJk0+wHvADZy938DmNli4DIzO8Xdn03ppgAXuftpKc3twLbAicAhVebVvEaMgGHDyj+jpOeTRERERKRGut3eJNx9WQXJdgPuLQQ2ydXAIuBjAGa2AbAJcHku7yvS9yvOq+mZRfO7Uk3vXn9dgZKIiIiI1EyB0sCyGfBUdoS7LwKeT9PIDLukA54EVjazVTPpesqr+a20UmdX4FkzZqgjBxERERGpmZreDSwrASXamdGRppEZ5tN1ZKbPqDCvLszsKOAogIkTJ9Le3l5puWs2d+7cbuezzfDh+LPP8nAuzfumT+f1d7yDZ+tQxv7S07Kv6Fp5+bXs7Y0uRsO08vK38rJDay9/Ky+7NDcFSv3EzMYBa/SUzt3zNT9Ny93PI3X2sN122/nkyZP7fZ7t7e10O5+NNoJnnumaZvFimDOHtbbdlrXqUMb+0uOyr+Baefm17JMbXYyGaeXlb+Vlh9Ze/lZedmluCpT6z/7A+RWksyry7ADGlRi/EvBwJg0p3axcmuz0SvJqfqutBnfe2XXcG2/EUM8oiYiIiEiN9IxSP3H3C9zdevpUme1T5J4fMrNhwAZ0Pm9UGOafM9oMmOnuM6rIq/mttloERkuXdo6bMaNzmoiIiIhIDRQoDSw3Atub2XqZcR8HhgM3Abj7C8AzRI0WAGY2KP1/YzV5DQirrQbuXTt0eP31GKpGSUTk/7d359FylHUax79PEiEEhCQEBwghYT0YBQcI4TAyhO0ocFiHhMA4gxkBRcfDODMKgiABGRUZFhEDiCwKAxwJERUIIGAgIPthQNlByCQSlsCFkIWw/eaPt5oUlb7dfe/tvt19+/mcU6duv/2+1e/bVd19f/W+Va+ZmfWSh961CEnjgfHA0CxpgqQlwKsRcUeWNhP4DjBL0kmkoXNnA1cW5j2aDlwh6QXgbuCLwBbAP+by1Lqt1lYKhvK3A1+wIK1Hj25OnczMzMys7blHqXUcQprr6PLs8b9mj08pZYiId0lzHM0nzZN0HnAt2Z3ocvmuAo4GppF6h7YB9o2IP/d0Wy2vNLyu1IsEDpTMzMzMrM/co9QiImI6qSeoWr4FwIE15LuIKjeTqHVbLa1coDR/fupdGjq0fBkzMzMzsyrco2TtrRQolW7gAKlHacyY5tTHzMzMzAYEB0rW3kaOhEGDVu1R2mij5tXJzMzMzNqeAyVrb4MHw7rrrnqNknuUzMzMzKwPHChZ+1t/fXjxxfT30qXQ1eUeJTMzMzPrEwdK1v7GjYN589Lf8+entXuUzMzMzKwPHChZ+xs3Dl54IU08WwqU3KNkZmZmZn3gQMna39ixsHgxvPEGPP10Sttii+bWyczMzMzamgMla3+bbprWzzwDjz8O66wDG2zQ3DqZmZmZWVtzoGTtb+ut0/rRR1Og9MlPgtTcOpmZmZlZW3OgZO1v001hrbXgoYfggQdgu+2aXSMzMzMza3NDml0Bsz4bNAgmToQLLkiPJ01qbn3MzMzMrO25R8kGhsmTV/69557Nq4eZmZmZDQjuUbKB4Ygj4JVX0vVKI0c2uzZmZmZm1uYcKNnAsNpqcPLJza6FmZmZmQ0QHnpnZmZmZmZW4EDJzMzMzMyswIGSmZmZmZlZgQMlMzMzMzOzAgdKZmZmZmZmBQ6UzMzMzMzMChwomZmZmZmZFThQMjMzMzMzK1BENLsO1oYkvQrM64eXGgUs6ofXaUWd3Hbo7Pa77Z2rk9vfyW2Hzm5/f7R9bESs1+DXsAHGgZK1NEkPRsSEZtejGTq57dDZ7XfbO7Pt0Nnt7+S2Q2e3v5Pbbq3NQ+/MzMzMzMwKHCiZmZmZmZkVOFCyVvezZlegiTq57dDZ7XfbO1cnt7+T2w6d3f5Obru1MF+jZGZmZmZmVuAeJTMzMzMzswIHSmZmZmZmZgUOlKwpJE2VNEvSQkkhaVo3+UZL+rWktyQtknSepGE1bH91SWdKekXSUkk3SBpX52bUjaRx2ftQbnmqStnp3ZTbq7/q31eS5nTThqE1lP2spPskvS3peUnH9Eed60HS2pJOkXS/pDclvZQd71vWUHZaN+/Z0f1R996QNF7SbZKWSXpR0qmSBtdQbh1Jl0rqyt6n/5G0bn/UuR4kTZH0W0l/lbRE0kOSDquhXLn9e29/1Lmeenustvt+h4rfbSFpp27KdPd7cHV/178nJG0u6UJJj0p6X9KcMnkk6QRJ8yUtl3SnpL+tcfsHSPpT9l3/uKSpdW+EWcGQZlfAOtZkYBxwPXBkuQySPgbcDLwDHAoMB87K1v9UZfvnZq/x78CrwHTg95K2joi3+179ulsIFH801wBuAWbXUP5NoBgYPVGHevWnPwAnFNJWVCogaXPSMXI9cDwwEThL0rKI+HlDallfGwNHARcD3wGGkdpxn6RtImJ+DdvYHViee/yXuteyDiSNAG4FHgcOADYDziSdsDuxSvFfAVuSvis+AE4HrgP+vlH1rbP/AJ4nfR8tAvYBrpQ0KiJ+UqXsmcDM3OO3GlPFftHTY7Xd9zvA14C1C2mnAtsCD1Qp+03g7tzjVp+M9lOkY/te4GPd5Pk2cBLwLeBJ0mfjVkmfjoiXutuwpJ2Ba4EZwDHZ61wlqSsibqlfE8wKIsKLl35fgEHZei0ggGll8hwGvA9skks7hPSDuUWFbW8EvAccnksbTQq4jmx223vwHk3J3psdq+SbDixqdn372NY5wMxelLsQeBoYkkubAcwnu1lNKy/AmsAahbSRwBLg5Cplp2XHx1rNbkeNbT0e6ALWzqUdCyzLp5Upt1PWzl1yaROztD2b3a4a2z6qTNqVwPNVygXw9WbXvw7t7/GxOhD2ezftWg14HTi/Qp5xWTv3bXZ9e9i2Qbm/ZwJzCs8PJZ3U+24ubU3SyczTqmz7ZuD2QtqNwF3NbreXgb146J01RUR8UEO2vYEHIuL5XNp1pICn0rCyz2XrWbnX+ytwV7bNdnEY8JeIuK/ZFWlhewOzIuK9XNrVpGD5082pUu0iYmlELC+kvQ7MAzZsTq0aZm/g5ohYnEu7mtRzOqlKuZcj4s5SQkTcT+qhaYvPc0SU6wl4mIG3j+up7fd7N/YCRgBXNbsi9VbD7/rfkXrXfpUrsxT4HRX2qaTVgd3y5TJXAztJWqdXFTargQMla2VbkbrmPxQR7wDPZc9VKrcgIpYU0p+oUq5lSFqb9MNR65j04UrXcL0r6WFJ/9DA6jXK57JrV5ZJulnSNpUyS1oTGEPhGGHlkMO22NdFktYDNif1lNXiOUnvSXpK0lcaWLW+Kvd5/j9Sj1K1z3NxH0MbfZ67sRO17ePp2f5dJOkSSSMbXbEG6smxOlD3+6HAAmBuDXkvza71WSjpLElrNLhujbYVaZTIM4X0avt0M9JQvnLf9YNIwzPNGsLXKFkrGwG8USa9K3uu3uVayYGkYQq1BErPkoYwPQx8HPgKcK2kgyNiVsWSreMO4BektowlXa8zV9JnIuKFbsoMz9bFfd2VrdtlXxedSRp6d1mVfAtJY/3vBwaT/gG7QNKwiDi7oTXsnUZ8njetQ736naQ9SJ/xL1XJ+gvS2fZXgQmk/f0ZSRMj4v3G1rKuenOsDsT9PgzYH7gwIipNYrkC+CnpGtXFwK7AcaSA4YAGV7ORRgBLyhy7XcAwSatlJ0PLlYOB911vbcCBktVF1vW9QbV8EVHuDOGA1Mf35DDgsYj4Uw3lryi87u+APwLfJTf8sD/1tO0RcXIuea6kW0lnD7+RLW2jL/td0ldJNyo5OCJeq1L+ZtK4/ZLZSncJPFHSj2sc3mr9TOnum1cCv4mIyyrljYhpuYd3SnqCdF3GfqRhyG3Bx+qH9iNdk1Nx2F1ELAS+nkuaI+llYEZ28uiRBtbRzHIcKFm9TAEuqiGferDNLqDc2OMRQKUfikrlusqkN0qv3pPs9rd7km7S0GMREZJmAadLGtykM899Oh4i4iVJdwPbVShbOrtY3Nels4v9ua/zervf9wd+AhwXEb/u5WvPJN3wZBytd/e73n4uu4D1elGu5WTD5maTrkH7Qi82cROpt3E72ihQ6ka1Y3XA7PecQ4FnI+LBXpSdSbpRzfZU/v1rZV3AWmV+l0YAy7rpTSqVg9b7rrcO4GuUrC4i4ucRoWpLDzf7JIVxy5JWIw27qNQz9SQwJruGJa+7Me8N0Yf3ZDLpJEZf5syIbGmKOh0PFduQXQQ8n1XHtpceN6X3sjdtl/RZ0v6+ICLO6MvLF9atpNzneQzplujVPs/lrl/o189zX2XDrq4n3fVs34hY1tNt5IZrteL+7alqbRkQ+70k62nem97fxGEg7PsnSUMvNy+kV9unzwHvUv67/gNqv57TrMccKFkrmw3sIGlsLm1/YHXSmdXulOZUOKiUIGlD0twbtcxJ1GyHAfdHxHO9KSxJwMHAI212HcOHJK0P7Aw8VCXrbOAgfXTS0qmkAOrPDapeXUn6FOk6lJtI84P0xWTSXCvz+lqvBpgNfF7Sx3NpU0nz6txRpdz62TwqAEiaQDph0g6fZyQNAa4BtgD2iohXermdvUhTKlT7XLSDasdq2+/3goNIv129DZQmZ+t23vd/JF1zNaWUkJ1A2I8K+zQiVpDm2ZtSeGoqcE9EvFn/qpolHnpnTSFpPDCedMMCgAmSlgCvRkTpn6aZpIv6Z0k6idTtfjZwZUQ8k9vWbQARsUe2XiDpYuCcLGgoTTg7D/jI9TytJhfQ/Wc3z08CbgP2KL1Pku4gTcT3JGn8+1HAjqSLxVtedne7H5D+kZxHmoT1eNKZwnNy+VZpO3AGaQjT5ZIuAnYg3cziq1Uulm4Jkj7ByuFU5wIT0yELwOKIeDzLN5Z0VvVLEfHLLO1a0sXxj5LO0k7NlmNa9JqPC0iB4CxJp5P+4Z0OnJW/ZbikZ4E7IuIIgIi4R9ItwC8lfZOVE4/eFRG39nMbemsGaYLMfwPWzYbXljwcESuK32OSvky6gcOtpIBiO9LEvPcDN/Rj3fuslmN1gO73vENJJ69WmQi82HZJ00k35rmbFFjsQpqgdVZEPNpvNe6hLOjZJ3s4GlhbUinAuzEilkn6IXCSpC5WTjg7iDTsuLSdw4FLgM0iohRIf490rdY5pGGn+2RLpalCzPouWmAyJy+dt5D+QYoyy5xCvo1IX4pLgNdIdwIaVsgzp0y51YGzSEHSUtIF0Js0sk11el++Qbp96obdPL9r9j7tmku7mDTGf3nW1rnA3s1uSw/aPDrbPwtJc2S9Rgr8tqrW9ix9Z9I/YW8DL5D++Wp6u2pse6lNFT8LrJyAclou7fvAU6Tbay8nnWn+52a3qUp7xwO3Z/VdSPrnZ3AhzwvAZYW04cClpOvSFpNuhrDKJK6tumRt6m4/j8vyfOR7DNiD9I/ya6RhR/NJwfQ6zW5PL9pf9VgdiPs9145R2T78doXj47Lc40OBB0mTs75DuhvoqcDqzW5LlXaWvqcqHecinQBdkB0Lc4FtC9uZli+TSz+QNFJgBSnIOrTZbfYy8BdFtPxJVzMzMzMzs37la5TMzMzMzMwKHCiZmZmZmZkVOFAyMzMzMzMrcKBkZmZmZmZW4EDJzMzMzMyswIGSmZmZmZlZgQMlMzPrlqRDJE0rkz5H0swmVKlYj9GS3pK0WY35J0h6XdI6ja6bmZm1N8+jZGZm3cqCoVERsWshfTzwbkQ805SKrazH+cDwiDisB2VuA+ZGxPSGVczMzNqee5TMzKzHIuLxFgiS1ga+CFzSw6KXAkdLGlL/WpmZ2UDhQMnMzMqSdBlwMDBJUmTL9Oy5jwy9kzRd0iJJO0p6UNJySXdJ2kTSJyRdJ2mJpCck7V7mtY6U9JikFZLmSTq2hioeAiwHbi9s63hJz0p6W9LLkm6StH4uy2+BkcDne/qemJlZ5/DZNDMz6873gI2B4cDXsrQFFfIPA34G/AhYCpwLXA6sAGYDM4BjgWskjYmIZQCSvgV8Pys3B9ge+J6kZRFxXoXX2wO4PyLeLyVIOhw4ATgOeAxYF9gdWLOUJyIWS3oM2BO4oeq7YGZmHcmBkpmZlRURz0l6HRgUEffWUGQN4JiIuANA0obAT4GTI+K/s7QFpABmEjA7Gz53MnBaRJySbef3koYBJ0o6Px8IFWwP/KaQNhG4JSJm5NJmlSn7SJbXzMysLA+9MzOzenkHmJt7/Gy2vr1M2uhsvROpt+caSUNKS1bmb4CNKrze+sCiQtr/AvtIOkXSREmDuym7KCtvZmZWlgMlMzOrl7ci4oPc43ey9RulhIgopQ3N1qOy9WPAu7nlD1n6mAqvN5Q0rC/vEtLQu0OA+4CXJZ1WJmBakauDmZnZKjz0zszMmun1bL0v8HKZ55+qUnZ4PiEL1M4GzpY0BvgC8F+ka6suyGUdnnttMzOzVThQMjOzSt6hsT0v95DuXLdhRPT0xgpPAZt092REzAd+KOlfgPGFp8cBT/fw9czMrIM4UDIzs0qeBA6QdCCpV+bFiHixXhuPiDeyW47/WNJY4E7SsPAtgd0i4qAKxe8G9s8nSLqQ1FN0L/AmsBuwBekueHkTgNPr0QYzMxuYfI2SmZlVMgO4hXTtzwPAl+v9AhHxo2y7e5PuYncVacjc3ErlSHezGy9p41zaPcAupEllbwQOAo6KiOtKGSRtC6xH+bvhmZmZAaCIaHYdzMzMekXSI8AVEXFGD8r8ANghIvZsXM3MzKzdOVAyM7O2JWkKcAaweUS8V0P+NYF5wOSImNPg6pmZWRvzNUpmZtbOZgKbkuZlmldD/o2BUx0kmZlZNe5RMjMzMzMzK/DNHMzMzMzMzAocKJmZmZmZmRU4UDIzMzMzMytwoGRmZmZmZlbgQMnMzMzMzKzg/wEpHTY/jQvhNgAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAA0UAAAEkCAYAAADgsJpjAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdd5wdVf3/8dcnhFQSIAkEEggBQg9NQkcJTURBBCkqFhREwIYFEZCfEUFAsYIKKH5RLEhHmvQFlNACgpRAKJEAAVJ3SSEhyef3x+dcdjJ77+69d8u9u/t+Ph73cXfPnDtzZu7cmfnMKWPujoiIiIiISG/Vp9YFEBERERERqSUFRSIiIiIi0qspKBIRERERkV5NQZGIiIiIiPRqCopERERERKRXU1AkIiIiIiK9Wo8JiszsaDNzM5tY67JIz2JmDWY2vR2fn5T2zbGZtF65v6Z1vqzW5ShHdyprMZXst2Y2Ma3v0VUua2z6/KRqPl9intPNrKGj5teR6rlsPYWZnWdmL5tZv06Y98FmttTMNunoeXcFMxuQ9sGza10WkXplZteZ2T2VfKbsoMjMBpnZSWZ2v5nNNbN3zexNM7slXeD1rbzIlUkn7klmtkZnL6u7Shcmrb32qHUZ61Hat4+udTlEpPcws53N7E4ze9vMmszsn2a2XQWf38/MLjKzR8zsnXJutKTzaEN7y96ZzGxD4OvAme6+NJO+mZmdb2Z3m9n81gJxM9suXS+MzU9z9xuA/wLntVGOen2Q4zeBNYDzs4npRkipc/+EtmZq4dNmdoWZvWBmi8zsFTP7h5ntXCT/Zmb2FzN71swaU/6pZvYzM1u3SP5JrZTv20Xyj0z794wUxL5iZr8sdQ1oZrumsr5qZovN7EUz+52ZbZTLd1kb10nTMnnHtpHXzeyoMvM/VaTMrZXlsCL5N07b/E0zW5K+px+Y2YAS2+QAM7vLzN4ws4Vm9lz6DY3M5dvTzH5tZv9Nx6JZZvZvM/ukmVmR+a5qZqel736Jmc0xs2vMbPMS5RhjZhen8i42s9fM7EYz+0CRvKuZ2Y/T97cklf3/zGx0kbxHm9lJxZYJTAL2NLOPlpjeQlmBjJmNA24GNgXuBM4BZgNrA/sC/wdsCXyn3AVXaSLwfeAyYH5u2uXAFcBS5D/AT0tMe64rC9KNnARMJ/atvA8CLQ4KUpWBwPJaF6JM3amsxXT3/XYzoF4vStvNzHYBGoDXgP+Xkr8C3G9mu7n7f8uYzVHAp4CngGeBogGVmY0HFrr7y7n0PsAB7n5zVSvReb4LNAF/zqXvSgQELwJTgL1bmcd2xPVCA3Fsz/sl8Ecz28rdnwYws6HAdu5+Xz6zme0JPO7uTRWtSQczs4HAycD/ufu8IllmA98okv5SGbPvT1xL/Ye4nnoZWBc4HphsZp919+x3sl6afh3wKrAM2Bo4DviEmW3n7m8VWc43UjmzpmT/MbO1gYeAUcDFxD4+HjgB+ICZ7e7uizL5P0Rcp74IXJjmv1Uqy8fNbGt3fy1lv5i4ls3bG/g8cGMmbRbwmSJ5ScsZCNxWZNp1wLW5tPx1a1axZTyc/ScFHJOJa/dfE9/PrsAZwM5mdoC7eyb/F4FLiG17HrAQ2JG43jk0bZOFKft5xPd5HXHDYDBwJPBXYrt8MTNfA24ADgCuBy4A1gJOJPaT3d39mUz+UakMfYltP434Xr8I3GNmHy0cg9L+fS+wPfCntL4bAl8G9jGzndz9jcxmORoYC/wiv/Hc/QmLG0BnAP8osn1bcvdWX8QXPhV4Fzi0RJ4dgRPbmld7X0TU58DYzl5Wd32l7XNTrcvR3V7ESbOhk+bdYr9NP2QHJtZ63atcJwNWq3U59Oqw73Ni2h+PrvLzY9PnJ9V6Xbpoe7X7eEFc8DQBozNpo1Pa7WXOYzTQP/397VLHFOCTxEXrD4gLmQZgZ+AR4BZgSK23aaasQ4EFwC+LTBsGrJH+ntDaPtfWMRZYjbhIvCCTti3wDHEhODp9fjTwN+KifOs62D7HpHJtX2RaAzC9HfPuC+xZJH0kEWS8CfQpYz6HpzJ+J5de9jUccZHrwCeL7MsOfC+XfhtxU3xELv3YlP+kMpZ5W8q7VRl5d015r8qlV3QsJG7Eepl5rwdWALvl0k9Ny/x0Lv054HVgQC79rJT/Y5m0PYFVcvn6EAGKA+Mz6R9LaRfn8m8ELALuLFG+g3Pp41L69Zm0k1Laqbm8u6V1/30l+zwR5DrwvrK2cRlfwlfTDM8tZ4a5jfZv4qCzIP19cJF809NKbU5E+W8DjcDVwDr5HafIa1KafjS5A2AmbW/ihPEisAR4HvhcuTsyJX7IwDZEVD0HeIc4mH6nyI5V9Esrtsy0E54EPJm2RVPasS8FVi1ju5cVFAEfSTvYpbn01dLy3ixsfzIXTGl/eD6t7/PAV0vM/wPAHem7XAw8BhxTJF9D2gdGESeeecSP6jZg0yL5+wOnAU+nMswn7upsn8uXLfPnU/4lwP9oeaAutl+9930X+/6AnYh98vlU3reJffyQcvYfcvsrcEj6/4sltufTwAuAlZi+CnHwe6zE9C+ROQgCQ4gD40PEyW5Jmv+5wKBWtuWXif18SVqvG9L6Dy2yzB3T5/5fbltfVmT7X0acZO4ljhlzgN9TJPAiDt6T0371BnHHdyvKPBERF1Y/J44H76RlTQFOLlauastabL/piN895R9bSy3/YODxtO4zgB8C+xW+40y+SvaRFuvUyvYfkPad59K+M5+4M/mTXL7pFAk8iDvFz6XyTCNqV46m5fF/UkrbDPgRERQsAZ4APlxkvicCtxM1N0uBmURNxdgieVuUDVidOI+NKGMbFC4GLi0y7VLi2LxOW/PJfa5kUJSmDwXOTOu1ELgPeH+RfOsCvwVeSdvhdeJu89qZPJ12/gA+kfLv38b6lgyKMt99/pX/Pf8TmJlLW4U4ZzyVPvMU8DlywQDQjzjf/yftx43Ao8BXivw2Lk/bZAlx3PkRLX9D5R6XWpQ5/5snjidDKXG+qOYFXJO2R5v7JXF+dOCcEt/L2FS+vq3M44m0XS2X3oc49r+YS59MHDfz118fppVzaybfBkTLgMllbo9Li+2nZI6FxLFuUBvzuSzlt7RNSgadaR+bWuI368BdufSZwNNF8p+Y8u9XxnoWYoBPZNJ+mdJ2KZK/EFiOyaSdk9J2zOVdLW3zv2TSbii1nxHHlgWkIC/t68V+5xMzn1mHCmKYcprPFdo0XlJGXgDM7ESiam8qcRCGOCBeb2Zfcvf8vEYTP+briGrhbYmLuKFEExCIKrehxMVjtur1yTKK9COixuti4qB0AnCZmb3g7v8ud72yLNrn3kvUoP2auDg7iKiC3JZo1lCN04ltdiNwEbHDbAh8lAgI3i1jHqua2Ygi6e7uc9IfN5vZL4BvmNkd7n5FyvMbYBPiouGN3Oe/SuxgFxMXbp8EfmVmw9z9B4VMZnYQ8V2+QTTje5s40f3ezDZy99Nz8x1MnKAfJAKeQnvyG8xsvLsvT/NdlTgh7EacZC4kLkS+CPzbzD7g7o/m5n08cZfrUuLi69PAeWb2qrv/NeX5DHEymg1kO67OKrINCw4hLoCuJAKt4cSJ81ozOyoz73LdSGyvLwC/y05IzWy2BE739CvPc/flZvZn4ORsc5CMzxLrV2gmM5q4g3YNcVd0GRFsfIeott6/yGJOSuv5u1TWGcRFwEeJfeHiXP5jiAunP7S24sl2wE1EU9y/EhdShc8fV8hk0SfudiJ4Ppf4To8Adi9jGQVXEUH7RcTxYyCwRVrmTzqqrBUq63dfxbF1JWZ2CPGdT0+fX0ZcAH6kSPZq9pFy/JrYz/8E/Iy4O70JrTeFKpT/FOJ7f4y4+ziIOGe09lv9I7H9zicuZE8ittem7j49k+/bxDHoV8BcoqnOscDeqZnJnDaKdwixT/yAuCBqzY7pfXKRaQ8S22cHmn+vHcGJfdRzae8xszGpTP2IY+aLRAB3ArCXmU1w98bOPH8Q+xhELVa1riUuFI8jzv/PpvQXc/kmA/ub2ebuPjWlOfH788z/+e3Uj7j4m0gcj/5MBDJbA4cS5ybMbAOiRnB1YttMS585FdjdzPZx92Vptm0el8xsFeJYd3cr6z6auHAcCCwys9uA0zLrV631iCC5RROw1J9lNSII2JLmvlq3lJjXk8RNl+Vm9jDwQ3e/NZenP/BO/pzn7ivMbDGwkZmNcPfCteBtwC5Ek8ifEOe78cQ1yLNEc8DWfJ4IuH7fRj7MbDXivPM/4uZvMd8imsWamb1KHBvOdvclJfI3EttkqZndR9SEPZTL058IFPMKaTuZmWW22W3A58zsp2m9FhDHnjOI69fW9qOC9dL7m7lyZJdbrCw7EzdWIH4j3wV+Y2Yn09x87v+lMmW7e7Q178HE7+wR4lh+DjCClZuMFn7vuPsbFgMOTSy2ci2UESXOARrLibBS/jXTSr5A5u4xEdC8SBwM18ikTycOOEfk5vPrlL5ZJm0SJapeab2m6HGgXyZ9NBEc/S2TNpYKaoqIu7PLgG0yaUZcJDuwTya9gfLvGD8GPFPu9i4yz2JRc+G1IJe3H3FR20hUe34m5Ts/l29iSn8bWC/3+YeJC471UtoqxIFiPjAql/ffxMlmk9y2cVrW3pxM7i4MsdMXuzMzlPjxNRQp8+vA6pn0QcQF1OTcPKZTojlMse8PGFwk3yDiTsYzufRi+09h38zurz9KaVvmPv+7tK+NKla+TL5CbcmPc+kbp/Rf5b6PFjWPRK2BAzsV2ZZzydwtznzfrwAPF9kWjcAtRfbPy4qkrQB2zqXfnPat1TJpDxMXHxtl0lZN+1bR329unqunfL8p87fUnrK22G9S+th8WSnjd0/lx9aVlp/5rmaTqc1I2+R/tKwpqmQfabFOrazH3Px+USLfdFb+TQ8j7hA/SaY5CHGh3UjpmqKbyNxtprkGM38Xu9hveh+KH59WKltKO7qCbfCtlPeAItMKd7aPa2s+uc+11nzuCOImxpm0bD53M6n5HHGX9i0yx/mUPoE4BmX32Q4/f6T0e4G5Zaxvu5rPpTyfTnk+nv7fmqgZ+hsrN5+7gqjN3Drl+06a9qMi8+yT+fsvKd+Hc3l+ktKPyfwG2zwuETdKHPhZien/R9zYO5K4of0T4jfTSDua/mX2yT+VmP4VVr7WeBk4qki+k4ig+HPEDZ+TiZrZFeSa7tJcM7VdLn27zHLel0nvTwSe7+TKcjNFWjLkvzPiGPg2ZTQLp7kJ4/eLTBsD3EXcBPhoyluoPbmDljVZ5xI3h44iWgF8n7jptxTYN5d3Svo+18mlF5qzOTAsk746cU26LLdN/kB5LY9GpbK8mM1Pc+3RSbn8g4hrLge+mZt2InHuyZbjeWCLXL6fpmkfy6Wvm9bdyXTloYwmo0T/sbfL2tfL2CjvAq9W8OM5IhX6a0WmfT1NOyyTNh14rUjej6e8B2bSJlFdUNSi2pQ4wD2a+X8sZQZFxAATDlxbJO+2adqFbX1pxZYJ3EMcwPYod5vn5unEncZ9i7wmFsm/MVHl/ARxQHgk/2Oh+aR2UZHPF9r3npD+L1SbtzhoE812HPh2btssp2Wb1x1S3q9k0qYQdwBGFHldSvzwB+bKfHaRctwIzM6lTaeCoCg3fRBRgzKCaHbirHzR2mK/LbG/bkicHH6aSRucvp8by/z+HyVOMtkT8w/SsnYo8Zm+xAX3COIurZNp1pLZlr8o8fnC+m2dSfssmYuN3P55WZG0fxeZb+HCcXz6f2T6/+9F8haOOy1+v7l8/YiT5hTaaNfenrK2tt9Q5e+eyo+tKy2f5t/mT4p8vtDm++gq95EW69TKerxMXICMbyPfdFYOio4kc6zJ5f0NpYOiFk1EiGPd1SWW24e4mCgcW+YD17RWtkpfxJ1aB/YuMm1vilxwlDHP1oKi8cCG6e+JhbKndT0w/b06cSz+PcWPsVOBB3Lz7dDzR0p7BnihjPXtiKDogOzy0zb4QGa6Z/7ek3SDLa3vXHLnrSL70dsUadJMBPjLSU3dKfO4RPNv+Hul8hT5zPvTsu6ocl/dhLg5/iqwVok86xHXGB8jzjePA18vc/7DiWZe81j5plKh3M8TQdmY9H09RwQMTuZ4SRyjTidughxD1NyeT9wAvyW/X+bKsH+a3+/LLPPkVLYx5eRPn7kkLaNFsFhimy8EpuXSP5Xm8VDaHzcgzgszM9ske4NhUNoGVxHn5MOIm6zLgd+1UYZBaTnvkmtmS5wL3iR++18krl12TNu5UI58n6/DiZY+3yaCxW+nebwErJ/JNy6t+0zimL8BUYP6UGben87kb6DtoOjv6XMD29r25QzJ3URU6ZVrw/Seb76TTdsol15sVJRCU4XhFSy7lFLzr3bera3js8SFbX4dy3UacXC8Pw1Z+Bcz+5RV9qyG2e5+Z5FXQz6ju79IjOazDXEn+ZPuXqqJ3rNF0gojjBTWt5rv/3V3fyeXVuz734JosjaryOsLqfz5ZoMd/d0DMTKOmV1iZm8SP+DZqRzHpywVDxvvMTLUncBnUlNBiAPeEMqo0k/+SNzd2TeV04i7oU+7e350nxPN7EnipDE3lb8hTV6zyLyfL7HMS4mD7DGZtGOIO87ljfhS3jGgsG8VG0GxrFEVPYb3PYm4SHzZzJ42swvMbJ8yy1luWStVzu++mt9WVmFasWY0zxRJq2YfKcdJ6bP/TUOu/t7iuTFtnY+q/f7LOgaY2d5ppKKFRCBUOLasTvXrWkqhaUj/ItMG5PK0m7s/5bmR51L6Cne/Kf27GXEhfwzFj7GbETcmsp/v6PMHxMVLV42aWFhOIQJq9CIjz6Vp97p7Y/p3E6JvR/68lbUW0aSsxe/V3ecSF30bpf/LPS55rtxtcvf7iebpe6WRvcpmMTT6XTTXahZtpurur6ZrjOvd/ftETdCPzezUMso3h2gyuAbRND5b7k8Q57+biRspNxI3kAr7bHYkwMuIffdwd7/U3a9z928TN4wOSGUqpXDuKqfp3JZEM7073P2VtvJnFJrmF2uqvBJ3n0bU8Iwzs00z6X8Fvkb8FhuImzOXE9vvPylbUypnH5q7Gxzh7n9y96vd/YtEDeKxZrZviXUcQAzqMAH4fPousuWbR1xjvEgEey8Rtb6DaG462ZSZ3xeJJtjfdvfz3f0f7n5+msf6RBO4wrxfSNtoIVFDO52oPX6V5u+n0hEgV/qdt6acoOgpYKjlxnnvYK0Ne9sRB8dS88/Ou7WN1d5nMJWad4v5uvtk4u7bYUS/nO2IKvj/mNmwdpajlIPS+0Dix9bVyv3+jajh26+VV/6g3eFDKqdA43biIPtH4m7Gh9LyC32Jqn0w8iXEybQwrv4xRP+dcvsW/I24s/PZ9P8exIn3T9lMZvZNoonqTKL/3keI8h+dshQrf9GLNHefQRx8P21m/SweiPgBoqlFOX3goPOPAe9x94uImo0vEs3WDgPuNLO22pwXlFvWev/dt6rKfaRNHs+IGUs0t7qbaKJ2PdBQ4c2fcrV5/DezHYnf9DpE2/eDif6s+xEBVEc/6Pz19N7iuRuZtNeKTGs3d29w94lFJhW2x58pfXz9bJHPdfT5YxZRk9IVCsspdbHfZUPal3lcKpSz0u0znQhayw7uLZ7vdA8R2O3n5Q0RD4C7P0nUFp1YQfkgd1PT3a8iaqG2J84po9z9+JS2jGhKXOgLdxRws7svzs37qvS+Z7EFm9lw4vf+lLs/WEZZyw6gcmYQx6Ji/b2LmZ7e89vkAuLmxI7E+X2kR5+8scQAHIWAYQ+itu0aT9UlGSW3SSYg2hc41lcegj1bjv+6+/bEDYI9iW4RE2m+0ZO9+XYqcRPhqfw8Ur49c+kNab5bpWlj3P3jmW1Raf+4YUT3kdZuYgDlXexfQ+yMxxJ3M9tSuCu3FXGHIWvLXJ5KtRnltcPc9F7sYJMPCAt33LYqkndz4gSaXce5RHOwtuYLgLsvILb7NbBS5+pjKK8jeNnMrNDu9Vyig+hlZraNu88skn2LImn57zT7/beVt1LTiIDhbndfUeU8Sqlk39qGaCZ5Zror9h4zO7ad5Si06T/G4kFvuwPneXNn3Fa5+2wzuwU4JHUG/SxRc5k/sH2GOOgekN2WFs96qMYlxEXzx4gTGEQNUkeant6LXXhVdDGW9u/fE4N/rELcbfukmf3U3dvTwTuro3/37T22FqYVe7jelkXSOnofeU+6U/5n4M/pJsO5RD+Ng2k+YedNT++b0bKDcHsvxj9FXDQekK1RMbPBdHwtETQPIrArLS+udiGOR1PoWi+k5fZz92LPcGmhE84fEDdi98x1oq9GOcf0cZllVuJ5YHMz6++lO87PIprPtTgXmtmaRB+J/2TTyzguzSDukm9SYXk3IYKIuW1lTOUbS9RErE70a3m8wuVBBMnlBm+F9XkzP8FjoKX3tpOZrUOcY+715ucUFW4krFJk3n1z73mfpXlgkValmzafIb7bG9rKn7NRKl+LdSyhtW2yhGgqXyjXBOLaKLsOFW+TTED0QaJP4/+1VchUs/NCJukAYh/NDmI2mpaDnGTLUuxGoZNpwWBm/YmmxS+4e7bVSrm/87J+4+Xc/fo90TTh22Z2cLEMZrZDOoFDdCRbCHzVzIZk8gwhOmctoPRoHW1ZkN47/C6Su79N3JHfO52kAUg1ZB/L5X0LeAA4yOKheIW8RkTEEHd7C54HhpjZTpm8fSjygDUrPmrcY+m9Q9fbzLYlLrbuIdrifoLotH15iaYsR5nZepnP9yPWYTnN1dmPEZ25P58OXoW8q9I8eEKlB5OCPxF3cr9ZYn1GFksv0wLK376FO88r3UVM+8Ih7SgDqWblMqKNcyHgqjS4+CNRjf1poh3vHe7+ei5PYXSl7L7el7hLXo2bibvfXyJq0P7t7R/taCUeo1k9ChycrblO+9bXy5mHmQ0ys0G5+S6neRTLjvyNdfTvvr3H1ilEE4TPZ5dn8cDK44vk7+h9BDNbxXJPo08nv8JFV2vb/w7S6KGWeXp7Os5UO9pnQdHfNHEjsKxaIjNb3cw2L/FdriRdSDwKHG7xYMPCPEYRv9m7PTN6m5mNSPNevZyyVCM1Y7qFeKjjLvnpFtbK/N8Z5w9obp7ZogwVKud6YRfgTXev9KHmfyGC5e/lJxSuH9KNhBuB7YvcSPgusV9dlz5T1nEppd1PDJKRX+7qKZDKp3+EuLl2R/ZOean91WLEvHuI5mwfzDe7zuVdp0T6XkRTwAczaX2L7b9mtj4xuuEc4rqqpLRf/Yq40M+OFPscsR99LH98oblmu9TNrmOIviqXt7bs5KNE8HF5qVYQqeapWLnPSv/emEkfnD2WZdK3J44Dz6YmqiWlz/+CODaen5lUCCiOsubm+AVHp/f3tkkKOq4jAqLj3b3SmrDCTZLxwM+9+aGwhbJslj+umNmuwKaUN9Lkj4gmz2fn0hcAa2av23PLWIfol3RvOevQZk2Ruy8yswOJi57rzex24uQ0h9g59iIu4H6c8s83s+8QdzgfMrPL0qyOJqK1L2Xa5Vaq8AM7z8z+QrTBfypfJdcOFxI77q1mdj3RN+N4IsLcMZf368RGvt/MCkNyH0hsi7+6e/ZO7iVER+zrzOyXxA/wMIpv/2fN7EGiU9nrNA8rupS2h5QsGG1mny4xbbK7v5jugF5BRPSfTgfwxy2GvP05cAqZdp7J88R3ehFxB+xTxHb5YWpChcfQ0F8hflyPmNklKe+RxAnoR6m9bDV+STTh+ImZ7U3cLW4iOmDuQ+wPe1U57weJ2pkf0twv7MbcD7vgWaKd+HfSiew54of9JaJ5X7HagUr8jgggP0ncDat0e91M/D7PIy5S/lgkz9XE93urmV2b8n2K8oZ8byF973+g+SKhnFrlanybOP48YGa/IQYnOIK40wdt3zXaFLjXzK4jftfziDvYJxA1wPe38tlKdejvvr3H1vQdfYNoq/6wmRVGNfwCsb+MyX2kQ/eRZAgw08z+QQRCbxF9hU4gvosbS33Q3eeY2Q+Ik+O/LYagH0Rsp+dp7nhfjeuIC/Rb0jFrKXGs2Ybmxz+0pZIhuSHOIfcQ55ALUtpXiYvlb+XyfoW4SfJ54qYJAGa2Dc1NbQvD0n/GYuh6iAeTVnK+PQH4F3Cfmf2J+I76EHe5DyZuTE3qrPNH8s80/cOsHCyRLqq/mv4tBJMfMLPCcecfqekWxIXWCuB0i5qZhcDLnoY5tqhJfz/lPTIg75dEs8HvWXPTy3eIWqHNSH06iePgfsS102+IO+ofIM6H99F8bK7kuHQV8BEz28ndH86k7wX8zMxuJGrelhEDM3ya2IdPyq1Di/013WC5h2iKdQFxIZuvhb3D3Qu1F781s3WJc/H/iP5wOxAB8tusvB+vRvSXup44h85L2+rYNO2T2aZv6ft5mPhtvkzUWn0yzf90d7+nkNfd51oMEf8tYj/8HVErtjtxw+RFijR3M7Odie/sSm97yH0or+nc79KNpgeImr0RxMBhOxA3hK/O5N2E5uvNacQ+ui1xTF5O7hEPZrYV8fu/ibjBNZK4Cbkx0ffnvRuR7v6EmV2Tlv1oOl4uIq5RDyKuebI3qP9CdAO4kxjKPX8N+WTmt4VFi5SXiIDHiWDqY8T1Rz5wmUQMk39H+v1PS+t+AnGszQ7Jj5lNIfbDaURzvI8R+/cl7n5Zbt4PEtfeF5rZA2m73Z0qLyCOI1C6BcLKvPyRMwYRJ41/ETvzu0S13s1EdWJ+mMFDiJ1iYXo9QG6IvZRvOsUf0DeRIqMhEU0sXkrLf2/kGVoffW5ikfk30HKY5b5EcDeTOMA9Ruw8k9J8xubyb0tUNc4lovRnKfLw1pT3w0QV8BLiouc84oCw0ug5xB2k+4iLhSXEj+oqyn0aLysNd1jsdWzK9wfihJEfKtSIH9y7pGGHs98F0clvGs0PTiw6wgzRDvQO4qT5DnFyLfnw1iLpY/PbJvMdfY044RX2rWnED/qDbe0/adplZEYVSmlrE82W5tL8LI+xrewrG6TvZRZxoHmY2Odb7Csl0o6mlZGRaO7c+plyf6O5z1+QPt9IkRFXiDttpxIn6cJDbX9MnIjz+2TJbVlkmyxP33mL4Y0z+wbcVEEAACAASURBVOdlbaW1to2IKvQH035VeHjrzinvd9oo43Diou0/RGf6xWkb/AJYtxPK2uG/e8o/trbYb1P6oZkytfbw1kr2kbH5tBLbvx9xsfwwEYgtIc4BfyAzVH/KO53i54YvExfY2Ye3FoaIzQ4TPokix+1S8yZOvFNoHjjlCiJQLJa3WFphH2h1G+Q+syvxW19AXETeVuI7L6zL0SWWWerVYt3LKNMIogao8JDVwsN1f0l6XACdf/74Tdo/+uXSC/tZqVd++3yOuGgrjFp1WW6a08YoiK1spwFEDVn2QeKPACfm8m1I1EK8lcrxErmHt1LZcWlA2jYX5NK3IG54vJj2p8KDYn8NjG7lmFXsd9zaa2Im/xHp+56RtsFior/HBeRGZiMubn+f9qXCNeRMIkjYqUj5+hF9ZF9O855L/D6KPtQ37XtfJG4sLUjzn57Wv9SoeYUR4cp5iOn6xPmtxeijuXzHEMfeN9L3/TZxvjqRlg8AXiftG1OJ8+a7REubPwKbF5n3SCJIfDXNexYRbLTYfplt+B1itMTFaZ94Pu1/g3N5p7fxvU/K5T+DCOAXpNcjaR1bXP+m/HsDtxL77rJU9mvIDbme8l6YyrkobZf7iKC52HwHEa1p3qS5dUN2H70HeKTc37WlD4mUZGYTiR3r894ySpdOkO7C7Ep0LM13HK1L6Y7hDOIp91/q4mV/nDi5ftKbHyQpvUSqafkKcQGZf2io1FA15w+LPi1TiUcyVNyMp8xlPEbcNDi0M+bfmczsu8QNiw09+ueJSI6ZbUdUbnzM3csaCbejR9QRkXYys3FEFfefu0tAlJxA1C5c0lkLSP0aBuTSViX6mS2juT+C9EAl2t+vS3SWfkoBUc/g7tOJWpLvWSeMSGhmHyP6PpzS0fPuIr8galu+XeuCiNSxSUQXhHIfDdLuoaZFpIOk9s1bEE1MlhJPdq57ZvYJopnRycBt3krH3A7QH/hf6lP4HNHs5Eii78d5uiju8Saa2U+IJiOv0jyE8Wq0YwAIqT/u/l066Tt19+tp7ofY7XgMmDC21uUQqWfu/rG2c61MQZFI/TiBuOP9EvHU6+m1LU7Z/kZ68CgrP8C1M7xL9GM8mBiMwIjg6Mvu/ptOXrbU3gtEP4kvEgHxO8Qobud4mcNIi4iIFKM+RSIiIiIi0quppkgqMmLECB87dmynLmPhwoUMHjy4U5dRr3rzukPvXv/evO7Qu9df69471x169/p31bpPmTJltruv1XZO6e0UFElFxo4dy6OPPtp2xnZoaGhg4sSJnbqMetWb1x169/r35nWH3r3+WveJtS5GzfTm9e+qdTez/3X6QqRH0OhzIiIiIiLSqykoEhERERGRXk1BkYiIiIiI9GoKimrMzMaZ2cVm9qSZLTezhiJ5ppuZ514tnsdiZlua2V1mtsjMXjezM81slVweM7PTzGyGmS02s/vSU39FRERERHolDbRQe1sBHwYeBFZtJd9fgQsy/y/NTjSzNYE7gWeIZ7hsTDz8sw/wvUzW7wJnEA/anAp8E7jTzMbrwZciIiIi0hspKKq9G939BgAzuxoYUSLfTHd/sJX5HA8MBA519ybgDjMbCkwysx+7e5OZDSCConPc/cK0zMnAdOArrBw8iYiIiIj0Cmo+V2PuvqKDZnUAcFsKiAquIAKlPdP/uwFDgSszy18I3Jg+LyIiIiLS6ygo6j6OMbOlZtZoZleb2Qa56ZsTzeHe4+6vAIvStEKe5cC03GefzeQRERERqc7dd8O//lXrUohUzNy91mWQpNB8zt0n5tJ/SfQ5ehXYAvg+Edxs7e6NKc+7wMnu/ovcZ18F/uTup5nZ6SnPGrk8xwK/A/q7+0p9ldL044DjAEaOHLnDFVdc0RGrW9KCBQtYbbXVOnUZ9ao3rzv07vXvzesOvXv9te69c92h563/kKlT2eGEEwD41/XXs2z11Uvm7ap132uvvaa4+4ROX5B0e+pT1A24+9cz/95vZg8A/wE+D/yi+Kc6dPmXAJcATJgwwTv7CdR6wvfEWhejZnrz+vfmdYfevf5a94m1LkbN9Lj1v/nm9/7co7ERDj64ZNYet+7S7an5XDfk7k8BzwHvyyTPA4rdklkzTSvkWS0/THfKs6hYLZGIiIhIWe66CyZOhKFDYfLkWpdGpCIKirovT6+CqeT6BZnZ+sAgmvsaTQVWAcbl5tWiP5KIiIhI2ZYtg2eegQkTYMcd4dFHa10ikYooKOqGzGw8EchMySTfCuxvZkMyaUcCi4F70/8PAE3A4Zl5DQIOSp8XERERqdy0abBkCWyzDWy+efyvfuvSjahPUY2loOTD6d/RwFAzOyz9fwuwF/Bp4CbgdSIY+h7wCnBZZlYXAV8DrjWz84CNgEnAzwrDdLv7O2Z2LnCGmc2j+eGtfVj5wbAiIiIi5XvuuXjfYguYMwcaG2HuXBg+vLblEimTgqLaWxu4KpdW+H9DYEbK8wtgDWAO8E/gtOwzidx9npntA1xIPHdoPvBzIjDKOpcIgk4FhgOPAvu5+5sdt0oiIiLSq8yYEe9jxsC41Ep/2jQFRdJtKCiqMXefDlgb2fYpc17PAHu3kceBs9NLREREpP1mzID+/WGttWDs2Oa0XXapabFEyqU+RSIiIiLSPjNmwHrrgRmsu26kvf56bcskUgEFRSIiIiLSPjNmwPrrx9/DhkG/fgqKpFtRUCQiIiIi7fPKK81BkRmMGqWgSLoVBUUiIiIiUr3lyyMAGjOmOW3UKHjttdqVSaRCCopEREREpHozZ0ZgVKgpAhg5EmbNql2ZRCqkoEhEREREqlcYjjsbFA0bFs8rEukmFBSJiIiISPVmzoz3UaOa04YNi4e3utemTCIVUlAkIiIiItWbPTve11qrOW34cFiyBBYvrk2ZRCqkoEhEREREqlfoOzRiRHPasGHxPndu15dHpAoKikRERESkerNmwZAh0L9/c1ohKFK/IukmFBSJiIiISPVmz165lgii+Ryopki6DQVFIiIiIlK9WbNW7k8Eaj4n3Y6CIhERERGp3uzZpYMiNZ+TbkJBkYiIiIhUb9YsNZ+Tbk9BkYiIiIhUr1hN0cCBMGCAgiLpNhQUiYiIiEh1Fi6MZxHla4qg+QGuIt2AgiIRERERqU7hGUX5miKIJnTqUyTdhIIiEREREanO7Nnxrpoi6eYUFImIiIhIdVoLitZcE+bN69ryiFRJQZGIiIiIVKdQE1QYbS5ryBB4++2uLY9IlRQUiYiIiEh1CjVBa6zRctqQIdDU1LXlEamSgiIRERERqU4hKFpzzZbThg5VTZF0GwqKRERERKQ68+bBoEHQr1/LaUOGwLvvwpIlXV8ukQopKBIRERGR6sybV7yWCCIoAtUWSbegoEhEREREqjN/fumgaOjQeFe/IukGFBTVmJmNM7OLzexJM1tuZg1F8piZnWZmM8xssZndZ2bbFcm3pZndZWaLzOx1MzvTzFapZl4iIiIibVJNkfQQCopqbyvgw8BzwPMl8nwXOAM4DzgIWADcaWbrFDKY2ZrAnYADBwNnAt8CflDpvERERETKMm9e8ZHnQEGRdCsKimrvRndf390PB57OTzSzAUQgc467X+judwKHE8HPVzJZjwcGAoe6+x3ufhEREH3TzIZWOC8RERGRtpVTU6Tmc9INKCiqMXdf0UaW3YChwJWZzywEbgQOyOQ7ALjN3bNHniuIQGnPCuclIiIi0rbWgqJCnyLVFEk3oKCo/m0OLAem5dKfTdOy+aZmM7j7K8CiTL5y5yUiIiLSumXLIuBRnyLpAfrWugDSpjWBBe6+PJc+DxhkZv3cfWnKN7/I5+elaZXMayVmdhxwHMDIkSNpaGioemXKsWDBgk5fRr3qzesOvXv9e/O6Q+9ef617Q62LUTPdff37NjayBzBt9mxeK7IefRcsYA/ghccf59Xc9O6+7tLzKCiSNrn7JcAlABMmTPCJEyd26vIaGhro7GXUq9687tC71783rzv07vXXuk+sdTFqptuv/wsvALDJTjuxSbH1WLYMgHFrr8243PRuv+7S46j5XP2bB6yWH1qbqPVZlKnZmQesXuTza6ZplcxLREREpHXz0uVFqdHn+vaFQYPUfE66BQVF9W8qsAowLpee70M0lVy/IDNbHxiUyVfuvERERERaVwiKSvUpguhXpKBIugEFRfXvAaCJGDobADMbRDxj6NZMvluB/c1sSCbtSGAxcG+F8xIRERFp3fzUlblUTRFEUKQhuaUbUJ+iGktByYfTv6OBoWZ2WPr/FndfZGbnAmeY2TyiRuebREB7QWZWFwFfA641s/OAjYBJwM8Kw3S7+ztlzktERESkdYVgZ/VirfcT1RRJN6GgqPbWBq7KpRX+3xCYDpxLBC6nAsOBR4H93P3NwgfcfZ6Z7QNcSDx3aD7wcyIwympzXiIiIiJtamyM99aCoqFDFRRJt6CgqMbcfTpgbeRx4Oz0ai3fM8DeHTEvERERkVY1NoIZrLZa6TxDhsCrr3ZdmUSqpD5FIiIiIlK5pqYIevq0cjk5eDAsXNh1ZRKpkoIiEREREalcY2PrTecggqJFi7qmPCLtoKBIRERERCpXblCkmiLpBhQUiYiIiEjlmppiIIXWDBqkoEi6BQVFIiIiIlK5cmuK3n03XiJ1TEGRiIiIiFSu3KAI1K9I6p6CIhERERGpXLnN50BN6KTuKSgSERERkcqppkh6EAVFIiIiIlKZpUvhnXfKD4pUUyR1TkGRiIiIiFSmqSne1XxOeggFRYmZbWNmfzezF81siZm9L6WfbWYH1Lp8IiIiInWjsTHe1XxOeggFRUAKeqYA6wB/AlbNTF4CfLUW5RIRERGpS5UGRaopkjqnoCicA1zm7nsCZ+em/QfYruuLJCIiIlKn1HxOehgFRWFz4O/pb89NawKGdW1xREREROqYms9JD6OgKLwFbFRi2lbAK11YFhEREZH6puZz0sMoKApXAGea2R6ZNDezTYFTgL/UplgiIiIidajS5nOqKZI617fWBagTZwBbAvcCb6S0G4iBF24HflSjcomIiIjUn3Jrivr3hz59VFMkdU9BEeDuS4ADzWwfYB9gBDAXuMvd76hp4URERETqTWMjDBgA/fq1ns8saosUFEmdU1CU4e53AXfVuhwiIiIida2pqe2mcwWDB6v5nNQ9BUWAmW3ZVh53f6YryiIiIiJS9xob2246VzB4sGqKpO4pKApP0XIo7rxVuqIgIiIiInWvkqBIzeekG1BQFPYqkrYmsH96fa1riyMiIiJSx9R8TnoYBUWAu99bYtL1ZnYWcARwUxcWSURERKR+NTbCJpuUl1fN56Qb0HOK2nYPcHCtCyEiIiJSN5qa1HxOehQFRW37CDC/1oUQERERqRuVNJ8bNEjN56TuKSgCzOzKIq/rzWwq8HXgNzUu39Fm5kVex2fymJmdZmYzzGyxmd1nZtsVmdeWZnaXmS0ys9fN7Ewz0yASIiIiUh73yoKigQNh8eLOLZNIO6lPUVirSNo7wP3AN939li4uTyl7A9mjykuZv78LnAGcDEwFvgncaWbj3f0NADNbE7gTeIZoErgx8FMiOP5ep5deREREur+FC2HFCgVF0qMoKALcvdjoc/XoEXdfkE80swFEUHSOu1+Y0iYD04Gv0BzwHA8MBA519ybgDjMbCkwysx+nNBEREZHSmtLlQiVB0TvvdF55RDqAms/1DLsBQ4ErCwnuvhC4ETggk+8A4LZc8HMFESjt2QXlFBERke6umqBINUVS53ptTZGZ/biC7O7up3RaYcr3opkNB14EfubuF6f0zYHlwLRc/meBIzP/bw7cnc3g7q+Y2aI07cZOKbWIiIj0HIWgqNzR5wYOhOXL4d13YdVVO69cIu3Qa4Mi4PAK8jpQy6BoJtFf6GFgFeATwEVmNsjdf048aHaBuy/PfW4eMMjM+rn70pSv2Eh689K0oszsOOA4gJEjR9LQ0NDO1WndggULOn0Z9ao3rzv07vXvzesOvXv9te4NtS5GzXTX9V9zyhS2BR5/8UUayyj/eq+/zjjg/ttvZ/ngwUD3XXfpuXptUOTuG9a6DOVy99uA2zJJt6Z+RN8zs192wfIvAS4BmDBhgk+cOLFTl9fQ0EBnL6Ne9eZ1h969/r153aF3r7/WfWKti1Ez3Xb9584FYPs994Rttmk7/9NPA/D+CRNg5EigG6+79FjqU9R9XQ0MA8YSNT2rFRlae01gUaolIuUrVte9ZpomIiIi0rpq+hSB+hVJXeu1NUXFmNkewKbAgPw0d6/ps4qK8Mz7VKJZ3TjguUyezdO0gqkp7T1mtj4wKJdPREREuqvLL4e//AWOPRYOO6zj59/YGO+VBkUagU7qmIIiwMxGAncBWxJBhqVJnslWb0HRYcBs4H9En6Mmop/UWQBmNgg4iNTsLbkVONnMhrj72yntSOLZR/d2UblFRESks9x1F3z2s9C3L9x5Jzz0EOywQ8cuo1BTNGRIeflVUyTdgJrPhZ8CjcD6REC0M9Es7QxiRLdNa1YywMyuMbNTzOwAMzvQzC4ngpkz3X2Fu78DnAucZmZfNrN9gKuI7/eCzKwuApYA15rZvmkAhUnESHZ6RpGIiEh3d+qpsOGG8NprMTrcWWd1/DKammDQoPJHklNQJN2AaorCnsDXiRoXAHP3V4AfmVkfopZo/1oVjmgS9wWag7ZngM+6++WZPOcSQdCpwHDgUWA/d3+zkMHd56WA6UJi+O35wM+JwEhERES6s8ceg0cegQsvhLXXhmOOgZ//HObMgeHDO245TU3lN50DBUXSLaimKKwBzHL3FUQztLUz0x4gHo5aM+5+mrtv5u6D3H2gu++QC4jwcLa7r5fyvN/dHy8yr2fcfe+UZ113P6PIUN4iIiLS3Vx7LayyCnziE/H/kUfCsmVw660duxwFRdIDKSgKLwPrpr+fBo7KTDsImNvlJRIRERGpxA03wB57NNcKbb89rLEG3Hdfxy6n0qBoQBq/SkGR1DEFReFm4IPp77OAj5vZq2b2MvA1Vu6XIyIiIlJfXnoJnnoKDj64Oa1PnwiSOjooamxUTZH0OOpTBLj7qZm/bzWz3YBDgIHAHe7ewfXOIiIiIh3o7rvj/YADVk5///vhppvgzTffe3BquzU1wcYbl59fQ3JLN6CgqAh3f5QYqEBERESk/t1/P6y1Fmy22crp739/vD/wABxySMcsq6kpRrYrl2qKpBtQ8znAzKab2Xlmtn2tyyIiIiJSsX/9K5rKma2cvt12kfbkkx23LA20ID2QgqJwFfHcn0fN7HkzO9PMxte6UCIiIiJtev316FO0xx4tpw0cCOPGwX//2zHLctdAC9IjKSgC3P1kdx8L7AHcQjwT6Akze8rMzjCzTWpaQBEREZFSJk+O9913Lz59/PgYhKEjLF4My5dXFhSZRWCkoEjqmIKiDHef7O4nEQ9JnQg0AF8Fnq1hsURERERKe/zxeD7RttsWn7711jBtWscEJY2N8V5JUAQKiqTuKSgqbjAwBtgAWB1YUtviiIiIiJTw2GOw5ZbNzdTyxo+HFStg6tT2L6upKd4rDYoGDlRQJHVNQVFiZgPN7AgzuwZ4C7gUcKIpXQeNYSkiIiLSgdxhyhR43/tK5xmfukk//XT7l1cIiioZfQ4iKNKQ3FLHNCQ3YGZXAgcAA4C7gS8D17n7/JoWTERERKQ1M2fCW2+1HhRttFH063nhhfYvTzVF0kMpKAprAScDV7v77FoXRkRERKQsjz0W79u38lSR/v1hzBh48cX2L09BkfRQCooAd9+r1mUQERERqdjjj8f7dtu1nm/jjVVTJNIK9SkSERER6a4eeww23RSGDGk937hxHRMUVTv6nIIiqXMKikRERES6q8cea70/UcHGG8Ps2c1BTbUKNUVtBWF5GpJb6pyCIhEREZHuaM4ceOWV1vsTFYwbF+/t7VfU1BQBTr9+lX1ONUVS5xQUiYiIiHRHhf5E5QRFG28c7x0RFFU6HDdoSG6pewqKRERERLqj//wn3ssJisaOjff//a99y2xqqrw/EaimSOperx19zsxOrCC7u/tvO60wIiIiIpV64gkYNQpGjGg77+qrRzDzyivtW6aCIumhem1QBFxYQV4HFBSJiIhI29zhmmvg73+HBQvgqKPiZdaxy3niCdh22/Lzr78+zJjRvmU2NrYvKHLv+O0g0gF6bfM5d+9TwWuVWpdXREREuoF334VPfQoOPxwefBBeegk+8xn4whciIOgoS5bAs89WFhSNGVPbmqIVK2L7iNShXhsUiYiIiHQodzj6aLjiCjjrLJg+PQKX006Dyy6DH/2o45b17LOwbFnbD23N6oiaomqDogED4l1N6KRO9ebmcy2Y2XrApsCA/DR3v6XrSyQiIiLdxi9/CX/9awREp5/enH7WWVFjNGkSHHoobLFF+5dVGGSh0pqiWbMiMBk4sLrltmf0OYhlV/N5kU6moAgwsyHAlcAHC0npPVvPrSZ0IiIiUtyLL8Kpp8JBB0XNUJYZ/OpXcOut8K1vwS0dcJ/1iSci0Nhkk/I/s/768f7qq5V9rsC9fc3nQMNyS91S87lwDjAGeD8REB0CTAQuBV4GdqlZyURERKS+ucOJJ8Kqq8Jvf1t8IIG11opg6dZbYcqU9i/ziSdg/HhYpYJ7tmPGxHu1/YreeSea7LUnKFLzOalTCorCh4GzgYfS/6+7+33ufhxwA3ByzUrWCcxsSzO7y8wWmdnrZnammakmTEREpBpXXAG33w5nnw2jR5fOd/zxEVCcd177lude+chz0FxTVG1Q1NQU7wqKpAdS87kwEpjh7svNbCEwLDPtFuCa2hSr45nZmsCdwDPAwcDGwE+JAPl7NSyaiIhIx1myBP79b/jvf2HOHBg8GLbeGvbeu7nTf0eYNw++8Q2YMCFqi1ozdCgcdxz8/Ocwc2b1y3ztNZg7t/KgaL314r3awRYaG+NdQZH0QAqKwgyg8OSzacCBwG3p/52BntQA9nhgIHCouzcBd5jZUGCSmf04pYmIiHRPzzwTQceVVzbXbGQNGwZf+lL0/xkypP3LO/XUGLzg1lvLa8p27LFw/vlw+eWw007VLfOJJ+K90qCof38YObI2NUUafU7qnJrPhTuAfdPfPwe+bGYPmNk9wA+BP9WsZB3vAOC2XPBzBREo7VmbIomISI+zYgW8+SY89hjcdFM8zPSqq+D66+GBB6K2oiOf2/Pgg3DggbDVVvDnP8Mhh8A//gFvvBFlaWqCf/4zaorOOSdGgLvjjvYt84EH4OKL4etfh+23L+8zm20Gu+8Of/hD9etfCIq22abyz44ZU31NUSEoau/ocyJ1SDVF4RRgEIC7X25mC4DDiEDhK8DFNSxbR9scuDub4O6vmNmiNO3GmpSq4E9/YtSUKfD00+V/ptInY9dx/nWfew6ef7625emKZZTIv87UqfDyy3VTnq7MP/LZZ2NEqDoqU1fmX+uZZ+Ctt+qmPF2yjJR/xFNPRROscvLnX336xMMwFy+O16JFzX8vXhwd45csWfl96dKo0ejXL2oO+vePi9zhw1u+Vl89XkOGxLKyli+PZmmzZsH//gcvvADTpjW/v/JKmw/q3H211eB974sgYd99YbfdKmva5g733w8//CHceWeU+Qc/iGZsI0asnHfIENh//3g9+CAcc0z8fdZZUdtT6fe3ZEk0hVt/fTjzzMo++4UvwDHHMPSpp2CvvSr7LERQNHZsdcHJmDGVnWOzOqJPkUafkzqloAhw90XAosz/1wHX1a5EnWpNYH6R9HlpWgtmdhxwHMDIkSNpaGjotMLt8p3vsOmbb3ba/OvdZrUuQI1tXusC1FAHPLWkW9uq1gWoofGdNN8Vffuyol8/Vqy6Kiv69cNXXTX+XnVVbMUK+rz7Lvbuu/RZupS+CxeyypIlrc5v2aBBeN++2PLlsGIFq7zzDpar6Vg2cCCL11uPxeutxzs77siStddmyVprsWT48ChDnz70WbqUfo2NDJg5k37PPcew6dMZct552DnnsLxfPxq33prGbbahcfx43t5iC5bnn6fjzsDXX2f45Mmsc8strPbyyyxdc01mHH88r3/0o5H/qafa3D59zj+fzc4/n5Gnn86b99zDcyefzIp+/crevhteeikbPP00T55zDnMffbTszwGssu667Na/P8P++U8att66os8C7DR5MovGjOGpKs7H44B1XnmFf1Xx2ZEPPsgWwINPP80784tdSpQ24LXX2AV49rHHeHOttViwYEGnXk+IVEpBUU4aha1/Pj0FTr2Su18CXAIwYcIEnzhxYuct7Omn+ff997P77ruXW7jK5l/n+R944AF222232pWnK5bRSv7Jkyez66671k15ujL/Qw89xM4771xXZerK/A8//DA7ldu/oof9Dh555BF23HHHtvMXe61YEcNADxwIgwbFe3r16du3sjbyixdHzU/hNXdudKxPr76NjVE71KdP1DStthqsvXYMNT16NGyyCX3XXpshZpTbU6ehoYGxEydGDcR997HKXXcx7K67GHbZZbF+ffpEzcbo0VGDtHBhPA9o1qyYwY47wimn0O8zn2HjQYPYuJL1BfjQh+Dssxl5xhmMXLwYrrsu1qctDz0Ef/sbHH0023z3u5UuNRx0EKPuuoux739/ZcNqz58PM2Yw6Etfoqrz8cMPwzXXMHGHHSrvU/XkkwDscsAB0TerEq+9BsAWG27IFhMn0tDQUF35RTqJgiIgDTTwI+BQYG2aH96a1VOGrJ4HFKtvXzNNq63hw3l3jTXKOyn1QEtHjIBRo2pdjJpZ8vLLsMEGtS5GTSx+9VUYN67WxaiZRW+9BVtuWeti1MTCefMq7zDfGQYOjNHJCiOUdaWhQ6M/0IEHxv/z50cTt8mTIwh67bUI2gYPhoMPjiZ3++5b3QNIs8zge9+DTTeFz30Odt45+j+10c8x1AAAIABJREFUti/OnAmHHhrN5n72s+qXfcQR9Lv6arjvvsqa0BVqpaodpGHddeN95szKg6JC7VB7Blpoo0ZSpFYUFIWLiRHnfk8MVb20tsXpVFPJtVIys/WJPlVTa1IiERGRrDXWiFqcD32oa5Z3xBFxQ+bgg2HXXeHqq2G//VrmmzsXPvrRCA4mT4Y1i7Y6L8+HP8zyAQNY5corKwuKHnkk3idMqG65hRtvM2dGMFiJefMikOpbxeVj/9QIR32KpE5p9LmwP/ANd/+Gu//O3f+Yf9W6gB3oVmB/M8veHjoSWAzcW5siiYiI1NjOO0ezuA02gAMOgEmTmp/LAzHS3G67RROyv/+9upHfsgYPZs4uu8C118KyZeV/7uGHI5ipNiArBEWvv175Z+fPj4C1GoWaIgVFUqcUFIWFwKtt5uoZLgKWANea2b5pEIVJwM/0jCIREenVNtgA/vUvOOywGMVunXWimdqmm8YIeQsXwu23Nzfza6e3Jk6MURfvu6/8Dz38cPSlqlatgqK+faPvlIIiqVMKisJPgRPNrMdvD3efB+xD9JG6EfgB8Wym79eyXCIiInVh6FC44orou3P88VEjM348XHBBDGW9Z8c90m/uzjtHP6krryzvA6+9FsFMtf2JINZv0KCuD4ogaovUp0jqlPoUhdHAtsBz6YGt+XEm3d1P6fpidQ53fwbYu9blEBERqVs77BCvTrRiwAA46KB4sO0FF8RIgq15+OF4b09NkVkMtlBtUDRmTPXLHjBANUVSt3p8zUiZDgNWEEHifsDhRV4iIiIiHesTn4DZs+Phs225994ILN73vvYtc9SoGGihUu2tKerfX0GR1C3VFAHuvmGtyyAiIiK90Ic+FIHGX/8aAzy05p57om9T/xaPU6zMqFHw2GOVf27evPY3n1NQJHVKNUUiIiIitdK/Pxx+eDw4duHC0vlmz46R7yoZvruUUaOi+VwlDx1esSIesqs+RdJDqaYIMLPPtjJ5BdAEPOHu/+uiIomIiEhv8alPwe9+BzfeGM3pimloiPeOCooWLoS33y7/QaxNTRFEqaZIeigFReEyoHC7xDLp2TQ3s5uAo9x9QReWTURERHqyD3wARo+OJnSlgqIbboiR8NozyEJBdljucoOi+WkMKvUpkh5KzefC+4AXgO8CWwAj0vupwIvAvsDngPcD59WojCIiItIT9ekTtUW33lp8VLh334WbboKPfrTtEerKse668V7JCHSFoKjah8aCaoqkrikoCj8FfuPuP3H359x9bnr/MfAb4Pvu/mfgbOCQmpZUREREep7jjoPly+Hii1tOu+eeCEoO6aBLkEJNUSUj0HVETZGCIqljCorCrsCzJaY9CxTqqqcAw7ukRCIiItJ7jBsHH/kI/Pa3LQdcuOgiGDYMPvjBjllWtvlcuebNi3cNtCA9lIKi8CpwdIlpn0/TAdYE5nRFgURERKSXOfVUmDULfvrT5rSXXoLrr4cvfQkGDuyY5QwZAqutVl3zOfUpkh5KAy2E04G/mdl44EZgFrAWcBCwJVDo9bgfcH9NSigiIiI92267wcc/DueeCwceCNtvD6ecAv36wZe/3LHLKgzLXS41n5MeTkER4O5XmdnLwCnAp4B1gDeAR4DPu/uUlO/E2pVSREREerwLLoCHH4b994cddoDbboNzzonR6TrSuutWHhSZlT9aXTEKiqSOKShK3P1R4PBal0NERER6sXXXhdtvj+ZyTz8NZ54ZtUUdbdSoCL7KNX9+BER92tHzQn2KpI4pKBIRERGpJ5tvDvfe27nLKDSfc48aoLbMn9++4bhBNUVS13ptUGRmVwKnuvuL6e/WuLsf2RXlEhEREel0o0bB4sXQ2FheP6F589rXnwhioIWlS2HFivbNR6QT9NqgiBhIofAEtLUBr2FZRERERLpOdljucoKd+fPbHxQNGBDvakIndajXBkXuvlfm74k1LIqIiIhI18oGRVtu2Xb++fNh443bt0wFRVLH9JyiVphZO2+JiIiIiNShddeN95kzy8vfkTVF6lckdUhBEWBmJ5jZdzL/b2dmrwJzzGyKma1Xw+KJiIiIdKxCTdFrr5WXf84cGDasfcvs3z/eFRRJHVJQFL4KNGX+/xXwOnAUsY3OrUWhRERERDrF4MFR81NOULR4MSxaBCNGtG+ZqimSOtZr+xTljAGeAzCztYDdgX3cvcHMlgIX1rJwIiIiIh1u9Gh49dW2882ZE+/Dh7dveQqKpI6ppigsAfqlv/cCFgH3p//nAupbJCIiIj3L6NHl1RQVgqKOqinSQAtShxQUhYeBL5vZVsDXgH+6+/I0bSOiKZ2IiIhIz7HeeuUFRbNnx3t7a4rUp0jqmIKi8C1gK+C/wPrA6ZlpRwL/rkWhRERERDrN6NHwxhuwbFnr+Tq6pkhBkdQh9SkC3P0ZYGMzGw7Mdffsg1y/DbxRm5KJiIiIdJLRo2HFigiM1mtloN2OqinKBkWFWiOROqGaogx3n5MLiHD3/7r7rFqVSURERKRTFAKhtprQdfRAC+pTJHVIQVE3YGYNZuZFXgNy+Uab2XVm9raZzTazC81sUJH5fdHMppnZO+k5TPt03dqIiIhIXRg9Ot7bGoFu9mwYOhRWXbV9y1PzOaljaj7XfdwDnJZLe+9Wi5mtCtwGLAU+QYyY97P0/ulMvk8CFwGTgH8BnwduMrMd3f2pTiy/iIiI1JNCUFROTVF7a4lAAy1IXVNQ1H3MdfcHW5l+GLAFMM7dXwYws3eBK8zsB+4+LeWbBPzR3X+Y8twLbA98l0zwJCIiIj3ciBH8//buPEqusk7j+PcJgYSAQNgCCRASFpnAqGgAGZdE4SDhAMJIWNTRDKO4jEedGRVRkaiMiAyLisgmIDrAgRhR0QAidgzI6nFQIwmQhAiSYAKN0GSV/OaP9xa5Kaq7qrurum73fT7n3HNTb73vve9bt6o6v3qXyxZb1A+KVq7s/yIL4J4iKzQPnxs6pgEPVAKizM2knqMjASRNBPYFbqxkiIgNwE1ZeTMzMysLqbF7FTWrp8hziqzAHBQNHkdIWpVtt0l6TdXz+wEL8gkRsQ5YlD1Hbr9JPuBhYHtJOzW70mZmZlZg48Y1NqeoGT1FHj5nBebhc4PDXOB7wGPAeNJ9lOZJem1EPJ7lGQ08V6NsZ/YcuX11vs7c869YaU/SacBpAGPGjKGjo6NPjWhUV1dXy89RVGVuO5S7/WVuO5S7/W57R7ur0TZFaP+kzTdn60ce4f4e6vGW5ct5as0aFjWhrlOGDePPCxfSdeCBbW+7WZ6DojaQtC2wa718EbEg25+VS54n6Q5Sb88ns62lIuJy4HKAyZMnx9SpU1t6vo6ODlp9jqIqc9uh3O0vc9uh3O1326e2uxptU4j233IL3HsvU6dMScPpqnV1wZo17H7QQezejLpuuSXjx4xhydZbt7/tZjkOitpjOnBFA/lqfDtBRCyXdDfw+lxyJ7BtjeyjgYdyecjyPVeVJ/+8mZmZlcG4cbB6NXR2wvbbv/L5ZcvSfpddmnO+kSM9fM4KyXOK2iAirowI1dvqHSbbKhawcc4QAJK2ACaycQ5RZb9Jvuzxs75JrZmZWcnUW5Z7+fK037XuAJfGjBzphRaskBwUDUKSdgHeDPw2lzwHOEjS+FzascAI4FaAiFgMPELqqaoca1j2eE6Lq21mZmZFs9tuaV8vKHJPkQ1xHj5XcNkqc+eQls1eCuwBnAFsAC7KZZ1FWoBhtqQzSUPkLgSuy92jCNJ9in4g6XHgbuD9wD7Au1vaEDMzMyueSk9RdyvQVYbPNaunaMQIB0VWSA6Kiu8Z0tyic4AdgBeADuC4iPhzJVNErJd0JHAx6T5Ea4EbgE/nDxYR10vaGjgdOBOYDxwdEX9sfVPMzMysUMaOhWHD4Iknaj+/fDkMH157vlFfuKfICspBUcFFxF+AoxrM+yRwXAP5rqCxhR7MzMxsKNt88zSEbsmS2s8vXw5jxqTAqRk8p8gKynOKzMzMzMpswgRYvLj2c8uXN2/oHLinyArLQZGZmZlZmU2c2H1P0bJlzVtkATynyArLQZGZmZlZmU2YAE89le5XVK3ZQZF7iqygHBSZmZmZldnEiWm/dOmm6atXw9NPwx57NO9cDoqsoBwUmZmZmZXZhAlpXz2ErhIkVYKmZvBCC1ZQDorMzMzMyqwS9FQvtlB5XAmamsFziqygHBSZmZmZldmYMfCqV8HChZumV3qOmt1T5KDICshBkZmZmVmZSTBpEvyx6j7uixfDllumoKlZHBRZQTkoMjMzMyu7/feH+fM3TVuyJA2dk5p3npEjYf162LChecc0awIHRWZmZmZld8AB8Ne/wooVG9MWL27u0DlIQREwbP365h7XrJ8cFJmZmZmV3f77p32lt2jDBli0qPlB0YgRAAxbt665xzXrJwdFZmZmZmVXCYoq84oefxy6ulIPUjNVeoocFFnBOCgyMzMzK7uxY2HnneGBB9Ljhx5K+9e9rrnn8fA5KygHRWZmZmZlJ8Gb3gR33ZUe33cfDB/uniIrDQdFZmZmZgZTpqTFFR59FO68E974xrQkdzN5TpEVlIMiMzMzM4Pjjkv7s8+GBx+EI45o/jncU2QF5aDIzMzMzGD8eDjmGLj2WthsMzj11Oafw0GRFdTwdlfAzMzMzArissvSogtHHw3jxjX/+FlQJAdFVjAOiszMzMws2XVXuPTS1h3fc4qsoDx8zszMzMwGhofPWUE5KDIzMzOzgeGgyArKQZGZmZmZDQzfvNUKykGRmZmZmQ0M9xRZQTkoMjMzM7OB4YUWrKAcFJmZmZnZwHBQZAXloKiNJJ0kabakZZJC0oxu8o2T9CNJL0haKeliSaNq5PugpEclrZH0W0mH9fVYZmZmZk03fDgMH+45RVY4Dora6wRgT+CW7jJI2hy4DRgPnAx8ApgOXF6V7xTgUuBaYBowH7hF0gG9PZaZmZlZy4wc6Z4iKxzfvLW9ToqIDZK2Bj7QTZ4TgH8A9o6IJQCS1gM3SPpSRDya5ZsJfC8ivpLlmQscCHwWeG8vj2VmZmbWGiNGOCiywnFPURtFxIYGsk0DHqgEMZmbgXXAkQCSJgL7AjdWHfumrHzDxzIzMzNrKfcUWQE5KCq+/YAF+YSIWAcsyp4jt98kH/AwsL2knXpxLDMzM7PWcVBkBeThc8U3GniuRnpn9hy5fXW+ztzzKxo81itIOg04DWDMmDF0dHQ0Uu8+6+rqavk5iqrMbYdyt7/MbYdyt99t72h3NdqmrO0/6KWX2LB6dSnbbsXloKiJJG0L7FovX0RU9+gUWkRcTrYYw+TJk2Pq1KktPV9HRwetPkdRlbntUO72l7ntUO72u+1T212Ntilt+3fYgTUbNpSz7VZYDoqaazpwRQP51ItjdgLb1kgfDTyUy0OW77mqPPnnGzmWmZmZWeuMGMGwNWvaXQuzTXhOURNFxJURoXpbLw+7gKr5PpK2ACaycX5QZV89L2g/4NmIWNGLY5mZmZm1jucUWQE5KCq+OcBBksbn0o4FRgC3AkTEYuARUk8VAJKGZY/n9OZYZmZmZi01ciTyzVutYDx8ro0kTQImASOzpMmSuoAVETE3S5sFfB6YLelM0vC3C4Hrqu4rNBP4gaTHgbuB9wP7AO/O5Wn0WGZmZmat4Z4iKyAHRe11InBW7vG/Z9tcYCpARKyXdCRwMek+RGuBG4BP5w8UEddnN4E9HTgTmA8cHRF/zOVp6FhmZmZmLeObt1oBOShqo4iYSerhqZfvSeC4BvJdQZ2FHho9lpmZmVlLuKfICshBkZmZmZkNnEMO4dkVKxjb7nqY5TgoMjMzM7OB86EP8cirX+2gyArFq8+ZmZmZmVmpOSgyMzMzM7NSc1BkZmZmZmal5qDIzMzMzMxKzUGRmZmZmZmVmoMiMzMzMzMrNQdFZmZmZmZWag6KzMzMzMys1BQR7a6DDSKSVgBLW3yaHYGVLT5HUZW57VDu9pe57VDu9rvt5VXm9g9U28dHxE4DcB4b5BwUWeFIejAiJre7Hu1Q5rZDudtf5rZDudvvtpez7VDu9pe57VZMHj5nZmZmZmal5qDIzMzMzMxKzUGRFdHl7a5AG5W57VDu9pe57VDu9rvt5VXm9pe57VZAnlNkZmZmZmal5p4iMzMzMzMrNQdFZmZmZmZWag6KbEBJOknSbEnLJIWkGd3kGyfpR5JekLRS0sWSRjVw/BGSzpf0V0kvSvqZpD2b3IymkLRn9hrU2hbWKTuzm3JHDlT9+0tSRzdtGNlA2TdJuk/SGklLJH18IOrcDJK2kfQlSfdL+puk5dl7fd8Gys7o5jX78EDUvS8kTZL0S0mrJD0l6cuSNmug3LaSrpbUmb1O/ytph4GoczNImi7pJ5L+IqlL0m8lndJAuVrX996BqHMz9fW9OtivO/T43RaSDu2mTHd/D24Y6Pr3hqS9JV0m6feSXpLUUSOPJH1O0hOSVkv6taTXNXj8d0r6Q/Zd/ydJJzW9EWaZ4e2ugJXOCcCewC3AB2plkLQ5cBuwDjgZ2A64INu/t87xv5md4z+AFcBM4BeS/jEi1vS/+k21DKj+A7klcDswp4HyfwOqg6CHm1CvgfQr4HNVaWt7KiBpb9L74xbgDOBg4AJJqyLiypbUsrn2AD4IfBf4PDCK1I77JL0mIp5o4BhvB1bnHi9uei2bQNJo4A7gT8A7gb2A80k/yH2hTvEbgX1J3xMbgHOBm4G3tKq+TfafwBLSd9FK4CjgOkk7RsS36pQ9H5iVe/xCa6o4IHr7Xh3s1x3go8A2VWlfBg4EHqhT9lPA3bnHRb+x6/6k9/a9wObd5PkscCbwaWAB6bNxh6QDImJ5dweW9Gbgh8AlwMez81wvqTMibm9eE8wyEeHN24BtwLBsvzUQwIwaeU4BXgIm5NJOJP2B3KeHY+8G/B14Xy5tHCm4+kC7297g6zM9e10OqZNvJrCy3fXtZ1s7gFl9KHcZ8AgwPJd2CfAE2eIxRd6ArYAtq9K2B7qAs+qUnZG9P7ZudzsabOsZQCewTS7tM8CqfFqNcodm7XxrLu3gLO3wdrerwbbvWCPtOmBJnXIBfKzd9W9C+3v9Xh0K172bdm0BPAt8p4c8e2btPLrd9e1l24bl/j0L6Kh6fiTpB7wv5tK2Iv1oeXadY98G3FmV9nPgrna329vQ3Dx8zgZURGxoINs04IGIWJJLu5kU3PQ0POyIbD87d76/AHdlxxwMTgEWR8R97a5IgU0DZkfE33NpN5CC4gPaU6XGRcSLEbG6Ku1ZYCkwtj21aplpwG0R8Xwu7QZSj+iUOuWejohfVxIi4n5Sz8ug+CxHRK1f+H/H0LvGzTTor3s3jgRGA9e3uyLN1sDf9H8i9ZrdmCvzIvBTerimkkYAb8uXy9wAHCpp2z5V2KwHDoqsiPYjdbG/LCLWAYuy53oq92REdFWlP1ynXCFI2ob0R6LRMeTbKc23Wi/pd5L+uYXVa5UjsrkmqyTdJuk1PWWWtBWwO1XvDzYOGyz8da5F0k7A3qQesEYskvR3SQslfaiFVeuvWp/lP5N6iup9lquvMQySz3IPDqWxazwzu74rJV0laftWV6yFevNeHarX/WTgSWBeA3mvzubmLJN0gaQtW1y3VtuPNPLj0ar0etd0L9JwvFrf9cNIQyzNmspziqyIRgPP1UjvzJ5rdrmiOI401KCRoOgx0jCk3wGvAj4E/FDSuyJido8li2Mu8D1SW8aT5tfMk/TaiHi8mzLbZfvq69yZ7QfDda7lfNLwuWvq5FtGGpt/P7AZ6T9bl0oaFREXtrSGfdOKz/LEJtRrwEk6jPQZP7VO1u+RfkVfAUwmXe/XSjo4Il5qbS2bqi/v1aF43UcBxwKXRURPN4ZcC3ybNKf0eWAqcDopOHhni6vZSqOBrhrv3U5glKQtsh89a5WDofddbwXmoMj6JevC3rVevoio9evfkNPP1+MUYH5E/KGB8j+oOu9Pgd8AXyQ3fHAg9bbtEXFWLnmepDtIvwp+MtsGjf5cd0kfIS0g8q6IeKZO+dtI4+wr5iit1vcFSd9ocHiqDTClFTCvA34cEdf0lDciZuQe/lrSw6R5FMeQhhEPCn6vvuwY0hyaHofORcQy4GO5pA5JTwOXZD8UPdTCOpoZDoqs/6YDVzSQT704ZidQa7zwaKCnPww9leuskd4KfXo9siVnDyctoNBrERGSZgPnStqsTb8o9+u9EBHLJd0NvL6HspVfDauvc+VXw4G6ztX6et2PBb4FnB4RP+rjuWeRFiLZk+KtQtfXz2QnsFMfyhVONvRtDmnO2Hv6cIhbSb2Ir2cQBUXdqPdeHTLXPedk4LGIeLAPZWeRFpF5Az3/7SuyTmDrGn+XRgOruuklqpSD4n3X2xDmOUXWLxFxZUSo3tbLwy6gaqyxpC1Iwyd66nFaAOyezTvJ626cetP14/U4gfQjRX/uSRHZ1hZNei/02IZsgu4TvHIseuVxW3ok+9J2SW8iXe9LI+K8/py+al8ktT7Lu5OWIa/3Wa4132DAPsvNkA2duoW0+tjREbGqt8fIDbkq4vXtrXptGRLXvSLrQZ5G3xdYGArXfgFp+OTeVen1rukiYD21v+s30Pj8S7OGOSiyIpoDHCRpfC7tWGAE6VfT7lTuW3B8JUHSWNL9LRq57087nQLcHxGL+lJYkoB3AQ8NsnkHL5O0C/Bm4Ld1ss4BjtemNwA9iRQs/bFF1WsqSfuT5o3cSrr/Rn+cQLqXydL+1qsF5gDvkPSqXNpJpPvWzK1TbpfsPiUASJpM+mGk6J9lACQNB24C9gGOjIi/9vE4R5JuYVDvczEY1HuvDvrrXuV40t+tvgZFJ2T7wXztf0OaIzW9kpD9WHAMPVzTiFhLuo/d9KqnTgLuiYi/Nb+qVnYePmcDStIkYBJpQQGAyZK6gBURUflP0izSpPvZks4kdZ9fCFwXEY/mjvVLgIg4LNs/Kem7wEVZkFC5eetSYJM5OEWSC9z+q5vnpwC/BA6rvEaS5pJuareANF79g8AhpInchZetMncO6T+NS0k3ND2D9AvgRbl8r2g7cB5pGNL3JV0BHERaaOIjdSYyF4Kkndk4JOqbwMHp7QrA8xHxpyzfeNKvpadGxLVZ2g9JE9d/T/r19aRs+3hB52hcSgr6Zks6l/Sf25nABflluiU9BsyNiH8DiIh7JN0OXCvpU2y8ieddEXHHALehry4h3WzyE8AO2RDZit9FxNrq7zBJp5EWV7iDFDy8nnST2/uBnw1g3futkffqEL3ueSeTfqh6xU21q9suaSZp0Zy7SUHEW0k3O50dEb8fsBr3UhbgHJU9HAdsI6kSzP08IlZJ+hpwpqRONt68dRhp6HDlOO8DrgL2iohK0PwV0tyqi0hDR4/Ktp5uzWHWd1GAmyV5K89G+g9R1Ng6qvLtRvoS7AKeIa3KM6oqT0eNciOAC0gB0YukCcoTWtmmJrwmnyQtWTq2m+enZq/R1Fzad0lj8ldn7ZwHTGt3W3rR5nHZtVlGuv/UM6Qgb796bc/S30z6D9ca4HHSf7Ta3q4G215pU4+fAzbezHFGLu2rwELSktarSb8g/0u721SnvZOAO7P6LiP9R2ezqjyPA9dUpW0HXE2aR/Y8aaGCV9wQtahb1qburvOeWZ5NvsOAw0j/KX6GNHToCVLgvG2729OH9td9rw7F655rx47ZNfxsD++Pa3KPTwYeJN3odB1pVc4vAyPa3ZY67ax8T/X0Phfph84ns/fCPODAquPMyJfJpR9HGgGwlhRQndzuNnsbupsiCv/DqpmZmZmZWct4TpGZmZmZmZWagyIzMzMzMys1B0VmZmZmZlZqDorMzMzMzKzUHBSZmZmZmVmpOSgyMzMzM7NSc1BkZmabkHSipBk10jskzWpDlarrMU7SC5L2ajD/ZEnPStq21XUzM7PByfcpMjOzTWSBz44RMbUqfRKwPiIebUvFNtbjO8B2EXFKL8r8EpgXETNbVjEzMxu03FNkZmYNiYg/FSAg2gZ4P3BVL4teDXxY0vDm18rMzAY7B0VmZvYySdcA7wKmSIpsm5k9t8nwOUkzJa2UdIikByWtlnSXpAmSdpZ0s6QuSQ9LenuNc31A0nxJayUtlfSZBqp4IrAauLPqWGdIekzSGklPS7pV0i65LD8Btgfe0dvXxMzMhj7/YmZmZnlfAfYAtgM+mqU92UP+UcDlwNeBF4FvAt8H1gJzgEuAzwA3Sdo9IlYBSPo08NWsXAfwBuArklZFxMU9nO8w4P6IeKmSIOl9wOeA04H5wA7A24GtKnki4nlJ84HDgZ/VfRXMzKxUHBSZmdnLImKRpGeBYRFxbwNFtgQ+HhFzASSNBb4NnBUR/5OlPUkKVqYAc7IhcGcBZ0fEl7Lj/ELSKOALkr6TD3qqvAH4cVXawcDtEXFJLm12jbIPZXnNzMw24eFzZmbWH+uAebnHj2X7O2ukjcv2h5J6cW6SNLyyZWXGALv1cL5dgJVVaf8HHCXpS5IOlrRZN2VXZuXNzMw24aDIzMz644WI2JB7vC7bP1dJiIhK2shsv2O2nw+sz22/ytJ37+F8I0lD8/KuIg2fOxG4D3ha0tk1gqO1uTqYmZm9zMPnzMxsoD2b7Y8Gnq7x/MI6ZbfLJ2RB2YXAhZJ2B94D/DdpLtSluazb5c5tZmb2MgdFZmZWbR2t7VG5h7SC3NiI6O2iBwuBCd09GRFPAF+T9K/ApKqn9wQe6eX5zMysBBwUmZlZtQXAOyUdR+pteSoinmrWwSPiuWyZ729IGg/8mjSce1/gbRFxfA/F7waOzSdIuozUA3Qv8DfgbcA+pNXo8iYD5zajDWZmNrR4TpGZmVW7BLidNFfnAeC0Zp8gIr6eHXcaaTW560nD3ub1VI60qtwkSXvk0u4B3kq6QevPgeOBD0bEzZUMkg4EdqL2qnRmZlZ3wqTJAAAAmUlEQVRyioh218HMzKxhkh4CfhAR5/WizDnAQRFxeOtqZmZmg5WDIjMzG1QkTQfOA/aOiL83kH8rYClwQkR0tLh6ZmY2CHlOkZmZDTazgImk+x4tbSD/HsCXHRCZmVl33FNkZmZmZmal5oUWzMzMzMys1BwUmZmZmZlZqTkoMjMzMzOzUnNQZGZmZmZmpeagyMzMzMzMSu3/ASugUztlPZAcAAAAAElFTkSuQmCC\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAA2gAAAEkCAYAAABaExIDAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOydd5hWxdXAf0d6710URREBK4jBBtgxYsNo1GgUu8ZYYkv8FDTGFo0ajTHYMNGo0ajYu6BRFEEUC02KgBTpsHR25/vjzHXv3r3v7n0b7y57fs/zPnd37sydmXvnzp0z58wZcc5hGIZhGIZhGIZhFJ5tCl0AwzAMwzAMwzAMQzEBzTAMwzAMwzAMo4pgApphGIZhGIZhGEYVwQQ0wzAMwzAMwzCMKoIJaIZhGIZhGIZhGFUEE9AMwzAMwzAMwzCqCFuNgCYiZ4qIE5EBhS6LsXUhIqNFZHYW6Yf7ttklFFYj26uv88hClyMJ1amscaTTbkVkgK/vmRnm1cWnH55J+hTXnC0io3N1vVxSlcu2tSAiF4rIKhFpVeiy5BMRaS8ia0Xk14UuS6aIyDMi8lGhy2EYVRURuVRElopIi6RpEgtoItJQRC4TkQ9FZJmIbBKRRSLymh9s1s6s2Mnxg4jhItI833lVV/wgqaLfAYUuY1XEt+0zC10OwzBqDiKyr4i8IyKrvTDyhojsmUb6kRX09SemSNMlmwmnLYGINANuBO52zi1NI91RIvKxiKzx45RnRWSHhGlb+EHUWyIyV0TWichUERkhIp1j4g+o4N6/Eonb3I9dBkSv45xbCDwI/ElEGlZQvtFVcUJPRPYHTgL+LxI+vIL7c2XCa/cXkb+JyFf+/VgsIh+JyCkiIpG4dUTkQRGZICJLRGSDiMzywuNeMddO/PxC1/+DiEz2114qIv8Vke4pyr6diPxDRL7zbekHEXlZRA6KxDuzgnIEv06h+LMriftQ5PoVxW+dRlnuj6ljYxG5Q0Rm+HuyUEQeC5c3Er+niPzbl2m9iHwvIk+JyB6ReN1E5CYR+cQ/89Ui8oWIXCcijVJc+xdS+u6vFpVVjkoRt7F/ll/5uEt82jNj2pWIyAUiMtE/xxWi/fTPYq5bkYzyD2ADcH1cmeJIJFSJyE7Aq0A34B3gVmAJ0BY4FHgM6AFcnTTjDBkADANGAisi5/4FPA1szHMZqgNfAHelODd1SxakGnEZMBttW1EOByQm3EifBkBxoQuRkOpU1jiqe7vdBXCFLkS+8B/40cAPwA0++DfAhyKyn3PuqzQud3pM2LhQXtsBLZxzX8aUYxDwtnNucxr55ZuLgOZAuUFhKkTkBOA54EvgKqAZ2q9/JCJ9nHPzK7nEvuh3812f7xKgF3A+cJJ/Jt/GpBsBfBgJmxf5vzk6dgF95lH+6st6FvC3UJ1+DrzmnCvzHogKnY2cc19XUqctwQ3AF86591Ocvxy9l2EmJLz27cC2wAvAV0Aj4GTg38DBwLmhuHWBPsBH6HhwNbAdek8/FZEjnXPvxeRR6fPzg/ZRwCDgReA+oA3aTseKyP7htiEiHX0da6MD8+lAR1/e90XkGOfcqz76B8S/vx2AO4CJzrkfQuGXAY1j4l8M/Ax4OebcFOBPMeGrY8IAbgEmR8LKjB1FpAEwBtgL+CcwFtjBl+MQEenrJx+C+Hv4OMvRez4P6Iq+X8eLSD/n3EQffai/zkvAk8AmYCBwM/ou/sw5ty507WuA24CJlApAvwJeEZHTnXNPhuJuA7wO7Ac8jj7LhsApqCyzK3BNqKoPABeg7+3VPu55wBgROcI5NzoUdwApZBTn3HoReRD4g4j8KdHEk3Ouwh86SJnib9AJKeLsA1xU2bWy/QHD0Q92l3znVV1//v68UuhyVLcfKpyNztO1y7Vb4EwfNqDQdc+wTgI0LnQ57Jez5znAt8czM0zfxacfXui6bKH7lXV/gQpQq4BOobBOPuythNcYqZ/xSuMdAsxCBY+9fPm7A28BHwPbFvqehsq6jS/fqDTS1EEF3e/D/RKwJzrJMiLBNboAXWPCD/Vt+7lIeOJ3Jsn7gQ52J4X+bwK86dtJX3SAOAjVLM4FTq4Cz2onoAS4POZc1uM1oD9QK6Z9jPHX7pXgGh3Q8etrWTy/43zcf0TCdwTWAu9Ewn/v4x8bc78c8GKCPINrXJwgbgNUIJgP1I6cS9xXkca4BBUUHfD7SPh+vk08HAn/h4+/RyQ8eL/uCYX1AZrF5Hmzj/ubUFg7VDP1FVAnFF7Hhy0DmobC+/lr3B25dl1gJrAiFLanj/s6IKHw5sBCYBqwTdI279uLA36X5HkkMXE8B53JvMs593xcBOfcZ865B8JhInKcqCp6jYgU+b+Pjab1qs7RItJdRF716saVIvKciLQPxRtJ6QzUrJDadbg/X25NTyjsYBG5MqSGnSYRe2+pYA2FxKwh8uG7i8gLoqru9SLyrYhcLSK1IvFi14LE5Ski24ia202SUrOXqSLyiIjUiV4jU0Tk5yJSIiKPRMIb+/wWBfdfQutTROQSf//W++MlKa5/kIi87Z/lOhH5XETOjok32reBjqKq7uWi9vhviki3mPj1RFXT3/gyrBA1G9grEi9c5rN8/A2iKvWrI3EdsD3QX8qq9LuEyxhJ01fUvGiaL+9q38aPT3D74+7X8T7Pc1Oc/0bUVCJWIyIitURkvoh8nuL8+f76x/n/m4jIzSLyqZSag3wnIrdJxMwmci8vFpFvgfXAlSIyyte/aUye+/h0N4TCyq3rCsJEpJ+IjBHtM5aKyMMiUm6mUNTsZaxvVwtF5F5R04lEa6BEpKWI3C3aH6z3eU0QkaviypVpWePajQ/P6r2X5H1rqvyPFTXXWC9qyvVH9GMWjZe4jaSDiNQX7VOn+razQtTU5M+ReLMlZp2X6Nqkqb4800XkNxLf/wf99i4icouIzPNpvpQY0xcRuUjUvO0HEdkoIgtE5AmJ9PsV1KuZ6HesdYK4O6ETm8+60Oy4//tZ4FAJff8SXE9EpKno7HA5nHPvojPDM4H/ooPWJ4H7nXP7Oefmha7VTERu9896g6iJ0VMismMozl6+/bwtUtonifZDH/jn2suH/dTeRU3TJvm0c3xY1JKnL9ofv5a0/uhAviM6KCwK1fsLVLA5Ofoexdyj2c65GTHh76CDvF6p0opIIxGpn+LcAFQ4Bhgmpd+X2ZGorwO7iTeZc86tds4dgWoD70Lby6M+bg/n3DORfIb4d36Fv/9TReSvIlI3Us5bpaxJ2j9FZPvItZL2Ryeik3UVPivfNtNeBuOcG+OcK46ElaCaUqjgmYT4Ef1epVz7U9Hz8wz0x8ciZZmJat8OEdVSBwTfw6jWdiEqvKypqMD+nRoKrEPf08o4EdUYP+5SaMJFpLbEfKcrKEOTcNuJIdU9+RjVGP4yck9T3ZPg/5/uiXNuvHNuZUyeQZsPP/f9UOHqSefcptA1NqGa1hZA+PsYWw7n3EZU0xt+NkEdH3dewvJxV6Aa1Z2B/aFyGcWnm4lqIn8RU7dyJBHQAjv2EUku6At6EaqSbgncBPzR//2iiJwXk6QT2onOQTujfwMnoGrTgH/4a4KqzE/3v1ihMcItPu4/UBVlCTBS1HY6I0SkD6quHYjaj1+Fqmxvj5Q7Xa4D7kZnPa7x130BlfrrJbxGHRFpHfP7abG1U/X6PcBQEfllKO0DaKP7tQuppz2XANcCT6CzOyuAv4rIsHAkERkMvIcOCO4C/oDOYD0sInFq9kaomr/Yx70fnd0aJSFh138Y3kBfgrFoO7gNNa/9yD+TKBegJhhPAb8DFgC3i8ipoTinoy/mFErb1enA4pjrBRyPzkD/B7gUNR9oCTwfuXZSXkY776HRE6KmUD2AR8OdRBj/EXsC2EtEesZEOQOtY2BW0QmdfBmPvp9XAJ+j78cLMelBZ8yuRU2JLwE+BR5CZ+9OiYl/NvquPRpzLsqewCvAZ74sb/n0fwlHEl1D+RZqSnEbam7dBzVVSMqzqCnZa74eN6Kz1AMSpk9U1jRJ9N5n0LeWQXQC4QX0Y34Tat5xItpvRcmkjSThb+g7/An6Dl+HmpUdnKD816B9VBHaBz2O3qvfVJDsceBA4E7U/KUNer+6ROJdib4jf0XNa/6DvucfSzJHFcejZkEVlSVgH38cG3PuE3TQ2zvBdQJW+t86LzTtGxPHUd5kN2o61wzVqF2E9hWXoP3xwaiZ2PYATk2RrkZnv68NXWIYeq8vd+XN744B/o72dVehA5VhaB8Spr8/jiM5ld3PpugSjbTx96QJsChFlHvR9rhOdMLu0rDQiraJy/3fL1D6fbkscp2g7AMi4SWUfU7lvgH+u/oc2rbv9td+ETgKNckKvp9vos/rc1+mp9D1Y5+KyLahSyYdh/RHxwHTomUKMQltm+tF1/kMqiBuUoKylnsmfpKgtajzlX3Q8WRjUguRlT0/KK3z2pj0QVj4nXvLHx8QneDs5MvylM8r1RKUgP6otu2/XhiojLPRdvFIivP7+nKu9AL846JmmKl4CdXkrxed0PpVTJzK7kkjYLdQ2Jv++C/RtbedRORA4GF0XPZgBeUJiHvuSZ5NeL3YOLTNXi26bm070Ym1W9E+d3iG104qo4wFekvM5HM5EqgxlwIrk6jjfPwWaAP8jrJqxabADNTmtXlE/eqAkyLX+ZsP3yWJ+pAY1WwobCJQNxTeCVWJPhUK60IKE4S4fFE7583A7qEwQT/qDjgkFD4amB1z3XJ5oh3nt0nvd8w1XQW/okjcuujgayWqej3dx7szEm+AD19NyBTGpx+HCl/b+rBaqJnJCqBjJO5H6ABh58i9ccDVkTyv8uFHhMIuj4aF2tYcQmr8UJnnE1KVox+rxcDYyDVmk8IMIO75ofb/0XgN0UHHt5HwuPYTtM1we73Fh/WIpH/It7WOceULxevp098RCe/qw/8aeR51Yq7xRx+3b8y9XAa0jcSv5e/9uJh7sZLyZiUOGBkTVgLsGwl/1betsMnSOHQ2dMdQWB3ftmLf38g1m/l4DyR8l7Ipa7l248O7RMtKgvee9PvWMvmHntUSoHXknnxPxNwnzTZSrk4V1GNZtF2kiDebsu90S3RGeRJQPxTe3re16Ps03Ie9QlnzlH18+K2R/OLe6UOI75/KlM2HnZnGPfidjzso5txR/tx5Ca5zGzoxcBpqhjUMXeOxETg0FG+gbyNxJo4fUdp/3+vvcdQMaXt0wBZ9H0ah7f5naD9RTHlTwKBtFAN7h8IFHdA44Geh8Md9WNPK6h9Kc59Ps2vMuYv8ucOTXi+S/g6ffmgkfH9f//OBwf44zsd9LMU9SNk20MGnA+7z/zdB+5XP0AH2aNTE8SbUxPEkH6+vT/ceofcidI/F/30u8d+Hn/vwf4XCEo1D0H7j8xTnLkMHrb9GhfOrUDPUEjI0pfbX7ejb+Azi+6delB33rEC/rVHTv3Se3yU+/LJIeEN0jOGAK2La3ZJIWabFtdGYOvzLx++fIG5gZvp+ivOvog5chgC/RAWhzahCoWMk7kmoxu5sf09+i45pHDAsEvcuH35cJLwD2oc4QsuifFu8Ef1Ohe/Jp9FypKhHLXTyaBNl5YLdSGE2ik5SOOClSPiBoXoFv1UxdRlMxPwyVJfPKT+uGk4lZr3+WTigd6V1TnBTNgHz0nh5TvKZ/zbm3KX+3ImhsNnADzFxh/i4RyepPBULaOfGxP8KGB/6vwsJBTTUOYoDno+Ju4c/d38obDTJB2rvo4ONA5Le88g1HTpjeGjMb0BM/K6+YX7pX5zPiHR6lA7QH4xJf4o/d6H/P/hY/CUm7rH+3JWRe1NM+Q9Lbx83bGs8AZ2NbB3zewTtdBpEyvynmHK8DCyJhM0mDQEtcr4h0MqX4+9EBhdx7TZFe90B7WjvCoU18s/n5YTPfzz6EQzbRd9IBR0CupC5hS9/fx/3kpjnf0+K9EH9dguFneHDhsS0z5ExYR/FXDcYxPby/7fz/z8TEzfod8q9v5F4dVEBbwKVrI3IpqwVtRsyfO9Jv28tkz+l7+afY9IH6x3OzLCNlKtTBfWYhQ7sKlw/QnkB7WRCfU0k7gOkFtAOi4m/moggETq3DSq0Bn3LCnQmO1F/keSHavIccHDMuYOJGQymce2dUTOd6aGw7fBCl39W4XZxlH++gg4o3yS+j30LmB/JqyUqLMxCB3yzCU0SRNrGGzFlDdaD3B4Kew3YlGadH/HX2THm3FBiBpIJr3si2ieXWYNSQfxtUCsPB+yfzvuBWiKU6d+Ao/F9Ofo+D/B/70hpv3ivT7dvJWV7Df3Wtog5NxH9zgR5JRqHELP+qpL4rVBtyXIyWMOMfms/RcelB6aI0wgd7xyFChjj0An/chMwaTy/FqjWZhUq6O6ATvS8hk6GOOD/Itf6hb/WlaiAeqW/xkygcwVlaO7v6/TKyuvj3+rz/1Ua9/FUn+ahBHHroePlTZQdw+yE9jML0L55e+Ag/3w2xpUJuNDfk4vQ8eAwf08/I2bNWSRtMAnz+5hzb/lzd6CWW7uiViEbfHh0jeBeqKn3n1HLh7NRgWstoe8F2i9+4+tzta/z7uja36COD4fiD6dyAe1CUkzORX9JTBxXoTM5SQlc2n4Tcy4I2zESPjMmbuDhJBd7oKS6fqbXrqiOk9EOPVrHpPwBHUB+KLoW4kkRObUSW+AoS5xz78T8RkcjOrW5vwJtdLWAU1zIjjdC1KsPQOC5KKhvJs9/vnNufSQs7vnvis76Lo75DfXlj67/yPWzB0BE2oq6X16EdlJLfDku8FHS3grCOTcL9ZJ6esjO/yT0/Xs44WUeR2cYD/XlFNSb0TfOuTKes0TX3ExCO7Flvvyj/ek4e/1UZiyPoB/+8BrDs1Hb/5cSljtJHxC0rThPpIm8kzq1M78MnWWdJbq27z4ROSRhOZOWNV2SvPeZvFthgnNTYs7FeafLpI0k4TKf9iu/FuZh0XVxlX2PMn3+ifoA0bXKo9H3eQWlfUszMq9rKgLzmDiz9fqROGnhnJuOWnLsJH4dr3Nujovx4OjPveZ03Uob9J4cTnwfexg6SRJOuwz1ktcFtUw5zaU2yUry/QAdvJRDRBp4s7Xwr4E/nfP7KbpO8Ul0Mudk50dXFeF0fdSt/t+fp5MfpR5Xf8rHOfeKv2Y0n5mu1IR0Z58m9vmG2AH91i6POfcN+p0Jvp9JxyEuVO5Kceq57kH0+7hf0nSga1dRjUgf4CznXNTzYpDHGj/eec0591d0wuMwdEBeWflin5+/Z4eiWrsRaJ8yDhUYA/PwVaGynouaVl7pnLvTOfeSc+5Of43OoTziOBUV1lOZK/6EXwLya7S/eq6S6D/hnPs3OplSaRt1zm1AzcNro31DEP6dT78GXfYwG3XeMo/S8Ur4ntyM1vtM59wDzrlRzrkb0TFOH1TDGovoOunfoM5+4u7dyagp4ZVon/Ktv+7FMeXYDdXEve2cu8o594Jz7hHgAHSZyUPB0hrfLw5CrQxuR9fWfYkKeNdGr52Qcu95KpIIaF8DTSW0QDgPVOTKOhduolNdP3ztim5Wtnu8pbp2ues658aiWq0TUfOPPdGPxBci0jLLcqRisD82QB3CbGmSPn9BZ3IOq+AXXTeWczfpXuh5C+0YH0c7hyN9/v/20TLdBH4EOlA6xv9/NtppvJoyRVmeQme6zvD/H4AOfsqsixSRK9BZxQWoacfP0fKf6aPElT92gOOcm4vOiv1KROqKyM7oTNo/KxD2o+S7D/gJ59yD6IDyXHTW7ETgHRF5OuElkpa1qr/3FZJhG6kU59wo9P6fjpplHYIOvEanORGVlEr7f78+5C3UXPJadHb3cLS+S8n8fU5FsEA9br+gIOyHmHNJme2P5RyWOHWG0SUmTXA/3iF1/3pETLpjQn8n3sOtAhYDtf3arzAno20x/DvZn8vp/RSRI9EB3zeoaWQ6g7DZ/lips5gIwXseu/bZOTcgbpI1OE2CAV9S0uiPFlNa7qTM9sfE9ycknB0KnOOceyJpWqdOY54HjhCRrpmWzzn3lXNuL1Qg7o8u1RhA6aRAeOLr98AUF1mH6XTrjCmUrrOM42zUGmhkgrIehXf4EzPJXRmzSf4MZvtj9J6MRu9HT7RO2znnhoTiTYGf1j9eCXzoIr4NnHNvoBYNsfdE1MnG/6HOSC6Ii+OcW+7z7YCOPfZG22/QL4SfzeXopM2zkWusRcdZ26PfpyB8jnNuoA/vj2qu90AnMKLXTkKF73mYJILHf9EKn4POqlRGMFvZE134HaZHJE665KwDimGZP8Z1NlHhdJY/xjlj6I5+zMN1XEb8gu9Yodd3KP/1v8AxwN/QF/fPcWkyRdQL4zHoWoYTUOcpuzvnFsRE3zUmLPpMw8+/srjpMh0VXt6Lm1XMknTa1u6oKetNzrlh4RMick6W5RiFap7OFpGvUTv5213CPYqcc0tE5DV0X5HGqKBWgjoQCXM62ukOCt9LPzDJhBHoAP44dHYJEswApslsf4ybREhrYsG374dRxzW1UJv/U0TkLufcZ1mVspRcv/fZ9q3BubiNVXvEhOW6jfyE17w8ATzhJzxuQ01IjiXy4Qwx2x93QQW7MNlOLJ2KauAHeU02oN7dyL32DNSkB9TEL6od/xnaHyXdKyqOnf0xlWOLOBajM/FNnXourBQROQZdn/MYav5zp4h84OL3cEvy/QCdFAatw/hQ+JuokBgm0ByH72e07D9DZ7krcmTxE759v4gOvA5NoXGqiLh7n+T7spM/pru32TR0ln8PKnasMhM4UkSax2g5e6D36Kf9yhKOQ74GDhKRbdL4JqfVNkPC2eHouszHEuYTJtC0tkS1YBmXz2uOvgsFDULv3UehsE4V5FObFGNv0U3q90a3mIg6aYsjGG8ktbAJsxPJ+4eU98RrlsN7wNVDtZbfOeeCd641KsjWiqb3/X8tYu6JF86GoRPh51SmxXbOLQqXUUq99YYdxAQTNuXKEipD3CTqHHQNd8BR6NjqzXC0isrn2QkVwCu1+kkyK/iwv9CVEuPKGUBEevuXF+BtVOV5iYg0CcVpgnbkRT5OJgTuc3M+o+ycW41qKg4Oe/DxmsPjInF/RFWkg8W7EvZxBZ05gbJezqYBTUSkbyjuNpR6diIUHjejEbhOz2m9RTcO/DNqb34duoC0KeplJ65tnCYhT09+tvtydIb6lVBZ5wBnSdltEupQ6vhjVIZF/ic6w31Fivq0iwtPSBHJ728wIx/dcb4Xas+cMV7jNBKdqQ6Ev3QFncdR04tfoXbwb7vym7QWEzFPEXWDfC2Z8So6W3U+qln8yDmX7sxShfgP1njgWCnr8rsOugarUkSkoURcxDv1gDnJ/5vLdyzX7322fesE1PzkrHB+oq6X42Ymc91GAg9rZcx//Uc32KC0ovv/NmpqeaGE3Df7fua0TMvkiX2n0UnJRNozScPNvh/kjQd+ISFvav7vX6CTUOFNXlv7azcLhcW6BhfdcuQXwGQX4za+gjKVoFqSviJyYlwcEWkb+rsT6qE18Fx5Guoc4OmQ6WGYw0Rk71B6QYVy0AF4wGh/DHtewzm3IMZsP5hIHINq1M6RkHc0/40bgG5nsCkU3sHfz+iWIoej3+6pqKOvZaRAYjx7+sHpcP9veMPgJGOXoL5jKogTR2C1cUucBjo0nnkRbcvXRs4PQifVXgqErDTGIaNR08gyEzyiLt2jGlBEpDO6BmcpOoYKwuv457FdJH499HkcDlzgnEspiIhIm7hxi+8ffoE+g29C4ek8v1R5XoKay9/tnAu7Z/8W2EXUA3M4fj/Um2iqScBA4Epi3tgeFRI+d7qdRFyc2PYmIhejTmlejoTH3ZNmqCfPjZQVRlJxC2oqHfbYvQh95geJbrIe5iR0vFLmnohuzzMMnTwdmu6kvKhX73OAMc65/4VOBcLkmZH4zdEJwuWUFcDjrn0MOiH9L+fc96FTSd/zCS60HUgqKtWgOefWisjR6ADsRRF5C/1QLkW1GQPRweQdPv4K0X2m/oa6bh3pL3UmKjme7+L3N0jCJ/54u4g8iaoYv46qkbPgfnQjvNdF5EV0Lc8F6CzRPpG4l6Id6Yci8jdUuDsavRf/drrvTMAI1InACyJyL9rQTyT+/k8WkU/QhZbzUZXteT5NUhOsThLvFhXUe+EMPzP8NDrz8yvf+CeKurG+G30ho7a+09Bn+iCqkj4VvS9/9GZuOOeKReQ3aKf6mYiM8HFPRhvmLU7XSGTCvegM6p9F5GB0Fn0VugD+ELQ9DEydvEI+QbVWf6R0HeHLkU43YDLa0V/tP/BT0U73fNQEMx332HE8hAqzp6CdS7r361X0/bwdFbjjXNA/hz7f10XkeR/vVNQ8Mm38c38UNUWAZNr2TLgS7X8+FpEH0IXsJ6HOP6DyGaxuwBgReQF9r5ejM/sXoprx2HUNGZLT9z7bvtU/o8vR9UnjRCTwDjoUbS/bRZLktI14mgALROQlVCj7EV0bcyH6LFIOipxzS0XkRnQA8JGIPIF+2M9D+6Y+ZG5l8QIqOL/m+6yNaF+zOyGtQiUcj2qSbqSsq+ZUXIpOjn0oIvf5sEvQQfTvInF/gw5WzqLU9GlnSr9V01HhfQ/0eRaj9yVdrkO19v8Rkf+g/eJG1LznKFTIP9MPhJ9EHTKc7M2D1orudfk8uoXL+ZFrfwm857+XC9DB0KHoICfsHn8Cqu05Cv0mV4pzbpOIXIruk/Shb9tN0We6mNLJroBb0YmkgXiB0A/oRqFC+mPAIIl4W4+Y1r0hIvN9eeej44Vfoc/lPufcuFC6pSLyHbo31Ax0wLrGORdu70cBX6U7seWcGycit6Pf7M9F5Bl0PLID2t/0RTWjI32drxHdYuIDtN+4yJcn3GcnHYf8F/3OHEVZzV9jdI3vi+j3cjmq5T7HnzvFObcuFL+TjzeGstsMPIkuH3gHbV/Rcc0k51wwuXYacJnv22f5snbzdW6BamDCZvqJnx+AqGXKTHSA71Ch8Tj0exvdPmg4+h687cdL0/11L/TlujESP9AUnubLkmQPwF+j35KKtGdn+HfyDdQCoTZ6f49DNXzR9+IrERmDjmN+RE39hqLP/3cutF+iL/MEtA+bjmrIjnxjfQQAACAASURBVEPfqRHOuZFBPOdciag27D5Kx5DzUNPZc9A+9s7QdS9G79Ec9NmfGnkXFznn3g7F/yN6f8ehY4K90b7yB9QSJMw9qGXRbaLr0T5CBapzfT0vdqG990T3ChbgC3QC6gD0OX1G+YnhCmUUURPbXdBxTOW49LznXA78D33ZNqEv9av+BkR3ez8enSFZ438fE+NFiRTesEixyzs64zbT5/+TVyQq9uI4IOb6oynvOr02Kmgu8Df2c3R91nBiPLOgH8MXUVOmDWgHc3X0Xvi4R6EPeAP6At7uH1QZz07o7NYH6MuxAfWQ9Swh98SVPCdXye8cH+9RVAg5KpJeUG3YJrxXqPCzQL0iTfdlmw5cmqIc/dGB9Cp/LycCZyd5Dj68S/TehJ7Rb9GXI2hb09GO/PBQvNj248+NxE/ch8Laoh+bZZTuO9OlgrayvX8ui9G1WePQNl+uraQIO5MUbdOff9efPz3pOxpJH3g8Won3bBk5XwvV9n7nn+X3lHpAirbJlPcy5p4U+2ce6zHLX2dkZWEV3SPUfOIT364WooL7vj7u1ZWUsRU6AfEFOmhZ5+/BPUCHPJQ15+89yfvWcu3Wh58QKtNc1G3+YdFnnGYb6RINS3H/66KD43GoULgB/QY8Smj7DR93NvHfhotRgSzog35DqRvssOv/4aTwqBV3bXRwMYFSpz9Po0JrXNy4sKANVHgPImn6oe96ETqR9WaKZx7UJfx82qOzy1PQd24TOqB5HOieSb/hr9sQ9TL5Ffp+rEa/bQ9R+k24wZcnzqNmsEXOkGjbQCedAqczc1GX8XGu0q9GJw/apVn2o9G+YS06TnkO6BoTbySpxwspf5FrXIPuabTY3/sV6GD1lBRl64sOBtf4680OneuCfncuzuK5neKvv9rnMQXt18JbDDVC37+ZqKDwo29D20eulU5/9BoqWIbD6qGCw1eUjhcX+OfRN+YaQRuJe88qeibhPqg3ajY9HX2fNvpyPwPsF5Nnus/velQILfK/z1Dhttx4z8c/GPX+udS35cXoGGPPFPEDz4rlPE+niD8VbecpvR+iky0vof3COvSbORk1KW8eE/8utA9c6u/JEv98j0hx/fvRvngt2gd9kOr++fhD0O/SSn/9+ahl1A4p3s9Uv2g7Cb6Jy3wdp6Hf2nJ19PG7ov3kPF+OoOwnxMQ939+Tlf4efoVOZpQbV/n4sTKKPzfMl69Vkmcc7I9hGCkRkQFox3WWC82KGPnDz9b1Q/cHWVdZ/KqAiHRAP4iPOOeis+f5znsI+vE/xTmXVNNsbCV4DdRvUCE7ydoNYwvhtTWzgBudc8MTpmmKDrQfcs79X2XxqzsicjdqhtfNldXyVHm82d7HqHvyRGsXDaOm4TWkM4GnnXOxy3Si5NozlVGD8Hbm14rIdBHZICLz/IfGyAIR2Qk1lX2iughnngtRrcuIfGUgSv1IWB10XeJmStevGFsh0WfvwzqgJitfm3C2deDUa+Iw4Ldx62K2Jnz7vQC4rroJZ/CTx8dnUG2oYRjxXIB6j/xj0gTZuo83ajYjUTX+jag5RWfivcEZCRCRfVHzsd+i5hl3FbZEyRCRX6KmYFcBb7rIfms5ph7wvbfvnoqaLJ6MrhW63QboWz0DROTP6PqOeZRul9CYLJyXGFUPp9thPFjocuQbp45O4pyqVBucc78sdBkMoyrjnLsHNTlOjAloRkaIuiI+GdjDORe7ya2RNheimoCZ6IavswtbnMQ8hd/UlLKbVeeDTei612PRBb2CCmoXO+ceyHPeRuH5Dl3cfi4qnK9HvSHeauZVhmEYxtaCrUEzMsJ7+GrmnIvbuNQwDMMwDMMwjAwwAc3ICBH5HvUOJKjWpzbqyvU3rvyeW2Vo3bq169KlS97LuGbNGho1apT3fKoiVveaWXeo2fWvyXWHml1/q3vNrDtsmfpPmDBhiXOuTV4zMYwQJqAZGSEiG9B1Ul+i+xI1QV1wLwR+5iINS0TOw+/L065du95PP51/R3tFRUU0bty48ohbIVb3mll3qNn1r8l1h5pdf6t7zaw7bJn6Dxw4cIJzrk9eMzGMECagGRkhIhvxG5g655b6sIPQjSYPdWU36i5Dnz593Pjx4/NextGjRzNgwIC851MVsboPKHQxCkZNrn9NrjvU7Ppb3QcUuhgFY0vUX0RMQDO2KOZm38iU5ejmlEtDYf9DhTbz5GgYhmEYhmEYGWACmpEpk9H1Z1EEKNnCZTEMwzAMwzCMrQIT0IxMeQXYTURah8IOAuqg69IMwzAMwzAMw0gTE9CMTBkBLAVeFpHBInIq8C/gHefc/wpbNMMwDMMwDMOonpiAZmSEc24VcDC6Fu1p4G/Au8BJhSyXYRiGYRiGYVRnahe6AEb1xTn3HXBUocthGIZhGIZhGFsLpkEzai6TJ8Mtt8CiRYUuiWEYhmEYhmEApkEzajLnngsffQRz58Lf/17o0hiGYRiGYRiGadCMGsqsWSqcATzxBBQXF7Y8hmEYhmEYhoEJaEZN5ZNP9HjllVBUBF9/XdjyGIZhGIZhGAYmoBk1lXHjoEEDNXME+PTTwpbHMAzDMAzDMDABzaipTJ4MPXrATjtBw4b6v2EYhmEYhmEUGBPQjJrJ9Omw886wzTZ6nDat0CUyDMMwDMMwDBPQjBrIxo0we7ZqzwB22QWmTi1okQzDMAzDMAwDTEAzaiJz5kBJCXTtqv937Qrff2+eHA3DMAzDMIyCYwKaUfOYN0+P222nx86dYfNm27DaMAzDMAzDKDgmoBk1j0BA23ZbPQaC2ty5hSmPYRiGYRiGYXhMQDNqHoGA1qmTHjt31uOcOYUpj2EYhmEYhmF4TEAzah7z5kGLFtCokf4fCGiB4GYYhmEYhmEYBcIENKPmsXAhtG9f+n/z5lCnDvz4Y+HKZBiGYRiGYRiYgGbURBYvhjZtSv8XgbZtTUAzDMMwDMMwCo4JaEbNIyqggQpo5sXRMAzDMAzDKDAmoBk1jyVL4gU006AZhmEYhmEYBcYENKNmUVICS5dC69Zlw01AMwzDMAzDMKoAJqAZNYtly1RIS6VBc64w5TIMwzAMwzAMTEAzahpLlugxTkBbtw7WrNnyZTIMwzAMwzAMjwloRs1i8WI9xpk4gpk5GoZhGIZhGAXFBDSjZhEIaFENWrt2ejQBzTAMwzAMwyggJqAZNYtUAppp0AzDMAzDMIwqgAloRs0iWINmJo6GYRiGYRhGFcQENKNmsXgxNGkC9eqVDQ8EtkDDZhiGYRiGYRgFwAQ0I2tEpJOIFImIE5HGhS5PhSxZUl57BtCgAdSvD8uXb/kyGYZhGIZhGIbHBDQjF/wZKCp0IRKxYgW0bBl/rkUL3SfNMAzDMAzDMAqECWhGVojIQcCRwJ2FLksiVqyAZs3iz7VoYRo0wzAMwzAMo6CYgGZkjIjUAu4DbgKWFLg4yVixApo3jz+3pQS0mTOhY0cYPBiKi/Ofn2EYhmEYhlFtMAHNyIYLgHrA3wpdkMRUBQHtpptgwQJ45RV4/vn852cYhmEYhmFUG8Q5V+gyGNUQEWkFTAd+5Zx7TUTOBB4Dmjjnyq1HE5HzgPMA2rVr1/vpp5/OexmLiopo3Lisz5IDBw1i/uDBzLjoonLxu99yC80nTeKTPJZNiovZ74QTWLrvvrQcP57le+3F5Ouvz3k+cXWvKdTkukPNrn9NrjvU7Ppb3Wtm3WHL1H/gwIETnHN98pqJYYSoXegCGNWWPwGfOOdeSxLZOTcCGAHQp08fN2DAgDwWTRk9ejRl8tm8Gdavp/Nuu9E5Lv8XXoBPPyWvZRs/Hlatov3QodC+Pe3eeIN2/fuDSE6zKVf3GkRNrjvU7PrX5LpDza6/1X1AoYtRMGp6/Y2tEzNxNNJGRHoCQ4GbRKS5iDQHGvrTzUSkQeFKVwErV+qxIhPHVatUkMsXEybocd999bd4Mcydm7/8DMMwDMMwjGqFCWhGJuwM1AHGAsv9L1iHNg91HFL1WLFCjxV5cQzHyweff675dOkCvXtrWCC0GYZhGIZhGDUeM3E0MuF/wMBI2JHANcBRwMwtXqIkBIJXRRo0UEchcZtZ54Jp02DXXdWksVcvDZs8GY4/Pj/5GYZhGIZhGNUKE9CMtHHOLQFGh8NEpIv/88M4JyFVgnQEtHwxcyb0769/N24M7drBjBn5y88wDMMwDMOoVpiJo1FzqGwNWsuWesyXgLZxo64323HH0rCuXU1AMwzDMAzDMH7CBDQjJzjnRjrnpMpqz6DwGrTvvwfnygpoO+1kApphGIZhGIbxEyagGTWHpE5C8iWgBYJYVIP2ww+wfn1+8jQMwzAMwzCqFSagGTWHFSvUOUeTJvHn8y2gzfS+U7p2LQ3r2lW1arNm5SdPwzAMwzAMo1phAppRc1ixQrVn26Ro9vXqQZ06uhdaPpg5E+rXh/btS8MCYc3MHA3DMAzDMAxMQDNqEitXpl5/Bqpda9o0fwLa7Nm6/5lIaVhg7mgaNMMwDMMwDAMT0IyaxMqVKoBVRLNm+RPQ5s+HTp3KhrVurVq7+fPzk6dhGIZhGIZRrTABzag5rFpVuYCWTw3awoVlzRtBzS07dDABzTAMwzAMwwBMQDNqEqtXp3YQEpAvAc05FdA6dCh/rkMHWLAg93kahmEYhmEY1Q4T0IyaQ1IBLdjQOpesWgXr1pXXoAF07GgaNMMwDMMwDAMwAc2oSRRSg7ZwoR5NQDMMwzAMwzAqwAQ0o+aQZA1avpyEVCSgdeige6+tW5f7fA3DMAzDMIxqhQloRs2gpASKigqnQQvWmKXSoEGpEJdP3nsP/u//YOnS/OdlGIZhGIZhpE3tQhfAMLYIa9boMYmAtnEjbNigG1fnikD4inMSEgho8+fDDjvkLs8oM2bAoEFav6+/hhdfzF9ehmEYhmEYRkaYBs2oGaxercckAhrk3lHIwoW631mLFuXPBUJbvteh/f3vqkk87zwYNQpmzsxvfoZhGIZhGEbamIBm1AwCs8WkAlquzRwXLFDzRpHy57aUgDZqFBxxBFx3nf7//PP5zc8wDMMwDMNIGxPQjJpBoEFL4iQEci+g/fgjtG0bf65VK92wevHi3OYZZvZs+O47FdC22w66d4d3381ffoZhGIZhGEZGmIBm1AzSNXHMtYC2ZAm0aRN/bpttVEjLp4D2ySd6POAAPfbvD2PH6gbahmEYhmEYRpXBBDSjZlBoAW3pUhXCUtGmTX4FtM8+g/r1oVcv/X/PPXWd3Zw5+cvTMAzDMAzDSBsT0IyaQbpr0HLtJGTJEmjdOvX5fAtoX3wBu+2mjkoA9thDj19+mb88DcMwDMMwjLQxAc2oGSRdg5YPDdrGjZp/ITVo06bpurOAQJNmApphGIZhGEaVwgS0ao6I7C4iz4jIDBHZICJ7+/A/icigQpevypDUxDEfTkKWLdNjoTRoa9bAvHmwyy6lYU2aQNeuJqAZhmEYhmFUMUxAq8Z4AWwC0B74J1AndHoDcEkhylUlWb1anXE0aFBxvHr11AwwlwLakiV6rEyDtmwZbN6cu3wDpk/XY7duZcP32AMmTcp9foZhGIZhGEbGmIBWvbkVGOmc6w/8KXLuC2DPLV+kKsqqVao1ituHLIyImjnmUkBbulSPlQlo4bi5ZNo0PYY1aMH/s2blRyg0DMMwDMMwMsIEtOpNd+AZ/3fUX/oqoOWWLU4VZvXqys0bA5o2za2TkECDVpmJI+THzHHqVD3utFPZ8B13VOFs7tzc52kYhmEYhmFkhAlo1ZsfgR1TnOsJmA/1gHQFtC2tQQs2sc6HgDZtGnTuDA0blg3f0TedmTNzn6dhGIZhGIaRESagVW+eBm4SkQNCYU5EugHXAE8WplhVkKIiaNw4WdxmzQqzBg3yI6DNnKkOQaIEYTNm5D5PwzAMwzAMIyNMQKveXA+MB8ZQqi0bBXwNTAJuKVC5qh5r1iQX0PKhQWvYsGIHJfkU0ObOhe22Kx++7bbqEGVLaNDWrIG//x3Gjct/XoZhGIZhGNWY2oUugJE5zrkNwNEicghwCNAaWAa865x7O595i8gvgNOB3kAzYCpwp3PuqXzmmzFr1kCnTsniNm0KkyfnLu+lSytefwbQsmVp3FyyeTP88IOaOEapVQu6dMm/gOYcDBkCb74JdevC2LGw9975zdMwDMMwDKOaYgLaVoBz7l3g3S2c7RXALOByYAlwFPBvEWntnLtvC5elcoqKoFGjZHFz7SRk6dKKzRtBNVlNm5bumZYrFiyAkpJ4AQ10HVq+TRw/+ECFs2uugUcegRtugFdeyW+ehmEYhmEY1RQT0KoxItKjsjjOuW/zlP1g59yS0P/viUhHVHCregLamjWFE9BWrIDmzSuP17Jl7gW0wENjnIkjwA475N/scORIvac33KCC6C23wMKF0L59fvM1DMMwDMOohtgatOrN18BXlfzyQkQ4C5gIdMxXnlmR7hq0TZtgw4bc5L1ihToeqYx8CmipNGidO8Py5bB2bW7zDSgpUW3Z4MG6Du/kk0vDDMMwDMMwjHKYgFa9GQgcHPkNAUYA3wPHbuHy9AOmbeE8K8e59DRogTv+1atzk//KlYXToM3xvmNSCWjbbqvHefNym2/AF1+oF8sjj9T/e/bULQXGjMlPfoZhGIZhGNUcM3GsxjjnUo1yXxSRm4GTgC2iqvCOSo4DhqY4fx5wHkC7du0YPXp03stUVFTE6NGjkY0b6b95MzN//JE5CfJt/8MPdAc+eftt1nfokHU5Dli2jIWrV/NdJXn32LyZxvPmMS4H9yao+05jx9K+USP+N3FibLzmS5eyJ/DFK6+wIg+OOzqOGkU3YGzt2mzw9eqx6640ffttPslTGwjqXlOpyfWvyXWHml1/q/voQhejYNT0+htbKc45+22FP9Sr48otlFcXYBHwQpL4vXv3dluC999/X/9YutQ5cO7uu5MlfPZZjf/ll9kXorjYORHnbrih8rgXXuhc69bZ5+lCdT/uOOd69kwdcdo0revjj+ck33IMHap1KikpDbvvPs1z1qy8ZPlT3WsoNbn+NbnuztXs+lvday5bov7AeFcFxnb2qzk/M3Hcevk5sCLfmYhIS+B11KTytHznlxFr1ugx6Rq0XJo4rlqlJpbprEErKck+34D586FjBcsCg60H8mXi+NlnsM8+IFIadtBBevzf//KTp2EYhmEYRjXGTByrMSLyn5jgukB3YGfgD3nOvyFqQlkXONo5lydPE1lSVKTHQqxBC7xBJl2DVlKi+SYR6JKwcCF07576fMOGugVA4Ewkl6xdC998A8cdVza8Rw/dD23SpNznaRiGYRiGUc0xAa160yYmbD3wIXCFc+61fGUsIrWBZ1FBcD/n3I/5yitrAg1aIQW0pBo0UC1aLgQ051RAq2wd3bbb5keD9uWXKnD27l02vHZtdRZiApphGIZhGEY5TECrxjjnBhYw+wfQzakvBVqJSHgn5onOuRz5qM8B6QpoTZvqcdWq7PNe4a1M0xHQli7V/cmyZfly2Lix8v3G8iWgfeu34Nttt/Lndt8d3nor93nGMWcOLFoEffqUNbU0DMMwDMOogtgaNCNTDvfHe4GxkV/2rg9zSSHXoKVj4tjKy7i5crW/cKEeCyWgTZ0K9erB9tuXP7f77rBgASxenPt8w7z1Fuy0E/TtC5demt+8DMMwDMMwcoBp0KoZInJHGtGdc+6afJTDOdclH9fNC1VhDVq6Jo65IKmA1qGD7lW2aRPUqZObvAGmTIGdd4Zatcqf2313PX71FRx8cO7yDLN+PZx9NnTrpo5K7rsPTjgBBgzIT36GYRiGYRg5wAS06scv0ojrgLwIaNWKdE0c69RRzU91F9AWLNBjEgEN1Aww2Lg6F0yZAnvsEX+uVy89fvNN/gS0Z55RzeCjj8KBB8Ibb8Dtt5uAZhiGYRhGlcYEtGqGcy4Hi5NqGOmaOIJq0XIhoKWzBq1FCz3mWoNWmZOQQIBbuDB3AtrGjTBzJpx8cvz5du30Hk+fnpv84vjnP9W88dBDde3ZWWfBHXfoGr9WrSpPbxiGYRiGUQBsDZqx9ZOuBg1yJ6CtXAn166tGrjLq1lUhcunS7PMFFbjq1y91epKKQIALNG65YMYMKC6GXXaJPy+ipofTpuUuzzCrV8MHH8CQIaWOQU48Ucs0alR+8jQMwzAMw8gBpkHbChCRA4BuQP3oOefcA1u+RFWMoiIdpDdokDxNLgW0dFzmt2qVWxPH9u0r91wY1qDlikDw6tYtdZxu3eCTT3KXZ5j33oPNm+GII0rD9toLunSB556DoUPzk69hGIZhGEaWmIBWjRGRdsC7QA90vVkwEnehaCagrVmjGzKn42K9adPcudlPR0Br2TK3Jo6VrT8DNTeE3GrQZs3S4447po7TrRs8/TRs2JBMw5gOb76pGtP99y8NE4Gjj4bHHsu9QxTDMAzDMIwcYSaO1Zu7gJVAZ1Q42xfoAlwPTEe1asaaNemtP4PcatCSuNgPyKWAtngxtG1beby6dVVzl0sN2qxZes8rWuvVrZtupj1jRu7yDXj/fejfX+sW5qCDtD1MnJj7PA3DMAzDMHKACWjVm/6okBaoPsQ5N8c5dwvwBKY9U9asSW/9GRTOxDGXAtqSJdC6dbK4HTrkXoO2ww4Vay0D88epU3OXL1CrqEg9SPbrV/7kgQfq8YMPcppnOTZtggsvVCF10KDcrSs0DMMwDGOrxwS06k1zYLFzrgRYBYTVJR8D+xWkVFWNoqLqJaDlYjDvXHoCWvv2udeg7VCJw9GuXfWYYw1ak2D9W9++5U+2b6+C4ZgxOc2zHMOGwYMPwpFHqjbvlFP0mRiGYRiGYVSCCWjVm1lA4EP9G+C00LnBQI5UMdWcQmrQMl2DluVgvtbaterqvk2bZAlyqUFzLpmA1qKF3ptgvVqOaDpliv7Rp098hP33V+ck+RKY5syBO++EM85QhyR/+Qu8/Ta88kp+8jMMwzAMY6vCBLTqzavA4f7vm4EhIjJPRGYBvwXuK1jJqhKZrkErKoKSkuzyzmQNWnGx5p0FdYINstPVoOVCaFmyRO95ZQIaaJwcC2hNpkyBnXcu3fg7Su/eWsa5c3Oa70+MGKHP8I9/1P/POw86d4Z77slPfoZhGIZhbFWYgFaNcc793jl3jv/7ddSk8XHgBeBo59ydhSxflSETDVqwd1iwh1ombNoEa9emp0HL0WbVaQtoHTqoN8VgY+1sCASuQglo06apEJaK4NyECTnNF1DBbORIde+/3XYaVrs2XHyxuv7/+uvc52kYhmEYxlaFCWhbEc658c6565xzV3iBzYDM16BBdq72g7TpmjgCLF+eeb5AnUDQSmrimMu90ObM0eP221ced4cdYPbs3JkbrlpF/UWLYLfdUsfZYw+oVSs/Atp778EPP8BZZ5UNHzoUttkGnnkm93kahmEYhrFVYQJaNUZEZovI7SKyV6HLUqXJdA0aZLcOLRCS0jFxLKQGDXKzDu2HH/S47baVx91hB1i/PncOSr75Ro8VCWgNGkCPHvkR0F55BerX1/3WwrRpo27///vf3OcZodmXX8LAgeqx8rXX8p6fYRiGYRi5xQS06s2zwMnAeBGZJiI3iUivQheqypHpGjTITkALhKRCaNAC7V06a9AgN4LSvHm68XSqNWBhAjPIXJk5fvWVHntV8hrsvTd88UVu8gzz+uswYIAKgVGGDIHJk/WXL8aPZ/err9b7+eOPKii+8Ub+8jMMwzAMI+eYgFaNcc5d5ZzrAhwAvAYMBb4Uka9F5HoR2bmgBawKOFc4DVomAlqONGh1V6yAOnVK19JVRq41aNtuW/EeaAG5FtC+/prNDRpUbl65224qjC5Zkpt8Ab77DqZPh6OOij9/zDF6zJfAtHEjnHwym1q0gHHjdDPunj3h17/ObT0NwzAMw8grJqBtBTjnxjrnLgM6AwOA0cAlQB6n6qsJ69apkFZIE8dCaNBWrlTtWRIhCVSQq18fFi3KKl9ANWidOiWL26WLHnOoQVuzww663qsiAg1bLp12vPuuHo84Iv58587qXfK993KXZ5hHHoGZM5l2+eXQti00bAhPPgmLF6vbf8MwDMMwqgUmoG1dNAK2A7YHmgEbClucKkDghbGQGrR01qA1bKiar1ysQUtq3ggqyLVrlxsTx0CDloSGDTXfXAloU6awNvCeWBHBGrVcCmgffqh12bkCxfXBB+sm2Zs35y5fUO+Rt90G++/PsvAG3bvvDr/8Jdx/f242QK+MBQvgnXfUvNIwDMMwjIwwAa2aIyINROQkEfkv8CPwCOBQc8d2BS1cVSAQ0NJdgxaYBm5pE0cR1aLlwotjUg+OAcFeaNngnApoSTVokDtX+6tXw8KFrEsiHHbooOakuRbQDjywYq3lIYdoOcePz12+oBthz5kDl11WPv/f/17fg5Ejc5tnlHvv1a0FDjtMtYX/+Ed+8zMMwzCMrRQT0KoxIvIfVCh7EmgMXAy0d84d45x70jmX3W7HWwPZatCycbMfCGhJ14EFtGiRvQZt1ar0NGigAlq2Jo5Ll+p+akk1aJA7Ae277wBYmyRvEdWiBU5FsmXOHP0deGDF8QYM0GOuzRwfflifd7DOLcxuu0G/fhonV9sZRHngARUOf/5z1aANHAgXXACPPpqf/AzDMAxjK8YEtOpNG+AqoINz7gjn3GPOuRzsNLwVUeRl1HQFtIYNdR1TtmvQGjfWjYrTIRcatHRNHCE3Jo7z5ukxXQ3avHnZm/1Nnw6QTIMGug7t669zI7R8/LEeDzig4nht2qjZYbBeLResXg0vvwynnQZ168bHOfdcmDIFxo7NXb4BkyfD734HgwbpNgKHHAKvvgqHHgoXXZRfr5WGYRiGsRViAlo1xjk30Dn3oHPOXLSlIlMNmogKV9maOKZj3hiQrQZt82bVoGVi4rh4cXaCUjp7oAV0A3uC2QAAIABJREFU6aJ5zp+feb5QKqAlFQ532001pHPnZpcvwGefqZOVivZfC+jfHz75JHfr0F57TT04nnhi6jhDhujWB88+m5s8w1xzjV77scd0A3DQ45NP6nYDl16aP80d6ETI5ZdD9+70OeccuPVW3VvPMAzDMKopJqAZWzeZrkEDNXMshICWrQYtEO4yMXF0LjuX7Jlo0AJPjrNnZ54vwLRp0KkTJfXrJ4sfeHLMhZnjhAmwxx7q4KUy+vWDtWtzZ175/POq/ezXL3Wcpk3Vu+Rzz0FJSW7yBdXIvfwyXH21liFM27YwfLiuj3vnndzlGeb772HPPeGvf4Vu3djcuDH84Q9qSpqlFtowDMMwCoUJaMbWTaYaNMheQFuxojAatEDAysTEEbIzc/zhBzUNDTa+TkKuBLTp0yv2oBglENC++Sa7fEtK4PPPoU+fZPEDQSowi8yGTZt0c+xjjinVXqXixBNVgB43Lvt8A+68UycULr00/vwFF0DHjqrVyjWrV6tDkpUr4aOP4KWX+OKee1QInTgRBg9WzWI+WbtWzTkffVS1ovnUFBqGYRg1BhPQjK2bTNegQW40aOm42A9o2VJN7zI1gVu8WI+ZmDhCdgLavHl6nXTW3QVu8be0gNa8uQoP2Qpo06drO+ndO1n87bdXL5K5WA/26aea95FHVh538GDV8D33XPb5gjp2efFFOP/81O9XvXpwxRXw/vta1lxyySUwYwaMGgU/+1lp+JAh8PjjKrT9/ve5zTPMv/6lz/Loo+Hss1Xw3ntvFdYNwzAMIwtMQDO2brLRoDVtWrg1aFC60XW6ZKtBy8aTY7ou9kEH8R07ZiegrVih9U5HQAPo2TN7AS1wmZ9Ugyaig/lcCGhvvaUay4MPrjxu8+aqcXr++dxoeh55RI8XXVRxvPPO0zZ9xx3Z5xkwcaIKYddcAwcdVP78L3+p2ru779b1gbnEObjuOjjjDOjeHd58Uz2IPvKI7v/Wrx+88EJu84yydKlum3DttfDHP6pX0FyarhqGYRgFxQQ0I2NEpIeIvCsia0VkvojcJCKV2FltYbJdg5atm/1M16BB5mtoAgEtXQ1aLkwc581Lz0FIQJcu2Qlo3kEI3bqll65XL/j22+wGtxMmqDOMXXdNnqZfP5g5M/ttDd5+G/r2Ta6pPe441Xxlu/+bc+oE5NBDK3/eTZqokDZqVOkaxWy58Uat8zXXpI5z222qqTz33NxuDH7//XDLLXrd99+Hww+Hrl1h6FD48kvVov3iF/DGG7nLM2DjRq17585w1llw110wbJh6zuzVi2aTJuU+zyibN2vb/fbb7PpHwzAMIyUmoFUzROSiNH4X5rEcLYB30E2xjwVuAn4H3JivPDNizRpdm5PK/XhFFHINGmS+Di0wcWzVKr10jRvrL9s1aOlq0CB7AW3aND1mokFbty67fdjGj1dHFemYdQbr0LLRoi1fruvJDj88eZrBg/U4alTm+YKun5s9G371q2TxzzsPiotLtW7ZMHGilv+KKyp+v5o1g/vuU6Hp73/PPl+AqVPVIcrRR8ODD5Z/5q1bq1azVy84+eTstbNhli/XZz18uK45/Pxz3XNw5UoVltevZ8/LLoPrr8+PNm3aNBUKW7VSgbRnT73H/frBiBH5X+/nnPaJ06apx9fi4vzmZxiGUUBMQKt+3J/mL19cADQATnDOve2cexAVzq4QkTR3Zs4jRUVq3iiSftpsBLT163XAkukaNMhKg7a5YUM1HUyXdu0y1+oUFelgMVMN2ty5mWs6pk/XZ7zjjuml69lTj5kOpIuLVWBIuv4soHdvXQ+WjYD2/vs6ED/ssORp2reHfffNXkB74gnVGh53XLL4O+6oXiQfeih7bVagPfvtbyuPe/zxen9uuCE776Sg5f71r3WPxIceUtPSOJo0Uc+WjRrBscfmxpvk0qVqyvnxx7r27emnYa+9tAxNmsCpp8KkSSw84gi4+WY1v8yVwFRcrCadu+6q2zSceKIK2k8/rc+iqEjXIfbsmR+t4cSJev0uXVQ43GUXnQRq1kwF5SeeyK9wOGeObmXxyCP63F98UbWH5hDGMIw8kuYOukahcc5VFaF6EPCmcy5s4/I0cDvQH3i5IKWKsmZNZuaNkJ2AtnKlHgukQdvUvHlmL3f79plr0II90DLVoAV7oQVOQ9Jh+nRNl9TFfkCPHnr85hvVSqTLtGk6QE26/iygfn01hcvGk+Nbb2kb3Xff9NIde6y6os9U27lxI/znPyqcNWmSPN0FF6jA9OqrWoZMCLRnN92U7N0SgXvu0c3Br78+O03anXeqo5OnnqrcS2nnzrppd//+qmV8+eXUAl1lrFunbXP6dPXYecgh8fEaN2bq1VfT4cADVaBavlwdwjRokFm+oFYAp56q+Z51lpqNtm1bNs7116tgFmxWfuGFeq8aNsw8X1Ct9m9/C6+8osLukUeqt9C2bbVf/vprLdfpp8NVV9H5mGP0Pcy0v4/m/Y9/wEsvpd5ovW1b1Wiefro+k8q8qCZl8WIYM0a9gk6erP3xmjV6D1q10j5rzz113WkmfWWS/MeP14m6lSu1Xg0b6sRb166aZ5LtRDLBOe1Ply3Td7d2be1j0ulnDGMrwQQ0I1O6A++FA5xzc0RkrT9XdQS0TByEgH4UNm1SM6J0tVGBg48CrUHb1LQpGQ3L2rdPPSCpjEw2qQ4Iu9rPVEBL17wR1BFM586Za9AmTNBjuho0UNOwBx9UgScTE9y33oKBA9MfLAUC2ssvq9CULm++qQOo005LL93RR6tA+OCDmQtow4cn154F9OgBF1+sa8cuuED3q0uXr7/WtV4nnqimi0no10+Fw4svVkcew4aln29xsQp4Y8eqUJxKOAsQ0WfburXWddAgFTKaZmDUMGWKPqeZM/WZnX9+6jwHDdK2eN118Je/qHb3qadUkEiXDRtUwLv5ZhUObrlFhb44a4SSEn0P7r6briNGqAOcq69WxzXp9vvOwYcf6jMbNUoF6gED1Dx3n320X6tVSwWmSZPUMcurr6oGr1Mn1a4OHapCTLosWqRlf+45GD1a61WvnmotO3bUuqxdq/Eeekj/Bu3zDj+cVp06ZSacFhdr2x47Vn8ff6wObyqiXj2dXOrbVyeH+vZVDXk6ViobNqgW8ssvte+dPFnb25w5+s2N0qSJ3v/ttlPHPLvuqr/u3dNfa20Y1QQT0LYCRGRboBtQTn3gnHstT9m2AOLcDC7358ogIucB5wG0a9eO0aNH56lYpRQVFbHk+++p5xwTMsiv08KF7Ax89MYbbEpT0GoyeTK9gUlz5rAszbxl82b6A7MmTOD7DMrde9Ys1jVrxucZpN1582bazpvHRxmkbff22+wKfDpvHuvSTN9g0SL2BSa//jqL0l0/4xz7T57MjwcfzPTRoykqKkqrfe3WoQP1PvmE8RnUueuoUXSsW5f/LVqES9OErk3TpvRcv54Jjz7K6u7d00pbf8ECfjZrFtMHD+aHSLkrrb9z7NuxI2sfe4yv0swXoMdf/kLzZs0YW68eLs17tv1hh9Hl8cf59N//Zn3HjmmlbTxtGn1eeolZZ53F9xMnxsZJVffahx7KviNHsuaMM3SvtDQGk7J5M3tfdBH1Gjbks9NOY9OYMckLveuudD/iCNrdeCNf1avHsvB2AJXhHDvdfz/bPv883118MfNat9bBewX8VP9u3Wh73XV0v/VWivr2ZdLtt7M5jT6s1dix7PqnP1FSty7f/OUvrNxll0rzBmDwYJp37Miut95Knb59mXnuucwbMiSx9rD5hAl0u/deGs6dy4/9+zPj4ovZ0KYNfPFF6kT168Pvf0/tww6jxzPP0PLqq9l4663M+eUvmX/MMZVvXF9cTJv//Y/OTz9N0ylT2NS0KfNPOYUfjj2WjcHgf9OmsutUd9wRdtwROeMMWo8dS/vXX6flbbcht9zC8j33ZOFRR7HkgAMorkB7WXfJEtp8+CFtxoyh2aRJiHOs7dyZxaeeypJ+/SjaeWdc3ORLSQmNvv+eFhMm0GL8eJo/8gi7rV9PybBhrOzVi2V9+7KqZ0/WbL89m8OCeXExDRYsoNHMmTT+7juafvstTSdPprYX9ja2aMHKnj1ZdfDBrNp1Vza0bcvmRo2QkhJqrV9PvR9/pMH8+TSaPZsmkyfT5MEHqXXvvQBsbtSIoq5dKdppJ9Z16MCmli3Z1KQJUlyMbN5M3ZUrqbdoEfUXLqTxjBk0/P57tvFrCEvq1GFt586s3W471u+zD5uaNWOT15hJcTG1166l3pIl1Fu8mPozZ9JwzBhqrV//U7U2NW1K2yFDGF3xUzaM6odzzn7V9Ac0AV4Hiv2vxP+C/4vzmPcm4LKY8HnALRWl7d27t9sSvP/++84NHOjc/vtndoHHHnMOnJs5M/20b72laT/8MLO8Gzd27vLLM0vbubNbcMQRmaW96SYt94YN6ae95RZNu2ZN+mnXr9e0N96YftrFizXt3Xc75/xzT4crr3SuXj3nNm9OP+8BA5zr2zf9dM45N3dumXKnxcMPa9pvvil3KlH9r7jCubp1nVu1Kr18V650rn595y6+OL10AfPmOVerlnPXXpt+2mOOca55c+dWrEgZpcK6P/ig3rNnnkkv3+HDNd3zz6eXLmDtWuf22kvL/t13ydP9+c+abxr9QLn6v/yytu0ePZz74YfKL1Bc7Nyf/uSciHN77+3cnDnJyxtm8WJ9XuDcEUdUXu+ZM5074QSN37Wrc6+/nnaWP9X9o4+cO+wwvVa7ds7deadz339fNnJJiXNffeXcsGHO7bhjab4PPJBZ/+Wcvs8331x6vdq1ndtvP+cuucS5O+7Q93z4cOfOOMO5bt00DuizueEGLU9JSfr5rl/vJt55p/ZjvXqVXhf02bdu7VyzZmXDt9lG2+RFFzn3xBPOzZiRft4bNzo3caJzI0bodfr1c65hw7L5RPPs3Nm5o45y7g9/0Pdw6tT0+93iYn2eb7yh9/T8891Xw4end40MAMa7KjDus1/N+RW8APbL4uGpE5BvgP28YHYscBAwAvgO2CePef8IDIsJXwNcVVHaLSqg9e2rA4RMeO4558C5L75IP+2zz2raSZMyy7tzZ+d+/evM0jZo4OacdFJmaUeM0HLPnZt+2osucq5Fi8zydc65jh2dO+us9NN99JGW+ZVXnHMZCGiBID51anrpSkp04HPBBemlC7P99s6deGL66U45xbn27WMHVYnqP2aM1vk//0kv3+BejR2bXrowxx/vXKtW/9/enYfJVVV7H/+uTgJJgJBAwhBIIIRJhqASwgwJcBUERGQG5aJe8VUUxXuZZAYVQVFEQFRElItyGQKXQZAxkABCgFzmDELIQBIyhwxNQpL1/rHq0JVOVXUNp7qqq3+f56nndJ86p/bedaq6a9Xee+0IXIr18stR7uWXFzysYNtXrnT/9KfjvVXsh/CXX44P2qecUnxdc3n33XhvDBlSXNm33hrtPf74+EBapJztf/LJ+MJn0KD4IJ7P3LnuRxwR5Z5ySmnXJ5fVqyPg6dnTvVs399NPd3/uuZYvf5qbo26nnhpfFvTs6X7FFbG/DGu1ffRo94MP9k8ChM02c99997gGvXrFPrP4kuXuu8v7giaXVavcR41yP/989z33dN9gA18jUNl8c/cjj3S/8sqcX7CUY422T5/u/tBDEeCfc477t78dQeLFF7v/8Y/uY8eWH4S2ZdWqeB29+ab7mDHu//xnvIfeey8Cuiop+W9+GRSg6dbeNw1x7Ni+AFwIvJD5fYa7jwWeMbNrgLOB46tU9nhirtknzGwA0DNzX31YurS8OVHQMjG5nEQhlcxBg5iHVs4ctGXLoLm55CGZn8heC63U5+3998t/rgG22qq8VPvJGmjlzEGDNTM5lrKO2uTJMYn+M58pr1yA/faDJ56Ij27FDrtzj/kvBx9cXnZSgH32iYQD998fa3YV6/bbY45NqYlJsp15Zizk/Ne/wje+Udw5pWRuzKdLF7juusiGePXVMZ+tkI8+inlF/fpFuv5KDBoUc7IOOyzmNN12W/5rd++98bwccgj85S/lJxdJjBgRr7FDD405YZddFvPJkiQezc2x6PVFF8W6ZtdfH3O4yn1tJcxi7thRR8VzfdttkY4/Sfzw4YcxB2q99WJNufPPLy9pTT777QePPx7zmx55JOZazZwZ8z333TfmUR1+eKyVl6ampkgOc+CB8btnEl98/HHMEStnvmkpttgibl/4QnXLyaWpKf6ulLrEi4isRQFax7YpMM3dV5nZUmCjrPv+DtxTxbIfBs42sw3cPYlgTgCagRImaVRZkma/HJUEaEkWx3LS7ENkciwni2NmDbQV5QZoSXa6cjI5Tp9e2QesrbeOzGWlmjQpPnwPGlReuckC02++GVkGi5XMg6o0QLv99kjEUGxygbfeimQBbSWMKKRr1/hw+sAD8cGxmEQjM2bEB/2LLqrsw/uBB0ZWxWuvjaQKbT3WK69EIFls5sZC9t8/knxcdVVkJdxqq/zHnn12fKj/+99bMqtW4vOfj2QhF14Y7bj22jWfd3e44YbIVLjHHpE0opylMnIZNgzGjoXvfS/Wj7voogjW3CPhxZIlcV2uuy6uTZr694/A7Oc/j6yLr78efx97945A/8ADy0tiUqwkoUStmCkLoYiUrF5Stkt5pgF9Mz9PAo7Ium9P4KO1zkjPTcByYKSZHZJJAnIp8EtfM/V+bVWaxRHKD9DMyk/5XG4PWiZRRdk9aEmAVs5aaJX2oJW7FtqkSXFuuamf118/zn/jjdLOGzcuAsNddy2vXIgADWDMmOLPeeKJ2B50UPnlQssaXcWW/be/xQf6UrM3tmYGP/hBPN+PPtr28RdcEAFSJb1n2a6+Oupw5pn517IaOTJ6ks46K3q90nL++RH43XhjBE133hnZ6x56KHq4vve9yHb5xBPpf6gfPDjKeeYZOO20CNK7dYs10556Km5pB2fZNtwQTjwRfvKTeG5//ONYOL2awZmISAelAK1jeww4JPPzr4AzzOw5M3sKuAL4S7UKdvcFwMFAFyKl/mWZOpSRS7qKKl0HDcoP0Hr1Kn94Urk9aJUGaMkaR6X2oH38cQR1lfagJWuhlaLcFPvZdt659FT7r7wSKdxLXXst2047RU9CKQHak09GFrlkaYJyfe5z0UNTzKLV7nDLLbDXXqUNA83n5JNjeYOLLiq84O+TT8bwtB/9qPLes8TAgREc3H9/9Ga1Ln/06AhChw2DK69Mp8xEU1MEiHffHe/vE06I3p0jjoglG3796wgOy/1SqS1m0Yt4/fWRlXHUqOi1Gz688iGNIiKSGgVoHdu5ZAIid78NOAaYTKS6/y5wXjULd/e33P0gd+/h7pu7+0XuvqqaZZZk1aqYX1GLHrSFC8sf3gjl96Blhjh+XG7Z3btHvUsN0GbOjA+6lQZoUNo8NPcI0CoNGnbeGSZMyL0GTz7jxlU2vBHiA/u++xYfoK1cGR+qKxnemFh//ZjndO+9se5SIWPHxtDKr3+98nIhAsPLLovHvffe3MesWhVrWg0cCN/9bjrlJs46K+Z5/fSnMdRx2rR4n197bTwnAwfGAslpDTFs7ZhjYljr6NExN+vxx6MH+swz01vwWEREOiwFaB2Yuy9z97lZv9/r7qe4+5fd/bfuXuKCUo2ly/Ll8UOlAdqHZYzYXLSosm/8+/SJJAXNzaWdV2kPGkSikFKHOE6fHttKhzhCaQHarFkxf6bSHrRddongrK1FWrPLnTWr8gANYpjj+PGfBNcFvfJKvLYqHd6YOPnkWBy2rbW9/vQn6NGj+EWai/HVr0bv0bnnRk93a9ddF71KV15ZWS9lLmYxL+qCC2Kh4YEDo8f7rLMiqcZzz1V/AdwuXeLaf+UrEXBXKxgUEZEORwFagzCzLmbWs/Wt1vWqpU8Wsyw3QOvWLT4YljvEsZIgaaNMvpdSe9HmzoUuXVhZ7rBOiHlopfagvf9+bCvpQRs4MLalBGiVZnBMZGdyLEaSIOSzn62sXIgeNIBnn2372Mcei+2IEZWXC/ClL0Vgcuut+Y9ZvDgyLh57bLrzhbp2jblY77yz9nyw556L+VpHHgknnZRemdmammKo49tvw69+FT8//XQkslAWOhERqSEFaB2YmfUys+vNbAaRsGNxjlun1ZT0PlUSrGywQflDHCvtQYPS56HNmRMfLitJzV1OgJZGD1r37pHyuhYB2o47Rq9KsYlCXnkltp/+dGXlQsx16tGjJflHIQ8+CEOHtiyHUKmePSNxw5135u/Bu+WW6EU+44x0ysw2fHj0Yt1ySww5fO+9SEZy+OERsP/xj9WfG7XddpG05IILIgW/5mKJiEiNKc1+x/Y7InPjzcBbwIraVqe+VNyDBuUHaIsWxbC5clXSg9a3b9vHFVLOEMf3348Aq9J05FtvXVqANnFirCtUKF16MXr2jMQbpfSgDR6cTo/SuutGoNJWRsPZs+GFF9pev6tU3/9+DPf77W/h4ovXvG/Fiuhd2n//ytY+K+Tyy2O+2VVXxVBKiDTzd91V/WGGIiIidUgBWsf2eeAsd7+51hWpR12SHrRaBWi16EFLI0DbbLPoMVm2rGUx27ZMnx69Z5X2PpS6FtrEibDttukkViglk+O4cekMb0x8/vPRi/Pee/mzMz70UAwDPPLI9MqFyCR5+OGRQfA731nz9fOb38CUKfC736VbZjazSNZx2mkxhHPLLaM+XfXvSUREOicNcezYlgLTa12JelWzHjT32s1BmzOn8l6HctZCe//9yuafJZK10FYVmQx0woR00r5DrAE1YUIEpoXMnh0Z+IYNS6dciJT3EPOf8nnggQhe0hhW2drPfhav2bPPbpkLNmlS9G4ddlgEkNW2/fYxjPKooxSciYhIp6YArWO7BviOmek65lCzAG3p0ggwKkmzX8setGR+UykB2vTp6QVoxa6FtmpVZF1MK0AbOjTSzf/f/xU+Lunh23vvdMqFmAO3ww6xPlYuS5fGEMgjjqjOHKlddolsirfeCuedF+nfjzgiEuXccEP65YmIiEhe+pqyY9sC2A2YkFmcemGr+93dz23/atWHpjSGOPbqVXzq9cSiRbGtpActWeS6lB601ath3rx0hjhC8YlCVq+OHrQBAyorF9ZMtd/W402ZEqnxd9ih8nIh5j0BvPQS7LNP/uOefz56eHbfPZ1yIYKu446LoX6zZ7csGJ4YOTKCtJNPTq/M1q64Isq++uq4bbRRLGI9aFD1yhQREZG1qOelYzsWWE0E2v8GHJfj1ml90oPW3lkc0wjQmpqiB66UHrQFCyJYSmuIY7EB2uzZESilHaC1ZeLE2KbVg9a/f2SRfPnlwsc991zMP+vRI51yE8cdF9fvjjvWvu/WWyOJyX77pVtmtqYm+MMfIhHJXXfFFxPVLE9ERERyUg9aB+bu+mq7gJoNcVyY6cisJECD6MEopQcts0h1xT1oSYBX7BDHNFLsJwYOjN6kd95p+9gJE2KbVoAGMcxx7Nj893/8cdx/+unplZkYMgT22isWaD7jjJbEJ6++Ck8+GT1c7ZECftiwdOfXiYiISEnUgyYNK7UAbenS6NkoVtKDVskcNIh5aKX0oCUBWqU9aN26RZBXbA/atGmxTaMHrXv3SJmf9I4VMnFiBMFppmLfc08YPz7/8/7aa9DcnO78s2w//GEEp/fe27Lv0kujndVYh0xERETqjnrQOjAzO7XA3auBD4FX3X1KO1WprnRpbo5go1u38h9kgw1iu2RJ8WtepTHEEUrvQUsWGu7bN9LkV6KUxarT7EGD6BErNkDbYYd0e5UOOCCyGI4eHdkEW3v22dhWK0A7+uhIe//DH8bwwjFj4L774LLLKl9jTkRERDoEBWgd261AJic22Z9Ss/e5mT0InOLuS9qxbjXX9NFHlfWeQUuAtnhx+wdoffoUN9QvkQRo/fpVHqCVslj1tGmxWHRaPVk77BALFrsXDr4mTIADD0ynzMSwYdGL9/TTuQO0Rx+NddcGDky33ETXrvDnP0egOHhw9NbttVdkWBQREZFOQUMcO7bPAv8CzgM+BfTNbM8H3gEOAf4d2B+4qkZ1rJkuzc3pBmjFSuagVTrEsdwetDQCpVJ70NJYpDqx/fbRY1mo/A8/jMBw553TKTOx7roRED399Nr3ffQRPPUUHHpoumW2NnRoZIr86lfhkksiKFx33eqWKSIiInVDPWgd2zXAje7+66x984GrzWwFcIm7H2hmmwL/CXSqSSxd0uhBS3rNSumRWrQoekIqzfLXp09LZsamIr5LmTMnMlZ2715ZudASoLXViwURKKUx/yyRJP2YODGyKuby5pux3WWX9MpNHHRQBEYzZ65Z/pgxsYh1eyzavNtucNNN1S9HRERE6o560Dq2vYG389z3NpBZ2ImXgY3bpUZ1JJUArZwetEWLYnhjpT1KG20UwVmxZc+end4ww/79Y3jdwtZL6+WQ9KClJVnXLMnSmMsbb8S2GgHal78cgWl2og6Ae+6J4Hf48PTLFBEREclQgNaxTQdOy3Pf1zL3A/QB5rVHhepJ00cfVbYGGlQWoFUqSQpRbCbHOXPSC9CSgCtJAJJPmotUJwYMgJ494a238h/zxhtxbasxF2ynnSJIvOeeln0ffQR/+1sEb5W+pkREREQKUIDWsV0AHG9mr5nZT8zsB5nta8Qi1j/KHPdvwOia1bJGataDtnBh5fPPIHrQoPh5aLUI0JJFqtPsQWtqgl13jfW/8nnjjZh/VszQz1KZwQknwKhR8O67se/eeyPw/trX0i9PREREJIsCtA7M3e8C9gImACcDV2a244E93f3uzHHfcfcTalbRGqlZkpBG6EFLesSSNc7ySXMNtGxDhsSaY+5r3+ce91VjeGPiW9+KeYQXXxxDPS+/HHbcEUaMqF6ZIiIiIihA6/Dc/SV3P86HMO7qAAAeWElEQVTdB7l7j8z2eHd/udZ1q7Waz0GrVCk9aO4RoG2ySeXlQiQJaWpquwct7TXQEkOGRGA6Y8ba902ZEotyDx2abpnZ+veH886D22+PtPrjx8O110KXLtUrU0RERARlcZQGlkoPWs+eEaiUOsSxvXvQFi+GFSvS60Hr1i2CtLYCtCmZNdC32iqdchO77Rbb116DLbZY876xY2O7xx5U1SWXxHbUKPjFL9one6OIiIh0egrQOhgzuxM4393fyfxciHfGoY2JVBaqNotetFLT7Lf3HLQ010BLbLll20McJ0+O5yepa1p23TW248bBYYeted+LL8bC2Mkx1dLUBJddVt0yRERERFpRgNbx9AO6ZX7eBMgxSUdYtYouK1ZUHqBBBCDF9qAlafHT6EHr0SPSus8rIgHn7NmxTTNAGzCgZb2xfCZPhq23Tm+R6kTv3jHn69ln175v7Fj4zGciSBMRERFpMArQOhh3H5H18/AaVqW+LVsW2/YO0BYvjvlgaQRoEAFX0jtWSLV60B55pPBi1ZMnwzbbpFdmtgMOgP/5H1i1qmXu1/LlEaB94xvVKVNERESkxpQkpAGZWQrj6zq4pUtj294BWrKwcxpDHKG2AdrAgfE85psD5w7vvQeDBqVXZrb994/hosmi1ACjR0fw/bnPVadMERERkRpTgNaBmdm3zeycrN8/bWbTgXlm9rKZpZxarwNJArQ0FhUuJUBbtCi2afWg9e1buwAt6RlL1gJrbd48WLIkhjhWwwEHxPbpp1v2PfJIDG1UunsRERFpUArQOrbvAdnZK64DZgCnENf2Z2kXaGa9zOwyM3vRzBaZ2Swzu9fMtk+7rIrUqgct7QCtlB60Hj3SaW9i8ODY5gvQJk+ObbV60AYOhB12gPvvb9n38MMRuKXZThEREZE6ogCtYxtILFKNmfUD9gXOcfc7gCuAg6pU5jeBfwDHAt8CNgdeMLOUVyuuQK2HONYiQEtrDbREEnjVKkADOO44eOopmDoVXnoJ3noLjj66euWJiIiI1JiShHRsy4Ekld0IYBkwOvP7fKAac9EmA4PdvTnZYWajganA14H6yEu+ZEls0wjQevUqPs1+EqAla5hVql+/CDabm6OHLJ85c9Id3ggxPHSTTfIHaJMmxTbpaauGb34TrrwSzjknFqfeYAM45ZTqlSciIiJSYwrQOrYXgTMy887OBB5x91WZ+7Yhhjumyt2X5tg338ymAP3TLq9s1ehBK5TNMJGsWZZmgAYRnAwo0EFZjR40iHlo+QK08eNjGGI1hxsOHBjB2ZVXxu833JBe76SIiIhIHVKA1rH9J/AA8DowjejBSpwA5FhEKn2Z4ZXbAre0R3lFSTtAW7kyUrx371742GoMcYQIwAoFaLNmVWfh5m22geeey33f+PExR6zafvITGDYMevZU9kYRERFpeArQOjB3fwsYbGYbA/PdPXvR6v8CZrVTVa4BlgC35jvAzE4HTgfYdNNNGTVqVFUrtPkrr7AD8Pxrr7F85syKHmuLWbPYDnj2kUf4uI30+YNff53Ne/ZkzJgxFZWZ6DVtGp8FXn38cRbkG2a5ejUHzJrFtBUrmJx5XpcsWZLKc7x1ly5sNXUqzzz2GN6tW8sd7uz35pvMOvRQ/lXlawm0LFtQRFlptb2j6szt78xth87dfrV9VK2rUTOdvf3SmBSgNQB3n5dj3+vFnm9mGxKJPtoqZ3yOc78NfAU4Jlc9ss79PfB7gKFDh/rw4cOLrV55xo0DYO9DDql8uOF77wGw75AhbS/K/Oc/w8Ybk1r7No/Lslv//pDvMefOhVWr2GrPPdkqc8yoUaPSqcOMGXDbbRy42WZr9tC9/z40N7PlIYewZbWvZYlSa3sH1Znb35nbDp27/Wr78FpXo2Y6e/ulMSlAE4DjgD8UcdwaE7DM7IvAb4Bz3f3ealSsbGkPcYTiMjkuWJDe/DNYc4hjPkkP4WabpVduIgnKXn99zQBtfCZW33HH9MsUERER6cSUZl9w95vd3dq6ZZ9jZvsCdwA3ufvPa1PzApYuZXXXrrGocaVKCdAWLmwZjpeG3r2hS5fCAdqszEjWzdvsBC3dDjtA164RoGV7443YfupT6ZcpIiIi0okpQJOSmdnORHKSR4jskfVn6VJWtZXQo1i9esW2mFT7afegNTXBppu2BGG5VLMHbZ11opesdYA2dixssUV1gkIRERGRTkxDHKUkZrYJEZgtAa4DhllL6vkPM4lLam/JElanFaDVsgcNIggqlOikmj1oEEMbn22VEPTFFyOzooiIiIikSj1oUqqdgC2BAcBTwPNZtxtrWK81LV3KqkILO5ei1Dlo7R2gzZwZc+3WXz/dchO77QZTp8K8TA6Y+fNjkWoFaCIiIiKpU4AmJXH3UQXmqQ2vdf0+keYQx2IDtJUr45g0hzhCcT1o1RxquM8+sU160caOja0CNBEREZHUKUCTxlSLAC2Zo1aNHrQ5cyIAzGXWrOrMP0vssQesuy48+WT8/uST0K1b7BcRERGRVClAk8a0dGl6c9C6doXu3dtOErJgQWyr0YPmDh98kPv+GTOq24PWvTsccgjcdx+sXh3bAw9sCVxFREREJDUK0KQxpTkHDaJXbNGiwscsXNhybJqS4CvXMEd3mDYNBgxIt8zWTjwRpkyBU0+FiRPhlFOqW56IiIhIJ6UATRpTmkMcIYKuJADLp1o9aP37xzZXgDZ/PjQ3Vz9AO+EE2GknuP32SLt/0knVLU9ERESkk1KafWlMaQdoffq0BGD51KIHberU2A4cmG6ZrXXrBk89BQ8+CIcfHnPSRERERCR1CtCkMaU9xLFPn8KLRUP1etA23RTMahugAWyyCXz969UvR0RERKQT0xBHaTyrVkFzc3pJQqC4IY7V6kHr1i2CtGnT1r4vCdCqPcRRRERERNqFAjRpPMuWAbT/EMcFCyLj43rrpVduYuutYfLktfdPmxbDDfv1S79MEREREWl3CtCk8SxdClQhQFu0KNLM57NwYfSemaVXbmLQoNwB2tSp0XvWpLeyiIiISCPQpzppPNUI0Hr3juCs0GLVCxakP/8sMWhQBGOtF6tOAjQRERERaQgK0KTxZAK01WknCYHCwxyTHrRqGDQo5tZNn77m/smTY/ijiIiIiDQEBWjSeJIetGoEaIUShSxYUN0ADdYc5rhwYWSW3HHH6pQpIiIiIu1OAZo0no8/hvXXTzdASwKvQj1o8+fDxhunV2a2XAHa+PGx/dSnqlOmiIiIiLQ7BWjSeA44ABYvZtGuu6b3mMUMcZw7F/r2Ta/MbEkikOwA7e23Y6seNBEREZGGoQBNpBhtDXFcuTKCt2oFaN26wTbbtPSaQfy8zjotvWsiIiIi0uEpQBMpRltDHOfPj221AjSAXXaB119v+f3tt2H77WPtNRERERFpCArQRIqxwQYxxDBfgDZvXmyrNQcNYNddYdIkaG6O3996S8MbRURERBqMAjSRYjQ1RS9a0lPW2ty5sa1mD9ruu8dabC+/DLNnwzvvwB57VK88EREREWl3GhslUqy+fVt6ylprjwBtn31iO2YMzJkTP++7b/XKExEREZF2pwBNpFj9+rUERq21R4DWrx8MGQIPPBDzz3r3Vg+aiIiISIPREEeRYhUToFVzDhrAySfDc8/BX/4CxxwTWRxFREREpGEoQBMpVqEAbd48WG89SHNx7FzOOCOGOm6/PVx6aXXLEhEREZF2pyGOIsXq1y96ylavjqQh2ebOrX7vGcD668Ozz1a/HBERERGpCfWgiRSrXz9YtSr3YtVz51Z3/pmIiIiIdAoK0ESK1a9fbHMNc1SAJiIiIiIpUIAmFTOz75uZm9ndta5LVSUBWJIQJNsHH8Amm7RvfURERESk4ShAk4qY2SbApUCe7BkNJF8PmjvMmAH9+7d/nURERESkoShJiFTqSuBBYECtK1J1+QK0BQtgxQrYfPP2r5OIiIiINBT1oEnZzGwYcDxwXq3r0i7yBWgzZsRWPWgiIiIiUiEFaFIWMzPgN8DV7v5+revTLrp3jzT3+QI09aCJiIiISIUUoEm5vgZsCvyi1hVpV5tv3hKQJWbOjK160ERERESkQubuta6D1AEz2xBoswvI3cdnjp0IfM/d78ycPwqY6+7H5nn804HTATbddNPd77jjjrSqnteSJUtYf/31U33M3X74Q5pWrGDc9dd/sm/g7bezzc0388zDD7O6e/dUyytXNdreUXTmtkPnbn9nbjt07var7Z2z7dA+7R8xYsTL7j60qoWIZFGSEEkcB/yhiOMM+BEwFXjUzHpn9ncFumV+X+zuq7JPcvffA78HGDp0qA8fPjyteuc1atQoUi9nyBB44ok1H3fkSNhwQw449NB0y6pAVdreQXTmtkPnbn9nbjt07var7cNrXY2a6eztl8akAE0AcPebgZuLPHwHYCiwIMd9C4D9gTEpVa2+DBgQQxxXroSumbfPjBmafyYiIiIiqVCAJuW4ELi21b5rgUXAJcDr7V6j9jJgAKxeHfPOBmRWFpg5U/PPRERERCQVCtCkZO7+Rut9ZraQmIM2qv1r1I6SoGzq1DV/HjGidnUSERERkYahLI4ipRg4MLbTpsV2+XJ4/33YZpva1UlEREREGoZ60CQV7j681nVoF0mvWRKgTZkC7grQRERERCQV6kETKUWvXnGbOjV+f/fd2CpAExEREZEUKEATKdW228LEifHz+PGx3W672tVHRERERBqGAjSRUu28M7z5Zvz8+uvQrx9sumlt6yQiIiIiDUEBmkipdt45EoMsWBAB2q671rpGIiIiItIgFKCJlGrYsNg+9hiMGwd77FHb+oiIiIhIw1CAJlKqvfaCddaBCy+ElSvhoINqXSMRERERaRAK0ERK1aMHfPGLMGkSbLYZHHBArWskIiIiIg1C66CJlONnP4tetJNPhu7da10bEREREWkQCtBEyjF4MNx+e61rISIiIiINRkMcRURERERE6oQCNBERERERkTqhAE1ERERERKROKEATERERERGpEwrQRERERERE6oQCNBERERERkTqhAE1ERERERKROKEATERERERGpE+buta6DdDJmNgeY0g5F9QXmtkM59Uht77w6c/s7c9uhc7dfbe+82qP9W7l7vyqXIfIJBWjSsMzsJXcfWut61ILa3jnbDp27/Z257dC526+2d862g9ovjUlDHEVEREREROqEAjQREREREZE6oQBNGtnva12BGlLbO6/O3P7O3Hbo3O1X2zuvzt5+aUCagyYiIiIiIlIn1IMmIiIiIiJSJxSgiYiIiIiI1AkFaNLhmNkJZjbSzGaamZvZaXmO28LM7jWzxWY218yuN7OeRTz+umZ2jZnNNrOlZvaQmW2dcjNSYWZbZ56DXLcJbZx7aZ7zDm2v+qfBzEblaUf3Is7d18xeMLOPzGyymZ3ZHnVOg5n1MrPLzOxFM1tkZrMyr/ftizj3tDzP2f9rj7qXysx2MrMnzGyZmc0ws8vNrEsR521oZn8yswWZ5+h2M9u4PeqcFjM7zszuN7P3zWyJmb1sZicVcV6u6/vP9qhzWsp9nTbCdYeCf9vczPbOc06+/wl3tHf9S2Fm25rZ78zsNTNbZWajchxjZvYjM5tmZs1m9oyZfbrIxz/KzF7P/K1/y8xOSL0RIinqWusKiJThWGBr4EHgP3IdYGbdgH8AK4ATgd7ALzPbr7Tx+NdlyjgLmANcCjxmZru6+0eVVz9VM4HW/6h7AI8CDxdx/iKgdUD2dgr1am9PAT9qtW95oRPMbFviNfIgcD4wDPilmS1z95urUst0DQS+CfwRuADoSbTjBTMb4u7TiniMg4DmrN/fTb2WFTKzPsDjwFvAUcBg4BriC8YL2zj9TmB74u/EauAq4D5g/2rVtwp+CEwm/h7NBb4A/NXM+rr7b9o49xrg7qzfF1enilVX6uu0Ea47wHeAXq32XQ58Bhjbxrn/BTyb9Xu9L2S9M/Ha/ifQLc8x5wEXAWcD44n3xuNmtou7z8r3wGa2H3APcCNwZqacv5nZAnd/NL0miKTI3XXTrUPdgKbMdn3AgdNyHHMSsAoYlLXveOKf9XYFHntLYCVwata+LYhA7z9q3fYin5/jMs/Lnm0cdykwt9b1TaG9o4C7yzjvd8BEoGvWvhuBaWQSKNXzDVgP6NFq30bAEuCSNs49LfMaWb/W7SiinecDC4BeWfvOAZZl78tx3t6ZNh6QtW9YZt8htW5XCe3vm2PfX4HJbZznwHdrXf8K217y67RRrnuetq0DzAd+W+CYrTNtPaLW9S2xbU1ZP98NjGp1f3fiC8WLs/atR3yJ+uM2HvsfwJOt9v0dGFPrduumW76bhjhKh+Puq4s47DBgrLtPztp3HxFoFRrC97nMdmRWee8DYzKP2RGcBLzr7i/UuiJ17jBgpLuvzNp3BxGk71KbKhXP3Ze6e3OrffOBKUD/2tSqKg4D/uHuH2btu4PoKT6wjfM+cPdnkh3u/iLRG9VR3su4e66ej3E01jVOU0Nc9zwOBfoAf6t1RdJWxP/1fYjexDuzzlkKPECB62pm6wIjss/LuAPY28w2LKvCIlWmAE0a1Y7EEIhPuPsK4J3MfYXOm+7uS1rtf7uN8+qCmfUi/lkVO9+gt8X8vI/NbJyZfbmK1aumz2XmJy0zs3+Y2ZBCB5vZesAAWr1GaBneWffXOhcz6wdsS/QMFuMdM1tpZhPM7FtVrFolcr2XpxI9aG29l1tfX+gg7+U27E1x1/jSzPWda2a3mNlG1a5YlZTyOm3k634iMB0YXcSxf8rM5ZppZr80sx5Vrlu17UiMipnUan9b13UwMWQy19/6JmIorEjd0Rw0aVR9gIU59i/I3Jf2efXiS8RQkGICtH8RQ8XGARsA3wLuMbNj3H1kwTPry9PAn4n2bEXMxxptZru5+3t5zumd2ba+1gsy245wrXO5hhjieGsbx80k5nK8CHQhPvjdZGY93f1XVa1h6arxXt4mhXrVhJkdTLzPv97GoX8mehfmAEOJ672bmQ1z91XVrWVqynmdNup17wl8EfiduxdawHY5cAMxD/lDYDhwLhGoHFXlalZTH2BJjtfuAqCnma2T+RI213nQeH/rpcEpQJOaywwx2Lyt49w917eiDafC5+Mk4E13f72I8/+7VbkPAM8BF5M1xLO9ldp+d78ka/doM3uc+Lb0B5lbh1HJtTezbxMJcI5x93ltnP8PYl5G4mGLrJcXmtmvixxGLO3MIpvsX4H/dfdbCx3r7qdl/fqMmb1NzLs5khjuXff0Ol3DkcScq4LDG919JvDdrF2jzOwD4MbMl1avVrGOIpISBWhSD44D/lDEcVbCYy4Aco0t7wMU+gdV6LwFOfZXQ1nPRyaN9CFE8o+Subub2UjgKjPrUsNv2St6Pbj7LDN7FvhsgXOTb1NbX+vk29T2utatlXvtvwj8BjjX3e8ts+y7iUQ6W1Nf2RzLfU8uAPqVcV5dygxPfJiYY3hKGQ/xCNG7+lk6SICWR1uv04a67llOBP7l7i+Vce7dRAKk3Sn8/6+eLQDWz/G/qQ+wLE/vWXIe1N/fepGCNAdNas7db3Z3a+tW4sOOp9W4dDNbhxjiUqgnbjwwIDNHKVu+eQ2pq+D5OJb40qWS9W48c6uZlF4PBduRmVw+jbXnLiS/16S3tpy2m9m+xDW/yd1/Xknxrbb1Itd7eQCxrEBb7+Vcc1Pa7b2clszwtgeJLH5HuPuyUh8ja1hcvV3fUrXVjoa57olMz/phlJ8cpBGu/XhimOu2rfa3dV3fAT4m99/61RQ/X1ekXSlAk0b1MLCHmW2Vte+LwLrEN8n5JGuiHJ3sMLP+xPo5xawrVksnAS+6+zvlnGxmBhwDvNqB5qisxcw2A/YDXm7j0IeBo23NBY9PIAK3N6pUvVSZ2c7EPKNHiPV9KnEssVbSlErrlbKHgc+b2QZZ+04g1sV6uo3zNsusgQSAmQ0lvqSp9/fyJ8ysK3AXsB1wqLvPLvNxDiWWJmnrfVHv2nqdNsR1b+Vo4n9XuQHasZltR772zxFz6o5LdmS+uDiSAtfV3ZcT62Qe1+quE4Dn3X1R+lUVqZyGOEqHY2Y7ATsRyTAAhprZEmCOuycf2O4mkkWMNLOLiOENvwL+6u6Tsh7rCQB3PziznW5mfwSuzQQsyULVU4A15mzVk6wg8j/z3H8g8ARwcPIcmdnTxOKd44m5Dd8E9iQSEHQImWyNVxIfYKcQizefT3wzem3WcWu1H/g5MVTsNjP7A7AHkSjl221Mwq8LZrYJLcPWrgOGxUsWgA/d/a3McVsR3yJ/3d3/ktl3D5F44TXiW+kTMrcz63Bez01E8DnSzK4iPmhfCvwyO/W+mf0LeNrdvwHg7s+b2aPAX8zsv2hZsHiMuz/ezm2oxI3EwrrfBzbODGVOjHP35a3/jpnZ6URikMeJYOazxKLeLwIPtWPdK1LM67SBr3u2E4kvzt5ufUfr9pvZpUTSp2eJgOYAYmHnke7+WrvVuESZYOsLmV+3AHqZWRJY/t3dl5nZz4CLzGwBLQtVNxHDu5PHORW4BRjs7kkQfwUxF+9aYnjvFzK3QkvuiNSW18FibLrpVsqN+HDmOW6jWh23JfHHeAkwj8hs1bPVMaNynLcu8EsiOFtKTKwfVM02pfCc/IBIQdw/z/3DM8/R8Kx9fyTmcDRn2jkaOKzWbSmx3Vtkrs9MYo27eUTQuWNb7c/s34/4APgR8B7xwa/m7Sqy7UmbCr4XaFm49rSsfT8FJhCp6puJb9a/Wus2FWjrTsCTmbrOJD5wdWl1zHvAra329Qb+RMw5/JBIsLHWws/1fMu0K9913jpzzBp/x4CDiQ/o84jhXdOIIH7DWrenxLa3+Tpt1Oue1Za+mWt4XoHXx61Zv58IvEQs6ryCyG57ObBurdvSRjuTv1OFXudGfPE6PfN6GA18ptXjnJZ9Ttb+LxEjI5YTwd2JtW6zbroVupl73X9RLCIiIiIi0iloDpqIiIiIiEidUIAmIiIiIiJSJxSgiYiIiIiI1AkFaCIiIiIiInVCAZqIiIiIiEidUIAmIiIiIiJSJxSgiYhIVZjZ8WZ2Wo79o8zs7hpUqXU9tjCzxWY2uMjjh5rZfDPbsNp1ExGRzkvroImISFVkgrC+7j681f6dgI/dfVJNKtZSj98Cvd39pBLOeQIY7e6XVq1iIiLSqakHTURE2pW7v1UHwVkv4N+BW0o89U/A/zOzrunXSkRERAGaiIhUgZndChwDHGhmnrldmrlvjSGOZnapmc01sz3N7CUzazazMWY2yMw2MbP7zGyJmb1tZgflKOs/zOxNM1tuZlPM7Jwiqng80Aw82eqxzjezf5nZR2b2gZk9YmabZR1yP7AR8PlSnxMREZFi6BtAERGphiuAgUBv4DuZfdMLHN8T+D1wNbAUuA64DVgOPAzcCJwD3GVmA9x9GYCZnQ38NHPeKGB34AozW+bu1xco72DgRXdflewws1OBHwHnAm8CGwMHAeslx7j7h2b2JnAI8FCbz4KIiEiJFKCJiEjq3P0dM5sPNLn7P4s4pQdwprs/DWBm/YEbgEvc/ReZfdOJwOlA4OHMMMVLgB+7+2WZx3nMzHoCF5rZb7MDsFZ2B/631b5hwKPufmPWvpE5zn01c6yIiEjqNMRRRETqwQpgdNbv/8psn8yxb4vMdm+id+suM+ua3DLnbApsWaC8zYC5rfb9H/AFM7vMzIaZWZc8587NnC8iIpI6BWgiIlIPFrv76qzfV2S2C5Md7p7s657Z9s1s3wQ+zro9ldk/oEB53Ynhk9luIYY4Hg+8AHxgZj/OEagtz6qDiIhIqjTEUUREOqr5me0RwAc57p/Qxrm9s3dkAsRfAb8yswHAKcBPiLlzN2Ud2jurbBERkVQpQBMRkWpZQXV7mp4nMjH2d/dSE3ZMAAblu9PdpwE/M7OvATu1untrYGKJ5YmIiBRFAZqIiFTLeOAoM/sS0Qs1w91npPXg7r4wk7r/12a2FfAMMXR/e2CEux9d4PRngS9m7zCz3xE9Y/8EFgEjgO2IrI7ZhgJXpdEGERGR1jQHTUREquVG4FFibtdY4PS0C3D3qzOPexiRlfFvxNDE0YXOI7Iz7mRmA7P2PQ8cQCxG/XfgaOCb7n5fcoCZfQboR+7sjiIiIhUzd691HURERNqdmb0K/Le7/7yEc64E9nD3Q6pXMxER6cwUoImISKdkZscBPwe2dfeVRRy/HjAFONbdR1W5eiIi0klpDpqIiHRWdwPbEOuqTSni+IHA5QrORESkmtSDJiIiIiIiUieUJERERERERKROKEATERERERGpEwrQRERERERE6oQCNBERERERkTqhAE1ERERERKRO/H9sEg5XrlK3iQAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAA0QAAAEkCAYAAAAPcvFdAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeZwcVbn/8c+ThSwQQkJIQLYICmGRRUCFixJ2QRBBFBVQEEEBUcQFxOtlEwU3roL+WCUgKoKKCLLINshFEEJQFgmBQNjCkpVkZpIJJM/vj+d0pqameqZ7Znp6JvN9v179qpmq06dOVdf21Dl1ytwdERERERGRgWhQvQsgIiIiIiJSLwqIRERERERkwFJAJCIiIiIiA5YCIhERERERGbAUEImIiIiIyIClgEhERERERAasVSYgMrOjzMzNbHK9yyKrFjNrMLNZ3fj+mWnbnJgZNyC317TMU+pdjkr0p7IWqWa7NbPJaXmP6uK8Jqbvn9mV75fJc5aZNfRUfj2pL5dtVWFmx5vZIjNbuwZ5b2dmK8xst57OuzdYeMDMflPvsoj0RWZ2kJktM7N3V/qdigMiMxtpZieb2X1mNt/M3jKz183slnRxN6Rrxa5cOmmfaWZr1Xpe/VW6KOnos2u9y9gXpW37qHqXQ0QGDjN7v5ndaWaL08X/bWa2XRXfn9LBsf7QMt+Z2J0bPL3BzEYDZwEXuPu8zPj1zOzctJ7mdHTTIi3nmUXr093/BfwZ+ImZWQflmJW9kdWHfBrYETizaKKZHWlm96dtqtHMnjCz73aWaQq0jjCza83sWTNrNrMXzewvZvb+Mt9Zw8xON7PH03Y818z+ka4LLZf2vDTtDTNrMbOXzOzmohuDKd8z0rxfTr91Qydl/0zKf24qy5Nm9j9mtmYubemGZNHnolza8WZ2pZk9lq59l6Z1c4WZvatMWd5hZlenbXSJmU01s08UpOto/3UzeyaXfm8zu9jMHk7lKHtT1eKGWEd535FLPzT9jk+l32aemf3RzCYV5D25g3xv7s5vk/nOzmZ2Y0q/1MyeN7PfmdlqmTTbWezjE/Pfd/cbgceB84vyL1JREJN+9L8CmwF3Aj8A5gLjgb2AK4EtgW9VOuMumgycAUwBFuam/Rq4FlhW4zL0B/8CflJm2tO9WZB+5GRgFrFt5e0DlD1pSlVGAMvrXYgK9aeyFunv2+3mwCr75nAz+wDQALwC/E8a/WXgPjPbxd0fryK7IwvGPZSZ10bAGHf/d0E59gPucPe3q5hfrZ0ArAVclBu/OXA68BLwMLBfB3lMJK4XZhHnxLz/Be4F9ieub7C4sbu3u9+aT2xm2wIL3P3FKpajVv4HuNndn8lPMLNfAZ8D/ghcA6wA3glsXEG+w4hrqX8R11PPA+sBXwIeMLPPuvs1mXkNAm4FdgGuAi4ERhIB25XAFsCpmfw/ADyWyrYAWBc4Argn5f3rTNpxRMD3OvAIMKGTsn+P2DbuJoLpt4hrxrOA/c1sZ3fPH0++DzyVG5e/RhpDXPv+DXgBWAK8G/g88Akz+4C7/yezTsYC/0dcH/8UeBn4DHCdmX3e3a/M5H0JcU2dtwdwNHBTbvzhKa8nUrk7unlyLnB5wfjDgAOyeafA9UZif/oz8TuuQ+yHD5jZf2WXMeNS4L7cuJcL0lX125jZ0ans/yTijYXAO4APEnFL6Tp/O2IfbyD287yfAVeZ2Vbu/mTB9LbcvcMPcVEwPS3AIWXS7ASc0Fle3f0QO4cDE2s9r/76Sevn5nqXo7990s7UUKO82223wFFp3OR6L3sXl8mANepdDn167PecnLbHo7r4/Ynp+2fWe1l6aX11+3hBBCyLgPUz49ZP4/5WYR5T4jTeabo9iYvbi4DtU/knERd5/wA2qPc6zZR1UCrfjQXTRgHrpL/HpW1uSpl8Otym0zHseeCmzLgNiYuw24nga1ZaXxcBM4Hd+8D62TMt18EF045J047sYt5DgN0Kxk8gboK/DgzKjN85ze+CXPrVgOeAhRXMc42U739y44dlt0ugsdw+l8rdRAROg3LTrkll3C4zrtvnX+K614Ff5sb/MI0/MDNucNrf51HBeTNtfw5slRu/PjAs/f2NriwDcT2/FBibGfexlNclubSbAM3AnbnxHe5b3fxttgRaiIDIOsm7w98xbVtNwIUVrZsKFuakNMPzqlzpHwPuT4VpTH8fVJBuFhHdTSLu0iwG3gT+AKybSTcllSP/ObPcismM2yNtPDPTip4BfC5XjomUOaFTJhADtgFuSBv5UuA/RC3Z4Fy6BmBWQb7t5kmcDE4m7qIsJk6OTwNXAEMrWO8VBUTAR4g7R1cUbEBPEweodfMbf9oeZqTlnQGcVCb/DwF3pN9yCTANOKYgXUPaBt4B/I64a9RMHBA2K0g/jLjT8GQqw0LiTsf25XZY4k7Lk+m3fwH4VsE6K/pMLPf7Ae8jtskZqbyLiW286CTVbvsht70CB6f/jy2zPp8EnqXMAYI44M4GppWZ/sWU/8fS/6OIuzb/JE50LSn/84CRHazLE4ntvCUt141p+dcsmGfphPE/uXU9pWD9TyFOrvcSx4x5xAGx3ckD2A14IG1XrxF3gbaiwgtyYCxwAXE8WJrm9QjwzaJydbWsRdtNT+z3VH5sLTf/g4BH07K/BJwD7F36jTPpqtlG2i1TB+t/eNp2nk7bzkKiacOPculmUXABBByfvtsCPEPUqhxF++P/mWnc5sSd4JfTd/4N7F+Q7wlEgPAKcQfyVeKEPbEgbbuyAaOJ89i4CtbBu1LZriiYdgVxbF63gnympHwMWJPcBUfBej+FuFBtIbb5jxakG000M3k2pZtDHJs3yaTZPm0/d5A5JhHHob+n33Xr/LZB1Bw8lr77Yho3JDf/D6T0X+xk2csGRJntIf/J/2YXEzd78/vux9L6aUnr62TShWgmjQHHEvtHY/o8DpxdUM5fEPvasjT8BbB2F/eLi4G3gdULyvMs8EhuH+7worLSD1Gr47S9Lts3jftmQfqHgFcqzPs/wKudpOkoIBpB7DN/LZhWClDeXbB9TE7raLUurI/xKY/f5ca/DDxbkP7IlP6TneS7MdEy4YFO0lUdEBE1LEVl/lka/4GC75SCs40y4ybTek2wOjC8g3lW+9v8Ku0nY9P/q5M7RqTxZ1K8j0/Jpbuts22r9KmkyVypHfKlFaQFwMxOIHb46cDZafRRwJ/N7Ivuns9rfeLkfQPwTWBb4gJuTaLZB0TV4prEhePXiBM0xMG1M98nfpRLiAPc8cAUM3vW3e+vdLmyzGxH4oLoLWJZXwMOJE4k2xJVm13xHWKd3UQc+JYT1d0fJYKBtyrIY6iZjSsY757aY7v7X83sf4Gvmdkd7n5tSvNLojp4f3d/Lff9k4gq7kuIi7ZPAz83s7HuflYpkZkdSPyWrxFN9xYDnwIuN7NN3P07uXxXJ06iDxLBzjuBrwI3mtnW7r485TuU2Lh3Iar1LyJO3scC95vZh9x9ai7vLxF3t64gTjBHAOeb2cvu/tuU5kjiAnkuUc1cMqdgHZYcTFz8XEcEWWsTzRT+ZGaHZ/Ku1E3E+vo8cFl2QmpasyXwHU97eJ67Lzeza4Bvlqke/iyxfH9N/68PfIE4yf2WOMHuRgT02xMnuryT03Jelsr6EjCV2DY/TWwXWccQB8JfdbTgyXbAzUQzi98SB9zS948rJbJ4Bu5vROB8HvGbfhL4rwrmUXI9EbBfTBw/RhBNOyYDP+qpslapov2+C8fWNszsYOI3n5W+/zZxw+AjBcm7so1U4hfEdn410aRkCHHM2aOzL5rZqcTvPg34NtE855t0vK9eRay/HxN3rk8m1tdm7j4rk+4bxDHo58B8YGti+fcws/d45lmWMg4mtomzKPNsR8ZOafhAwbQHifWzA637a2feJC7slpnZ34H/dvd/5tI47ZuAtjmeWDy78w9gI2K/fZJoMnUC8E8z29HdX3D3R83sW8SF1GlEsxaI5isfBL7k7k/k5vVR4o5z6Xz50ZR+Y2IbLNktDR+i6/5OnPdPp22zntdz6R4grjV2Jc4tJUXrKX/s/TVxnv8ncd5YSJwTDiU1gcysz3cR63Mase8cT2xX73P3xSm/SveL3YAn3b0pN35zYFPgIovnhU4mbv4sMrPfAd9w90a6bgPiQjX7uMJD6f9vWTyX9k9in/wcsf1+qSijdH0yiNi2jiWOv5WcJwq5+5K03X84HSP+SByvJhPb7jVe0LwQ+Aux37iZlYLPawrSla4/RgNDid/zzDTplkya9YjjZlFnFw+m4U7EdUM5RxPrpqi5W3cdk4b5vIelYXPBd0rj3k/cxMj6GXHMIz3v9Avg59nrlC78NvsR57fdzOxHxDb9lpndCXw1k/ZPxPZzHG2bPs7MlfEBYF8zm+Tu0wuWr1UFEeU84M0qItAxRCT/LJm7xkQwM5O4OF4rM34WBVFzWrEObF4QEU4smO9RlK8hepTMHQBig20hEyVTZQ0RcVf2bWCbzDgjNnQH9syMb6DyO8XTyFUdV/OhfG2HA425tKsRF7RvEieq0h2MH+fSTU7jF9O2Cns14oD4Vmk8cYfwBVKbz1za+4kTTfZuQEPKO19r8800ft/MuK/lx2W2rRfJ3D3KlHk2MDozfiRx8fRALo9ZlL/71O73I3d3LpP30/nfr8z2U9o2s9vr99O4LXPfvyxta+8oKl8mXamW5Ie58Zum8T/P/R7tahyJ2gIH3lewLucD43PpB6d1/1DBungTuKVg+8zfwXEimHh/bvxfyd29TdvbUtrerR6atq3C/TeX52gKmjl0sC91p6zttps0fmK+rFSw31P9sbXN/DO/1VwytRhpnbxA+xqiaraRdsvUwXLMz28XZdLNou0+PZaoFXyMzB1J4ibNm5SvIbqZtrUYpZrLH+TmV7RPl5on5Y9PbcqWxh1VxTr4ekq7X8G0/dO04yrI5zzi4vlwolbjDOJmwTJgr0y63dM2UtRk7n5aj98/S+t429x8NiZqLfP7w43Edv8B4jixHPhDme19OfDezHgjbpw5mTvTRADrFNQ65/LtVpO5lGbXlObr6f8N0vr4W1o/s9L6+gWZJnPETRgngqJ8M6Bsk7JzU7oTcmlOTOPPqWa/IPbh5cCfCqYdlPJ8gzj/fgP4OHHB6sA9dLG2KLNNXl0w7YPEeS97rbGI1BqhIP0aubTNxM20dvtf7ntla4jS9PWJGsts3iuI45Xl0n6SCFqOIW5kfyWzDGeUyf+AXN6vAafk0uyQpp1f8P2RadpvO1iGQcSxeDGdNK2jyhoi4jzRRNR45tdHqSXYyQVlnp2mnZIZ/1/Evv/FtP6+SJybHbiyq78NrefnecRx5QLiRtMZxHl/ZcullP6oztYBcRPcgY93to4q6WVuzfTjVGpv4o7/z919UWlk+vvnxM6wV+47s909HzHfnYYVd5nXgV+6+8rOFtz9FaKpU5fyNrPxRC3FX9x9ZQ2Vx9ov1TAc3MWyvgmsb93rDe6fxO+Q/xyQTZTWyWG0nph+SQRI3y6T72/cfeUDc+n7FxB3sg5Mo3cg3V1099m5tD8kdviDcvmuILaNrKLf/wjizsEjZjau9CEu3O4AdjWzEbl8rnT3NzPlaCbu1HRru/LM3TmLHhjXJg4edwNblOs5pROXETtu6S4OZrY68Rvdml2fZcr0JNHM4/D0sGvJZ9PwqkzaZe5eqnUYYmZj0rosPeBZ1KPQ1e7+Rm6ey4k7ezuZ2Xsykw4ljh1XdFTmjAe8/R3tu4lta2Iq5wTiYvZGd38uU4a3iAu5Siwhboa8v6hnmp4qaxdUst935diatQPxjMSV7l6qYSftHxfnE3dxG6nEm8BWZrZ1ld/bm2hW9P/cfWmmnK9RfEe25Gfp2FxK/zBxcdXmGFDap81skJmNTsv671TeTpfV3ae4u7n7mRUsy8g0bCmYtjSXpqN5nubup7j7b9z9zx419e8jLib+XybpTOIZ4C8TARPuPt3d9yHOWa+lB6sPJ2pXXskdY5uI4+Y+tHU0cWH4O6J54UtErVqRO9x9WqbsTpwToO35ch3g7ew2XkOlWr/xafga8D1338db7yYvcPcTgUNovftcagHyDXdfkc0w9//BxA24fM3tJWl8drkr2S/WJs6h8wumjUrDdYBD3f3H7v5Hdz+aOPZPBj7cQd6FLLot/jXRlPTrBUkaiYf8f0ysoy8QN21+a2Z7F6RfQuzL+xE1SFOJY1en23snWohnwq4mWix8mqiN+G+ipnAld7/O3Q939yvc/SZ3/znxCMQTwH+XOTc8mMr9UaJW9FVgjLXtYbm7+/XexPXT7717tXlFPp3m/avs8TC5hgikzzazY83snWa2E/HoSqm10cpyu/v97n6Qu1+S1t8lxE2R24GjzCzfYqPS36a0DY8lgsqvufsN6bj2JWI//VqVy53fx8uqJCBalClkJd6ZhkU9OpTGbZIb/1w+Ia0L0RPvICiXf1fz7mgZnyIu8PPLWKnTiR3nPjN7xcx+k7orXK2zL2bMdfc7Cz4N+YTuPpNoV74Ncffp06WLoAL53lgg2v5C6/J25fefnb3ASYp+/y2Iu3ZzCj6fT+XPNxXs6d8eWNkV56Vm9jpxsTA3laPURKDqruHd/XniYvPIVD0PcSdrFJVXn19FPI+1VyqnEYHkk+7+SG4ZTjCzx4iD1fxU/oY0eUxB3jPKzPMK4q7lMZlxxxAH2L9UWO5KjgGlbauop8SKek9MgfnJRHOo5y26/rzQzPassJyVlrValez3Xdm3skrTipoNFPUg1JVtpBInp+8+bmYzzexyi3dGdHY+6urvX9ExwMz2sOjWt4m4w146toym68taTqkZyrCCacNzaari0aTkOuBdZrZZGveiF/Qwl6bd4tHD3DrEOtmH4mPs3uR6+nL3+URQNJG4C3y4u+d7gC2p5PwB7Zum1VKpF8ao/nV/2wt6mEvT/u2tPcy9m3guId8EL++dwNOe68Ev/T+DtstdyX5RWjdFvUcuScNX3D3fc1npZtjkTsrbhpm9E7iL1trMObnp7yGaBN7h7t9MF69XEDVvrwGXmdng7HfcfXm6HrktXUjvTgQBd2fOe1Uxs5GpHGu6++fc/dr0+QTwe+JCf/OO8nD3FiKoG0L7wB93L11X3eTu5xPNjI8nag9Lurtfl2vS1hOOIc7TV+YnuPsC4pphJhG8P0fU+IyktdvqDm9QpBsBpaazK5tgV/nbLMlkOSU3i9+k8k/ucCnba7OPd6SSgOgJYE0z6+oFfiU66tq2J7qNLZd/Nu+OVlZ337FULu92+br7A0QTp0OJWpvtiA3hXxbdOdZCqXZnBNEOubdV+vsb8ZBpUe1X6ZN/lqDHu01OQcbfiHbSVxE1OB9O8y89O9TVlx5fSlyYfDT9fwxxYqn0WYLfEXeHS7VCuxIn3auziczsFOJA/ipR3f0RovxHpSRF5S88kLv7S0T7+yPMbLV0R/FDRI1SJc+8Qe2PASu5+8XEBdyxRFO1Q4E7zezajr6XUWlZ+/p+36EubiOd8ng/xESiie7dRLO0PwMNVd74qVSnx/90N/RvRPO704ha7H2I5Z1Hz7/EvFTbu37BtNK4V7qR/6w0bPcsqbvPcveJBd8prY87KX98LXpu7KOZvyt+h1IH5gBD0vM3tVbatwqfQXP3id72ObOaqXC/mEfccC06JpRab+Sf/YXYh6GKwD7VktxD1N7s7cXdwH+NuNC/PjsytcT4K9HUcmJH80mtDH5D3KT6UKXlyzmUCFKvL5h2PbH/VtLqZlYaFj2D3UZqsXEncIyZlQKgLu/XqZXJQcAT7v5gUZquSoHrTsBtHi2k2nH3x919e2I97kY82jCZ1uCu4+dvwqw0zK6/an6b+bReZ7TZjtO1xFyqvznV4T6eVcmF/h+JjfQL5KodyyjdjduKuLOQtWUuTbVqeeeoVAVddKDJB4PPp+FWBWknET9wdhnnE81VOssXgFRV+sf0yT5IfQyVPfRdMTM7iTihnUdUd08xs23c/dWC5FsUjMv/ptnfv7O01XqGCBbuzjdT6AHVbFvbEB1nnO3uZ2QnmFm55iKVupGoWTnGzJ4g2uqen7/DWI67zzWzW4CDzWwNIjBaQVSJZx1JHLz2y65LM6u6SUVyKXHB/DGizT1U3lyuUrPSsChoryqQT9v35URHH4OJJiGfNrOfpCZVPaGn9/vuHltL09q9aC/z/aye3kZWSjUL1wDXpBsM5xGdNRxE8YkT2v7+d+emdfdGzmeIGub9Uk0tsLLJak/XDkG8Qweit8L83eBSL2uP0HWl5oCd1WBkzSFqxtYsqGEoZGYfJZ4/uJJ40PzHZvb3MhfPlZw/IG7CQixDvpOcalRyTC+9WDPfAURnZgAHmdmETmqJngM2N7Mh2WN4ama1Gbn9tbP9wt1XmNlTFDf5fpyoZS66GN8gDd8omNZOCoYaiNrRvdz90TJJS/MaXDBtSG7YkVJT967e/OmpclS734xI81wTmOPur5rZK8Q+nFcaV26b/izR/L+nz5vQ2oy105ond3+WaPJYsh9RO1RJB2RF66/i38bd3cymEjHHBmSCsBR0rpMrW4/u45Xc9bqcaI7wDTPLP/sBgJntkE7eEM9yNAEnmdmoTJpRxIGzMaXpilKbyh6/Y+rR08trRM8v2TuHmxAXedm0bxBVgAdm2/um75Wev7kh85UZwCgze18m7SAK2kJace9wpXbXPbrcFi+a+xFxF+g7RE9wawK/LtN85XAz2yDz/dWIZVhOPLhcKuuLwNFmtm4m7VBaO0q4sYtFvpq4g3tKmeXp7MVtHWmk8vVbuuOcfwv31nT92TFg5V2QKcSd2FKwVe0B8iqiqvsI4BNEc4b880fLYWV3vcDKk/Rp1ZcaiLuBs4mahM8B93tnPbpUyeNZkanEhcjKoCJtW1+tJA+L573atOFOdyhLzwL25D7W0/t9d4+tjxB3kY/Ozs/iebei3qB6ehvBzAabWZvmpKk9e+mCq6P1fwepl1AzKzU/IR1nutqrZ0nhPk3cBKyodsjiuaNJZX7LNtJFx1TixY7vyOTxDmKfvdszvXxaPMszKVtrYmarZ9dDZvz2KY+nUpPoiqSg9zfA+8zs0KI0Fs/Plv5en3h+8Cmi6/PDiSYv11r7ZzkB9jaz92a+b7S+zP3PmXQNaVh0UVmNSq4XPkB0WFNtb7OlZ9Z+mD9XZq8fiOVah/bPVR2bxt+QvlPNftFAwXOqqVbmj8C6Fr1JZh2fhtke0Qq3VzPbmLgmWAvYJ9/UOqfU5PGoXB5rEUHcAtIFrMUziO1qgNNNh1IvnV3tWbBUjs8VTCuNW3mjK9XG5MsxmniJ7DLiWZjS+MLrCjPbkqjFm5lrSvg7YFOL3nZLaQcTx+iFZH6DnGPSvH9dZnqXpEDiCCJIubmT5PnvnkTU3F3gbZ+bLlp/w2jteS/7Qtmqfhtal//4XNrjiGNxdv1Vuo+/7u6dNqvvNGJ292YzO4C44Pmzmf2NODHNI3bo3YmLtx+m9AstuuP8BdFN55SU1VFEpPZFzzzkXqVSNeL5ZvYb4m7IE96+e8+uuoh478atZvZn4lmMLxGR5U65tF8lut2+z8xK3YgeQKyL37p79g7upcTDiDeY2c+Ijf5Qitf/U2b2INExwmxauxVcRrw5uhLrm9kRZaY94O4z00HoWiLyPyKdDB+16BbxAuLA8IPcd2cQv+nFREcbnyHWyzmp2RQe3T9/mTjQP2xml6a0hxEb5ve9uPvLSvyMaLbxIzPbg7hLvIhof7wnsT3s3sW8HyRqZc6h9Tmwm7x916ak6U8SXY2WepbbjAgGHqe4VqAalxHB46eBe7uwvv5K7J/nEwHuVQVp/kD8vrea2Z9Sus9QWbfu7aTf/VfEQ5JQWW1yV3yDOP78w8x+STyI/Enizhp0fsdoM+BeM7uB2K8XEHeujydqfvNv3e6OHt3vu3tsTb/R14jnSx4ys1LvhZ8ntpeNcl/p0W0kGQW8amZ/IS723iCeszie+C3yb2bPln+emZ1F9MZ4v0U38yOJ9TQD2JGutyK4gQhUb0nHrGXEsWYbWl/x0Jlqut2GOIfcQ5xDLkzjTiJO+vmH179M3CA5mta29e+m9Vz1DBEsb0v8nsvpWhfw3yFqpa8zs+uI4+IyounT/kRQfVQKAn5DdPJxWLoYbzazY4jucP+XOB5m/Zt4TqTUDPMg4rmFX6cmoyWPEDUn+xPn5DbMrHSMKd3Y2CYz7u/u/vf093+Ic88JZlZ6r88b7n53yseIps63eZUPsLv79Wb2e+Ku/rvT9ryAOL7sS1xEQlwXfQL4RQoGHyVq0I8hzhulTiWq2S+uJ3qp+zDtu28+nVinv03b1CxiPX6EaML8j0zadttrurlyD9HM7UKiditf+3pHplbsf9M6OM+iWdb9xMXpscQx7MR0wwmiGdYlZvZHIkhanJbxSKI24Cx3fyE7o3QtUQoUhwIbZ37rf7t7ab3cTART+1t08fynNP4Qohe86z3ToQfxnNa9xPn6jbS8n09l/rpnOo8Cvm3ROcRf0/o04vc9MpXpxNz6OY/4zX9rZj8lmsh9mrhW+oK3drOeXc73EzX/13kH3fub2Ta0NlEtdVxwpLV2xnNhwTngY8Rv8sOOWppYtCx5jthvnGgy/LG03Ofmkt9mZrOJfXU2ca18BHFMutDds4Fttb/NlcQ29ZUUrN8HvIc4njxJ2w64Hiau1b5jZmOIY+Dznjo9smgl80Eq7dLdK+92cSRxwvg/Ygd9i4g4/0psGPmXkR5M1KI0pc8/KOiGkfIv35sM7bvMJO4oPZfm71T2YtZ2XfJR3JXyEOIA9SpxcT2NeL7mzJTPxFz6bYk7QPOJO5dPUfBi1pR2f+BfKd1s4mJ18+wypHSnEb38vJHSvkQcAN+bz7PM7+SdfL6Q0v2K2JD2z33fiA34LVLXwtnfguie8hlaX4r41TLl2I24cF2U1uWjdPBi1oLxE/PrJvMbfYXYEUrb1jPEyXmfzrafNG0K6QZcZtx44u7a/LReVv7eZbaVjdPvModo8/oQsc2321bKjDuKDrqLpPVB1q6+cfzC9P03gREF0wcTtZmlly++QGz7WxRsk6wJQQgAACAASURBVGXXZcE6WZ5+88IuVFM+Uzob19E6It7L8WDarkovZn1/SvutTsq4NhHw/4u4QFqS1sH/AuvVoKw9vt9T+bG13Xabxh+SKVNHL2atZhuZmB9XZv2vRgRZpbe2txDngF+R6Y4/pZ1F8bnhRCIAyr6YtdRtbLYr8DMpOG6Xy5vWl3GWOkm5lggSi9IWjSttAx2ug9x3dib29UbiAvH2Mr95aVmyv8+6xN3U6cQ+9xZRO38VMKkrx42U70jgu8TF4pJUrqeIGzWlc8L/pPIcX/D90iszPp7fNmh9MWtp2zub4q7dv0UE6xPKHEPKfc7Mpd2fOI8vTdMbMtN2S+M+0sX1NChti9NofTn3Y+S6bSZuHP+SqJ19Kw1/Qduu7yveL1L6J4kbdkXlmkicD+cQwexTRICd7x683faa+a06+uSPcZumba60fIuIY9khBekuJy6430xpXyOCvcLfgNbXshR9puTSjiJulkxP628psQ1/i/Yv//0Jsa+XuneeS9Q87FtQhr2Im0Oz0u9celnvlcBWZcq9PrFvzqX1WvKwDralS9My7d3JNlf6zcp9io51f0vT2r3oPpfuu8RNwtJLhh8m3hNUdD17KvF+nzlp/S0kAulPl8m74t8mpV+dCCxnEdvwK8TNkTEFaT+Xtqll+e0iTXPSS6I7+1j6kkhZZjaZ2NiPdvcp9S3NwJDu1uxMvHtoSWfp+wKLl9K9BFzh7vm7w7We98eJk9anvfUlwzJApLvhXyaC2qKHyqVOLJ5HeZ6oATizwu+sSQS7l7n7f3eWvovluoHohn4n72cXQmb2KeJZo628gqZAIgORmU0jbgoeUkn6nu45R0S6yczeRTS7uKa/BEPJ8UStQv6dGz3GwvDcuKHEc2Vv0/r8gayCyjwzsx7RxOIJBUOrBo93EJ1BNJvpiVdvtJGeszqIaB7Vr4IhgHTT52FanzMVkQwz+xjRtPHUSr/T3e6kRaSHpHbEWxBNApcR1fp9XrpbuRHx3NPt3vFDuN01DHghPUP4NNEE7jDiWY/zdUG8yptsZj8i2qG/TGv36WvQjc4epO/x6B6/3QuDeyjvR+nnN4Tdfed6l0Gkr3L3P9P6bHFFFBCJ9B3HE3e6nyNecDirvsWp2O9ILxWl7ctZa+Et4rnFg4gHYI0IjE5091/WeN5Sf88SLw88lgiGlxK9tf3AK+wqWkREJE/PEImIiIiIyIClGiKp2Lhx43zixIk1n09TUxOrr756zefTF2nZB+ayw8Be/oG87DCwl1/LPjCXHXpn+R955JG57r5OTWciqwQFRFKxiRMnMnVqd14cXpmGhgYmT55c8/n0RVr2yfUuRt0M5OUfyMsOA3v5teyT612MuumN5TezFzpPJdLPHyoUERERERHpDgVEIiIiIiIyYCkgEhERERGRAUsBkYiIiIiIDFgKiEREREREZMBSQCQiIiIiIgOWAiIRERERERmwFBCJiIiISO857zzGPvBAvUshspICIhERERHpHStWwDnnMGbatHqXRGQlBUQiIiIi0jteeQWam1my4Yb1LonISgqIRERERKR3zJgBQLMCIulDFBCJiIiISO945hkAlmywQZ0LItJKAZGIiIiI9I5XXoFBg2gZO7beJRFZSQGRiIiIiPSO2bNh3XVh8OB6l0RkJQVENWJm7zKzS8zsMTNbbmYNBWnMzE43s5fMbImZ/d3MtitIt6WZ3WVmzWY228zONrPBtcpLREREpCZefRXWW6/epRBpQwFR7WwF7A88Dcwok+Y04LvA+cCBQCNwp5mtW0pgZmOAOwEHDgLOBr4OnFXDvERERER63uzZ8I531LsUIm0oIKqdm9x9Q3f/BPBkfqKZDSeCmB+4+0XufifwCSJY+XIm6ZeAEcAh7n6Hu19MBDCnmNmaPZ2XiIiISM2ohkj6IAVENeLuKzpJsguwJnBd5jtNwE3Afpl0+wG3u/uizLhricBmtxrkJSIiItLz3GHePBg3rt4lEWlDAVH9TAKWA8/kxj+VpmXTTc8mcPcXgeZMup7MS0RERKTnNTbC8uUwZky9SyLShgKi+hkDNLr78tz4BcBIM1stk25hwfcXpGk9nZeIiIhIz5s/P4bqclv6mCH1LoD0bWZ2HHAcwIQJE2hoaKj5PBsbG3tlPn2Rlr2h3sWom4G8/AN52WFgL7+WvaHexehVazz7LDsCT7zyCo3jxw+45Ze+SwFR/SwA1jCzwbmanTFAs7svy6QbXfD9MWlaT+fVhrtfClwKsOOOO/rkyZM7XbDuamhooDfm0xdp2SfXuxh1M5CXfyAvOwzs5deyT653MXrXini8eusPfYi57gNv+aXPUpO5+pkODAbelRuff85nOrnne8xsQ2BkJl1P5iUiIiLS8xake696hkj6GAVE9fMPYBHRPTYAZjaSeIfQrZl0twL7mtmozLjDgCXAvTXIS0RERKTnlZ4hUkAkfYyazNVICkj2T/+uD6xpZoem/29x92YzOw/4rpktIGpoTiGC1AszWV0MfAX4k5mdD2wCnAn8tNR9trsv7am8RERERGqiVEM0dizMnFnfsohkKCCqnfHA9blxpf/fCcwCziOClm8DawNTgb3d/fXSF9x9gZntCVxEvFdoIXABEchk9WReIiIiIj1r/nwYOhRGjqx3SUTaUEBUI+4+C7BO0jhwbvp0lO4/wB69lZeIiIhIj1uwIJrLWYeXRyK9Ts8QiYiIiEjtzZ+vdxBJn6SASERERERqr1RDJNLHKCASERERkdpbsEA1RNInKSASERERkdqbP181RNInKSASERERkdpTkznpoxQQiYiIiEhtucPixbDmmvUuiUg7CohEREREpLaam2HFChg1qt4lEWlHAZGIiIiI1NbixTFUQCR9kAIiEREREaktBUTShykgEhEREZHaUkAkfZgCIhERERGpLQVE0ocpIBIRERGR2lJAJH2YAiIRERERqa1Fi2KobrelD1JAJCIiIiK1pRoi6cMUEImIiIhIbSkgkj5MAZGIiIiI1FYpIFpjjfqWQ6SAAiIRERERqa3Fi2H11WGQLj2l79FWKSIiIiK1tXixmstJn6WASERERERqSwGR9GEKiERERESkthQQSR+mgEhEREREaksBkfRhCohEREREpLYUEEkfpoBIRERERGpLAZH0YQqIRERERKS2FBBJH6aASERERERqSwGR9GEKiERERESkdlasgCVL4sWsIn2QAiIRERERqZ0lS2KogEj6KAVEIiIiIlI7TU0xVEAkfZQCIhERERGpnVJANHJkfcshUoYCIhERERGpnebmGKqGSPooBUQiIiIiUjtqMid9nAIiEREREakdNZmTPk4BkYiIiIjUjprMSR+ngEhEREREakdN5qSPU0AkIiIiIrWjJnPSxykgEhEREZHaUZM56eMUEImIiIhI7ajJnPRxCogSM9vGzH5vZjPNrMXM3pvGn2tm+9W7fCIiIiL9UikgGjGivuUQKUMBEZACnkeAdYGrgaGZyS3ASfUol4iIiEi/19wcwdAgXXZK36QtM/wAmOLuuwHn5qb9C9iu94skIiIisgpoalJzOenTFBCFScDv09+em7YIGNu7xRERERFZRTQ1qYc56dMUEIU3gE3KTNsKeLEXyyIiIiKy6mhuVg2R9GkKiMK1wNlmtmtmnJvZZsCpwG/qUywRERGRfk41RNLHKSAK3wWmAvfSWht0I/AE8Bjw/VrM1MyOMjMv+Hwpk8bM7HQze8nMlpjZ382s3TNNZralmd1lZs1mNtvMzjazwbk0FeUlIiIi0mNUQyR93JB6F6AvcPcW4AAz2xPYExgHzAfucvc7eqEIewBLMv8/l/n7NCJg+yYwHTgFuNPMtnb31wDMbAxwJ/Af4CBgU+AnRMD739XkJSIiItKjmppgnXXqXQqRshQQZbj7XcBddZj1w+7emB9pZsOJIOYH7n5RGvcAMAv4Mq3BzpeAEcAh7r4IuMPM1gTONLMfuvuiKvISERER6TlNTbDxxvUuhUhZajLHyuZmHX7qVLRdgDWB60oj3L0JuAnIvix2P+D2FAyVXEsESbtVmZeIiIhIz1GTOenjVEMUnqB9d9t5gzuZ3h0zzWxtYCbwU3e/JI2fBCwHnsmlfwo4LPP/JODubAJ3f9HMmtO0m6rIS0RERKTn6D1E0scpIAq7F4wbA+ybPl+p0XxfJZ7peYgIuD4FXGxmI939glSGRndfnvveAmCkma3m7stSuoUF+S9I06girzbM7DjgOIAJEybQ0NDQhcWsTmNjY6/Mpy/SsjfUuxh1M5CXfyAvOwzs5deyN9S7GL3ig4sXM3vePGZmlncgLb/0fQqIAHe/t8ykP5vZ94BPAjfXYL63A7dnRt2anvX5bzP7WU/Pryvc/VLgUoAdd9zRJ0+eXPN5NjQ00Bvz6Yu07JPrXYy6GcjLP5CXHQb28mvZJ9e7GLW3YgW0tLDhpElsmFneAbP80i/oGaLO3UP03NZb/gCMBSYStTdr5LvPJmp7mjM1OguA0QV5jUnTSmkqyUtERESkZyxJneiqyZz0YQqIOvcRipuj1YpnhtOJpnTvyqWZlKaVTE/jVjKzDYGRmXSV5iUiIiLSM5qaYqgXs0ofpiZzgJldVzB6NSJYeDdwei8W51BgLvAC8YzRIuATwPcAzGwkcCCpGVtyK/BNMxvl7ovTuMOIdxuVmgP+o8K8RERERHpGc3MMVUMkfZgColD0trClwH3AKe5+Sy1mamZ/JDpUeIyovTksfb7i7iuApWZ2HvBdM1tA68tUBwEXZrK6mOj44U9mdj6wCXAm0WPdIgB3rzQvERERkZ5RqiFSQCR9mAIiwN2LepnrDU8Dnwc2BAz4D/BZd/91Js15RNDybWBtYCqwt7u/Xkrg7gvMbE/gIqKL7YXABURQRDV5iYiIiPQYNZmTfkABUR25++l00hzP3R04N306SvcfYI+eyEtERESkR6jJnPQDAzYgMrMfVpHc3f3UmhVGREREZFWkJnPSDwzYgIjoXKBSDiggEhERkVXblCnw+utwyikwdGj381OTOekHBmxA5O7vrHcZRERERPqMe+6Bo4+Ov4cOjaCou9RkTvoBvYdIREREROAXv4Bx4+ADH4BLLumZPNVkTvqBAVtDVMTMdgU2A4bnp7n7L3u/RCIiIiK9YNkyuO02+OxnYaut4MtfhhkzYLPNupevmsxJP6CACDCzCcBdwJbE80KWJnkmmQIiERERWTX9858RvOy9N0yaFOP+8Y/uB0SlJnMKiKQPU5O58BPgTVrfB/R+YCLwXeAZotZIREREZNX04IMx3HVX2HxzGDUKHn64+/k2NcGIETBIl5zSd6mGKOwGfBV4Nf1v7v4i8H0zG0TUDu1br8KJiIiI1NTUqbDxxrDOOvH/e98Ljz7a/XybmlQ7JH2ewvWwFjDH3VcAi4DxmWn/AHapS6lEREREesOjj0YQVLLlljB9OriX/04lmpvVoYL0eQqIwvPAeunvJ4HDM9MOBOb3eolEREREekNLCzz3XARBJZMmwYIF8MYb3ctbNUTSDyggCn8F9kl/fw/4uJm9bGbPA18BLqxbyURERERqaeZMWL68tTMFiOeIAJ5+unt5q4ZI+gE9QwS4+7czf99qZrsABwMjgDvc/da6FU5ERESklkpBTzYgmjgxhi++2L28m5oUEEmfp4CogLtPBabWuxwiIiIiNTd9egxLtUIAG24Yw54IiMaN614eIjWmJnOAmc0ys/PNbPt6l0VERESkV02fDuuvH11tl4wcGYFMdwMiNZmTfkABUbgeOAyYamYzzOxsM9u63oUSERERqbnp09s2lyvZaCM1mZMBQQER4O7fdPeJwK7ALcDngX+b2RNm9l0ze3ddCygiIiJSK88/D5ts0n78xhv3TECkXuakj1NAlOHuD7j7ycCGwGSgATgJeKqOxRIRERGpjSVLYM6cqA3K22gjeOGF7r2LSE3mpB9QQFRsdWAjYGNgNNBS3+KIiIiI1MDLL8ewXEDU2Ahvvtm1vFesUEAk/YICosTMRpjZJ83sj8AbwBWAE83nJtS1cCIiIiK18NJLMSz1KpdVCpK62mxuyZIYqsmc9HEKiAAzu44Ign4DrAGcCKzr7h9199+4e2NdCygiIiJSC6Vgp6iGaIMNYliqRapWc3MMVUMkfZzeQxTWAb4J/MHd59a7MCIiIiK9olRDVAp+siakBjKvv961vJuaYqiASPo4BUSAu+9e7zKIiIiI9LoXX4zAZ9iw9tN6KiBSkznp49RkTkRERGSgeuml4ueHIAKZNdboekCkJnPSTyggEhERERmoXnyx+PmhkgkT1GROVnkKiEREREQGIveOa4ggAqLXXuta/moyJ/2EAiIRERGRgWjhwnjPUK1qiNRkTvoJBUQiIiIiA1FH7yAqUZM5GQAGbC9zZnZCFcnd3f9fzQojIiIi0ts6egdRyYQJMG8evPUWDB1aXf5qMif9xIANiICLqkjrgAIiERERWXVUWkMEMGcOvOMd1eWvJnPSTwzYgMjd1VxQREREBq4XX4xan3XXLZ8m+y6iagMi1RBJP6GgQERERKSvu/lm2GMPOOEEWLy4Z/J86SVYf30Y1MHlYHdeztrUBMOHd5y/SB8wYGuIipjZBsBmwPD8NHe/pfdLJCIiIgPe3XfDQQdF8HLvvfDqq3DDDd3Pt7N3EAGsvXYM582rPv/mZjWXk35BARFgZqOA64B9SqPS0DPJBvdqoURERESWL49aoXe9Cx55BH75Szj1VLjrLthzz+7l/dJLsOuuHacpBUTz51eff1OTmstJv6A6zPADYCPgg0QwdDAwGbgCeB74QN1KJiIiIgPX9dfD00/DuefCGmvAV78K48bBxRd3L9/ly+HllzvuUAFgzJgYqoZIVmEKiML+wLnAP9P/s9397+5+HHAj8M26lUxEREQGrp/8BLbcEg45JP4fNgyOPBJuvDF6fuuq11+Ht9/uvMnckCGw1lpdC4iamhQQSb+ggChMAF5y9+VAEzA2M+0WWpvSiYiIiPSOJ56AqVPhuOPadkxw9NHxXqA//KHreZfeQdRZDRFEs7muBkRqMif9gAKi8BIwLv39DHBAZtr7gaW9XiIREREZ2K66KmpoPvOZtuO33homToTbb+963qV3EHVWQwRdD4jUZE76CQVE4Q5gr/T3BcCJZvYPM7sHOAe4um4lExERkYHHHa67DvbdF9ZZp+00sxh/991RU9QVvVVDpIBI+gEFROFU4AwAd/818HGiM4UFwJeB0+pXNBERERlwHnssgpaDDy6evu++8T6iBx7oWv4vvQSjRsHo0Z2nVZM5WcWp223A3ZuB5sz/NwA90MG/iIiISBfceGPUBB1wQPH03XeP6ffeCx/6UPX5v/hi1A6ZdZ527Fg1mZNVmgKiHDMbDAzLj09Bk4iIiEhbCxdGr23vfCestlrP5HnjjbDzzjBhQvH0tdaK3ue6U0NUyfNDEDVEixdH87yhQyufh5rMST+hJnOAma1pZheZ2WygBVhc8BERERFpNWcOHHFEvBdo0qQIXi64AFas6F6+L78M06bBRz/acbqdd4YHH+za/Eo1RJXoystZ3aOGSE3mpB9QDVG4hOhZ7nLgP8Cy+hZHRERE+rSnnoK994a5c+NlqdtsA7//PZxyCjz7LFx0UWXN0Yr85S8xPOigjtPtvDNcfjnMmBEBWaWWLoU33qiuhgii2Vy5Gqu85tSwZo01Ki+XSJ0oIAr7Al9z98vrXZDeYGZbAhcCOwMLiUDwrPQeJhEREenIc8/FMzwQTda23z7+/uxn4Vvfgh//GLbYAr785a7lf+ONsNlmnQc5O+/cWoZqAqKXX45htTVE1TxH1NQUQzWZk35ATeZCE/ByvQvRG8xsDHAn4MBBwNnA14Gz6lkuERGRHuUOs2bBPffAXXdFEOPe/XzffBMOPBCWLYu8S8EQRI3Q+efD/vtHYDRjRtfyv+eezmuHADbfPGpgHn20unlU8w4i6FpA1NgYQwVE0g8oIAo/AU4ws4GwPr4EjAAOcfc73P1iIhg6xczWrG/RREREumnevHiOZ8sto5ODPfaAvfaCTTeNWpQf/rC19qJab78Nn/pUBDp//GPUAuUNGgSXXRadK3zta9XP47bbovOCzp4fKs1r222rD4iqeQcRdK+GSE3mpB9Qk7mwPrAt8HR6GevC3HR391N7v1g1sR9wu7svyoy7Fjgf2A24qS6lgngo9O23sbfeijtvnanmTl+t0vZw3oOWLGl7ol4Fl7Fc+iGLF8OCBXUvR73yHrpgQbTp74/L2M1yDJszp7UJTw/nXZe0VaYfPnt21F5UygyGDIHBg2NY+gwdGhfhgwdXXsaWlriT39gYx57S342NcUwePjw+I0ZExwETJsQFbjXPxixZAs88A9Onw8yZsazPPQcLFvC+uXNhzJjo1nmjjWDrrWGnnaIp2LB2Hb52vCx//ztcein84Q9xDtl553iOZ9KkWD9PPhnP+Jx6Kvz85/E55JDK5wER4Nx2W8yn1GSuyDveAd/5TtQS3X13BGWVuuEGGD++tTlcZ7bfHqZMid9rUIX3dV94IYa1DIhUQyT9iAKicCiwglgfexdMd+LlrauCScDd2RHu/qKZNadp9QuIHnsMtt+e3epWgPrrwpskVhm71rsAdfZf9S5AHVV42bfK+kBPZzhoUGtwlP0MHRo1HM3NEfw0N3etCdmIEREYjR8fF8rjxkVAU+puuqUlel97440IgF54oe18JkyImpsNNqBx9GhGrrVWXGjfeSdcfXXrPHbdFfbcM4Y77BCBWd706VFTc/XVUWszejR88Ytw7LHwnve0TbvbbnDCCXD//fCVr8DHPx7pLrigsov2iy6Kz9e/Ht/rzEknRfpvfQseeqiyYKWlBW65JWqhKg1st98+5jNzJrz73ZV954UXYL31Kg86V189fl/VEMkqSgER4O7vrHcZetEY2teAASxI09ows+OA4wAmTJhAQ0NDzQo2dP581jvmGJYtW8Zqlb7HoZq7lFWk9a72DNTNcrQsW8aw/LL3g3J3Ky1xx6Hi372G5ahqXVeXc6d5t7S0MKx0cVLjdV2TvLuxrpcuXcrwoovdpKfXda+kpfJyt7S0MKyD5W9XDHdYvhxbvhxbsSKG6TPorbewt99uHaZa99LQhwxh+fDhrBg+nOXDhsVwxAiWl4alz/DhMGgQtmwZg5YtY3BLC0MXLmS1BQviM38+QxcuZOjMmQydNo0hixdjy6NfHh8yhLdGj2bZWmuxdNNNaZ48meaNNqJ5ww1Zuv76LB8xYuWyNDY2skbmgnnIm28y+oknGDNtGmOmTWP1O+4AYMXQoTRvtBEt48axYtgwBjc1sfoLLzBs7lwAFr7nPbx62mnM2W03VgwfHhfuHZyv7PzzmXjllWx0+eU03347T51+Oo2bb142/YQ77mDSeecxb5ddeGK//TrMu833PvMZtjjvPJ445xzm7tb2dl9jY2O7c+rYBx9km8WLeWyTTZhf4TzWePttdgSevOYa5nRUa5Wx7b/+xaAxY3i0inP6zmuswbwnn2RGhd8Z9+CDbA1MfeopGpe377OpaPlF6sbd9RlAH+At4OSC8S8D3+/ouzvssIP3hnvuuadX5tMXadkHroG8/AN52d0H9vJ3uuyvv+5+ww3u3/iG+wEHuO+wg/ukSe7ve5/7EUe4X3ih+8svd70Ad93lvv767kOGuJ91lvuSJW2nL1/u/pOfuJu577GHe2Njdfm//XaUd8st4++MwmX/whfcR41yX7q08nksXRrlP+20yr+z6abuhx1WeXp39622cj/44MrTX3ONO7g//XTh5N7Y7oGp3geuvfTp+x/VEAFm9tkOJq8AFgH/dvcXeqlItbQAGF0wfkyaJiIi0jeMHw8f+1h8amGPPeDxx+HEE+GMM+Dii+G446Kjgtdfj2dz/vlPOPhg+O1vi5vtdWTwYDj7bPjkJ+Haa+Hww8unbWmBP/0JPvKR6p6fGjYMttqq8o4VVqyIXuY+/vHK5wHRPFLdbssqSgFRmEJr64Zsm4jsODezm4HD3b2xF8vW06YTzwqtZGYbAiPTNBERkYFjzJgIdo49Fr73vQhgPJ3+N90UrrgCjj666y9Z/fjHI8A688wIjIYOLU53yy0wfz4ceWT189h++/h+JV57LTqd2Hjj6uax9trVdSOuThWkHxkI3UxX4r3As8BpwBbAuDT8NjAT2Av4HPBBoje2/uxWYF8zG5UZdxiwBLi3PkUSERGps913j/cVzZ0L06ZFJwXPPAOf/3zXgyGIzhTOOQeefba104giV10VHU7ss0/189h+++jE4rXXOk9b6mFu4sTq5jFmTOc9gWaphkj6EQVE4SfAL939R+7+tLvPT8MfAr8EznD3a4BzgYPrWtLuuxhoAf5kZnulThPOBH7qbbviFhERGXjGjo0AY5NNuhcIZR1wALz//VH71NLSfvrMmXDTTXDUUdFFeLW22y6G//pX52lLAVG1NURjx1YXEDU2tvZuKNLHKSAKOwNPlZn2FLBT+vsRYO1eKVGNuPsCYE9gMNHF9lnABcAZ9SyXiIjIKsssmuO9+GI8p5T3059GIPSVr3Qt/222iWElAdGsWTHsSkC0ZAksXVpZ+qYmdbkt/YYCovAycFSZaUen6RAdD1TxRGHf5O7/cfc93H2Eu6/n7t919/Z9YoqIiEjP2HNP2HdfOP30aD5X8thj8aLXo46KF7p2xVprRRO4SmuIxo6tPlgZk97MUWktUWOjmstJv6GAKHwH+KSZPWZm55rZyWn4GPHS1tNTur2B++pWShEREemfzODyy6MZ2f77M2zOnNZOFMaMge9/v3v5b7dd5QFRtbVDEEEURJkroRoi6UfUyxzg7teb2fPAqcBngHWB14CHgaPd/ZGU7oT6lVJERET6tQ02gL/+FT78Yd53xBERHLW0L2bmUQAAGmJJREFUwF/+Er24dcd228GNN0Yg0lHNzAsvwGabVZ9/qYaomoBINUTSTyggStx9KvCJepdDREREVmG77AJTp/Lqt7/NBuPHwxe/2NopQndst110F/7EE9GBQ5EVK+D557vWk12phqiaJnOqIZJ+QgGRiIiISG/abDOePekkNpg8uefyzPY0Vy4gmj07OkbYfPPq8+9KDdF661U/H5E6GLABkZldB3zb3Wemvzvi7n5Yb5RLREREpGobbRSdK3T0HFHpxapdaTLXlRoiNZmTfmLABkTAOkCpc/zxgNexLCIiIiJdZwbbbttxQPT00zHsSkC05poxD3WqIKugARsQufvumb8n17EoIiIiIt233XZw2WWwfDkMHtx++owZMHJk17r3HjQoms2phkhWQep2uwNmtla9yyAiIiJSke22g+ZmmDmzePqMGfDud0dw0xVjx1ZWQ+SuGiLpVxQQAWZ2vJl9K/P/dmb2MjDPzB4xsw3qWDwRERGRzmU7VigyY0bXmsuVVFpDtGwZvP22aoik31BAFE4CFmX+/zkwGzicWEfn1aNQIiIiIhXbcst4t9FDD7Wf1tQEzz0HW2zR9fwrrSFqaoqhaoiknxiwzxDlbAQ8DWBm6wD/Bezp7g1mtgy4qJ6FExEREenUaqvBjjvCAw+0n/bYY/Eeove+t+v5jxlTvjleVikgUg2R9BOqIQotwGrp792BZuC+9P98QM8SiYiISN+XXvxKS0vb8Y8+GsPuBERjx1bWZK6xMYYKiKSfUEAUHgJONLOtgK8At7n78jRtE6L5nIiIiEjftssu8QzPtGltx0+bBmuvDRt047Ho0jNEK1Z0nE5N5qSfUUAUvg5sBTwObAh8JzPtMOD+ehRKREREpCo77xzD++5rO37atKgdMut63mPHRjC0eHHH6VRDJP2MAiLA3f/j7psSL2ud6O4zMpO/kT4iIiIifdu668J73gO33NI6rqkJHn8cdtihe3mPGRPDzjpWUA2R9DMKiDLcfZ67e27c4+4+p15lEhEREanKgQfC//1f6/M+990X3WDvvnvH3+vM2LEx7Ow5ItUQST+jgEhERERkVXLggbB8eWst0c03w/DhsOuu3cu3FBCphkhWMQqIRERERFYl73sfbLQRXHYZLFkC110HBxwAI0d2L99Sk7nOaojU7bb0MwqIRERERFYlgwbB174G994Lu+0Gc+bAiSd2P99Ka4hKTeZUQyT9hAIiERERkVXNiSfCXnvBww/Dl74Ekyd3P89qOlUYNAiGDev+PEV6wZB6F0BEREREetjQofC3v8G8eTBuXM/kOWJEPIvUWZO5xYth1KjudfEt0otUQyQiIiKyKjLruWCoZMyYzmuIFi2CNdfs2fmK1JACIhERERGpzNixndcQKSCSfkYBkYiIiIhURjVEsgpSQCQiIiIilVENkayCFBCJiIiISGXGjlUNkaxyFBCJiIiISGXGjKmslzkFRNKPKCASERERkcqMHRsvXl22rHyaRYui222RfkIBkYiIiIhUpvRy1nK1RCtWqIZI+h0FRCIiIiJSmbFjY1guIGpsjKECIulHFBCJiIiISGVKNUTlOlZYtCiGCoikH1FAJCIiIiKV6ayGSAGR9EMKiERERESkMqohklWQAiIRERERqYxqiGQVpIBIRERERCqz1loxLFdDtHhxDBUQST+igEhEREREKjN4MIwerRoiWaUoIBIRERGRyo0dq2eIZJWigEhEREREKjdmTOcB0ahRvVcekW5SQCQiIiIilRs7tnyTuTffhBEjYMiQ3i2TSDcoIBIRERGRyo0dC/PmFU9bsKC1JzqRfkIBkYiIiIhUbtw4mDu3eNr8+QqIpN9RQCQiIiIilRs/PgKft95qP00BkfRDCojqyMwazMwLPsNz6dY3sxvMbLGZzTWzi8xsZEF+x5rZM2a21MweMbM9C9JUlJeIiIhIoXXWiWFRs7l582DttXu3PCLdpCfe6u8e4PTcuJbSH2Y2FLgdWAZ8ClgL+GkaHpFJ92ngYuBM4P+Ao4GbzWwnd3+imrxEREREyho/PoZz5sC667adphoi6YcUENXffHd/sIPphwJbAO9y9+cBzOwt4FozO8vdn0npzgSucvdzUpp7ge2B02gNdirNS0RERKRYqYbojTfajndXQCT9kprM9X37AQ+XApjkz0Qtz4cBzGwTYDPgulICd18BXJ++X3FeIiIiIh0qBURz5rQdv2QJtLQoIJJ+RwFR/e1jZs3pc7uZbZObPgmYnh3h7suAmWkamWGbdMBTwFgzW6eKvERERETKKzWZy9cQlV7WqoBI+hk1mauve4GrgGeBjYHvAPeZ2bbuPiulGQMsLPjugjSNzDCfbkFm+pwK82rDzI4DjgOYMGECDQ0NHS5QT2hsbOyV+fRFWvaGehejbgby8g/kZYeBvfxa9oZ6F6NrVqxgt0GDeGHqVGZllmH1mTPZCXhi9mzmdrJs/Xr5ZZWjgKgHmdloYL3O0rn79DQ8IzP6PjO7k6jBOTl96s7dLwUuBdhxxx198uTJNZ9nQ0MDvTGfvkjLPrnexaibgbz8A3nZYWAvv5Z9cr2L0XXjxjFx5EgmZpfBHYCtP/Qh6GTZ+v3yyypFAVHP+gRwWQXprGiku79mZvcD782MXgCMLkg+Bvh3Jg0p3cJcmuz0SvISERER6dg665RvMqdut6Wf0TNEPcjdL3d36+zTWTbpUzKd3PM9ZrYasAmtzwOVhvnngCYRvdjNyaTrLC8RERGRjo0f375TBT1DJP2UAqI+xMzWBXYFHsmMvhXYycw2zoz7KDAMuA3A3Z8DZhA1VKW8BqX/b60mLxEREZFO/f/27j36rvHO4/j7I5pIKAnSIReiwtIoBnGbGvdVYbmOuGR1RtMOelldpjNTlKHiMkWNS1WDqksvg0Wk2iIoGkJduwxtXCpKJCSpEJdIJMJ3/nj2SXaO8zu33zm/c36/83mttdf+nec8zz7Pc/Y+5/y+ez/Ps4cOhQULVk9zQGS9lAOiFpG0raQ7JE2UtLekLwPTgY+BS3NZp5Cu3kyVdGB2A9bLgRuK7hs0CfiKpNMl7Q1cC2wBnF/HtszMzMy6Nnw4vPbaynFDQAqI+veHgQNbVy+zOngMUeu8SRpLdB6wAfAeKSA6LCJeLWSKiA8ljSMFLjcDy4CbgJPyG4uIGyWtA5wCnAHMBA6KiD/Xui0zMzOzskaMgCVL4O23YUg2ZHnBgtSVTpVGB5i1FwdELRIRrwEHVpl3LnBYFfmupsKkDtVuy8zMzKxLI0em9Zw5qwKi+fNh44qT7Zq1HXeZMzMzM7Pa5AOignnzYKONWlMfs25wQGRmZmZmtRkxIq3nzl2V5itE1ks5IDIzMzOz2my8MfTrt+oK0YoVaRpuXyGyXsgBkZmZmZnVpl+/FBQVAqL589OMc8OGtbZeZnVwQGRmZmZmtRs5clWXuVdeSetRo1pVG7O6OSAyMzMzs9qNHAmvZncKcUBkvZgDIjMzMzOr3ejR8PLLsHz5qoBok01aWiWzejggMjMzM7PajRkDH30EL74Is2alMUUDB7a6VmY1c0BkZmZmZrX73OfS+tln4ZlnYJttWlsfszo5IDIzMzOz2m29NQwYAA89BDNnwnbbtbpGZnVZs9UVMDMzM7NeaMAA2HVXuOyy9HjXXVtbH7M6+QqRmZmZmdVn/PhVf48b17p6mHWDrxCZmZmZWX1OOAFmz4b994dBg1pdG7O6OCAyMzMzs/r07w8XXtjqWph1i7vMmZmZmZlZx3JAZGZmZmZmHcsBkZmZmZmZdSwHRGZmZmZm1rEcEJmZmZmZWcdyQGRmZmZmZh3LAZGZmZmZmXUsB0RmZmZmZtaxFBGtroP1EpLeAGb3wEttCCzsgddpR2575+rk9ndy26Gz2++2d66eaP+mETG0ya9hfYADIms7kp6MiLGtrkcruO2d2Xbo7PZ3ctuhs9vvtndm28Htt/biLnNmZmZmZtaxHBCZmZmZmVnHckBk7egnra5AC7ntnauT29/JbYfObr/b3rk6vf3WRjyGyMzMzMzMOpavEJmZmZmZWcdyQGRmZmZmZh3LAZH1GElHS5oqaZ6kkDSxi3zDJf1K0nuSFkq6XNKgKrY/QNJFkv4m6X1Jd0ga1eBmNISkUdl7UGp5oULZSV2UG9dT9W8ESdO7aMdaVZT9gqTHJH0g6WVJJ/ZEnRtB0rqSzpL0uKR3JM3Pjvctqyg7sYv37Os9UfdaSRoj6T5JSyS9LulsSf2qKLeepOskLcreo/+VtEFP1LlRJB0p6TeSXpO0WNIfJU2oolyp/ftoT9S5Ueo9TvvCfoey320habcuynT1m3BTT9e/FpJGS7pK0jOSPpI0vUQeSTpN0hxJSyU9KOnvq9z+oZL+lH3XPyvp6IY3wgxYs9UVsI4yHhgF3A4cVyqDpE8BdwPLgWOAwcDF2fqfK2z/suw1/h14A5gE/E7SNhHxQfer31DzgOIfxoHAPcC0Ksq/AxQHQM81oF497ffAaUVpy8oVkDSadIzcDpwK7AxcLGlJRPy0KbVsrE2A44FrgP8CBpHa8ZikbSNiThXb2AdYmnv814bXspskDQHuBZ4FDgU2By4inYg7vULxm4EtSd8THwMXALcB/9is+jbBfwAvk76PFgIHAjdI2jAiflSh7EXAlNzj95pTxaar9TjtC/sd4JvAukVpZwPbA09UKPsd4OHc43a/cevWpGP7UeBTXeT5LnAGcBLwPOmzca+kz0fE/K42LGl34FZgMnBi9jo3SloUEfc0rglmQER48dIjC7BGtl4HCGBiiTwTgI+AzXJpR5F+HLcos+0RwArg2FzacFJgdVyr217l+3Nk9r7sUiHfJGBhq+vbgPZOB6bUUe4q4C/Amrm0ycAcsoli2nkB1gYGFqWtDywGzqxQdmJ2jKzT6nZU0c5TgUXAurm0k4El+bQS5XbL2rhHLm3nLG2/VrerhvZvWCLtBuDlCuUC+Far69/Nttd8nPaV/d5F2/oDbwFXlMkzKmvrQa2ub41tWyP39xRgetHza5FO4H0vl7Y26aTluRW2fTdwf1HancBDrW63l763uMuc9ZiI+LiKbAcAT0TEy7m020iBTbkuYV/M1lNzr/ca8FC2zd5gAvDXiHis1RVpcwcAUyNiRS7tJlJQ/PnWVKl6EfF+RCwtSnsLmA0Ma02tmuIA4O6IeDeXdhPpSuieFcotiIgHCwkR8Tjpaktv+SwTEaXO7D9F39rHjdQn9nsXxgFDgBtbXZFGq+J3/R9IV8tuzpV5H/gtZfarpAHA3vlymZuA3SStV1eFzbrggMjazVakS+orRcRy4KXsuXLl5kbE4qL05yqUawuS1iX9OFTbX3yw0viqDyU9Jemfmli9ZvpiNr5kiaS7JW1bLrOktYGRFB0jrOou2Pb7uhRJQ4HRpCtf1XhJ0gpJL0j6WhOr1h2lPsuvkq4QVfosF+9f6CWf5Qp2o7p9PCnbvwslXStp/WZXrElqOU778n4/BpgLzKgi73XZWJx5ki6WNLDJdWu2rUi9Pl4sSq+0XzcndcEr9V2/BqlrpVnDeAyRtZshwNsl0hdlzzW6XLs4jNS1oJqAaBap69FTwKeBrwG3SjoiIqaWLdleHgB+RmrPpqTxNDMkbRcRr3RRZnC2Lt7Xi7J1b9jXpVxE6jJ3fYV880h98R8H+pH+0bpS0qCIuKSpNaxdMz7Ln21AvVpC0r6kz/lXK2T9Gens+RvAWNL+3k7SzhHxUXNr2TD1HKd9db8PAg4BroqIcjd+XAb8mDSO9F1gL+AUUmBwaJOr2UxDgMUljt1FwCBJ/bOTnqXKQd/7rrc25YDI6pZdst64Ur6IKHXWr8/p5vsxAZgZEX+qovwvi173t8AfgO+R6zLY02ptf0ScmUueIele0tnAb2dLr9GdfS/pG6QJQ46IiDcrlL+b1K++YJrSrHynS/phld1SrYcpzXZ5A/DriLi+XN6ImJh7+KCk50jjJg4mdR9uez5OV3MwacxM2e5yETEP+FYuabqkBcDk7CTR002so1nHc0Bk3XEkcHUV+VTDNhcBpfoGDwHK/SCUK7eoRHoz1PV+ZNPK7keaLKFmERGSpgIXSOrXwrPI3ToeImK+pIeBHcqULZwtLN7XhbOFPbWvi9W77w8BfgScEhG/qvO1p5AmHhlFe802V+9nchEwtI5ybSnr7jaNNEbsS3Vs4i7S1cMd6CUBURcqHad9ar/nHAPMiogn6yg7hTRhzI6U//1rZ4uAdUr8Ng0BlnRxdahQDtrvu976KI8hsrpFxE8jQpWWGjf7PEX9iiX1J3WZKHel6XlgZDbGJK+rfukN1433Yzzp5ER37jcR2dIyDToeyrYjG4w7h0/2PS88bsnVyHraLukLpH1+ZURc2J2XL1q3i1Kf5ZGkacYrfZZLjS3osc9yo2TdpW4nzTJ2UEQsqXUbuW5W7bZ/a1WpHX1mvxdkV44PoP7JFPrCvn+e1G1ydFF6pf36EvAhpb/rP6b68ZZmVXFAZO1mGrCTpE1zaYcAA0hnSrtSuCfB4YUEScNI96+o5r4+rTQBeDwiXqqnsCQBRwBP96IxBp8gaSNgd+CPFbJOAw7X6jf4PJoUKP25SdVrKElbk8aJ3EW6v0Z3jCfdq2R2d+vVYNOA/SV9Opd2NOm+NA9UKLdRdg8SACSNJZ0UaffP8kqS1gRuAbYAxkXE3+rczjjSrQoqfS7aXaXjtE/s9yKHk3676g2Ixmfr3rzv/0AaE3VkISE7UXAwZfZrRCwj3afuyKKnjgYeiYh3Gl9V62TuMmc9RtIYYAxp8gCAsZIWA29EROEfpCmkwfVTJZ1Bulx+CXBDRLyY29Z9ABGxb7aeK+ka4NIsQCjcmHU2sNqYm3aSC9r+s4vn9wTuA/YtvEeSHiDdrO55Ut/044FdSAO2e4VsNrnzSP8wzibdrPRU0pm/S3P5PtF+4EJS16NfSLoa2Ik0scQ3KgxabguSPsOqblCXATunQxaAdyPi2SzfpqSzpF+NiJ9nabeSBqo/QzrrenS2nNiG4zKuJAV7UyVdQPrHdhJwcX4qbkmzgAci4l8BIuIRSfcAP5f0HVbdoPOhiLi3h9vQHZNJN5L8N2CDrGtswVMRsaz4e0zSCaSJFO4lBQ87kG5i+zhwRw/WvVuqOU778H7PO4Z0ouoTN80ubr+kSaRJch4mBRB7kG5kOjUinumxGtcoC24OzB4OB9aVVAjk7oyIJZLOB86QtIhVN2Zdg9RduLCdY4Frgc0johA0n0MaS3UpqbvogdlS7hYcZvWJNrgZkpfOWEj/DEWJZXpRvhGkL7/FwJukmXcGFeWZXqLcAOBiUjD0Pmkg8mbNbFMD3pNvk6YkHdbF83tl79FeubRrSH3wl2btnAEc0Oq21Nju4dn+mUe6x9SbpCBvq0rtz9J3J/3D9QHwCukfrZa3q8q2F9pU9rPAqhs1TsylfR94gTR19VLSmeN/aXWbyrR1DHB/Vtd5pH9w+hXleQW4vihtMHAdaczYu6QJCT5xo9N2XrJ2dbWfR2V5VvseA/Yl/UP8Jqm70BxS0Lxeq9tTY9srHqd9db/n2rJhtg+/W+b4uD73+BjgSdJNTJeTZt88GxjQ6rZUaGfhe6rccS7Sic652fEwA9i+aDsT82Vy6YeRrvwvIwVTx7S6zV765qKItj+hamZmZmZm1hQeQ2RmZmZmZh3LAZGZmZmZmXUsB0RmZmZmZtaxHBCZmZmZmVnHckBkZmZmZmYdywGRmZmZmZl1LAdEZma2GklHSZpYIn26pCktqFJxPYZLek/S5lXmHyvpLUnrNbtuZmbW+/g+RGZmtpos6NkwIvYqSh8DfBgRL7akYqvqcQUwOCIm1FDmPmBGRExqWsXMzKxX8hUiMzOrSkQ82wbB0LrAl4Frayx6HfB1SWs2vlZmZtabOSAyM7OVJF0PHAHsKSmyZVL23Gpd5iRNkrRQ0i6SnpS0VNJDkjaT9BlJt0laLOk5SfuUeK3jJM2UtEzSbEknV1HFo4ClwP1F2zpV0ixJH0haIOkuSRvlsvwGWB/Yv9b3xMzM+jafKTMzs7xzgE2AwcA3s7S5ZfIPAn4C/AB4H7gM+AWwDJgGTAZOBm6RNDIilgBIOgn4flZuOrAjcI6kJRFxeZnX2xd4PCI+KiRIOhY4DTgFmAlsAOwDrF3IExHvSpoJ7AfcUfFdMDOzjuGAyMzMVoqIlyS9BawREY9WUWQgcGJEPAAgaRjwY+DMiPifLG0uKVDZE5iWdXs7Ezg3Is7KtvM7SYOA0yVdkQ94iuwI/LoobWfgnoiYnEubWqLs01leMzOzldxlzszMumM5MCP3eFa2vr9E2vBsvRvp6s0tktYsLFmZvwNGlHm9jYCFRWn/Bxwo6SxJO0vq10XZhVl5MzOzlRwQmZlZd7wXER/nHi/P1m8XEiKikLZWtt4wW88EPswtv8/SR5Z5vbVI3fHyriV1mTsKeAxYIOncEoHRslwdzMzMAHeZMzOznvdWtj4IWFDi+RcqlB2cT8gCskuASySNBL4E/Ddp7NOVuayDc69tZmYGOCAyM7NPWk5zr6Q8QpopblhE1DrBwQvAZl09GRFzgPMlfQUYU/T0KOAvNb6emZn1cQ6IzMys2PPAoZIOI11leT0iXm/UxiPi7Wwq7x9K2hR4kNSFe0tg74g4vEzxh4FD8gmSriJd+XkUeAfYG9iCNOtc3ljggka0wczM+g6PITIzs2KTgXtIY3OeAE5o9AtExA+y7R5AmjXuRlJXtxnlypFmjxsjaZNc2iPAHqSbr94JHA4cHxG3FTJI2h4YSunZ58zMrIMpIlpdBzMzs6pJehr4ZURcWEOZ84CdImK/5tXMzMx6IwdEZmbWq0g6ErgQGB0RK6rIvzYwGxgfEdObXD0zM+tlPIbIzMx6mynAZ0n3NZpdRf5NgLMdDJmZWSm+QmRmZmZmZh3LkyqYmZmZmVnHckBkZmZmZmYdywGRmZmZmZl1LAdEZmZmZmbWsRwQmZmZmZlZx/p/qpR1C/UxlLEAAAAASUVORK5CYII=\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAA2IAAAEkCAYAAABNMYLKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdd5wV1f3/8deHLiCokSKigj22aARBUVzsvSRGo0ZDYqyJJUXNN1WTaCzxp4kltsQeMXYRe1l7A2NFUVHsWEBEeju/Pz7nurOzc3fvLtydvXvfz8fjPu7u3HNnPlPvfOacOWMhBERERERERKT1dMg7ABERERERkWqjRExERERERKSVKRETERERERFpZUrEREREREREWpkSMRERERERkVamRExERERERKSVtZtEzMxGm1kws5q8Y5H2xcxqzWzKUnz/lLhtDkoMq8rtNc7zlXnHUYpKijVLc7ZbM6uJ8zu6hdMaFL9/Sku+X2ScU8ysdlmNb1lqy7G1BWZ2ppm9Y2Zd8o6lnMxsUzNbYmbb5h1LS5h7ysyuyzsWkfbAzI43s2lmtmKp3yk5ETOz7mZ2gpk9ZmbTzWyhmX1iZnfFk8pOLQu7dPFk4RQzW6Hc06pU8WSosdfWecfYFsVte3TecYhIdTCzHc3sYjN7zszmlXJhJv4G1rZOhC1jZoOB44E/hRAWNON7h5rZ/8xsbjy3uNzM+pT43VXN7P/M7BEz+9jMZpvZq2Z2tpl9I6P86IzfxsLrglTZQfG8Y9P0eEIILwC3AeeYmTUS35Tkhbg25EBgCHBK+gMzG2ZmD5jZV2Y208zuyVoGxZjZlY0s4/1SZb9tZn8zs+fN7Iv4es7MjjGzzhnjPsPMnjSzT81svpm9b2Z3Zu0/iYtEWa9XMsqbmR2V2BZnxHkfXmQ+Vzazs8zsdTObY2ZTzewhM9s7Va6mkTgKrxGJ8rVNlL0/Nf7Gyg9pRix3ZsxjZzP7jZm9Fpf3NDO72czWL7JMVjezS8zsrbgMPzSzsWY2MlWuWftt/M52cbv8Mi7v8WZ2aJGyneM2NCGuxxlxGzveMi4SmdmWZnaHmX0Q455sZpeZ2ZoZy69YLnIJMB/4fVZMWUpKnsxsbWAcsC7wAPBX4HOgL7ADcAWwAXBSqRNuoRrgj8CVwIzUZ9cAY4CSD/zt2AvAOUU+m9SagVSQE4Ap+LaVthNQ9EdWmmU5YHHeQZSokmLNUunb7XpAyDuIMjoYOAh4BXgNyDzJNbONgNkhhHdSwzsAu4YQxpU70Gb6NTATuLbUL5jZz4H/BzyCJ3EDgV8AW5rZFiGE2U2MYk88mRgHnA18BWyBH9e/b2ZDQwhTM753Or7sk9K/kYPw844p+G9r2nkx7t3i9DG/ML1jCOHudGEz+xbwRQjhvSbmqTX8AbgzhPBmcmBMOmqBD2MZgJ8Bj5nZViGEl5sxjUMyhj2b+v8k/FzyNuAyoCOwB3AhsLeZ7RJCSB4LhgMvATcDXwD9gR8AD5vZoSGEazKmeStwS2pY+jwS4CLgKHz+TwK6A0cAj5jZziGE2kJBM+sOPAmsFuN+CVgJGA3cZmbHhBD+GYu/VmRZdAUuxc+pk8vlNODyjPIH4MtmbMZnnwM/zxj+dsYw4nQfSw37IPmPmRlwO7Arvn7OB/oAxwBPmdmIEMLERPkBwAQ8v7gEeBMYAByOr5+9EsesZu23ZnYgcB3wDp6HzAa+A1xlZgNDCKen5uVK/Bh7M74sO8ZpngdshS/Lwrh3iXFMBi7Al+WG+Lr/rpltHEL4MBavoUguEkKYZ2YXA78xs9NCCNNoSgih0Rd+MvI6sBD4TpEyQ4FjmhrX0r7iCgvAoHJPq1JfcfncmXcclfbCf2RryzTuBtstfqAOQE3e897CeTKgZ95x6LXM1mdN3B5Ht/D7g+L3T8l7XlppeS318QJYFega//5VseMBXmvxAXAqfjJUCwwDngPuApbPe3kkYu0FzAL+3ozvrIyfUD0LdEwM3zMuk9+UMI4Ngf4Zw38Sx/G31PCSj79N7RvxWPgOMDYxbDXgGeBe/ILCFGAz/ARvMjCqDayr7eN87Zvx2bN4Mr1qanudCdxX4viv9FPMksqOALplDL82xrhHCePoCXwCTEwNL/nYhF8MCcDdgCWGrwBMBd4AOiSGHxjLH58azwpxP3ihhGkWxnF2icvqdWAesFJqeC0wpcRxNLpNp8ruE8tekhq+JjAHeCA1/P9i+b1Tw9eOw29LDCt5vwU6A5/F9bBCYrjF9bUAWDMxfEAcx62pcRuefC4BVkwMvzeOY+UisZyQGHYKjeQicdkE4JelrI9Smib+BD+QnBNCSF9NACCE8FwI4aLkMDPbx8yeiFWNs+Lfe6e/a7GtvZmtb2bjzKvBvzSzm8ysf6LclXgGCvBOohr1lPh5g3tuEsO2M7NfxWrG+Wb2hpn9MBVH0XscLOMenzh8EzO7NVbTzjOziWZ2kpl1TJXLvFcja5pm1sG8mdxLVtckYJKZ/csyquhbysx2N2/b/q/U8J5xep8Ulr8l7h8xs2Pj8psX348tMv6RZnZ/XJdzY3XwYRnlauM2MMDMrjdvkjDHzO41s3Uzync1ryJ/NcYww7zKe7NUuWTMP4rl55vZu2Z2UqpsANYAtrX6VfSDkjGmvrOFedOLN2K8X8VtfN8SFn/W8to3TvPwIp+/al7Nn1nDYWYdzewjM3u+yOdHxvHvE/9f3sz+YmbPmNnncdm8Zd7ko3vqu8ll+VMzm4j/EPzKzG6P898rY5pD4/f+kBjW4L6rwjDzZgGPmB8zppk3TeqZMd5tze9rmGveDOTvZrZhel8qxsxWMrNzzY8H8+K0JpjZiVlxtTTWrO0mDl+q/d5KP7YWm/7e5s1u5pk36fkz/iOXLlfyNtIcZtbN/Jg6KW47M8zsZTM7O1VuimU0wzOzo+N355vZm2b2M8s+/heO2+uZ2enmzU3mm9mLZrZbxniPMbP7zJvRLDBvKnOtldikzMx6m/+OrVxK+RDChyGE+SWUux5vcWLAv/ELn2cDvwgh7BZC+CoRwypm9k8zey/Ow0dmdqmZ9U2UKeexfzegB54glmofvNbh/BDC1zXQIYSx+JX8HzQ1ghDCqyG7xuuG+L5Rse/G7TzzXjbz5uoPx3+vsLrfhtrEtAN+ErdL4RgQQng/hDAM+CfwH2AV/Kr8W8AGIYSHE9MwMzs87mez4utlM/tTKpaVzezCuM8uiO8XWqoJV6n7F/A9vMb/vtT318a3sRtDXQ0A8e8bgR0scW7WlDh/vcxrcDOFEJ4IIczL+KjJ9ZcYxyxgGlD03py4bBo7do2K71fF9VoY9wy8VmgdPGksKPzufZQaz5f4xYWmanLBz7Ehu/arHjPbBj8fvzWEML1ImQ5xeZfUGsLMephZt0aKFJbJFcmBIYS38YRmezNbPfFRsWUyFU9+vl4mzdxvN8Iv2twW10dhHAG4Gv8NOzhRfvmsOGL5j2MsyW2uV/z/i1Qshe/PhqZzkTiNt/Ga9e9lzFsDpTRNLLTlvbSUEcZAj8GrlF8HCgeT0XhV7ZEhhPS4VsWz+VuBE4FvAUfiC2anWOaS+P++eNXr53H4SyWEdDpes1dou3k0cKWZvRVCeKLU+Uoyb3P7CF5TeCG+ke0JnBnjP7j4txv1W3yZjQUuxg+Ug4G98CrshSWMo3ORk4EQYjVpCGGcmZ0H/NzM7g8hjIllLsIPNrtl7CDH4k0ALsGrkA8E/mFmK4UQTi0UMrM98XU5FW8i+RXwfeByM1szhPDb1Hh7AI8CTwO/ifN7PHC7mW1U+HE2PyG9B69Svga/utgbr/J+wsxGhhDGp8Z9FNAP+BdehfwD4Ewz+yCE8J9Y5hDgXHybOi3x3c8ylmHBvsD6wH+Bd4FvAD8EbjGzgxPjLtVYfHn9GG/i8DXzZiIbAL9N/jgkhRAWm9m1wIlmtmEI4dVUkUPx+Ss0CVgV/wG4GT9RWARsizfF2AzYOWMyJ8T5vCzG+j4wHt82D8S3i6TD8IPdvxub8WhT4E78YP8f/Ipd4ftHFAqZ3+N4H36wPANfp/tT/8exKTcCI/H96yX82PDNOM30yUqLY22mkvb7Fhxb6zG/UHAzfoX+T/h6/xGwe0bxlmwjpbgQ386vxpujdcKPOds19UUzOxlf78/jV167478Zje2rV+HL729AF3w7vs3M1g0hTEmU+xV+DPoHMB3/4f8JsJ15s5Smmpjsi28Tp5Jxz81SCvj2FVLDvhZPhp7C5/FfeM3L2vjv3SgzGxJC+LKcx358+wCvrSvV0Pj+VMZnTwMHmlnPeKLdXAPj+ydFPr8DP2ELZvYyXiuRbFL5KH7+8BvqN+NKj+8p/Jxla/w3qiDdtDnQsLntNfj5wjP4788M/LdlP2KzQDPrjTeBWxs/nj6P74NH49vnFomEvNT9a1vg1dCw2WdT6+PHwObU/ZY05Ut8GS8ws0eB34UQninxu42uv3ie0wFPdA/Hj+PFfm9+iS9PM7MP8H31tNTFkK7xfU7G9wvDhlO3HTyEHxf/amaz8d+TFfFz1BWofz6RFf9gPNF5PIRQym0jhYvZxZK2VfGauOWAOWZ2L16j/HqR8n8nJlhm9ia+7fwjdZ5RyjIZBhSa2t6HN0++yPziZqFp4h9ibMVum0nKWu+lrpuCyfH1YzP7H35bVUdgb7w5419DCHMT5e+N378qXrT4HP8NOAdvVlo4TpaaizwF/KCkY1cJ1ZLTgC9LqV6L5VfEF/ZbQK/E8F5xoXxF/WrFKfiBaf/UeC6Mw9crpTqQjKYGiWH/A7okhq+KJ2TXJ4YNokj1ddZ0gSfwHXCTxDDDT8wDsH1ieC0ZVcZZ08QPsBPTZZux/EMjr1mpsl3wE+kv8arUQ8huxlETh38FDEx9/1n8JGdgHNYRT0xmAANSZZ/Af5jWSS2bAJyUmuaJcfjOiWE/Tw9LbFvvkWgqlIj5I6B3Ynh3/KTtqdQ4plCkqVHW+gN6ZJTrjl8FSTeNyNp+Cttmcns9PQ7bIPX9y+K2NiArvkS5DeP3z0oNXysO/0dqfXTOGMefY9ktMpbldKBvqnzHuOyfzVgWXwJ3ZWyfV2YMWwIMSw0fF7etnolhz+JXrZJNEDrHbStz/02Ns3csd1GJ+9LSxNpgu4nDB6VjpYT9nuYfW+tNP7GuPifR/CIuk3dJNVVp5jbSYJ4amY/p6e2iSLkp1N+nVwLm4j943RLD+8dtLb0/nRKH3Un9ZkZD4/C/pqaXtU8Xmm+lj0/1YovDRpe6DDKm01jTxP3xix5/omHTxHHEpon4FftPSRyj4/Ah+PEjub0t82N/HP4IML2Z8z42TmO5jM/Oip+t29xlGr9f+D3eLmOZXoef2O4JHIcfuwPwxyLLYHQj09maRFMk/ETyCfykdH3qmiZeSKJpYowj4MlYh9Q4k03gTovljkmV+Wkc/ufm7F/4sWAxcEvGZ7+M49w147Pd4mdHlLDsz8ATwYPxWs8/4hfQFgA7lPD9nniN6AxSzfASnyfPb+bgJ8k9UuVWBx7ELybsFdf5vfE795PdHPa81DgMP0bX+x2Nn30H3z+TsUwFRpQwj4Vj6Q9LKNsLr5V5m8TxLPH5FXE7OQBP4s/Gj5dfAhunyo7AjxdHxnk+Et+fA3BFquyxpJrmxeHd8fOrgNfOJz87Bv+dSS6TN4BvtnS/xX//FsX1YKny58XyL6WGb4AfJ5NxLACOzphmV/xi1LxU+XEkfm9j2VNopGliLPO7WGbzJue3hAWyEPiglIUXyxcOLMdlfHZ8/Gy/xLApwIcZZb9Lqm1wYzNP44nY4RnlXwbGJ/4fRImJGN5JSSD7IPat+NkFiWG1lH5C9jC+42xd6jJPjTPgV612yHjVZJRfC2/3/SL+Y/scqZMv6n6ILs74fqF989Hx/y3i//8vo+ze8bNfpZbNYlLtw/ErbgH4WWLYBPzKxMoZr3/hO+lyqZhPy4hjLPB5atgUmpGIpT7vjtcUrYw3RQnUP1FusN0W2V4H4yf55ySG9YjrZ2yx6adiGY/fYJ38ET+VRg4I+BXTFWP828ayx2as//OKfL8wfxsnhh0ah303Y/u8MmPYExnjLZwQbBT/7xf/vyGjbOG402D/TZXrgh9oJ9DEvaZLE2tj2w0t3O9p/rG13vSp2zcb3ItAXbv+0S3cRhrMUyPz8Q6e+G3URLkp1E/EDiBxrEmVvYjiidiOGeW/Am4qMt0OeHJaOLbMAG5uLLalfdF4IrYRMDj+XVOYboxzj/h3b/w4ejnZx8fXgSdT412mx/44bCLwVjPn/cE4ng4Zn/0pfrZpC5ZpYZ+8pMTyXfHzgoXUP1YXlkHmvhHLfDOWOTOxv+ya+HwKdecP3wJWj3/fHr/Xr4nYJuJJdqfU8E5x+CvN2b+oO4e5POOz35ORvMbPtiPjpLwZ62QdPJl4s4lyHfGOIQJwYCNldgB2wROJR/Hkuk+JsVwax39wanm+ip+sn4TXQG6C3++2IGuZxWUyDk8098aTkMl4IvKtJubxffy4372EeI+M0/9dM5b3Nvhx4f4SynbAa3MDiSQSP+5/gh8rDsfPU4bizY8XZMWEN8m7Bz+u7RXfP8GTyNWaiKPofptYZ1fF/WjtuJ5mx+FvpcoPxltzXIQnp4fix5vFwKEZ+9Jv8Qt3h+E1Xn/DK23uInFspLRE7GiKXNBIv0q5R2wmdW0tSzE4vqebRiWHrZkantWjS6EZSGYXls1UbPwtHXdj8/gafjKdnsdS/QY/UXzM/F6F68zsoGJt2Iv4PITwQMarNl0whDAZ751qE/zAcGAIoVjzx3TvUuA/EFA3vy1Z/x+Fhu3Ds9b/N/Gri59lvH4c4083yVzW6x4AM+sb7734BD8IfB7jOCoWafYjFoL3ivYAcIjV3Re0P77/Ndl+PLoKbwawQ4zT8OaYr4YQJqTm4Rgzewk/0EyP8dfGj7Pa2b9RZJr/wg9syXsAD8NPEO4oMe5SjgGFbSurCUdJvYEG7077BPzk9h3ze+/ON7PtS4yz1Fibq5T9viX7VlLhs6xmKhMzhrVkGynFCfG7L5vfp3e5+X1rTf0etXT9l3QMML+XuBbfn2dQd2zpTcvndamFEF4JqR4T4/AlIYRCV9Pr4SdSh5F9fFwPv5CR/P6yPvaDn3g0uDfF/P6z/qlXYdsuNCvqmv4e0C1VpiRm9hO8RmAc3ttfk4I3UfsbfkK2UxPFG0yyMJo4rkUho8fE+NmLoa7HxHWAj0MIxZpOFgwGJoUQFqXGtQg/LifXQSn7V0jFnbTM10ci3jfx2o61LeMecPi6N9B/40nNb4PfI5k1rsXxvOaeEMIleBO/1YGHrLT76QvNBr9ulh2X5654beaZeLO6F/HazF/HYjMTse6M16qdH0I4NYRwe/D+Ekbg29GFjUx/Z7zm9PoQQinL8zD8d/aKEsoW5ucxPEEdZWbLNVF2Cd4TIdRfJl/g5xOT8UTobbz2rDu+jKD+MjkcT35+FUL4WwjhjhDC3+I4VktMo4ES9tvjYgwH4T2YvokneYX77JJx9McvLr0XQjgmhHBTCOFqYMcY/wVW/1lfV+LL+HshhH+FEG4NIfwKv8i5K37rSXPUOyY0ppRE7BWgl6X60V/GGusiell0v1xs/MlxN7awlvYZacXG3WC8IYSn8CuV++H3WW2KX+V5wcxWWso4itkzvi+H/2C3tlLXv+FXLHds5JW+V2SZdz8ek5v78B3zKvxK/S5x+oV7w1r6sPRL8a5h94r/H4Y3cyi1Pf71+BXdwnM1tsZ/pK9OFjKzX+A/Eh/jV9p2x+MfHYtkxZ/5YxFCeB+/+vUDM+tiZuvg92Bd3ciJXVq5jwFfCyFcjNfgHI43c9gPeMDMxjT2vYRSY23r+32jWriNNCmEcDu+/A/B77HYHr/6XdvMC06lavL4b2ZD8X26P37CtTd+Mr4jnrS1dH9epkIItSGEmoyPCvNyLcWPjVnP2lnWx/7P8Cakab/Ct6Pka6v4WeFm+FUzvrcqvh+lb/wvysx+jB9H78Nr5Es9BoHXXEHDC3pNKcxz5r2KIYRBof79iGVT4v41Db9gnLWumlof4K0uWmpKfG+wjGMSdjm+rZ4aGnZHXlTwe8mvwy+yjWyiOHht1OJ0HCGE90IIo4gdeOE1i9+irmOH5IWsk/FHS9yTGsdU/D6y4Y0c05q63+trZrYxXgt1T0h0oFKiKfiFllIuJk2J7+ll8nIIYTP8wsG2+O0lNdQl68ll8n/A6yGEV9LjiOW2zZpwKfttCGFeCOFIvEZ3a7yVx0A8WU7HcQR+se3G1DiWADfhF7i/Hae9Ot6Edlyof98Yie9nxt2IRo8JSaUkGDfjG/VP8Ku2TSlcfdwQrwJM2iBVprmazCyXQqEHmqwDUzoJLVyd3DCj7Pr4j3ZyHqfjTe2aGi8AwW/suzm+kjfoH0ZpnQmUzLznq73w9tzfwTsx2SSE8HFG8W9mDEuv0+T6b6psc72JJykPxZ1pWWrOtrUJXi3+pxDCH5MfxCs6S6Nwn8dh5g+bHIE3d1nU+NdcCOFzM7sL2Ne8B69D8R/c9DN9DsEPursml6X5szRa4lL8RH0f/OoheE3ZsjQlvmedMDbrJDJu35fjHch0xO/RONDMzgkhNKejgcYs6/1+aY+thc+yHsK5QcawZb2NfC14j1/XAtfGCxtn4E1M9ib1w5kwJb6vh59gJi1tEnEQfrKya7L2ycx6kGNtWDO8hR/DuoQQHijlC2U49oNfuN3WzFYOIXyeGH418Hjq+4WTp+fwk6Yt43wkDcdrgkrqqCOezF2OtyzYJ5TQK2XKOvE9WUNVym/D2vG9wQOCm/AG/pysfk3Uir0NrGdmnZK/BebPK1uX1H7f1P4VQlhiZq9RN79JhePfljRMEobjy2MCLZe1jJNJ2I+Av4QQTmnBuAu1PqVcvFoT3+czl3ustUw+6203/Lf03sSwVYEOZmYhtkdL6BTH3+AijnkvpnsCL4aGHYxlKblnxQzr4LdtZPaymFEWii+Tt6i/j+6K10IlO71bFa89y9KJjLyjufttrKX7eppW1wtusrfWwkWDer2YJ+JIvjenLJR+TFhECa11SrnKd3kc0a8so4tkADPbPJ40gFfTzgaONbPlE2WWx2/6mxXLtEThYLzMrxAH73FoKt4DUfJK6Zr4yWWy7Kd4D0Z7mj9ss1DW8KsB4Fe1C94AljezLRJlO5Dx4D3L7u2w0CX5Mp1v8wdLno3fn/JbvGfDXsA1RZoJHWxmAxPf74LPw2K8XW0h1veAH1n9xw90pq4DjttbGPLV+BXrXxSZn35Zw0s0i9KXb+EKe72amrgttKj7+oJ4FehKvNlCIclrbkJzFd5s4Ad4W+37QwjpK8qLSTUjij/qv6ZlxuFXUo/EawqfCMV7amqReJVxPH7i8nUyE7et40sZh5l1t1T3xfFKaqHHo2W5jy3r/X5pj60T8OdR/Sg5PfNHDxyVUX5ZbyOYP2ahXrPdeALzv/hvY8v/fmKvt5bobjkeZ1raS21B5j6NX3wsqTbMmtl9/bIUvEfHu4DvmPeymo7NzKxP4v9yHPuhrtlqvRhCCG9nNJUvdBN9O96pwM8s8egX895318RrOZLzsnpczunHOozGOzZ6CH+GUVZ36IWyDZoQm/dMeDJ+30vyZLuU847h+ElXc3thLszbWenlnjwPwWu0+lB3Ql5weBx+a/xOc/avWuCblnr0SDzZHg98z/zhvIV4BuC/Jw+F+g/ZXTmuj96JYZldops/ZuZ7wGuxaWxyXi/Dk7DTQwi/T383UXbFrFqmeNGk0Hvts4nhWeu6A/CX+G/Wg5HT5ffCLzReE0J4N/HRRPw+7u+lyg/GKzBeLrIdHop3MtXkb7uZdcV/yz+h/r6WLNPbUo9NisN3xy/m3p+Mo8gy6Updb6+lLJNj8drHc0P9njcn4hcNhqfKb4lfNHguNXw0Je63ReIYjO+3b1D/Il6h6fToVPnO+IW3RdTtF5PwY9k+6f0n8f1k3KUeEyaUchGpyRqxEMIcM9sDP9G6zczuw38Qp+EHgFH4SeNZsfwM8+c0XQg8Y3XP4RmNZ4hHhhC+bGq6RTwd3880s+vwquJX0lWgS+ECfOe828xuw++1OQq/yjU0VfZ4vIeox8ys0H39Hviy+E8IIXnF+lL8BsRbzezv+IF+P7KX/2tm9jTele1HeLesR8TvlNp0alUzK/bsladCCJPjQWsMfjXjB/GK9//Mu4c+F9+w021538DX6cX4zd0H4cvlz7F5GsG7Uf8Z/sPwnJldGssegG+Yp8d24i3xd7yJzdlmth2+487E24Vvj28Po4p/vVFP47VQf6buPr+xoWHXvsTPXwVOiif1k/ADzJF408msWpDmuAxPWg8EHmnB8hqH759n4idXV2WUuQlfv3eb2S2x3EGU9niEBuJ6/zfeUxCUVnveEr/Cjz9PmtlF+I3O++OdcEDTV6rWBR4xs1vx/foL/Gr/0XhN92ONfLe5lul+v7TH1riOfo7fo/GsmRV64/wxvr2snvrKMt1GouWBj83sDvxH8FP8/pej8XVR9AQghDDNzE7Fexd9wvxxDd3x5fQG3jtgS1tN3IonFnfFY9YC/FizCXXdEzelWd3Xm9km1DVBLjx+4RDzRzSA33fSnN/Ko/Fap0fN7Gp8+XbAk5m98QtZp5Tr2B/dEz/fjSInjWkhhM/M7Pf4/VkPmNn1+BXqX+JNjc5LfeVqvJnQYGItaTxR/lecpxuA79bPY5gVQrgt8f/LZvYIfrz+FG/K92N8v/tlCOGDRNmJcZ6OMbM5+P2Dn4YQHorTNrxp+j2l1twl5v1GM7sBPzFfJ+4XX+DHqZ2pe47SWfjJ/oVm9m183W6GJx6T4ufQvP3rRrzXxV3wY0LS8XiS/piZnR+HHYtvT79Mlf0ZftHwR/hFRPCalcJ51Jv4BaRv4ct4MQ0f83F2/OxF/FiYPn+ZHJtvg6/7S8ys8Fy2r+I8HoI3Uzs1lSxdFpPNJ/HmiCvjncFtjl8EuCk5IfPn6xl+D9JcvAncwfiJePqC3+n48rvW/BmGL8QYjsbvpyv2O3gYfr6SbqmSZR/8hP+sRlrGjAL+n5kVnr23CG+29wP8+HVCqmoHG9QAACAASURBVPw9ZvYRfnHuI/xc9wf4ejs/hPBssrB5K5u38X0h4M2298HPNdJd9J8C3ALcH48Xb8bxHo0fV5OPOmrWfmtmR+Ln2Y/F+VofvxixCL+3K1mTdgW+vo6OF5Hupe4C9SZ4p1Wfgtcgmz/S45f4sfAyvAZxBL7uJ1O/NrLRXMTM1sJbafyKUoTSe1/pjv9QPY7v0AvxDH0cvgN0TJXfF9/wCw+1exKvckyPdwoZvU9RpKcivHr97Tj9QOyli8Z7TazJGH8tDbsk74Qf0D6OC/Z5vPr4FDJ6SMEPLLfFFTYfP0E/Kb0sYtnd8J10Pr7hnxlX1NfzEMv9Gr+58tNY9n38gPntEtdTaOL1k1ju33iysVvq+4b/gC4kdtGdXBf4zZJvxtjeJPVE+cR4tsVPmGfGZfk/4LBS1kMcPii9bBLr6Dj8oFjYtt7Eryzu1NT2Ez+7knihMDGsL94kbDp1z+sZ1Mi2skZcL5/h9049i2/zDbaVIsNGU2TbjJ8XehI7pNR9NPX98+P3vyS7W+iOeO3tW3Fdvotv+4Xev5LbZNFlmbFMFsd13qAr8MT2eWVTwxpbRngvVU/H7WoqnqAPi2VPaiLGb+Anmy/gJ1Rz4zI4D1ilDLEu8/2e0o+tDbbbOPw7iZjex7tQ3jG9jpu5jQxKDyuy/LvgJ/nP4snffPw34N8kHmsRy04h+7fhp3hiUDgG/Yy6LpaTXeqfQpGerbLGjZ9YTKCu850xeHKaVTZrWGEbaHQZZJQv9moQdwnjXBk/qX0D3z9m4MnG34mPxaD8x/6L4rrt0szYR+Mn4vPw/eDfpB6Xkdiuix1ji72mpMZxTlzX0+L8fo7XKO5cJLbd8POBQtfWtYnPto3Ddm/u+orf7xC36efx35Kv8Br6P6bK9YnL9oMY8wf4RZnkoyhK3r9i+Vcp0iMv3jTxQfzq/1f4iWzW8aiw7JPHjv54c+/X8d+DhXhLmauA9RtZp8VeVybKroWfFE/Ef98W4r8DY7PWAZ701MYyC+K8PI33bpjVU+eRcdv4Ev99eBlPqBr8jsbym+HJ3Cd4QvAFfkGipkj5reI8XVfi9nFfLF/0EQ74Mfm/eMIwK673yXH7WDWj/Mn4c64+i8tvBp54F+uh8vf4hctZ8fVcXH4NznVj+e2Au+M2uChO52ZSvZ/S/P12mxjnZ9T9Jl1EkUf74Od1F+AXWRfgx/Zn8eQt3QW+xeHPxHlciO87F5LREydFcpH42R/xY8U3SlnHFr8kUlS80vMw8KMQwpX5RlMd4hWoLfEDTPrm0TbJzFbBT+z/FfyG2tac9nfxH8MDQ90DaqVKxKv2P8OT6fTDiKWFWnLsN7NB+An4z0IILbmnpaLE2vXVgKGhwk6ozOz7eK3MhqG0BwqLSCNik9y3gTEhhMzbaNLaRE9QIlLHzNbGm6VcWylJWHQ0XotyabkmYK5balhn/L7BRdTdoyLtUJH7TlbBm3a9oiQsf8F7BzwP+F3WvTztSbznaW+8OWNFJWEA8aLVc9TdjywiS+covFnqn0v9wtJ2yy4iy4iZDcObGByHV6Ofk29EpYlXVVfH72u7N6SeV7aMdQXeje2yJ+FNDQ/A23yfqRPxdq/GzM7G70H4gLrHEPRkKToRkWUrhPBrqmB9hBAK9+FVrBDClnnHINJehBDOo+F9rY1SIibSdhyNX9l/Gzg4tNJzZ5aB64kPI6b+Q53LYSF+X+re+I31hidkPw3+IE1p397C7304HE/C5+E9vP01lNhtu4iISFuhe8RERERERERamWrEpFWsvPLKYdCgQWWfzuzZs+nRo0fZp9MWad6rc96huue/mucdqnv+Ne/VOe/QOvM/YcKEz0MIfZouKdJySsSkVQwaNIjx40t5gPzSqa2tpaampuzTaYs07zV5h5Gbap7/ap53qO7517zX5B1Gblpj/s3s3aZLiSydir7JVEREREREpBIpERMREREREWllSsRERERERERamRIxERERERGRVqZETEREREREpJUpEZNmMbNOZvZrM3vTzOab2Qdmdm7ecYmIiIiIVBJ1Xy/NdSWwHXAq8DqwGrBBngGJiIiIiFQaJWJSMjPbBTgA+FYIYWLe8dQzeTJcfjldhgzJOxIRERERkSapaaI0x4+Bh9pcEgbw2Wdwxhn0evXVvCMREREREWmSEjFpjmHAG2Z2gZnNNLM5ZnaLmQ3IOzA23RQ6dWL5SZPyjkREREREpEkWQsg7BqkQZjYfWAC8CJwOLA+cBUwFhofUxmRmRwBHAPTr12/zMWPGlDW+zY84gnk9evDqudXZd8isWbPo2bNn3mHkoprnHap7/qt53qG651/zXp3zDq0z/6NGjZoQQtD9DlJWSsSkZGa2AE/E1gghTIvDRgKPADuEEB4s9t0hQ4aE8ePHlzfAI49k4X/+Q+eZM8GsvNNqg2pra6mpqck7jFxU87xDdc9/Nc87VPf8a95r8g4jN60x/2amREzKTk0TpTm+AF4uJGHR43hyln/PiUOH0nnWLHjrrbwjERERERFplBIxaY7XgKyqJgOWtHIsDQ0d6u/PPZdvHCIiIiIiTVAiJs1xJ7Cxma2cGDYS6IzfN5avDTdkcdeuSsREREREpM1TIibNcSkwDRhrZnua2UHANcADIYTH8w0N6NSJWWuvrURMRERERNo8JWJSshDCTGA7/F6xMcCFwIPA/nnGlfTV+uvD88/DokV5hyIiIiIiUpQSMWmWEMJbIYTdQgg9QggrhhBGhxC+yDuugpnrrQdz58LEtvfMaRERERGRAiVi0q58td56/oeaJ4qIiIhIG6ZETNqVuQMHQq9eUO5nlomIiIiILAUlYtK+dOgAQ4aoRkxERERE2jQlYtL+DB0KL70E8+fnHYmIiIiISCYlYtL+DB0KCxfCi/k/2kxEREREJIsSMWl/hg71dzVPFBEREZE2SomYtD+rrQZ9+yoRExEREZE2S4mYtD9mXiumRExERERE2iglYtI+DR0Kr70GX32VdyQiIiIiIg0oEZP2aehQCAGefz7vSEREREREGlAiJu3TkCH+ruaJIiIiItIGKRGT9qlvX1h9dSViIiIiItImKRGT9mvoUBg/Pu8oREREREQaUCIm7dfQofD22zBtWt6RiIiIiIjUo0RM2i892FlERERE2iglYtJ+DRnizxR79tm8IxERERERqUeJmLRfvXrBBhvA00/nHYmIiIiISD1KxKR9Gz4cnnnGnykmIiIiItJGKBGT9m34cJg+Hd56K+9IRERERES+pkRM2rdhw/xdzRNFREREpA1RIibt2wYbQM+e3jxRRERERKSNUCIm7VvHjt6NvWrERERERKQNUSImLWJmq5rZLDMLZtYz73gaNXw4vPgizJ2bdyQiIiIiIoASMWm5s4FZeQdRkuHDYdEieP75vCMREREREQGUiEkLmNlIYBfgb3nHUhJ12CEiIiIibUynvAOQymJmHYHzgT8BM3IOpzT9+sGgQUrERERERKTNUI2YNNdRQFfgwrwDaZbCg51FRERERNoAJWJSMjP7BvBn4BchhIV5x9Msw4bB++/DRx/lHYmIiIiICBZCyDsGqRBmdjGweghht/j/aOAKYPkQQoOOO8zsCOAIgH79+m0+ZsyYssc4a9YsevZs2Iljr4kT+fZPf8orf/oTn2+zTdnjyEOxea8G1TzvUN3zX83zDtU9/5r36px3aJ35HzVq1IQQwpCyTkSqnu4Rk5KY2YbAj4GRZrZCHNw9vvc2s8UhhHr9w4cQLgUuBRgyZEioqakpe5y1tbVkTmf4cDjhBDaaNQtaIY48FJ33KlDN8w7VPf/VPO9Q3fOvea/JO4zcVPv8S/uhRExKtQ7QGXgq47MPgH8BP2nViJqjWzfYbDN12CEiIiIibYISMSnV48Co1LBdgJOB3YC3Wz2i5ho+HC6/3J8p1kmbvoiIiIjkR511SElCCJ+HEGqTL+D1+PFjIYRJOYZXmmHDYM4ceOWVvCMRERERkSqnREyqx/Dh/q7miSIiIiKSMyVi0mIhhCtDCJbVY2KbNHgw9Omj54mJiIiISO6UiEn1MPPmiU9l9TciIiIiItJ6lIhJddlyS5g0CaZPzzsSEREREaliSsSkuowY4e9PPplvHCIiIiJS1ZSISXUZOtS7rlciJiIiIiI5UiIm1aV7d3+w8xNP5B2JiIiIiFQxJWJSfUaMgGefhYUL845ERERERKqUEjGpPlttBfPmwf/+l3ckIiIiIlKllIhJ9Sl02KHmiSIiIiKSEyViUn0GDIA11lCHHSIiIiKSGyViUp1GjPAasRDyjkREREREqpASMalOI0bAxx/Du+/mHYmIiIiIVCElYhXIzDYxsxvMbLKZzTezb8fhp5nZrnnHVxG22srfdZ+YiIiIiORAiViFiYnWBKA/cDXQOfHxfODYPOKqOBtvDD17KhETERERkVwoEas8fwWuDCFsC5yW+uwFYNPWD6kCdewIw4erww4RERERyYUSscqzPnBD/Dvd08RMYKXWDaeCjRgBL78MM2fmHYmIiIiIVBklYpXnU2DNIp9tCLzXirFUthEjYMkSeOaZvCMRERERkSqjRKzyjAH+ZGZbJ4YFM1sXOBm4Lp+wKtCwYdChg+4TExEREZFW1ynvAKTZfg9sADwCTI3Dbsc777gPOD2nuCpPr17eaYcSMRERERFpZUrEKkwIYT6wh5ltD2wPrAxMBx4MIdyfa3CVaKut4JprYNEi6KTdQURERERah848K1QI4UHgwbzjqHgjR8I//wkvvgibb553NCIiIiJSJZSIVRgz26CpMiGEia0RS7uwzTb+/uijSsREREREpNUoEas8r9Cw2/q0jq0RSLuw6qqw1lqeiP3853lHIyIiIiJVQolY5RmVMWxFYOf4Oq51w2kHRo6E22/3ruw7qCNRERERESk/nXVWmBDCIxmv20IIRwP/AfYv17TN7HtmdoeZfWhms8xsgpkdWK7ptZqRI2H6dJioFp0iIiIi0jqUiLUvDwN7l3H8vwBmAT8H9orT+4+ZHVvGaZbfyJH+/uij+cYhIiIiIlVDTRPbl92BGWUc/54hhM8T/z9kZgPwBO38Mk63vAYPhoEDPRE75pi8oxERERGRKqBErMKY2X8zBncB1gfWAX5TrmmnkrCC/wHfLdc0W4WZ14o9/DCE4P+LiIiIiJSRmiZWnj4Zr67AY3iN1ZmtHM+WwButPM1lb+RI+PhjmDw570hEREREpApYCE31hC6Szcy2B+4HfhxCuDLj8yOAIwD69eu3+ZgxY8oe06xZs+jZs2ezv9f93XfZYvRoXj/xRKbutlsZIiu/ls57e1DN8w7VPf/VPO9Q3fOvea/OeYfWmf9Ro0ZNCCEMKetEpOopEZMWMbNBwDPAkyGEfZsqP2TIkDB+/Phyh0VtbS01NTXN/2II0Lcv7L47XHnlsg6rVbR43tuBap53qO75r+Z5h+qef817Td5h5KY15t/MlIhJ2ekesQpgZmc1o3gIIZxctmAAM1sJuBt4Fzi4nNNqNYX7xNRzooiIiIi0AiVileF7zSgbgLIlYmbWHbgT7yBkjxDCnHJNq9WNHAm33ALvvw+rrZZ3NCIiIiLSjikRqwAhhMF5xwBgZp2AG/HeGbcKIXyac0jL1rbb+vtjj8FBB+Ubi4iIiIi0a+o1UZrjImA34M/AN8xseOLVNefYlt7GG0Pv3mqeKCIiIiJlpxqxCmVmWwPrAt3Sn4UQLirTZHeK73/P+GwwMKVM020dHTvC1lvDI4/kHYmIiIiItHNKxCqMmfUDHgQ2wO8HKzx9ONn9ZVkSsRDCoHKMt00ZORLGjYOpU6F//7yjEREREZF2Sk0TK885wJfAangSNgwYBPweeBOvJZOW2m47f6+tzTUMEREREWnflIhVnm3xZOzj+L+FEN4LIZwOXEuZasOqxmab+X1iDz2UdyQiIiIi0o4pEas8KwCfhRCWADOBvonPngS2yiWq9qJjR6ipUSImIiIiImWlRKzyvAOsEv9+lfoPVN4TmN7qEbU3220HkyfDu+/mHYmIiIiItFNKxCrPOOp6L/wL8F0z+8DM3gGOA87PLbL2onCf2MMP5xuHiIiIiLRb6jWxwoQQ/i/x991mthWwL7AccH8I4e7cgmsvNtwQ+vTx5omjR+cdjYiIiIi0Q0rEKlwIYTwwPu842hUzGDXKE7EQ/H8RERERkWVIiViFMbMpwA3AmBDC/3IOp/3abjv473/hzTdhXT0RQKSBOXPg7bf9NXUqfPKJvz79FGbPrnvNmQMLF0KHDnWvjh2hZ0/o1av+q29fGDAAVlnFXwMGwAor6GKIiIi0S0rEKs+NwAHAr8xsMjAG+G8I4ZV8w2pnCveJPfSQEjGpbgsXwmuvwfPP++ull+Ctt+DDDxuWXWklb9a7/PLQvbv/3b07dO7stctLlvhr0SJP0qZNg3fegZkz4csvPWlL69UL1loL1l677n2ddWCjjXx6IiIiFUqJWIUJIZwInGhmW+IJ2Y+B35rZa9TVlL2ZZ4ztwtprw8CBnogddVTe0Yi0nnnz4Kmn/KHmtbXwzDMwf75/1qMHbLIJ7LCD7yNrrw1rruk1V337QpcuSzftWbPg44/rXh9+CFOmeOL3wgtw662exBUMGMDGAwfCttvCxht7bBtuCJ300yYiIm2ffq0qVAjhKeApM/s5sDWelB0L/BGt16Vn5rVid9/tV/A7qINRacc++ADuuANuvx0eecQTrw4d4NvfhmOOgSFD/O911vFmheXSs6dPY511sj9ftAjeew8mTYJXXoGXXqLrU0/B3/8OCxZ4meWW81i32KLuNXiwmjeKiEiboxP2ytcDWB1YA+gNzM83nHZku+3g6qvh1Vf9artIe/LRR3DttX4v5IQJPmyddeCnP/Vtf+utoXfvfGNM69TJa+DWXBN23RWA8bW11IwY4fdzvvgiPPccPPss/POfcO65/r1vfMMTsq23hm22gaFDoVu3HGdEREREiVhFMrPl8Ic3HwDsij8P7j68meLtOYbWvowa5e8PPqhETNqHuXO9ed9VV8EDD3ht7xZbwF//CnvvDeuvX5k1R507wwYb+OvAA33YwoV+EeXZZ/319NPw29/6Z126+HyPHOmJ2VZb+b1oIiIirUiJWIUxs//iyVc34CHgp8CtIYQZuQbWHq2+utcQPPAAnHBC3tGItNx778FFF8Fll8H06b5t/+Y3cOihxZsBVrrOnWHTTf11xBE+bNo0eOIJeOwxePRROPNMOP10b4a56aaemG23nd9zpsRMRETKTIlY5ekDnAjcFEL4PO9g2r2ddoIrrvB7Zrp2zTsakeZ5+mk45xyvBQsB9t3X7/mqqanO+x6/8Q3Yay9/gXcO8vTTdYnZxRfDeef5fXBDh8L223tittVWasooIiLLnBKxChNCGJV3DFVlp53gwgvhySfrmiqKtHWPPw6nnuq1uSusAL/4hd/7tcYaeUfWtvTs6T1A7rCD/1/oMfLBB/11xhlw2mmehI0YUZeYbb65emYUEZGlpl8SkcbU1PgJ1333KRGTtu/JJ+EPf/Akok8fOOssOPpoTzikad26+X4+ahT85S/+fLNHH/Xl+dBD3pwTvNliTU1dYrbhhpV5b52IiORKiZhIY3r18mZJ993nHRqItEHdPvwQ9tsPbr7Zn+f1t7/58+969Mg7tMrWqxfssYe/AD79FB5+2JOyBx/0Lv/Bl/l229UlZmuumV/MIiJSMZSIiTRlp53gd7/zk7C+ffOORqTOF1/AX/7CFv/4h9/DeOqp8MtfKgErl7594YAD/AXw7rt1SdlDD8GYMT580KC6xGzUKFhlldxCFhGRtqsK79YWaaaddvL3Bx7INw6RghD8GXfrrgvnnssnO+3kz9H6wx+UhLWmNdaAH/3In8f24YcwcSJccAFsthnccgscfDAMGOBNF4891jtN+eKLvKMWEZE2QjViIk359rdhpZW8eeJBB+UdjVS7N97w+74eegi23BIeeIBJX3zBKqp1yZcZfPOb/vrpT2HxYnjhhboas3//25M0Mz+mFJoxbr21kmcRkSqlRKwCmNkxzSgeQgj/LFsw1ahjR9hxR0/EQtBN+ZKPhQu9F7+//AWWW867Wj/8cO+GvrY27+gkrWNH711x883hxBNhwQJ45pm6xOzcc70zlc6dYfjwuueXDRsG3bvnHb2IiLQCJWKV4YJmlA2AErFlbaed4IYb4JVXYOON845Gqs3rr8Mhh8D48X5/0nnnQf/+eUclzdGlC2yzjb/++EeYPdsfM1BIzP70J7/Q06mTJ29bb133WnnlvKMXEZEyUCJWAUIIbeZePjPbADgf2BKYAVwOnBpCWJxrYOVWuE/svvuUiEnrWbIEzj8ffv1rb752443eO6JUvh49YOed/QV+79hTT3ly9thjvt7POcc/W3/9+onZmmuqZl5EpB1QIiYlM7MVgQeAicDewFrAOXinL7/LMbTyGzgQNtgA7r3Xe6UTKbepU+EHP/Dakj32gMsuUy1Ye7biirDbbv4Cf7j0hAl1idlNN8Hll/tnffrAFlvAFluwUteusNFGqjUTEalASsQqlJkNBNYFuqU/CyHcVabJHgUsB3wnhDATuN/MegGnmNlZcVj7tdNO8M9/wty5fo+OSLk8+KD3uDdzJlx6KfzkJ6oBqTbdusGIEf46+WSvHZ040ROzZ56BZ5+Fu+5ikxC8xnTw4K+TM4YOhW99y5+DJiIibZYSsQpjZssD/wV2KgyK7yFRrGOZJr8rcG8q4RoDnAlsC4wt03Tbhp139ntzHn647qq1yLK0eLF3xnHqqd4c7cEHvetzkQ4dvOZro438Yd0AX33FC//6F5suWADPPedNG2+4oe47gwZ5QpZ8DR7s4xIplyVL/ILl7NkwZ47/PX++1/LOn9+yvxcs8A6LFi6EBQvot9FGUFOT95yKLDUlYpXnr8DqwDbA48C+wBfAD4DtgAPLOO31gYeSA0II75nZnPhZ+07Eamq8N7Nx45SIybI3bRp8//v+vLpDD4WLLlK35tK45Zdnxqab1j8h/eQT79TlxRf99dJLMHasnxwD9OwJm2zi3eyvvz6st56/Dx7sHYVIdZk/32veZ86EL7+s+7vwmjPHE6rCq5T/58xZ+ri6dPFa4a5d/dWli/cw2rkzdOlCx7XWWvppiLQBFkJoupS0GWb2Nn4/1g3AQmBYCOG5+Nk5wGohhP3LNO2FwIkhhPNSwz8Arg4h/CY1/AjgCIB+/fptPmbMmHKEVc+sWbPo2bNn2ca/0W9/S8/Jk3n6+uvbXFOxcs97W1bp897j7bfZ6He/o+vnn/PG8cczdbfdmrV9Vfr8L41qnncobf47zJtHjylT6Dl5Mj0mT6bn5Ml0f/99uiQeLr2kUyfmrroqc1ZfnTmrrca8AQOY178/c/v3Z37fvoTOncs9K81Wzet+9hdfsEKHDnScPZtOs2f7+5w5X/+f/LvjnDn13pN/d1i4sKTpLe7ShSXdurF4ueVY3LWr/x1fTf29pGtXlnTuzJIuXfw9/h0K/6eHd+7c5PGvNdb9qFGjJoQQhpR1IlL1dPmr8vQD3g8hLDaz2cBKic/uAm7OJ6yGQgiXApcCDBkyJNS0QjOC2tpayjqdH/4QjjySmr5921yTsbLPextW0fN+221w3HF+P8/jj7P+FluwfjNHUdHzv5Sqed5hKef/iy9g0iSYNIkOr79Oj9dfp8ekSd7EcdGiunJmsOqqXms2aJC/Bg6EVVape/Xr1+o1ahW57hcuLF4D1dj/6c/mzWt6Wp06Qe/efmzp1cv/Hjiw7u/C8PT/hb+XX95r5bt3p2OHDnQE2ko6XpHrXiSDErHK8z5Q6B7rTWAP4N74/zCghKNzi30B9M4YvmL8rP0rNEm88842l4hJhQnB7wf7wx+8g4Vbb4UBA/KOSqrJiiv6w6SHD68/fNEi+PBDmDIF3nnH3wuvRx6B666ra+pYYOY9NxYSs759YaWVir969/Zmkt26tbnWBV8LwZvuZTXBSzfH++qr0pKruXObnm7Hjg2Tov79vRlpHP7OtGkM3nTTxhOptrxsRQRQIlaJ7gd2AG4FzgWuMrPNgfnASLw7+XJ5HepfrDez1YDu8bP2b+BAv+F93DjvyUykJebPh9GjYcwYf1DzpZf6SZNIW9CpE6yxhr+23bbh5wsX+r1oH39c/PX6617jNrOJznQ7dPB7b3v29NqX5KtrV78nqFOnuvuDEn+vNXUq3HGHJ0yNvZYs8ZgLnT4sWND438mkK51wNqaQQCWTon79YJ11smuhig3r3r3JBOrd2loGq0ZIpOIpEas8J+OJDyGEa8xsFrAf3q38z4BLyjjtu4ETzWz5EMJXcdgBwFzgkTJOt23ZYw844wyYPt2v7Io0x4wZsM8+XrNwxhlw0km6ai2VpXNnvyg1cGDTZRcu9G1++vT6rxkzGtYszZpV/+/p0712rtBbXvLvhQtZZd48T37Mmn4VOnzo0qXh3z171h/evXv9hDD9f9Zr+eX9sSbal0WkGZSIVZgQwhxgTuL/W/HasdZwMXAccIuZnQmsCZwC/L92/wyxpN13h9NO84c7H1jOTiql3Xn/fdh1V3jjDW/eddBBeUckUl6dO/sDqPv0Weajflz3CYlIhdPDRCqYmXU0s+7pV7mmF0L4Atgef07ZWOBUvHnkH8s1zTZpiy38Xohx4/KORCrJyy/Dllt6MnbPPUrCREREqpxqxCqMmfUCTge+A/Sl7oHOSeV6oDMhhIn488qqV8eOXqtx113+AN6OZVvc0l48/rjXpC6/PDz2mD/HSURERKqaErHKcwneU+LlwERgQb7hVKndd4drroFnnoGttso7GmnL7r8f9t4bVl/d/15ttbwjEhERkTZAiVjl2Rn4eQjh8rwDqWo77+w1YXfeqURMirv9dth/f1h/fU/C+vbNOyIRERFpjE0T9gAAIABJREFUI3SPWOWZDXyQdxBVb4UVYJttvOtkkSzXXw/f/S5suik8/LCSMBEREalHiVjlOQc4xsy07vK2zz7w6qvw5pt5RyJtzeWXw8EHw9ZbwwMP6DEHIiIi0oCaJlaeVYFvAZPM7GFgRurzEELQk4Zbwz77wAknwG23wYkn5h2NtBUXXwxHHw277AI33+zPIBIRERFJUSJWefYDluDrbseMzwP+0GcptzXWgM02g1tvVSIm7rLLPAnbYw+46SZ/QKyIiIhIBiViFSaEMDjvGCRh333hD3+Ajz+GVVbJOxrJ0xVXwBFHwG67KQkTERGRJuk+I5Glse++/q5OO6rbNdfAYYfBTjt5c0QlYSIiItIE1YhVGDM7tJGPlwAzgRdDCO+2UkjVbcMNYe21vXnikUfmHY3k4T//gdGjYdQov1+wW7e8IxIREZEKoESs8lyJ3wcGYInhyWHBzO4EDg4hzGrF2KqPmXfa8fe/w5dfQu/eeUckremWW+CQQ2DkSBg7FpZbLu+IREREpEKoaWLl+TbwFvBr4JvAyvH9/4DJwA7AD4FtgDNzirG67LsvLFwI48blHYm0pvvvhwMPhGHDPAlT74giIiLSDErEKs85wEUhhLNDCJNCCNPj+1nARcAfQwjXAqcB++YaabUYPhz69/fmiVIdnn7aE/D11/cEvGfPvCMSERGRCqNErPJsCbxW5LPXgKHx7wnAN1olomrXoYOflI8bB7Nn5x2NlNsrr3jPiP37w733woor5h2RiIiIVCAlYpXnA2B0kc9+FD8HWBGY1hoBCXDAATB3Ltx5Z96RSDm9/bb3jLjcct40sX//vCMSERGRCqXOOirPb4HrzWwjYCzwGdAH2BPYAPh+LLcj8FguEVajrbf254jdcIMnZdL+fPwx7LgjzJ8Pjz4Kg/VIPxEREWk5JWIVJoRwo5m9A5wMHAT0B6YCzwE/CiFMiOWOyS/KKtSxI3zve3DJJTBzJvTqlXdEsizNnAm77AKffAIPPeSPLRARERFZCmqaWIFCCONDCN8LIQwOISwX3/cvJGGSk/3399qSsWPzjkSWpUWLvJZz4kTvkGWLLfKOSERERNoBJWIiy8qWW8LAgd48UdqHEOC44+Cee+Dii71pooiIiMgyoKaJFcDM/gv8Xwhhcvy7MSGEoJuU8tChg9eKnX8+zJgBK6yQd0SytM47D/75Tzj5ZDjssLyjERERkXZENWKVoQ/QOf7dN/5f7NU3jwAlOuAAf7jz7bfnHYksrdtvh1/+EvbbD04/Pe9oREREpJ1RjVgFCCGMSvxdk2Mo0pShQ2HQIBgzBn74w7yjkZaaMAEOOsjX59VXe22niIiIyDKks4t2wszUDq4tMIMDD/RnTE2dmnc00hLvvw977gl9+sAdd/gzw0RERESWMSViFcbMjjazkxL/b2pmHwDTzGyCmQ0swzR7mdmpZvasmX1pZlPN7FYzW3dZT6tdOOQQWLwYrr8+70ikub76CvbYA2bPhnHjoF+/vCMSERGRdkqJWOU5FpiZ+P8fwEfAwfj6PKMM01wdOBy4F9gPOBJYBXjGzFYrw/Qq2ze/CUOGwDXX5B2JNEehm/pXX4WbbtKzwkRERKSsdI9Y5VkdmARgZn2AEcD2IYRaM1sAXFCGab4DrBVCmFsYYGaPAe8BPwZOLcM0K9shh8Dxx8Mrr8BGG+UdjTQlBDjhBLj7bn8ot7qpFxERkTJTjVjlmQ90iX+PAuYAj8X/pwPL/F6xEMLsZBIWh00H3gUGLOvptQvf/z506qRasUrxj3/AhRfCiSfCEUfkHY2IiIhUASViledZ4KdmtiFwHHBPCGFx/GxNvJli2cXauLWBN1pjehWnb1/YZRe49lq/X0zarrFj4ec/h+98B84oR8teERERkYYshJB3DNIMZrYBMBYYDLwP7BhCeCN+di8wNYRQ9n7TzexqYHdg3RDCtCJljgCOAOjXr9/mY8aMKXdYzJo1i549e5Z9OqXoU1vLhqeeyot/+xtfbL552afXlua9tbV03nu+8QabHX88swcN4oVzz2VJt25liK78tO6rc96huudf816d8w6tM/+jRo2aEEIYUtaJSNVTIlahzOwbwPSQWIFmtjGeiH1Wwvd74x1uNCqE8HrGd48GLgS+G0K4tZR4hwwZEsaPH19K0aVSW1tLTU1N2adTknnzoH9/2GsvfxZVmbWpeW9lLZr3Dz6AYcO8Cekzz/i6qlBa9zV5h5Gbap5/zXtN3mHkpjXm38yUiEnZqbOOCpVVCxVCeLkZo/gecFkJ5azeP2Z7AecDJ5eahFWtbt28F75rroHzz4fevfOOSAoK3dR/9RU8+WRFJ2EiIiJSmXSPWJUKIVweQrCmXsnvmNkIYAxwcQjh7HwirzCHHw5z58J11+UdiRQsWuQP3X7lFbjxRvVqKSIiIrlQIiYliZ2DjAXuwTsJkVJsvjlsthlceql3kS75+8Uv/GHNF1wAO++cdzQiIiJSpZSISZPMrC+egM3CHyC9hZkNj68N8o2ujTPzWrEXX4RWuEdOmnD++f76xS/gqKPyjkZERESqmBIxKcUGwEBgNeBh4KnE66Ic46oMBx0E3bt7rZjk5847/aHNe+8NZ52VdzQiIiJS5ZSISZNCCLWN3EdWk3d8bV7v3v6A5+uv984hpPX973++DjbbzO/X69gx74hERESkyikRE2kNhx8Os2d7Miat68MPvYfElVaCO+6AHj3yjkhEREREiZhIqxg2DDbeGC65RJ12tKZZs2DPPb0m8s47YcCAvCMSERERAZSIibQOMzj6aHj+eXjqqbyjqQ6LF/v9eS++CDfcAJtskndEIiIiIl9TIibSWg49FFZYgf/f3p2HyVWVeRz//pJAIERIIALDFpBFJi4gBHjYw+ISBlkkbKMCsisqOBEwyBIQRIkEggooyqIIiCGDsoQt0JCwGKJsQwjIFoJBhkizZDGB5J0/zu2hUnR3VXdX1a2u+n2e5z7VdfqeW++pe6u633vPOZeLL847kuYwejTcckuaJXHkyLyjMTMzM1uOEzGzWlllFTj6aJg0CebMyTuaxvazn8GECWmWxG98I+9ozMzMzD7EiZhZLX3zm2mM2M9/nnckjeu22+DEE2GffeAnP8k7GjMzM7N2OREzq6WhQ2H//dM9xRYuzDuaxvPEE2ma+i228DT1ZmZmVteciJnV2oknQmsrXHtt3pE0lrlz0zT1q62WxoYNHJh3RGZmZmYdciJmVms77ZRuLDxhAixblnc0DaHPokVpmvrW1jRN/brr5h2SmZmZWaeciJnVmpRm9Js5MyUN1jNLlzLs3HPh8cfTNPVbbpl3RGZmZmYlOREzy8PBB8NGG8F55/kGzz01ejRDHnooXWH8j//IOxozMzOzsjgRM8tDv35w6qkwfTrcd1/e0fReEybAhAnMGTUqzUhpZmZm1ks4ETPLy+GHw9prw/nn5x1J7/THP8J3vgP77ccLxx+fdzRmZmZmXeJEzCwvK62Uxordc0+6Mmblmz4dDj0UttnG09SbmZlZr+REzCxPxx0Hq68OY8fmHUnv8dJLaYbEtdeGP/0JBgzIOyIzMzOzLnMiZpanj3wkjRWbPBkefDDvaOpfayvstRcsWQK33w5rrZV3RGZmZmbd4kTMLG8nnJASitNP9wyKnVm8GL70JXjxRbj5Zth887wjMjMzM+s2J2JmeVtlFfj+96GlBaZMyTua+rRsGRx1VHqPrroKdt0174jMzMzMesSJmFk9OPZYWH/9lJD5qtjyIuDkk9OkHOeeC//5n3lHZGZmZtZjTsTM6kH//mnCjunT4cYb846mvowbB+PHw7e+Baedlnc0ZmZmZhXhRMysXhx+OGy5JZxyCixalHc09eGqq9JkJoccAhdfDFLeEZmZmZlVhBMxs3rRty9cdBG88kq6AtTsbrkFjjkGPvc5uOYa6OOvKzMzM2sc/s/GrJ6MGJFmBjz/fJg7N+9o8jNtGhx0EGy9Ndx0E6y4Yt4RmZmZmVWUEzHrFkknSgpJE/OOpeGMGwfvvZcmqGhGf/0r7L03DB0Kt90GAwfmHZGZmZlZxTkRsy6TtCYwFngj51Aa08c+BmPGwHXXwZ135h1NbT35JHz2szBoENx1FwwZkndEZmZmZlXhRMy643zgVmBm3oE0rDFj4OMfh+OPhwUL8o6mNmbOhD33hAED4N57YYMN8o7IzMzMrGqciFmXSNoWOAj4Xt6xNLT+/eGXv4SXX4azz847mup77jnYY480Ycm996argmZmZmYNzImYlU2SgJ8CF0TE3/OOp+HtskuaNXD8eHjkkbyjqZ4XX4Tdd4elS2HKFNh007wjMjMzM6s6RUTeMVgvIelI4Ezg3yNikaQWYF5EjOpg/WOBYwHWWmutrW+44Yaqxzh//nwGNtDkDn3nz2ebo48m+vZlxhVXsHTAgA7X7Y1tH/DKK2wxejR9lizh8fHjWbDxxt3aTm9seyU1c/ubue3Q3O1325uz7VCb9u+2225/iYjhVX0Ra3pOxJqYpNWAfyu1XkTMytZ9DvhWRNyY1W+hk0Ss0PDhw2PGjBk9jLi0lpYWRowYUfXXqampU9O09ocfDlde2eFqva7tjz+e7hEmwd13w6c/3e1N9bq2V1gzt7+Z2w7N3X63fUTeYeSmFu2X5ETMqq5f3gFYrg4ErihjPQGnAa8Ad0kalJX3A1bInr8bEUurE2aT23nnNHnHeefByJFw4IF5R9RzjzyS2jJwYOqOuNlmeUdkZmZmVlMeI9bEIuJXEaFSS7b6x4HhQGvBsiOwT/bz9rk0olmcdRZstx0ceWSaXbA3u+++NEX9Gmukq31OwszMzKwJORGzcp0O7Fa0PAE8kP38VH6hNYEVVoCJE9PU7vvtB2+9lXdE3XPttfD5z6ep6R94ADbcMO+IzMzMzHLhRMzKEhH/ExEthQvwFvBG9vztnENsfOutl5Kxl16CL385zTLYW0SkrpVf/SrsuCNMmwbrrJN3VGZmZma5cSJm1pvsvDNccgncfjuccEJKcOrdkiVw7LFw+unwla/AHXfA4MF5R2VmZmaWK0/WYd0WESPyjqEpff3rMGcOnH8+DBkC556bd0Qdmzs3TS7y0EMpETvnnDRLopmZmVmTcyJm1huddx7Mm5ceBw2C734374g+bNq0lIS9+y78/vdw0EF5R2RmZmZWN5yImfVGElx2Gbz9Npx8MixaBDvtlHdUydKlMG4cnHFGmozj7rvhk5/MOyozMzOzuuJEzKy36tsXfvc7WHllOPNMNjngANhll1Sel9mz4bDD0oyIo0bBFVekK3ZmZmZmthxP1mHWm/XrB1deCSedxHo33QR7753P1PZLl8Lll8MWW8Bjj8E118CNNzoJMzMzM+uAEzGz3q5PH7joIp4dPRqmTIGtt4aHH67d6//1r7DDDmkSka22gieeSFfFPCmHmZmZWYeciJk1iNf23htaWmDZsjRebMwYWLCgei/48svwta/BNtukLonXXpsSwY02qt5rmpmZmTUIJ2JmjWSHHdIVqcMPhx/9CDbfHH77W3j//cq9xsyZcNxxsNlmcP31cNJJMGtWusm0r4KZmZmZlcWJmFmjWXXVNG5s2jRYc83UTXCzzdKNoOfN69423347XfH67GfhE59IY8COOgpeeAEuvNBjwczMzMy6yLMmmjWqHXeERx+FW25JV8dOPBFGj4Y99kjLTjulK2aDBy9fLwLeeCNd+XrwQZg6Fe67D5YsgQ02gB/+EI45Jt1M2szMzMy6xYmYWSPr0wf23TctTz2VuineeiuccsoH6wwalK6i9e8PCxdCa2t6bDNsGJxwQro583bbpW2amZmZWY84ETNrFp/6FFxwQVrmzoUZM+DZZ+GVV+Ddd2HxYhgwAFZbLU24semmKfEqvmJmZmZmZj3mRMysGa2zDuyzT95RmJmZmTUt9zEyMzMzMzOrMSdiZmZmZmZmNeZEzMzMzMzMrMaciJmZmZmZmdWYEzEzMzMzM7MacyJmZmZmZmZWY07EzMzMzMzMasyJmJmZmZmZWY0pIvKOwZqApDeA2TV4qSHAvBq8Tj1y25tXM7e/mdsOzd1+t7151aL9QyPio1V+DWtyTsSsoUiaERHD844jD257c7Ydmrv9zdx2aO72u+3N2XZw+61xuGuimZmZmZlZjTkRMzMzMzMzqzEnYtZofpl3ADly25tXM7e/mdsOzd1+t715NXv7rUF4jJiZmZmZmVmN+YqYmZmZmZlZjTkRMzMzMzMzqzEnYtYrSDpY0iRJr0kKSUd0sN66kv5b0ruS5kn6maQBZWy/v6QLJf2vpAWSbpO0YYWbURGSNszeg/aWZ0vUHdtBvS/UKv5KkNTSQTtWKqPujpL+LOlfkl6S9O1axFwJklaVdLak6ZLelvSP7HjfrIy6R3Twnh1fi9i7StIwSVMkLZQ0V9I5kvqWUW81SVdJas3eo99JWqMWMVeKpAMl/UnS3yXNl/QXSYeWUa+9/ftILWKulO4ep42w36HT77aQtH0HdTr6m3BDrePvCkmbSPqFpCclLZXU0s46knSapDmSFkl6QNKWZW5/X0lPZd/1MyUdXPFGmPVQv7wDMCvTKGBD4Fbg6PZWkLQCcCewBDgEGASMzx6/UmL7l2Sv8R3gDWAscLekT0XEv3oefkW9BhT/QV4ZuAuYXEb9t4HixOuZCsRVa/cBpxWVLe6sgqRNSMfIrcAYYFtgvKSFEfGrqkRZWRsAxwC/Br4PDCC148+SPh0Rc8rYxu7AooLnL1Y8yh6SNBi4B5gJ7AtsDFxIOnl4eonqNwKbkb4nlgE/Bm4Gdq5WvFXwX8BLpO+jecBewHWShkTET0vUvRCYWPD83eqEWHVdPU4bYb8DfANYtajsHOAzwKMl6n4XeLDgeb3f8PkTpGP7EWCFDtb5HnAGcDIwi/TZuEfSJyPiHx1tWNJOwE3ApcC3s9e5XlJrRNxVuSaY9VBEePFS9wvQJ3scCARwRDvrHAosBTYqKDuI9Ed50062vR7wPnBYQdm6pITu6LzbXub7c2D2vmxXYr2xwLy8461Ae1uAid2o9wvgOaBfQdmlwByyyYvqeQFWAVYuKlsdmA+cVaLuEdkxMjDvdpTRzjFAK7BqQdkpwMLCsnbqbZ+1cZeCsm2zsj3zblcX2j+knbLrgJdK1Avgm3nH38O2d/k4bZT93kHbVgTeBC7rZJ0Ns7bunXe8XWxbn4KfJwItRb9fiXTi8MyCslVIJ0vPLbHtO4F7i8puB6bl3W4vXgoXd020XiEilpWx2kjg0Yh4qaDsZlJC1VnXu89lj5MKXu/vwLRsm73BocCLEfHnvAOpcyOBSRHxfkHZDaRk/JP5hFS+iFgQEYuKyt4EZgPr5BNVVYwE7oyIdwrKbiBd+d21RL3XI+KBtoKImE66utRbPstERHtXMh6jsfZxJTXEfu/AF4DBwPV5B1JpZfxd34F0dfDGgjoLgFvoZL9K6g/sVlgvcwOwvaTVuhWwWRU4EbNGsjmp68L/i4glwAvZ7zqr92pEzC8qf6ZEvbogaVXSH6VyxwMMUho/956kxyR9qYrhVdPnsvFDCyXdKenTna0saRVgfYqOET7olln3+7o9kj4KbEK60leOFyS9L+lZScdVMbSeaO+z/Arpilipz3Lx/oVe8lkuYXvK28djs/07T9KVklavdmBV0pXjtJH3+yHAq8DUMta9Khtr9Zqk8ZJWrnJs1bY5qZfL34rKS+3XjUldHdv7ru9D6sJqVhc8RswayWDgrXbKW7PfVbpevdiP1IWjnETseVIXr8eAjwDHATdJOiAiJnVas77cD1xDas9Q0nipqZK2iIiXO6gzKHss3tet2WNv2NftuZDUNfHqEuu9RhprMR3oS/oH73JJAyLioqpG2HXV+Cx/rAJx5ULSHqTP+ZElVr2GdLXgDWA4aX9vIWnbiFha3SgrpjvHaaPu9wHAPsAvIqKzm74uBn5OGif8DjACOJWUkOxb5TCraTAwv51jtxUYIGnF7GRre/Wg8b7rrQE5EbNcZF0D/q3UehHR3lnOhtPD9+NQ4OmIeKqM+tcWve4twEPAmRR0zay1rrY/Is4qKJ4q6R7S2c+TsqXX6Mm+l/R10kQ0B0TEP0vUv5M0bqLNZKVZJk+XNKHM7r9WY0qzt14H/DEiru5s3Yg4ouDpA5KeIY2L+SKpm3bd83G6nC+SxkR12i0xIl4DvllQ1CLpdeDS7OTUE1WM0cx6wImY5eVA4Ioy1lMXttkKtNf3ezDQ2R+izuq1tlNeDd16P7LpmfckTcLRZRERkiYBP5bUN8ez5j06HiLiH5IeBLbqpG7b2dHifd12drRW+7pYd/f9PsBPgVMj4r+7+doTSRPabEh9zZ7Y3c9kK/DRbtSrS1m3wsmkMYBf7sYm7iBdLd2KXpKIdaDUcdpQ+73AIcDzETGjG3UnkiYi2prO//7Vs1ZgYDt/mwYDCzu4GtZWD+rvu97sQzxGzHIREb+KCJVaurjZWRT1G5e0IqlrSmdX1mYB62djiAp1NO6g4nrwfowinVDpyf1iIltyU6HjodN2ZIO85/DhsQVtz3O5+tqdtkvakbTPL4+IcT15+aLHetHeZ3l90nT9pT7L7Y0dqdlnuVKybmm3kmbN2zsiFnZ1GwXd2ept/3ZVqXY0zH5vk10pH0n3J+lohH0/i9Q9dZOi8lL79QXgPdr/rl9G+eNpzarOiZg1ksnANpKGFpTtA/QnnRnuSNs9RfZvK5C0Dun+M+XclytPhwLTI+KF7lSWJOAA4IleNIbkQyStDewE/KXEqpOB/bX8jYEPJiVo/1Ol8CpK0idI44DuIN0fpydGke41NLuncVXYZODzkj5SUHYw6b5S95eot3Z2DyEAJA0nnYyp98/y/5PUD/gDsCnwhYj4325u5wukW36U+lzUu1LHaUPs9yL7k/52dTcRG5U99uZ9/xBpzNuBbQXZCYov0sl+jYjFpPtMHlj0q4OBhyPi7cqHatY97ppovYKkYcAw0qQUAMMlzQfeiIi2f8wmkiZtmCTpDFK3hIuA6yLibwXbmgIQEXtkj69K+jVwcZaYtN3QeTaw3JiqelKQLI7u4Pe7AlOAPdreI0n3k25yOYs09uAYYDvSRAC9QjY74vmkf1Rnk25yPIZ0pvPigvU+1H5gHKmL128lXQFsQ5qw5OslBsPXBUlr8kF3s0uAbdMhC8A7ETEzW28o6azwkRHxm6zsJtIECE+SzjIfnC3frsNxN5eTksxJkn5M+od6LDC+cEp7Sc8D90fEUQAR8bCku4DfSPouH9zYd1pE3FPjNvTEpaQb0J4IrJF1QW7zWEQsLv4ek3QsaYKOe0hJy1akm19PB26rYew9Us5x2sD7vdAhpBNkzxT/orj9ksaSJl96kJS47EK6AfKkiHiyZhF3UZZU7ZU9XRdYVVJbAnl7RCyU9CPgDEmtfHBD5z6kbtlt2zkMuBLYOCLakvUfkMbKXUzqlrtXtnR2Kxuz2os6uJmZFy+lFtI/YdHO0lK03nqkL935wD9JM0kNKFqnpZ16/YHxpCRsAWmA+0bVbFMF3pOTSFP7rtPB70dk79GIgrJfk8ZYLMraORUYmXdbutjudbP98xrpHnH/JCWXm5dqf1a+E+kfvX8BL5P+wcu9XWW2va1NnX4W+OAGr0cUlP0QeJY0Bfwi0pnyr+bdpk7aOgy4N4v1NdI/Vn2L1nkZuLqobBBwFWlM4DukiS4+dIPkel6ydnW0nzfM1lnuewzYg/SP+D9J3bLmkJL11fJuTxfbXvI4bdT9XtCWIdk+/F4nx8fVBc8PAWaQbn68hDSb7DlA/7zbUqKdbd9TnR3nIp1gfTU7HqYCnynazhGFdQrK9yP1dFhMSuIOybvNXrwUL4qo+5PAZmZmZmZmDcVjxMzMzMzMzGrMiZiZmZmZmVmNOREzMzMzMzOrMSdiZmZmZmZmNeZEzMzMzMzMrMaciJmZmZmZmdWYEzEzM6sYSQdJOqKd8hZJE3MIqTiOdSW9K2njMtcfLulNSatVOzYzM2suvo+YmZlVTJZsDYmIEUXlw4D3IuJvuQT2QRyXAYMi4tAu1JkCTI2IsVULzMzMmo6viJmZWdVFxMw6SMJWBQ4Hruxi1auA4yX1q3xUZmbWrJyImZlZRUi6GjgA2FVSZMvY7HfLdU2UNFbSPEnbSZohaZGkaZI2krSmpJslzZf0jKTd23mtoyU9LWmxpNmSTikjxIOARcC9RdsaI+l5Sf+S9LqkOyStXbDKn4DVgc939T0xMzPriM/umZlZpfwA2AAYBHwjK3u1k/UHAL8ELgAWAJcAvwUWA5OBS4FTgD9IWj8iFgJIOhn4YVavBdga+IGkhRHxs05ebw9gekQsbSuQdBhwGnAq8DSwBrA7sErbOhHxjqSngT2B20q+C2ZmZmVwImZmZhURES9IehPoExGPlFFlZeDbEXE/gKR1gJ8DZ0XET7KyV0kJ0q7A5Kx74VnAuRFxdraduyUNAE6XdFlholVka+CPRWXbAndFxKUFZZPaqftEtq6ZmVlFuGuimZnlZQkwteD589njve2UrZs9bk+6WvUHSf3alqzOWsB6nbze2sC8orLHgb0knS1pW0l9O6g7L6tvZmZWEU7EzMwsL+9GxLKC50uyx7faCiKirWyl7HFI9vg08F7Bcl9Wvn4nr7cSqdtjoStJXRMPAv4MvC7p3HYSssUFMZiZmfWYuyaamVlv8mb2uDfweju/f7ZE3UGFBVkieBFwkaT1gS8D55HGtl1esOqggtc2MzPrMSdiZmZWSUuo7pWjh0kzH64TEV2dOONZYKOOfhkRc4AfSfoaMKzo1xsCz3Xx9czMzDrkRMzMzCppFrCvpP1IV5XmRsTcSm08It7KpsSfIGko8ACpm/1mwG4RsX8n1R8E9ikskPQL0pWuR4C3gd2ATUmzKBYaDvy4Em1Vin6UAAAA6ElEQVQwMzMDjxEzM7PKuhS4izT26lHg2Eq/QERckG13JGkWxOtJXQqndlaPNBviMEkbFJQ9DOxCumnz7cD+wDERcXPbCpI+A3yU9mdTNDMz6xZFRN4xmJmZ1YSkJ4BrI2JcF+qcD2wTEXtWLzIzM2s2TsTMzKxpSDoQGAdsEhHvl7H+KsBsYFREtFQ5PDMzayIeI2ZmZs1kIvAx0n3JZpex/gbAOU7CzMys0nxFzMzMzMzMrMY8WYeZmZmZmVmNOREzMzMzMzOrMSdiZmZmZmZmNeZEzMzMzMzMrMaciJmZmZmZmdXY/wHMFGa/BzE8AAAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAA1cAAAEkCAYAAADD68u2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOydd7gVxfn4Py+9I0gTRQFFrFixR1BjiC32kpjkp7HXEGNMYomoiYkav3Zj7DWJiUaNvURRYwcLYgFEUKp0EJD+/v54Z7179+65Z8+553BueT/Pc569d3Z2p+zs7LzzvvOOqCqO4ziO4ziO4zhO3WhW6Qw4juM4juM4juM0Bly4chzHcRzHcRzHKQEuXDmO4ziO4ziO45QAF64cx3Ecx3Ecx3FKgAtXjuM4juM4juM4JcCFK8dxHMdxHMdxnBLQaIQrETlORFREhlY6L07jQkRGisjkOlw/IrTNvrGwJtleQ5nvrnQ+stCQ8ppGIe1WRIaG8h5XZFp9w/Ujirk+xz0ni8jIUt2vlNTnvNUHROQKEZkkIq0qnZdyIiLbisgaERlS6bwUgxhviMgDlc6L49RXROQaERkvIi2zXpNZuBKRdiIyXEReFZF5IrJSRL4SkafCQLFFcdnOThgAjBCRdcqdVkMlDHBq++1R6TzWR0LbPq7S+XAcp2kgIvuKyC0i8o6ILMsy2RK+gSPXTg6LQ0T6AT8HLlXVFQVc91MReU9Evglji9tFpHvGa9cXkd+KyMsiMkNElojIRyJylYismxL/uJRvY/S7MRG3bxh3bJu8j6q+DzwKXC0iUkv+Jscn1+oRPwR2BEbEA0Xk7lrq54gsNxaRH4jIXSLyaXge00XkBRH5fkrcHiHumDC+XCYin4nIHSKySUr8zM8vxO8gIleKyEQRWS4iM0N66+fI+5Yi8rfw3JaJyBci8ncR2SYRb0Qt+VARWZmIn298dkHG+ItT8lxbXs5Nid8z9D9TRGSFiHwpItdJjvG1iOwqIv8RkanhHZ0oIreJSP9EvO1F5M8i8q6IzA+/d0TkdEkRTsQ4Vare/QUi8oyI7JIjH93Cs/xURJaGZ/miiBycEreliJwvIp+E5z5XRB4Wkc1S4h4iuScHrwA2AE7Lcb4GmQSi0LifBDYFXgD+CMwBegDfBe4CtgDOy5pwkQwFLgbuBhYkzt0H/API3Jk3Yt4Hrs5xbtzazEgDYjgwGWtbSb4H5PxwOgXRFlhd6UxkpCHlNY2G3m4HAo15l/tjgR8BY4FPgBqDdwAR2QpYoqqTEuHNgP1U9clyZ7RAfgMsAu7PeoGI/AL4P+BlTDDbADgH2FVEdlLVJXlucRAmIDwJXAV8DeyE9evHiMhgVZ2Zct3lWN3HSX4j+2LjjsnYtzXJtSHf+4f0EZts3ldVn05GDgP0+ar6ZZ4yrQ1+BzyhqhNynP9JStjbGe99K9YOHsPqtCtwPPC0iFyoqn+Ixe2CjS+fA74AvgEGAD8DjhSRXVT145Q08j4/EWmLPZ/tgHuBN4B+wBnAPqF9zYzF3ybEmR/KMBXYGDgFOFREdlXV90L0fwOfpeRrEPAr4PFEeFp9grXdjVPiA7wa8hFnZUq8iF9g4/M4o+P/iEgP4C2gN/BXrA/aChMe9hSR3VV1aSz+97G2PRG4Mdx/S+Bk4HAR2VpVp4Xo52FywaPAbUBz4EDgJuBgEfm+qsb79ZuBU4GR4dp24b4vi8gwVR0Zy0c74HWgT7j3GKxdHQc8KiKnq+pfQlzB2t5+IS83AN2B04E3QhnjbeoQ4P+RmGgAUNWZIvIP4DcicrOqrkrGqYGq1vrDBhifYg/zsBxxBgOn57tXXX+h0Ar0LXdaDfUX6ueJSuejof2wD+fIMt27RrsNnYECQytd9iLLJECHSufDfyV7nkNDezyuyOv7hutHVLosa6m+6txfAOsDrcPf5+bqDzDtwlTgkjBQGAnsDLwDPAV0rHR9xPLaCVgMXFfANd2AJdigvXks/KBQJ+dnuMeWQK+U8BPDPf6cCM/c/+Z7N0JfOAl4PBbWBxu8PotNEkzGBvc3YgPUverBs9onlOvQlHN32/CwTvffOyWsHSb8rAC6ZLjH4JDHm+vw/IaHuL9NhO8GrAFuT4T/NcTfJhH+3RB+bYY0o3sckCHuBtgk3jsp5xS4O2N9jyDj+BibEFDgh4nwH4bwCxPhz4Zn1i0RHr1fw2NhuwNtUtK8P8Q9MBa2bQh7GpBY+DrATGA80Cwlfz9P3HsdrN95PxZ2SIj710Tc/sBS4IVC2jywV7jf4VmeRxazwBOxzuFqVf13WgRVfUdVb46HBRXba2Lq4MXh7zS13WSxtQGbiciTIvK1iCwUkYdEpFcs3t3Y7BHApJi6c0Q4X2MNSyxsbxE5V6pUwuNF5P8l8pFzzYCkrJkJ4YNE5JGgalwmIh+LyHki0jwRL3XtQ1qaItJMzERtTKiLRSIyTkw9ntneMx8icoCYrfgdifAOIb2vovqX2HoMETkr1N+ycDwrx/33FJHnw7P8RkxFfEJKvJGhDfQWU7vPD6reZ0Vk05T4rYOa96OQhwUi8riIbJeIF8/z8SH+cjH1/nmJuApsBAyR6qr0vvE8Jq7ZScx0YnzI79ehjR+aofrT6uvQkOZJOc5/JGYmkaqJEJHmYmYX7+Y4f0q4/yHh/44i8nsReUtE5oS6+UxE/iQ2OxS/Nl6XZ4jIx8Ay4FwReSyUv1NKmoPDdb+LhdVYxxSFiZkdvCzWZ8wVMwvqkHLfIWLrBL4RMwm4TsyMI9OaHxHpKmZDPTG0obkiMlpEfpWWr2LzmtZuQnid3nvJ3rfmSv9gMROMZWImIZcBaeYamdtIIYhIG7E+dVxoOwtE5EMRuSoRL3Vdk4icFq5dLiITRORMSe//o357oIhcLmbOslxEPhCR/VPue7qIPCci08TMZGaIyP2S0ZxLRDqLfce6ZYmvqtNUdXmGeH/HLEMEuBMbcF4FnKOq+6vq17E8rCcifxEz8Vkh1ifcKjZTHcUpZ9+/P9AeE/qycgg26L5BVb/VFKvq48DnwI/z3UBVP9J0zdSD4bhVrmtDO09dGyZmKv5S+Pcuqfo2jIylrdjg8/tRH6CqU1R1Z+AvwN+A9YCHMS3HFqr6UiwNEZGTwnu2OPw+FJFLE3npJiI3SZUZ15Tw/7qJeJneL+BIbFD/XC11IyLSSUxLWhCq+mJK2FLgCay/GZjhNl+EY5da8pjz+QX2Cse7Enl5HZiAaTbbxE5F37LpiftE/9eqRRWR9sAx2ITIM7XFDRyPLdG5vZZ7tpKUb2Et8TtJ7Ut19sK0g/9IhD+IfduPT4R3CuHzE+E16kRVX1PVZSlppr2L0bO5J7xH0T0WYFqnAZiwFs9HPN2IhSEP8WeT67l/jmkD9xGRDcG+lZjWKmmKeVzs0lfC/Y9MKVsNspgFRva1SbVkTkTkdEwF+CkQdRDHYWq7U1Q1ea/1sdm4RzBV6jaYCrYTZtoCNhPQCTiU6mrPMRmydDmmgfsrsBxTfd4tIp+p6mtZyxVHRHbEVM0rsbLOxGbargj5P7aY+wIXYHX2OHAL1vn1A34AtKZ2dXBEyxwfeFXVueGPJ0XkWuAXIvK8qkYv2c1Yg94/5WN1FtALq8evsVmE60Wkq6peEkUSkYOwZzkTM0/8GutsbheR/qp6QeK+7bGG+yZwfijvz4HHRGSr6IMrNsh8Bptxug+bBewMnAS8JiJ7quqoxL1PBXoCd2CmpD8GrhCRqar6txDnJ8A1WJuKmyrMTqnDiEOBzYB/Yh+AdbGX898icmzs3ll5HKuvn2Hq7m8Rsz3eArgg3gHFUdXVInI/8CsR2VJVP0pE+SlWvsiEaH1s4uRh7OO/ChiCqeW3A4alJDM8lPO2kNcpwCisbf4QaxdxTsBmBu+sreCBbbGP7l0hP0Nj158cRRJbM/gc1sn/CXumR1G9A87Hv4A9sfdrDNY3bB7STA5Ais5rgWR674voW6shJvw/jM2kX4o99+OBA1KiF9NGsnAT1s7vxUzBWmB9zt75LhSRX2PP/V3gt9ig/FfU/q7eg9Xfn4FWWDt+VEQ2VdXJsXjnYn3Q9cA8bBBwIrC3mNnL3DzZOxRrE5eQYlpSRxRrX5oI+5YwUHgDK+MdmIZkE+x7t5eI7KiqC8vZ92PtA0yrlpXB4fhGyrk3gR+KSAdVrbHOJAMbhONXOc7/B+gIqIh8CFylqnFzxlew8cP52Bjo1Rz3ewMbs+xB9QF10qxYqWnqeh82XngL+/4swL4tR2Bme4hIZ8wcahOsP30XewdPw9rnTjEhO+v7NQT4SGs3uVyI1c8KEXkF02i8VUv8LOR8JuEb3xkTvjah6j3KJazne35g/SeYtiLJUmz8sTVVbfZZbLxyn4hcjAlJ/bGx3Qysf66NI7Gx6vXxyYI0RESw/ncJ8Pcc0Y7Axi3NRWQ2JqRcqKoLc8Qfg9XJahF5G7hMa5qntgaWJccTqrpGRL4B+otIN1WNxtnPArsA9wQhfQ7WP16NmWUmhbQ00p57vmdDSDd6717EvkN/FJEloaxdMJlgHaqP37Lce2fgy3BdM+A7VDfdfD36I4yx3qGqj6udDOrDucDCLGqwEL8Lpp77DOgUC++EdfZfA+vEwidjnc1RifvcFMIHxsJGkEPtSYqaOBb2HtAqFr4+JmT9PRbWlxxmLWnpAq9hD3lQLEywwbYC+8TCRwKTU+5bI02s0/w4a32n3FNr+S1OxG2FDY4XYp3HT0g3oRgawr8GNkhc/zY2cNkghDXHhI0FQO9E3Newj82ARN0ocF4izV+F8GGxsF8kw2Jt60tiZjqxPE8HOsfC22EDsTcS95hMDjOftOcHtE+JF5k8fJwIT2s/UduMt9fLQ9gWietvC22td1r+YvG2DNdfmQjfOIRfn3geLVPucVmIu1NKXc4DeiTiNw91/3ZKXSwEnkppn3enhK0Bdk6EPxnaVodY2NvYDFr/WFjL0LZS39/EPTuTYmZSy7tUl7zWaDchvG8yr2R47ym8b62WfuxZzSFm3hHq5AsSpk8FtpEaZaqlHPOS7SJHvMlUf6e7YrOtY4iZnWCD/oXUfJ9GhLAnqG5yEpka/TGRXto7HZlOJfunankLYcdlrYOUdGozCzwKm8i4lJpmgU8SzAKxmd5ZxProEL4j1n/E21vJ+/4Q/jIwr8CyPx7SaJty7spwbtNC6zRcH32P906EHwU8gE2KHAScjfXdClycow6OqyWdPUKcX4b/N8D6pOcwQWkyJgzdRMwsMORDMQGrWeKecXOoP4R4pyfinBHCLyvk/cL6gtXAv3Oc/xMmmB2LaRYvxia0VgDfLeZZhPtuE9rMKznOH0j1MctMTEOb9k5kfX5Xh/BDEuHrYf2JElvygo3jLgltPp6Xt8jzDQ7Xv4p9I/pliBv1L3flOP8W1jccgk2Q/iPEH0PCLB+bNPorNsn7A2wMNS3k5bhE3IfDfbZNhEdmegpsHwtvjU2+LEvUyZPEvkW1lLMDpoVeAHSNhUemv9cm4gv2Taw2bgnnDsP6w2Q72T0R7ywSJoshvB02LtR42yKDKSymXVRg3bxlzlApK4GpBbw8UWdxdsq5n4dzR8TCJgPTUuIeTk37zBEUJ1ydlBL/Q2BU7P++ZBSuMEceSkrHhHUeCtwYCxtJ9kHWS9gHb4+sdZ64p2Kzfd9N+Q1Nib8xtuj0A6wzeYfEgIqqj8stKddHNrCnhf93Cv//X0rcg8O5cxN1s5qEjS6wQ4h7ZixsNDZL0i3ldwc2gGibyPMfUvLxODAnETaZAoSrxPl2mEanG2YGolQf/NZotznaaz+sI7w6FtY+PJ/Hc6WfyMsorEONf5gvCWntkOOaFtjAvRs2K6PAWSnPP9XWPFa+rWNhPw1hhyfiKukCy2sp9/1lOLdV+L9n+P/BlLhRv1Pj/U3Ea4V9IEaTxza9Lnmtrd1Q5HtP4X1rtfSpejevSrn+t9QygMzQRmqUqZZyTMKEua3yxJtMdeHqaGJ9TSLuzeQWrvZNif818FCOdJthAmfUtywAHq4tb3X9UbtwtRVhoIa9iyNj+Tww/N0Z60dvJ71//BR4PXHfkvb9Iexj4LMCy/7fcJ9mKecuJWUQmPG+0Tv514zxW2PjgpVU76ujOkh9N0KczUOcK2Lvy36J9tI3/L0NsGH4+7FwXc88efsYE5xbJMJbhPCxhbxfVI1hbq8t3cQ1AzANy4Qi23h3TLBcRA5hObTV72KD7l9jE+OXJctd4PPbJOR7BtaHbIRZLryFCYsK/Dhxr9MwDeTp2Ljl4pDvd4hN1qbkIXLC80K+/Ib4fw/xM4/3MC2qYpYs+eKuG8o9n+oTf9/B+ovxmCnvhtjETbQerlqeQju7AJuoOgHT0v8ZU1I8RcokXOza5phDCaXmGq8WwEchzfPCsxqECTpRPpJr4vbGhLqLw7M5PbSrOcTWyWHfq6/CczsJG18NDvmN7n1hLP7d5BeurgjXbZ6v7rPY0S7CVIxZ6ReOSbOkeFj/RPjnKXEjE4wablSLINf9i713bWX8BBsgJ8uYlfOxwd+rYrb/D4jIj/LYFCeZo6ovpPxGJiOq6kTMK9Mg7CX4oarmMj1MeuUB6/ShqrzFPP/pWtNGN+35b47NAs5O+f0s5D9pDlnqZw986zr2VhH5Cuu454R8nBqiFLxdgJo3sBeAn0jVOpujsPcvpz12gnswD0DfDfkUzKTgI1VNegw6XUTGYB3kvJD/keF0mo37+Bxp3oF11PE1dSdgH/3/ZMx3lj4galtpHi8zecFUcw09HBuwThJby3aDiOyTMZ9Z81ooWd77Yt6tONG5T1POpXniKqaNZGF4uPZDsXVvt4utA8v3PSr2+WfqA8TW5o7E3ucFVPUtnSm+rHVGVcdqwlNgCF+jqk+EfwdiwtYJpPePA7HJifj1pe77wQYeNdaFiq3n6pX4RW07MtFpnbwOaJOIkwkROREz8X0SODPLNWrr3/6MDfi+lyd6jSSj24R7rdIUT4Hh3Ada5SlwADBDVXOZLUb0A8ZpwktZ+H881Z9BlvdLE/nOi5pHwX8Cm0jKeujaEJGuwPPYt+kQVU39lqhqNHZ5XFWvwMyVT8M0fvnyl/r8VPWzcJ8lmOZnMqZhnUrVd3VRLK+/xzxiH6eqN6vqY2qmr0dhWuBqa3MTRN/AvN/rUCeHAp+q6v/yxY9xFSYcpJlyV0PNlPkWbDyyWyz8Vcz0sSP2jnyBTTq/hAlQEKsTTPA4AThSVe9Q1UdU9VxsUm8/wnqlJKHN3YkJQReorR+N529VuP41THCZgE32bId5Ha2WDxEZhrWjG1T1kvBsbsaWBbQg1k5UdT42DpqImfR+jmnb24W0kmXMQrX3vDayCFdjgU6S8GVfYmqzSy2FK+Fc94/fu7bKquseXrnuXeO+qvoGNqN4BLZuaVtM/f1+eBnLwUHh2JZsi0xLTdbnL9jM1L61/JJrL0ruSjsILM9hHco92GzY90P60VqrYjfovhWb4ftB+P8ETOWd1d3y37GZu5+G//fAPrz3xiOJyDlYRzQDWytwAJb/40KUtPynDnBUdQo2y/fjsPB2ADYzeG8tg7Uk5e4DvkVVb8E0LSdhpgdHAC+IuVrNQta81vf3vlaKbCN5UdXHsPr/CWZDvw82szmywEmkrOTt/0VkMPZO98I+6gdjA7R9MUGs2Pe5pKjqSFUdmnIqKsv95O4bf5pyXan7/tmY+WaSc7F2FP9Fg71ocXrankPrY+9RcgF7TkTkZ1g/+hymOc/aB4ENvKHmJF0+ojKnrv1T1b5afX1f2cj4fs3FJoEL7Vsmh2Pm+gn91wvYxOghmuLoIheqOj1ce4KIpAnfmfIXJpYHYKbzQzDN4eGxeJ+GvLbE2uqrmlh3qKrPYBreIWkJBwcSP8Xq9pEMeT0Wm1C4I1/ERD5WYu9D1mcwORyTdfIvzHx1O+x73VtVTw1hqwgu5sNazmOBJ1X1m8S9/xWONeokCFa3Y3VyiapenqM8X6rqXgSnYpjGdRtsshGqTwb+GtuW4pnEPWZi5pi7xL8hqvqhqm6HPfsh2JKUoVRN5KRNNNZGre95nCxCw8NYxZ+Iza7mI5ol3BJT98fZIhGnUPJKi3VgXjimdTZJwTKaRdwyJe5m2Ic4XsZ5mJlbvvsCoLZw9+Hwiy9iP4FsC+4zI+bx6QeYjfVhmKOPQao6IyX65ilhyWcaf/754hbKBEzweFFV1xR5j1wU0rYGYaYdl6rqxfETYca0LkTrJk4QkbHYjMwVyRnLXKjqHBF5CtuPowPWsa2h5p4zP8E63f3idSkpGzxm5FZs8H0I1llDgR+NDEwOx7RBYEEDw9C+b8ecrDTH1jz8UESuVtVCFuPXRqnf+7r2rdG5Ghsoxq6PU+o28i2qOg9rk/eHyYo/YWYhB1P1wU4yORwHYoPGOHUVDH6EaW/2i2uJxDx/VUxrVQCfYX1YK1V9IcsFZej7wSZjhyQWw4NN7iRn5z8Ix3cwRzC7UnPPoF0wjU0mZxZBsLodG5Afohm8MSYYEI5xTVKWb0O00e3YAtMbj+390zOP9upzYKCItIh/C8KAflMS732+90vNccEnVJU3K2n1k5OYYLUF5vL92QLTAxP8m2NrS/MNanPmT82u61sNfRDW9sbMWCNNWjds4N08eX2ox+bkHjcfhGmHr8vY7k7AJkLvzRcxkY82mAD0ZsZLaquT1cT2bhPzErod8LJW7XMVTXrUqBOq6qJancQEq+OB36vqiHyZDNrc+N5v+2Njl3ibWR9oJiISnmcyL81JmQgL2st437IfprWKO7TL+p7P1PzOjTLNxt2OmVycKynufgFEZIcwEABT2S0BzhKRjrE4HbEFZotDnGKIOtiSz+SqedqZiXneic9o9scGjPG4szAvIgeJbfAYxRVs7QJUn7kYD3QUkZ1icZthDhqqIele/iL32iUtt9hmeVdhquALMDVxJ8xLTlrbOFZENohd3worw2qqVMnvYi/I8VLdlX5LqpxUPFZklu/FZpbPyVGenmnhGVlM9vqNZsKraVRCWyjKFXtEmJW6G/PEFgluhQop92Cq7x9jnoueDzOAcVaTMOEJH+rfUBxPYrNpp2AavddUtdBZoVoJs1OjsMHItwJKaFs/z3IPEWknCTfi4QMTeR0t5TtW6ve+rn3raMwU5vh4emJu9E9NiV/qNoLYlgHVTGbDRzLalLO2+n+e4O1VYq6TQz9TrHfWiNR3GptQzKS1kgJdsZeS8LF/CjhMzLtoMm8iIt1j/5ej74cqk9FqeVDVz1PM1CO3zo9hjgXOlNg2JmJeZ/tjGtx4WTYM9ZzcouA4zPnPi8DBKabm8bg1zHfFPPL9GjO5ig/osow7dsFm+wv1PhyV7cpkvcfHIZjmqTs2yR3npBD+SLimkPdrJLC5JLbREJH2Ut01eRS+HfY9+SSYlEbh7cLzWC8Rvwv2zm6JaRBTzSRD3NRvt4hsgWneJqrq7Fh4Ic8vF5djpsFxD3NfYZqnPUWkXyL+Udh3NdfkW2QSmPd7LeZtehtsLfWsHHFymZhfhgkSj8fitgjlT96jD2ZWOZeY57sc6TXDPKU2p3qdjMPe80OSbYsqK4Zv6yS029swwepyVb2otnRz5OUH2GTtfar6RezUx9g69CMT8fthSqAPa3vvQ9yzsGUB12h1T5mLw/nU9zz0TZGX8Lzk1Vyp6lIRORAbPD0qIs9hL8xc7KXeCxsIXhniLxDbR+gm4C2p2ifmOEzqO0Vzu5DMRySpXyEiD2Bqw7GqWuhsUS5uBH6P7SD+KGYffCo2GzU4EffnWCW/KiKRK/YDsbr4m6rGZ5ZvxRbXPiIi12Ev/xGk1/8nIvImtthyOubR5uRwTVazpfVFJNfeIG+o6sQwI/sPTHr/cZiZfk/M1fE1WCf1x8S147FnegumHv8RVi+XBdMw1NxVnol19u+IyK0h7tHYB+hyzb0bfD6uw8xbrhKRvbGP6CJsMeY+WHvYK/fltfImpi26jKp1c49rupvaT7A1LueFgfo4bPbwFMxsMU1bUQi3YYLoD7EZpELr60ns/bwCGzDdkxLnIez5Pi0i/w7xfkQ2V/81CM/9TuDCEJRFy10M52L9z+sicjPmBOIozFEF5J992hTb+f0R7L2ej83Kn4ZppF+t5dpCKel7X9e+NTyjX2DrJt4WkcgL5c+w9rJh4pKStpFAR2CGiPwHG/DNwtaTnIY9i8dzXaiqc0XkEmxQ9JrY1gPtsHoaj334irVueAQTFp4KfdYKrK8ZRNW2H/koyBW7iAyiyvw32krgJ2LbDYCtKyjkW3kaph16RUTuxeq3GSagHIxNTo0oV98fiEyn9qe60JUTVZ0tIhdh62VeEJG/YzPUv8TMdq5NXHIvZuLTj6DNDIOxO0KZHgQOry6bsFhVH439/6GIvIz117MwM7qfYe/dL1V1aizux6FMp4vIUmw93qzIvC0MJr8PPJNVwxYr+79E5EHMwmBAeC/mY/3UMKr2BLoSG1DeJCLbY892O2xAPy6ch8Ler39h3ga/j/UJEQOoGgNNwCZ0tgn1s5qa203shAnp91A12Abrp7fHTNW7pIxJXlfbcwjgtyKyL/btmoxNcmyFac9bhnzGKeT5ISKjQx4nYJqpQ7Cxwq2qencUL2j0RgA3UNXep2Jm2idifcGfE3lBRHpj9fi2qn6YPJ9ClrVZF4aJkpewCesO2Hu1F/aduCEWtwO2hvhRbHwyH9PmnxjO/TBu0idm1fI21u9NwtaV/hAbu1ygsX3YVHWe2NYNv8T6idswq4zdsUmtiYlyXIU9iw+w71ryuU8MZvBRXu7Anvf72CTLHuG+71Bz0vRyrJ7vF9vT8H1Mi3catj6z2rhDzIrnc+wdVszU+xCsncUFSLAx4JnAzSISef99K2bJMAQT7HJZVlRHs3soaYd9fP6HPbiVmJT/JPYCNE/EPxSTlKONvV4n4QozxJtMitclcnjowVTbn4f0leCditq9BQ5Nuf9IarrXboF1UjOwgfq7mKp3RLhP30T8bbAZpXnYjOonIX/NU9LbH2sIy7HB0xVUeZYZEYv3G2xvjVkh7pTwMLdP3jPHc9I8vxNDvDsxAWL/xPWCfRRXEtxNx58F5oDQwF8AACAASURBVPJ0QsjbBBI7ZcfuMwTrXBeFunwPOCHLcwjhfZN1E3tGZ2MvXtS2JmAzgN/L1340h1cYzHvSw+FZRvvJ9K2lrWwUnstsbC3S21ibr9FWcoQdR462Gc5HHrR+kvUdTVx/Q7h+IekujptjWtbPwrP8Amv7kdereJvMWZcpdbI6PPMabq1j7fPufGG11RFmyvFmaFczMaF75xD3vDx5XBcbQL6PDZK+CXVwLbBeGfJa8vee7H1rjXYbwg+L5WkKNhO6b/IZF9hG+ibDctR/K2zg/jYm0C3HvgF3EtuiIcSdTPq34QxssB/1QWdS5XY37h5+BCn9dq57Yx/d0VQ5qPkHJnCmxU0Li9pArXWQEj/Xr0a+M9yzGza4GY+9HwuwAeh1hC0eKH/ff3N4tq0KzPtx2IBsGfYe3Eli64dYu87Vx+b6TU7c4+rwrOeG8s7BNH/DcuRtf2w8ELmiHhk7NySEHVDo8wrXNwtt+l3sW/I1pkm/OBGve6jbqSHPU7GJlvi2CpnfrxD/IxKeaDHrkPswwXZRSOtLTHjaLOUeURtJ6ytr+8X7mu9ikzmTQx0sx8Z5dwFbpqRZ6PO7EXsnloYyvULCc10i/uGhnS0M95+OCfWp7tWp8uBXwzN1Sty22Hv5JSkeMmPxDsY0cNNCu1uC9dvnU9O7cmtMwPmQqvH5jFCnO6XcuxUm9E4K954X0spVf4JpSd/CNDwrw7O6Ceie4/3M9Uu2k1PCs1yIfY8/DGWsMW4J8bcL5foKmxycj03qDE2JexE2ibo4/N7BvAumjdGbYYLzVKqsNuJt9K5Qp3k9V6qq7f3hOLURZgheAo7X2CyPUz7CjMuu2CLT5CLSekkwC5kC3KGqp6zltA/HOtwfatWmqE4TQURuwISs9bTmBrhOkRTT94tIX2xgfqaqZvVy2mAJWvA+wGBtYAMqETkGW5u1papm8rjqOE0NMdPzz4HfqOr1Wa6pFx6QHMepQkQ2wUxC7m8oglXgNEzbcWu5EhCjTSKsJbYObxVVaz6cRkiOtSDrYWZVY12wqjxqXvGuxcyayuH9sd4Q1iEdjJmiNSjBCiBMRL1D1fpex3Fq8htMo/WXrBfU1cW44zglQkR2xkyuzsbWfFxd2RxlI8x+boitE3tWE/tplZjWwBdhzeU4zMzvaGxtzBU+uG70DBWRq4B/Yx+7vpi5Sgfq4GjDKS2q+huawPNQ1WhdW4NFVXetdB4cpz6jqsOx/eMy48KV49QfTsNm4D8HjtW1tC9KCfg7YQNcqm8kXA5WYus8D8YWLwsmZJ2htpmg07j5DFtAfRImWC/DPEj+UTO6IHccx3GccuJrrhzHcRzHcRzHcUqAa66cktOtWzft27dvWdNYsmQJ7du3L2sa9ZWmXHZo2uVvymWHpl1+L3vTLDs07fKvrbKPHj16jqp2zx/TcfLjwpVTcvr27cuoUaPKmsbIkSMZOnRoWdOorzTlskPTLn9TLjs07fJ72YdWOhsVoymXf22VXUS+yB/LcbLRoBdiOo7jOI7jOI7j1BdcuHIcx3Ecx3EcxykBLlw5juM4juM4juOUABeuHMdxHMdxHMdxSoALV47jOI7jOI7jOCXAhSvHcRzHcRzHcZwS4MKV4ziO4ziO4zhOCXDhymmcrF4NN94ITz5Z6Zw4juM4juM4TQTfRNhpnDzyCJx1lv09dy507VrZ/DiO4ziO4ziNHtdcOY2T++6r+vuhhyqXD8dxHMdxHKfJ4MKV0/hQhTffhJ/+FLp1gzfeqHSOHMdxHMdxnCaAmwU6jY8vv4RZs2DnnWH+fHjrrUrnyHEcx3Ecx2kCuObKaXx88okdBw2CrbaCCRNg5crK5slxHMdxHMdp9Lhw1cQQkU1E5K8iMkZEVovIyJQ4IiLni8gUEflGRF4RkW0rkN3imDDBjgMGwKabwqpVMHlyRbPkOI7jOI7jNH5cuGp6bAnsD4wDxueI8xvgIuAK4CBgMfCCiPRaKzmsKxMmQIcO0KMHDBxoYePGVTZPjuM4juM4TqPHhaumx+Oq2kdVjwQ+Sp4UkTaYcPVHVb1RVV8AjgQUOHPtZrVIPv8cNt4YROwIMGlSZfPkOI7jOI7jNHpcuGpiqOqaPFF2AzoB/4xdswR4HNivjFkrHVOnwoYb2t/du0Pr1jBlSmXz5DiO4ziO4zR6XLhykmwGrAYmJMI/CefqP1OnwgYb2N8i0KePC1eO4ziO4zhO2XFX7E6SLsBiVV2dCJ8PtBORVqq6InmRiJwMnAzQs2dPRo4cWdZMLl68ODWNZsuXs+fcuXy+YgVfhvPbdOxIsw8/5L0y52ltkavsTYWmXP6mXHZo2uX3so+sdDYqRlMuf1Muu9NwceHKKQmqeitwK8COO+6oQ4cOLWt6I0eOJDWNzz4DoP+ee9I/Or/11pArfgMkZ9mbCE25/E257NC0y+9lH1rpbFSMplz+plx2p+HiZoFOkvlABxFpngjvAixN01rVK2bOtGOvmGPDnj1tU2HVyuTJcRzHcRzHaRK4cOUk+RRoDmySCN8snKvfzJ5tx+7dq8J69IBly2Dx4srkyXEcx3Ecx2kSuHDlJHkdWIS5XwdARNph+109XalMZSaXcAXw1VdrPz+O4ziO4zhOk8HXXDUxgqC0f/h3faCTiBwR/n9KVZeKyJ+Ai0RkPqatOgcTxG9Y6xkulDlz7NitW1VYJFzNmgWbJBVyjuM4juM4jlMaXLhqevQA/pUIi/7vB0wG/oQJU78F1gVGAfuqav1X/cyeDR06QJs2VWFx4cpxHMdxHMdxyoQLV00MVZ0MSJ44Cvwh/BoWs2dXNwkEF64cx3Ecx3GctYKvuXIaF3Pm1BSuov9duHIcx3Ecx3HKiAtXTuNi9uzq660AWreGzp1duHIcx3Ecx3HKigtXTuMizSwQqva6chzHcRzHcZwy4cKV03hQzS1c9ejhwpXjOI7jOI5TVly4choPS5faZsFJs0Bw4cpxHMdxHMcpOy5cOY2HtA2EI7p1qzrvOI7jOI7jOGXAhSun8ZC2gXBE164wf76ZDjqO4ziO4zhOGXDhymk8LFhgx65da57r0gVWroQlS9ZunhzHcRzHcZwmgwtXTuMhEq46d655rksXO86fv/by4ziO4ziO4zQpXLhyGg+RcLXOOjXPrU3h6sQToVcveP318qflOI7jOI7j1BtcuHIaD/VBuHrvPbjjDvjqK/jVr8qbluM4juM4jlOvcOHKaTwsWADNmkGHDjXPrS3h6rHHLA/Dh5vmaubM8qbnOI7jOI7j1BtcuHIaDwsXmtZKpOa5tSVcvfACDB4MP/mJ/f/ii+VNz3Ecx3Ecx6k3uHDlNB4WLEg3CYS1I1ytXm1mgTvvDIMGQZs2MGpU+dJzHMdxHMdx6hUuXDmNhwUL0j0FAnTqZBqtefPKl/748bB0KWy/PbRoAdtsA6NHly89x3Ecx3Ecp17hwpXTeKhNc9WsmZ0rp+Zq/Hg7brGFHbfeGj75pHzpOY7jOI7jOPUKF66cxkNtwhWYaWA5havPP7fjxhtXHWfPhq+/Ll+ajuM4juM4Tr3BhSun8RA5tMhF167lF646d65a3xUJWRMnli9Nx3Ecx3Ecp97gwpXTeKgPmqv+/au8FW6yiR1duHIcx3Ecx2kSuHDlNA5WrTLzu1wOLaD8wtXEiSZcRbjmynEcx3Ecp0nhwpXTOFi0yI6V0lytWQOTJlUJVGAeCrt1c+HKcRzHcRynieDCldM4WLDAjrUJV506VQlhpWb6dFixorrmCkzYcuHKcRzHcRynSeDCldM4WLjQjvmEq+XL7VdqJk+2Y9++1cP79zeNluM4juM4jtPoceHKaRxEwlWnTrnjROuxyuEaffp0O66/fvXw9de3c6qlT9NxHMdxHMepV7hw5TQOInO/2oSr6Fw5TANnzrRjr17Vw3v3hmXLqswWHcdxHMdxnEaLC1dO4yDSRnXsmDtOuYWrli1tL604661nxxkzSp+m4ziO4ziOU69w4cppHBQiXEUmhKVkxgzo2ROaJV6p3r3tGJkNOo7jOI7jOI0WF66cxkF90FwlTQLBhSvHcRzHcZwmhAtXTuNg0SIQgfbtc8eJHFqsTeEqMgt04cpxHMdxHKfR48KV0zj4+mvTWonkjlNOzdWMGenCVfv2lu7aWHM1fz787nfw3HPlT8txHMdxHMepQYtKZ8BxSkIkXNVGuYSr1ath9uwqLVWS3r3XjubqpJPg4YfNscaHH8LAgeVP03Ecx3Ecx/kW11w5jYMswlXbttC8eekdWsyeDWvWpGuuwISucgtXX3wB//63CVgi8Je/lDc9x3Ecx3EcpwYuXDmNg0WL8gtXIqa9KrXmKjL5q6Rw9cQTtlHxeefBAQfAQw/5xsWO4ziO4zhrGReunMbB11/XvoFwROfOpReuZs2yY48e6ed79DDtVjl5/nno3x822QSGDYNp02D8+PKm6TiO4ziO41TDhSuncZDFLBDKo7maM8eO3bunn+/eHZYsgW++KW26Earw5puwxx72/5AhdnzjjfKk5ziO4ziO46TiwpVTAxE5TkQ05XdqpfOWk0oKV3Pn2nHdddPPR0JXubRXU6fCV1/B4MH2/4ABtr7sgw/Kk57jOI7jOI6TinsLdGpjbyCubvm8UhnJS5Y1V2DC1cyZpU17zhxbz9WlS/r5uHC14YalTRvg/fftuP32dmzeHLbe2oUrx3Ecx3GctYwLV05tvKOqiyudiUxkXXPVqVPp1yLNnWuCVfPm6efLrbmKyrPZZlVhgwaZ90DV2vf+chzHcRzHcUqGmwVWCBEZJCIPishEEVkuItuH8D+IyH6Vzl+DYvlyWLkym+aqHA4t5s6Fbt1yn18bwlW3btC1a1XYNtvAvHnm2MJxHMdxHMdZK7hwVQGC8DQa6AXcC7SMnV4OnFWJfKUwUURWicg4ETml0pnJyddf27GSDi1yrbeC8gtX48bBpptWD9tmGzuOGVOeNB3HcRzHcZwauFlgZfgjcLeqniQiLYCLY+feByrtOGIGcBHwNtAcOAa4RUTaqeo1aReIyMnAyQA9e/Zk5MiRZc3g4sWLv02jzfTp7AJ8Om0aM/Oku9HcufRbtoyXn38ebdmy1rhZ2eGLL1jevTtjc6Wtyp7NmzNl9GgmlaBe4mUH2HXsWOYNHsy4WFjL+fPZHZjw9NNMa9euzmnWJ5Llb0o05bJD0y6/l31kpbNRMZpy+Zty2Z2GiwtXlWEz4Nzwd3Kn10VAVyqIqj4LPBsLelpE2gAXish1qrom5ZpbgVsBdtxxRx06dGhZ8zhy5Ei+TSM4bths8GA2y5du0OQM2W672k35CmHZMjoOHEitZe7enY3atmWjEtRLtbIvWgRz57LekCGsF7+3KrRvz4BmzRhQ5mextqlW/iZGUy47NO3ye9mHVjobFaMpl78pl91puLhZYGWYBfTPcW5L4Mu1mJesPIQJfX0rnI+aFGoWCKU1DZw7t3azQCjfRsITJtgxaRYoYpsKf15/HTw6juM4juM0Nly4qgz/AC4VkT1iYSoimwK/Bh6oTLZqRRPH+sPi4NCwQ4f8cTt3tmOphKulS21z4HxasO7dyyNcRcLTxhvXPLfxxjBxYunTdBzHcRzHcVJx4aoyXASMAl6mSkv1GDAWGANcXqF81cYRwBzgi0pnpAZLltgxi3BVas1Vvg2EI8olXE2ZYse0/bP694dJk2BNDSvO0vPSS3DHHbBiRfnTchzHcRzHqaf4mqsKoKrLgQNFZB9gH6AbMA/4r6o+X9HMASLyMObMYgzm0OLo8Ds7bb1VxYmEq/bt88ctl3CVT3O17rpVcUvJl19auddZp+a5jTeGZcts0+TevUufdsTTT8P++9vfb74Jt91WvrQcx3Ecx3HqMS5cVRBV/S/w30rnI4VxwM+APoAAHwM/VdX7KpqrXERmgYUIVwsXlibtrJqrddeFBQtg9ercmw0Xw5Qp0KdP+kbB/cOyvokTyytcnX8+DBgAe+1lgtW558LAgeVLz3Ecx3Ecp57iZoEVQES2yPerZP5U9XxVHaiq7VS1raruUG8FKyhOc1Uq4WrBAjumaY7idO1qHvxKlW7ElCnpJoEA/frZcdKk0qYZ54MP4P33YfhwuPRSE/Luvbd86TmO4ziO49RjXHNVGcaS3zFECdUbjZxIuMqyn1MkXEUeButKJFxFjjJy0TV41583r+rvUjBlCgwalH5ugw3sOG1a6dJL8vjjJlAdcYR5RNxrL3jsMfjDH8qXpuM4juM4Tj3FhavKsFdKWBdgWPidvXaz08BZsgTats1mbteuHTRrVjrhKtJEZdFcgQlXpWL5cltP1adP+vn27aFLlyqnF+Xguedg++1NsALYe2+44AKYM6d0+4g5juM4juM0EFy4qgCq+nKOU4+KyO+Bo4An1mKWGjaLF2czCQTTsnToUFrhSiT/HluRcFVKpxaRRiqXWSCY4DV1aunSjLNqFYwaBaecUhU2ZIgdX30VDj20POk6juM4juPUU3zNVf3jJeDgSmeiQbFkSXbhCkwQKqVw1bGjacNqI3J4UUrNVaSRyqW5AjMNLJdw9ckntsfXjjtWhQ0ebFrEl3PNHziO4ziO4zReXLiqfxwALKh0JhoUS5Zk2+MqopTC1YIF+ddbQXnMAqdPt2NtngDLKVy9844dBw+uCmvVCnbZBf73v/Kk6TiO4ziOU49xs8AKICL/TAluBWwGDADOX7s5auAUYhYIpddc5VtvBVVxSilczZxpx/XWyx2nTx/bvHjZMmjTpnRpg5kEduoEm2xSPXz77eGmm8xssIV3MY7jOI7jNB1cc1UZuqf8WgOvAgep6hUVzFvDo9JmgVk0Vy1aWLxSClczZkDr1rULd+X0GDh6tAlSSZPIQYNMmPvss9Kn6TiO4ziOU4/xaeUKoKpp3gKdYlmyxLziZaVTJ5g1qzRpL1iQfYPerl1L69Bi5kzo1St9A+GISLiaOhU23rh0aavCxx/D8cfXPBe5hh8zBjbbrHRpprFiBbz1Fmy9dTYNouM4juM4ThlxzZXT8KnkmqusZoFgTi1KbRbYq1ftceLCVSmZMcPMMdOEp803N7f4Y8aUNs0k33wDe+5pvy23hC+/LG96juM4juM4eXDN1VpCRK4sILqq6q/LlpnGRqXXXGUxCwTTXJVauOrfv/Y40XqsaH1Wqfj0UzsOHFjzXOvWJnSVW7i69lrTWl18Mfz5z3D22fDoo+VN03Ecx3EcpxZcuFp7HFlAXAVcuMpKpdZcqRYuXE2aVPd0I2bMgN12qz1Op07mGn3GjNKlC1XCVS6zv622qvImWA5WrIBrroH994cRI8w0csQIy1e5TREdx3Ecx3Fy4GaBawlV7VfAL486wvkW1eLMAleuhOXL65b20qXmEa8SmquVK2HOnNo9BYIJHb16lV5zNW6c1Xmu9WabbgqTJ5sQVA6efda8IJ52mv1/yilW1gcfLE96juM4juM4GXDhymnYrFgBq1cXrrmCumuvFi60Y9Y1V127wvz5sGZN3dKFKocc+dZcgQlg5dBcDRyY25nGpptaOT//vLTpRjz1lAl33/ue/d+rF+yxBzz8cHnScxzHcRzHyYCbBVYQEdkD2BSosQGRqt689nPUAFm82I7FClfduhWfdiRcZdVcrbuuCRwLFxbm3TCNSFjKIlz16lVlxlcqxo+HXXfNfX7TTavildpMTxWeeQb23ts2LY44/HAYPtzSjNJ3HMdxHMdZi7jmqgKISE8RGQu8AtwG3Bh+N8R+ThaWLLFjIcJVp052XLSobmkvWGDHQswCoTSmgZGZXyU0V6tWwZQptTvTGDDAjuPHly7dQNupU83k8Pvfr37iwAPt+OKLJU/TcRzHcRwnCy5cVYargYVAH0CAnYG+wEXABEyb5WQhEq4KXXMFlTELhNIIV7Nn27FHj/xxe/Uyc8S6rjGLmDLFTDH79csdp0sX6N69LMLVOu+9Z39897vVT/Tvb2vAXnml5Gk6juM4juNkwYWryjAEE7AidYKo6peqejlwP+AmgVkpRnNVauGqEpqrOXPsmMWssdTu2COPh7UJV2CmeePGlSbNGJ0+/dRMLDfZpPoJEdvz6pVXzHSwnLzwgpV//fXhkUfKm5bjOI7jOA0GF64qwzrAbFVdAywC4uqH14E8/rWdb6nrmqu6UKxwNXdu3dIFE65atcqmsYtMB9e2cLXxxjBxYmnSjNFx3DjYaad0Zxp77gnTppXPkQbYvQ85xFzc9+wJRx8NkTbNcRzHcZwmjQtXlWESEPnQ/gg4NnbuIKCEO802ciqpuarkmqvZs83sLpe3vjiR5qpU664mTYLmzaFPn9rj9esH06eXzhwRYPFi2k+ebMJVGrvvbsc33yxdmkkuuMCOzz5rGqwuXeDcc8uXnuM4juM4DQYXrirDk0DwIc3vgcNFZKqITALOxh1aZKfSa66aN88u2EUeAufPr1u6YJqrrJ4Oy6G56tMHWuRxNtqvn5nnffFFadIFePddZM2a3MLVFltAmzYwenTp0owzYwb861+2v1afPiYw//KX5kRjzJjypOk4juM4ToPBhasKoKq/VdUTw99PY2aA9wCPAAeq6p8rmb8GRaXXXHXunE17BNCypQmBpVpzlVW46tHD8lhKzVU+k0CoihOZEZaCd9+14w47pJ9v0QK22aZ8wtV995kzjxNPrAo78UQzEbzB50Qcx3Ecp6njwlU9QFVHqeoFqnpOELacrBSz5qplS9Nu1NUVeyRcFUK0kXBdicwCs9CihcUtlebqyy9ho43yxyuHcDV2LCvWWcfWOuVihx1sDVQpNmtOcs89sNtutoFyRNeucOSRtoHxypWlT9NxHMdxnAaDC1cVQEQmi8gVIrJdpfPS4ClGcwWmvSrFmqusbtgjunRZ+5orKN1eV6tWmZC2wQb54/bubYJsKYWrDz9kST6t2Q472LOdMKF06YKV4+OPTZBKcvjhJjS/9FJp00zQfOlSOOMM08794hewdGlZ03Mcx3EcpzBcuKoM/wKOBkaJyHgRuVREtqp0phokS5aYyVvbtoVdVwrhqkKaK1m1ygS7QoSrXr1Ko7n66iszi1t//fxxmzc3DVephKs1a+Cjj/ILV9tvb8f33y9NuhFPB6XyfvvVPPe975mA//DDpU0zzqpVbHHJJXDLLdaOrrvOBL1yu513HMdxHCczLlxVAFX9lar2BfYAngJ+BnwgImNF5CIRGVDRDDYkliyxQW3WdU8RlRKuSqC5ahmZM2Y1C4TSaa6mTbNjFs0VmGlgqYSryZNhyRKW9O9fe7zNNjPBbuzY0qQb8fTTtlHxpil7fLdpA8OGwTPPlE/Yuf561n37bfjLX0xDdt118NRTcNNN5UnPcRzHcZyCceGqgqjqG6o6HOgDDAVGAmcBn1QwWw2LxYsLNwmE0pkFVkBz1TLaX6tQzdVXX9V94D91qh2zaK6gtMLVhx8CsDif5qpNGxgw4Nv4JWHVKhNohg3LLcjvs4+tRyvHHluLFsHllzNvxx3h5JMt7MwzYd99YcSIqrWHjuM4juNUFBeu6gftgQ2BjYDOQAk3BmrkRJqrQimV5qoCa66KEq569jRnC3V1plGM5mru3LrXNcCnnwKwdMMN88fdeuvSaq7ef9/a2p575o6z9952fPHF0qUbceutMHcuk044oSpMBC67zOp3bWivVq6E//3PPDa6KaLjOI7jpOLCVYUQkbYicpSIPAzMAu4AFDMRrMUVmlONJUsK2+MqolOnug3416wxbUIxmqvly+Gbb4pOumW0eXEhZoGl2utq6lRo1Sq7YFdKj4ETJkCPHqzO8ry32so0SJHDk7ry6qt2/M53cscZONCcePz3v6VJM0IVbr8ddt+drzfbrPq5nXc2jdnNN9tauHLx2WcwaJCVf4cdbI3ZnDnlS89xHMdxGiguXFUAEfknJlA9AHQAzgB6qeoPVPUBVXUbn6zURXNVF1fsixfboLeYNVdQJ+1V0WaBYKaBdWHaNDMJzLrGrdTCVdp6pzS23tqez8cf1z1dMI1Nv361m0OKmPbqxRdLq9l57TUYN6763lpxTj7ZzBFfeKF0acaZPds0drNnw9//Dtdea8LmfvvBsmXlSdNxHMdxGiguXFWG7sCvgPVUdZiq3qWqCyqdqQZJpdZcRdqjQs0Cu3a1Yx3M874VrtZdN/tF0b5QpdBcZV1vBVXC1Rdf1C1dMOFqQEZfL1sF55ulMA1UNQFnjz3yx917bxNCSmmS+Pe/Q7t26S7gAQ4+2ATtO+4oXZpxTj7ZTA9feAGOOQZ+/nN48EEYNQp+/evypOk4juM4DRQXriqAqu6lqreoqtvV1JW6aK6WLCl+o9lIwKmE5ipypNGqVfaLSmUWOG1a9vVWYIP+du3M019dWLzYvB1mFa769zf3/KVwajF1qmn8dt45f9whQ+z42mt1TxesfT7yiGmJcrXz1q1N8HryydKZQUb897/w6KNw6aWw7bZV4QcfDKefDjfeWFrHIUlU4d//tnrt148tRoyAjz4qX3qO4ziOU0dcuHIaNsWuuerY0Y7FelkrVrgqleaqEJNAMA1bq1Z1MwtULVxzJQJ9+9ZduIo2BM4qXDVvDltsUZqB/+jRdtxhh/xx+/WDHj3gjTfqni7AW2+ZUHnYYbXHO/JI21A42ourFKjCBRdAnz6mrUpy2WXWrn7zm9KlmUz/nHNsg+avvoKddqLLu+/aPmaPPlqeNB3HcRynjrhw5TRs6qK5guJNAyOzwEporhYtKly4EjHTwLporubPtzU2hQhXUBnhCsw0sBRajlGjTFjbZpv8cUVg113h9dfrni7A449DixZwwAG1x/vOd8zByUMPlSZdsHVmb70F559v7u2TdO1qws9TT8EHH5Qu3Ygrr7T1XWeeaWaWDz7I2/feC9ttZ8Lkyy+XPs0kY8bA3Xeb9rCunjYdx3GcJoELV07D6N7JCQAAIABJREFUpi5rrqB44SrSXFVizdWCBYV5Cozo1atuwlW0x1UhZoFQWuFqk02yX7Pllqb1qeugePRou1fbttni77abedebPbtu6QI895wJa/mE+BYt4NBD4Ykn6uSJshrXXmvt9ac/zR3n9NNNc3zFFaVJM+Kdd0xrduSRcP31Vj5g5TrrwLPPmtnn0UeXpo7TmDLF9hDbZhs4/njTHPbpA5dfXl6vjI7jOE6Dx4Urp+GiaqZQxQhXnTrZsa7CVaGaq44doVmzunsLLFRzBaa5qotZYLTHVTGaq/nzq+qsGCZMMDfnhTzrLbe0Y120V6qmudpxx+zX7LqrHd98s/h0wVydv/uuuT3PwhFHmCb3uefqli6YEP7oo3DSSbZmLhddusBpp5mDi1JtFg3wq1/ZBMKtt9b0TNm5s2no5s2DX/6ydGlGjB1rJqBvvQVXXw3jx5sWb9gwE/gOPbS8XhJVbcPqyy6D3/4W7rrL3d47juM0IFy4clIRkS1E5L8islREpovIpSLSvNL5qsY339hApC5rrop1x16scNWsmQ1Ii9WmqJpw1dA0V1A3j4GFuGGPKIXHwClTbGCbZb1VxI47mqalrqaB//2vte99980Wf+hQa4//+U/d0gX4xz/Mmcb/+3/54559th1vvbXu6QKMHGkmf+efn1szvPXW5qnwvvtK64J+1iyr75Yt4e23zexxwADYfXcT6G64wUw1jz66PBqsN980xyF77w0XXwx//jP87GfQpw/9br/d9sgrN3Pn2oTEl1/6ZtGO4zhF4MLVWkJETi/gd1qF89oFeAHb1Phg4FLgl8AllcxXDSLPaJVac9WqVfpalHx06VK85mrJEpqvWFGc5qpXLxs8FjsonDbNtAjrrVfYdZFwVRfTwPHjC1tvBWbG1bFj3TRXo0bZsRDNVdu2NkCuq1OL554z4SJr2i1bwv772+C/rgP/Bx4wxxGbb54/7gYbwEEHmSv4FSvqli7AiBHWxk46qfZ4F1xgbeLMM0uTriqceqpNfDzzDCQ3bBaxtG64wQTYUruhv+02c/e/YAHce69N4CxfDu+9B0ccwUYPPACDB9fdxDaN5cvN8+PWW1vfstVWsNFGpu0+++wqs9xysmyZTcB89lnpvV46juOsRVpUOgNNiBsLiKvAX8qVkQycCrQFDlPVRcDzItIJGCEiV4awyhN5+qvUmqtC11tFdO1avOYqMg8q1ixwzRqbme7Ro/Drp061e7RsWdh1dRWuFiywchcqXImYx8C6CFejR5sWatCgwq7bbTe4/XZYubLw+gIb6D//POyzjznTyMoPfmD7Yr35pmlbimHcOBMqr746+zWnngqPPWaOH44+urh0oUprdf31+Scu2rSxdWEHHGCCwTnnFJ8uwN/+Zvm/8koTMnJx5plWR1dfbWuyfvKTuqULluavf22mh//8Z5XZMpigft99jNliCwZdeSXssos5Edl++7qnC7a+7aij7P3cZRdbP9e3r713L7wAf/0r/OUvcNZZZqpYTH+bi0WLzOzxoYesza5aVXVuq63gwANtn7VysXSpOWOZONEsIdq0sbJvt11xFhGO4zi4cLXWUNWGpCXcD3g2IUT9A7gCGAI8XpFcJYlmN+tiFlgX4apQk8CIumiuogX8xZoFgpkGFiNcTZtW+HorqPteV8V4CozYcktz8lAso0bZIK9QDeWuu5qAMGZMYSaFEePGmUnihRcWdt1++5kw99hjxQtXDzxg5qvHHJP9mu99z9zQ33JL3YSrrFqriP33tzJfcgkce2zVZtmFMn26CU277ZZNSLvmGjM3PflkE8Tie4AVyr33mmB1zDH2dw5hfF7khXK//WCvvaxdf+c7xacbpX3yydY3RMJ8fI3bySdbf3HxxSbIPvEE3H8/7LRT3dJdtQpuugl+9zsTsLbbDs491xzWtGxpJokjR8JVV8GVV7Ll7rvb+1SXeo5YscLWCP7jH/Dii+nr51q0sH3tDj/c2lUx/WUaq1fbWr7XX7eJm2nTrE9v2dK+YxtvbP3N7rtb+sVMzNTGypXw/vsmTM6fb3XRpo1tSN+/v/2KnTTMwooV9u1btszK1qqVTTYWMoHkOA0AF66cNDYDXowHqOqXIrI0nKtfwlWlzAKLFa66drWPWzHURXMVCVfFOrWYNs0+voVS172u6ipc3XmnDWAKFUhVbQB0yCGFpxs5tXjjjeKEq8gpRdb1VhGdO9vaq//8x7QhhaJqwtXee5sDkaw0awannGJ7Xn36aU2Tuiy89FJ2rVWca66xAekFF5i2sFBUTZhbvtzcrmcZ6LVoYQP07bc3T4KjRlV5Ai2E55+HE04woeaee/IPpjff3Jxr7Luvabn+/W/4/vcLT3fVKjjvPKu7vfYybVmuPqVXL9NeHXOMrcHbfXfbVPq884obFL/xhjlB+eADK8Pvf59u+nrhhaYtv/lmulx/vQlghx5qAnihmmSwPuCWW+Dmm01g7NfP2uzee9t6zg4dTJM1cSK88op5pTznHCvnAQfYc9pvv289V2Zm1SoTFB96yDSjs2ZZ+EYbWb+41VYWZ9Eii3f//Xa+Qwd7l4cNo220hUehzJpl9f3GGybQjRqV35tov34mPO+8s/223bZ2pzZJVO1b8f77ts/gJ5/Yb8KEdKdGzZvbhMoGG9hz2Gwza+ebb17c98Zx6gEuXFUQEdkA2BSoMZJQ1afWfo6+pQuwICV8fjhXAxE5GTgZoGfPnowcObJsmQNYvHgx748ezbbAe+PHs7CQzh9AlSHNmvHl2LFMKiKv202Zwuo2bRhTxLUDli6lx6xZvFbEtT1ffZXNgbcmTuSbAhe3t506lZ2BT156ia+KmBHdffJkZvXvz4Qi8r11x460GjuW0UVcu9Hzz9NXhFenTWPN7NksXrw4c/vqsmoV2wDvP/AACwqc9W791VfsOncu4zt0YHqh+VZl13XXZcGjj/JJ5FijALZ68EHa9+7NW198Uc0RSJayr7/55gx4/nneuvdevtlww4LS7fTRR2z/+ed8cuSRfFVgmVtuuim7tmjBtIsuYuIZZxR0LapsO3w4bdddl7cGDmRNjrRzlX/jww5jgzvvZPTgwSweOLCgpHs99RSbPfUUE848k2nTplV5xcxAx/PPZ7vhw5m///58ePnlJmRmpP1nn7Hdz3/Osg035L3hw1mdxwFKvOwt//hHBp13Hu0POohPLryQ2UOGZE63xaJFbHHppXQdPZqphx3GxNNOQ7M4fRGhxc03s+n//R89zj+f+f/8J5+efz7LM05atFi4kP633UbvJ59kebduTBgxgjl77mnm3bW1te99j2WDBrHZ00+zwcMP0+KRR5i9555MPu44lvTrlzfdttOmscE//0mvZ56h+YoVzN15Z6aecw7zd9yxSksXd/TTtq0JfcOG0W7SJHo9+yy9nnuOVo89xvJ112XmsGF8NWwYS/v0qenJMqqqVatY59136f7KK3R/9VVaLlrE6jZtmLvLLsw+9VQWbLstK3MITC0WLWKd99+ny+jRdB01irZPPMHOwDfnnce8nXZi/vbbs6R/f77p1atKuFWlxddf0/7zz+kwaRIdP/mEzh99RNvp0wFY06IFiwcMYOEBB7Boyy1ZstFGrOrcmTUtWtBs+XJaLVxIm+nTaTttGh3HjaPTyJG0efBBu3WzZizt04fFG2/Mkn79WNG1Kyu6dEGbN6fZqlU0X7aM1rNm0XrWLNpPnkyHiRNtL8bAsu7dWbrRRnwzdCgrunZlZadOrGndGlm9mmYrVtBq/nxaz55N61mzaPfUU7S+995vr13TogXb9+7Ny3feibp2y2lIqKr/1vIP6Ag8DawOvzXhF/2/usL5WwkMTwmfClye7/oddthBy81LL72k+thjqqD6zjvF3aRzZ9Wzzy7u2i22UD388OKuvfBC1WbNVFevLvzaq6+2Ms+fX/i1ixbZtVdeWfi1S5bYtZdfXvi1qqqnn67apUtx1x57rOpGG33770svvZT92qlTLd833lh4uo8+ate+/nrh16pa+4jlOzMrV6p26qR60kk1TmUq+xdfWL6vuKLwtM84Q7VNG9WFCwu/VlX1mGNU11lHdenSwq578UXL8/XX1xotZ/kXLFDt0UN1t91U16zJnu4XX6h27Kg6dGhx76Oq6i23WN5/97vs10yerNq7t+oGG6hOmZLpkhplnz9fdffdrS+5445s6Y4Zo9q/v2qrVqp33pk9v3HWrFG96y7V9u3tnb7vvtrrbuVK1RtusLjNm6uee671RQXwbdnnzVO96CJ7ZiKqRx2l+vLLqitWVL9g/nzVBx5QPeggq59WrVRPOEH1448LSvdbVqyw/uCgg6wMoNqnj+qPfqR68cWq11yjetVVqsOHW1tq187idOhgcR5+2PrQYpgwQceffbbqAQdU3ResXJ06qXbvrtqyZVU4qPbsqXrooZan//1P9ZtvCk93+nQr88UXq/7gB6obblg9jeSvUyfVwYOt37rxRku3mH5k0SLVt99Wvece1d/+VqcdcEDh9ygCYJTWg/Gh/xrHzzVXleGPwIbAd4D/AYdiWqEfA3sDP6xc1iDkJc3mrUs4Vz+oy5orMNPAurhir8uaqzVrLO1C7dvnzEGbNUOKSbtDBzPvKMYssNg9riLie10VmvdiPAVG9O5t6RXj1OLdd00TUYwJEpjnt4cfNtOmQtzXv/uutY199iku3Q03NBOqxx4zk6asrFxppm4HH1zdoUIhnHaarWV54AE48cRs16gWvtYqSefO8Mc/munW3/5m62SypHvCCXa8886CtE7VOPlkW0dz6aXmze/AA2uPP3u2rVFbuhRefbXwrQ0iog2VDzvMyrFoEfz85+naFFUzOzz9dLvu5ZfNeUUxiMBxx5l54I9/bA49rr0WzjjDvEZ262bpff65tcGbbrK/99nH4hWhyf2WLl2snocPh//7P7juOjNpbNvWzNnatjWHPZEJ8vrr23q2s84q3MtpnJYt7b04+GBbn/foo2bG+sor5kBGg8v6du3Mic6JJ5q54bBhxXmUjbPJJkw79FAGDB1qpqvvvmtmdpMmmVn78uXW/rt3NzPoQYOsrDm0aplZ7/+3d+/xUtX1v8dfH9iAQIHcBBFEEE3xWoHmT0VQ8pZWlorm7xR1TNN+x1O/yrQsNX/Vr4va1UzLzDzqSVMrL3grVBBFPeSViyIiCCKbm2zusL/nj88s9zDOfdbaa8+e9/PxmMfae81as77fPbP3ns98vt/Pd9e2Pkc2bvT/H1HV2W7d/Gc+bFj1/w9zvf/9/ns0bhwA86dNo4IByiIdgoKrdJwIXAI8lfl+aQjhaeAxM7sS+AZwelqNA+bic6veZWbDgV6Z+zqGWuZcgf8RT2vOFXiwUUVwtbVvX7pX84/TzCf8V7PWVRRcVftGcMQI3y5aVFmwEoKP1T+zys8bzPwNRzXB1ezZ8IEPVP/6OuII386YUVmRh0ce8e3EidVdF/wN0eWX+5ugcifjP/igz+krJzAp5MgjvYLez3/ub/jLeZ1Om+ZvUiuda5VryhSvanfhhd7/Uh+6/OY3Xg3v2mv9jXm1zDyAeO45DzaeecYLM+SzerUX4XjjDb92LYEG+Gvzb3+Dz3wGvvpVf8yf/GTHEvrPPutz4R5+2F9Tt9zSNv+yFnvt5XN5br4Zvv99X48L/G/ali0ePIIHYVdf7YFXrW/4I/37+1ytCy/0fk2f7sHG1q3errPPhqOO8gIl1QbNhQwd6kHq+ef791Ffu3Tx/ylx9TGfHj18Pmc0p7O99ezpH5RFFWBFJK96qmDXmQwGFocQtgPrgeyZ0PcBx6bSqjb3A8eZ2fuz9k0GNgKPptOkPGopxQ7VB1fbtnlgV21VpWisfTUVA1esYEst1ZyqXUg4WkC4lswVVF7UYuVKD2SrzVxBW3AVfbpcrtmzPQNUrYMP9tfm9OmVnfePf3gFuloqlH38497fSiol3nyzVw077rjqr2vm2ZMXX/R+lBJH1irSpYsHaEuXeharmBdfhK99zfsaR6nvnj29uERTE0yaBC+//N5jXnvN3+w//zzcfnv11Rxz9ejhGccrr/SM1Jgxfps0yavPjR3rAd8vfuEFNOIIrCJdusBnP+tFTJ54wttw1lmewfz1r33/9On+ekwi6OjTxzN3V13lxSLuucczSd/+tn+4EXdglU/37v6/oE+fZAMrEakbCq7SsRiISjO9AmSPIzkUyFMbtl1dC2wG7jSzSZliFZcBV4WOssYVpJe5ioYSxpG5qlQmc1W1IUPSGxYIlQdXtVQKjOy3nwdplfR7xQoPKGsJrpqafOhVJcHVpk1+/NFHV39d8MBu9919WFY53nnHjz39dH+zWIszz/QhSj/9aeljH3zQs1YXX1z78CnwT/TPOsvf5OcLcMB/5ydP9t/fP/4xvjfEI0b44sMbN3qFyEsu8SFcs2d7KfMDD/TX4EMPlR46WKmmJq9st2CB/9xHj/bX0sEH+xpgr73mQ+OSKgpg5j/7//xPv95Pf+qZnQqLi4iIdAYKrtLxEDAp8/XVwJfN7Akz+ydwBXBTwTPbQQhhNXAM0BUvu3453s5L02zXe6xf728qqn0zWG1wFZWTrWXOFVSXuao1uKp2WOCSJd7faue3DRrkn+6nFVxBZUMDZ8/2ba0LtR5xhGcq8pUgzufJJ/1NcbXzrSJmni146KG24VnF/PnPHhR87nO1XRc8SPrqVz3QmDGj8HGtrT4fZuTIeBeK/fGP/bV68smwbNmO923c6GsXzZvnmbpq18UqZOxYf+2cdBL84AceZH3oQz5PaNIkD7bGj4/3mtl22cUzcn/7mwfpf/mLz4WqtpS3iIhUTMFVOr5JJlAJIfwJ+DSwEC8W8R/ARek1zYUQXg4hHB1C6BlC2DWE8J3MMMaOY/16z1pV+8lztcHVmkyV+mqH59WSuVqxovbMVXOzz02oRLULCEeqXevqlVf80/Za5sTUElzVumjpEUd4EPHkk+Ud/8gj3t8KSmsX9IlPeDBx//2lj73hBh9KVuvisJELLvDX2sUXFx6OefPNPk/p+9/3oW1xGTrUs3DLl3s25f77fV7MU095YPPww74e1qRJpR+r2uvffrvPAbr9dl/f6PXXvQiC5qqIiHR6Cq5SEELYEEJozvr+rhDCWSGET4UQfhNCaE2zfXWjpaX6IYHgY+SrqRaYVuZq+3ZYtar2zBX4sLdKVFrxLp9qgqv58/28WoaqDRniAW2lwdWIEdUtDJvt0EM9WCp3aOAjj3j2o9pqfdkmTPC+31QiET53rhcm+MIX4hsi17s3fPe7Xg3vjjvee//y5T6E7JBDKiv2Ua6PfMTnH3Xp4gUkevTwfQsX+tycKVPiv2auESPg1FM9UxYVdBERkU5PwVXKzKyrmfXKvaXdrroQZa6qFWWuKi10UGtw1bOnD52qNHO1ejWEUHvmCiofGlhr5gqqz1zVMiQQqqsYOHt27UMCwV9jBx3kQUYpa9fCrFm1DwmMNDV5mex77y0+3+x3v/Nj//3f47lu5OyzPXg691wPaiJbtvi8qHXr4A9/SK7owIc/7CWrb73VA70bbvC5R9mlpUVERGKm4CoFZtbHzH5lZkvxwhHr8tyklPXrq58DBP7Gd9s2XyekEtGwwFqCnH79Ks9cZbJNW2utFgiVBVfbtvnxcWSuorWuyhGVYa81uAIPrl58sbxAet06z5jVUswi24QJnhmKCrAUMnWqZydPPDGe64JnaLZv9wAqn7Vr4brr4LTT4p9/1K2bD/0LwX8GDz3kc51OOskzdNdd50MRk9SjB5xxhpel//zn48kIioiIFKHgKh2/BT4H/F/gPOALeW5SShyZK6h83lUUHNQS5PTvX3nmqtlHksYyLLCSynlvveXzhuLIXIGvdVXudVta4qk4tt9+/rwtXVr62Oee821cwdVxx3m25rHHih/397/7AqzVLu6az5gxfv1f/jL/hwjXXeev/699Lb5rZttrLy/J3trqC+fus48Pkbz++niKZ4iIiHQwWkQ4HccBXw0hFPg4WcrS0lLbnJjs4GrQoPLPi4KrWj4FryZzFWdwVUnmKlrjKo7MFfjQwHIWEp4/37d7713bdWHHohalgsSomEVcwdWRR/ow0AcfhBNOyH/Mtm1w331e4S7uctlf/zp89KO+aO5XvtK2v7nZK9odd5wPoUvKBz/oGci77vLftRNOgOHDk7ueiIhIipS5Ssd6YEnajah7aWauevXyYU/VqiZzFcewwF69PCisJLiqdY2rSKVrXc2b59s4gqsomPvXv0of++STXvFt6NDarws+x278eA+eCg1LfOIJfz2cfHI818x2zDFw/PE+72jxYt8Xglf0W7fO14RK2k47+fpX55yjwEpERDo1BVfpuBI438z0869FWsHVmjW1DQmE2jJXtc4bGTy4smGBUeaq1uAqWuuq3GGB8+f7m/I43owPGODB3TPPlD525kwv4R1X5TyAU07x/rz4Yv7777rLg/Vjj43vmhEzX9gVPIM1Y4Zns2691Re3jbJ6IiIiUjMNC0zHbsBBwLzMwsFrcu4PIYRvtn+z6kytwVUUpFRajn3t2tqKWUD1c65696a11jWBhgypLHO1eLEHOQMH1nbdSte6mj/f5+zEVU1u3LjSwdVbb3lluy9/OZ5rRj71KX/MP/8ZDjhgx/u2boVbbvGsVVIFF/bc0zNnH/uYr70FXsXvkkuSuZ6IiEiDUnCVjlOBVvzn/9E89wd8oWEpJo5qgVDdsMBag6t+/XzO2Nat5Q8vXLGisrlhhQwZAs8/X/7x0RpXcWRyKg2u9t+/9mtGxo71RV1XrSo8V2/mTN/+27/Fd12AXXbxhYFvvdUr12UHjFOnwttvJ7/20hFHwKuv+vpPo0bFU2peREREdqBhaSkIIYwscRuVdhs7vNZW2LgxvWGBcWSuoLLsVXNz7dkjqG5YYK3FLCIjR8KCBaVLom/d6sfFMd8qMnasb4tlr2bO9AWLkwg8zj3X+3TPPTvu/9nPPOA9/vj4r5lr0CBf2FaBlYiISCIUXEld6hqVlU6roEUcc66gsnlXzc3xZa7WrIFNm8o7fvHi+IoQ7L23//wyxTkKev11r6AXd3DVpYsXjyhk5kwPPGodepnPpz8Nu+8OP/5xW3D56KNeqvzCC2srkCIiIiIdgoYFpsDMPlvk7lbgHeC5EEKZM/8bT9eNG/2LtIKrNDJXK1bAvvvWdl1oW0h4+XIYMaL4sdu3+9pQcWWuomBp/nwfKldIVIY9jjWuIn36wMEHe0CTz6ZNntU677z4rpmtqQkuvtgf/9prvXre+ed7VcIvfSmZa4qIiEi7UnCVjhvxeVUA2RNZsvcFM7sHOCuE0NKObasLXeIIrpqavFBDWnOuoLLMVVxzrrIXEi4VXC1f7hmkuDJXUbA0b15bYYV84izDnu2oo3y9p82b35udmj7dA6xjjon3mtm++EW4+24Pqr7xDV9c+L77vIqiiIiI1D0NC0zHh4BXgYuAfYGBme3FwAJgEvA54EjgRym1sUPrGg1pqyW4As9eVRJcbd7sb8BrHRZYaeZqwwa/xTUsEMqrGBjXAsKRESN8+FuUmSrkpZc8CBwwIJ7rRo46yp+/WbPee9/UqT7fasKEeK+ZrWtXD65++EPPXD32GEyalNz1REREpF0pc5WOK4FrQgg/z9q3CvixmW0BLg0hHGVmg4GvATHXha5/sQVXffpUVop97VrftnfmKpqj1N7BVbTobFyZq65dYfTo0sHViy/GWykwMn68t+G+++DII3e8b+pU31fra6qUnXaCiy5K9hoiIiKSCmWu0nEYMKfAfXOAcZmvnwVi/ui+c0gtcxVXcBVlvsrNXL39tm/jGhZoBm++WfrYuDNX4EMDo2F/+bS2euYqieCqXz+YOBH+8pcdKxbOm+fXPPHE+K8pIiIiDUPBVTqWAFMK3Pf5zP0A/YCV7dGgevPunKta1rmC9IKrpibPmqWRuerWzbNXUeBUTLSAcJzD8/bdF155xecb5bNoka9hlkRwBV6175VXPDsWufFGz2ideWYy1xQREZGGoOAqHd8GTjez583s+2b2lcz2eXyB4W9ljvso8HhqrezAUstcrVnj21rnXIHPuyo3cxVncAWeiSonuIpzAeHIgQd6kYw5BZK3UdCTVHB1yike3N5wg3+/dSvcdJOvM7XrrslcU0RERBqCgqsUhBBuBz4CzAM+A/wws50LHBpCuCNz3PkhhMmpNbQDq/thgeBD1NLIXIHPoYrmUxUT5xpXkQMP9O3zz+e/P9o/Zky8140MHuwZquuu8yzZNdd4ufnzz0/meiIiItIwVNAiJSGEZ4DT0m5HveoUwVWlmavu3X0oYRyGDYOHHip93JIlXmEvTnvv7WXQCwVXTz/t87Li6ms+V1zhVfvGjfPn4IQT/CYiIiJSA2WupC7FsogwVD8sMI3M1aBB8Q3PGzbM+12sUuK2bV70otRaWJVqaoL99iseXI0bl/++uIwYAQ88AB/8IEyZArfcEu/QRxEREWlIyly1EzP7M3BxCGFB5utigoYDFtdl0yZ/k969e20P1KePF0/Yvt0LGpSydq2/CY8jq1Jp5iquIYHQVv1v8WIPdPJZvNh/LiNHxnfdyIEHwr33esW+7KDmzTd9iF7SwRXAYYd5gCUiIiISE2Wu2s8goFvm610y3xe67ZJGA+tJ140b41mP6P3v921LS3nHr13r53SJ4Venf39YuXLHkuCFvP12vMFVNI+qWFGLhQt9u8ce8V038pGPeMD46qs77n/6ad8eckj81xQRERFJmDJX7SSEMDHr6wkpNqVT6LppU7zB1bp15Q31W7s2niGB4MHStm3+mKWqD65Y4YvvxiU7c1VIFFwlkbkaP963jz8Oe+3Vtn/6dM9GHnRQ/NcUERERSZgyVx2ImcVQ37sxJBJclWPNmnjKsEMuc4VCAAAcf0lEQVRbJiqqBFhM3MMCd9vNs2+LFhU+5vXXfahk3NUCAfbZBwYO9OAq29SpcOSR0LNn/NcUERERSZiCqxSY2XlmdmHW9web2RJgpZk9a2bDUmxeXeiyaVPtCwhD5cFVnJmrgQN9Wyq42rTJhy3GGVx16+ZB02uvFT5m4ULPcDUlkOA28yDq0UfbhkUuXgwvvaSqfSIiIlK3FFyl438B2WXafgEsBc7Cn5P/TqNR9SS1zFXcwwKhdHAV9xpXkT33LB1cJTEkMHLssX6Nl17y76dO9e3xxyd3TREREZEEKbhKx+74AsKY2SDgcODCEMJtwBXA0Sm2rS7EXtCikmGBaQVXu8Rc52TUqHSDq1NO8WGHN93k3//xjz7/KqnFg0VEREQSpoIW6dgMRDXEJwIbgGjyySpAc69KiC24ikqqF1vvKduaNb4+VRyi4Kq5ufhxSWWuRo3yKoQtLe8dYrluHSxbtmOxibgNHgyf+hT89rf+9YwZcPXVWm9KRERE6pYyV+mYBXzZzPYDLgCmhhC2Z+4bhQ8RlCJSGRbY2hpvcNWrl9/SGhY4apRvo6qA2ebP9+0++8R7zVw/+IH/XL/+ddh/f/jSl5K9noiIiEiCFFyl42vAfsALwHDg21n3TQZmpNGoetIljeCqpcUDgbiqBYIHTKWCq7fe8u3gwfFdF9qCq3xDA+fO9e0HPhDvNXONHg2zZ8Pvfw+PPQY77ZTs9UREREQSpGGBKQghvAzsaWYDgFUh7LCK7NeBt9JpWf2ILXPVs6eXJC8nuFq92rdxZa6g/OBqp53ahjDGJQquFix4731z5/p8qD33jPea+YweHe8aXiIiIiIpUXCVohDCyjz7XkijLXWltZWumzfHE1yZefaqnOBqzRrfxpm5GjiwvOBq113jn4s0YIAHd1G1vmxz53rw1aNHvNcUERER6cQ0LFDqz4YNvo1jnSsoP7hKK3O1bBkMGRLfNbMdcAC8kCeenzs3+flWIiIiIp2MgiupP+vX+zaOzBWkm7mKgqsdRobmiDJXSTjgAM9ctba27duyxQta7LtvMtcUERER6aQUXEn9iTu46tOnvFLsSWSuhgyBjRuLB3dJZ642bNixqMXzz3uANW5cMtcUERER6aQUXMl7mNk0Mwt5bh2jlFtLi287Q+YqykgtW5b//s2bPahLMnMFOw4NnDXLt4ccksw1RURERDopBVdSyD+Bw3Jum1NtUSStYYGrV3tRiTir9pUKrqIy7Ellrvbbz6slzp7dtu+pp7zs+/DhyVxTREREpJNStUApZFUI4cm0G5FXmnOu+vb1YCQu5QZXSWWueveGgw+G6dPb9s2a5VmruKsTioiIiHRyylxJ/UkzuIpzSCCkn7kCGD8eZs70uVdvvumVAg8/PLnriYiIiHRSCq6kkGPNbEPm9oCZHZh2g96VVHBVrGIf+LDAOItZgAdrPXoUDq6WLvVtUpkrgI99DDZtggcegL/+1feddFJy1xMRERHppDQsUPJ5FPgj8CowAvg28LiZHRRCeD3fCWZ2DnAOwODBg5k2bVpijdv1//0/PgDMfOEFNkeZnRoMX7WKPbdt47GpU2nt2bPgcQcvWkRoauK5mPt2aL9+vPOvfzEnz+OOnD6d4V278ticOV4eHWhpaYn152vAR/r3Z8tFF9F140Za99yTZ95+u/T6WymJu//1pJH7Do3df/V9WtrNSE0j97+R+y71S8FVAzCzvkDJ1EcIYW5me2nW7sfN7GFgLvCVzC3fudcB1wGMHTs2TJgwocZWF/GvfwFw2KRJ8WSS5s0DYPyBB8JuuxU+rrUVRo4k9r6NGkXP1lYG53vc3/8ehg1jwjHHvLtr2rRp8bfhiivo8eUv+9d3382EiRPjffwYJdL/OtHIfYfG7r/6PiHtZqSmkfvfyH2X+qXgqjGcBlxfxnF5KxiEEN4ysxnAh2JtVbXiHhYYBWirVxcPrpKYcwU+5O/ll/Pf98YbsPvu8V8z13nneXXA3r3h6KOTv56IiIhIJ6Q5Vw0ghPC7EIKVupV6mMwtfevX09q1K3TvHs/jZQdXxSQx5wo8uCo056q9giszOPlkBVYiIiIiNVBwJSWZ2RDgCODZtNsCQEsLrTvFuJ5xlI2KFgnOZ8sWr6aXROZq2DC/dm7Fwu3bYckSrTclIiIiUicUXMkOzOxAM7vXzKaY2UQz+xwwDWgFfpZu6zLWr2d7kcITFSsncxUFXklkrvbYw7evv77j/uXLYdu29slciYiIiEjNNOdKcq3E5179EBgArMODq0+GEN5IsV1t1q9ne5yZqyhgKpa5iu5LInM1cqRvFy6EAw5o2/9G5set4EpERESkLii4kh2EEN4ETky7HUXFHVz17evbYpmr6L4kMlfZwVW2KLjSsEARERGRuqBhgVJ/1q+Pd85VU5MvJFzOsMAkMlcDB3qVvtzgKvp+xIj4rykiIiIisVNwJfUn7jlX4BmpYsMCo8ArieDKzLNXucHVnDleSTDKrImIiIhIh6ZhgVJ/mprYGmfmCjxoKpa5WrXKtwMGxHvdSL7gau5c2HffZK4nIiIiIrFT5krqz4wZzPnOd+J9zH79igdXzc2+7d8/3utGouAqZJYSC8EzV/vsk8z1RERERCR2Cq5EoPSwwOZmz25165bM9ffeG1paYOlS//6tt+Cdd5S5EhEREakjCq5EoPSwwOZmLzyRlP339+0LL/h2zhzfKrgSERERqRsKrkSg9LDAlSuTm28F7w2uXn7ZtxoWKCIiIlI3FFyJgAdX69fDli357086czVgAOyxBzz1lH8/c6ZXChw6NLlrioiIiEisFFyJQFvgtHJl/vuTDq4ADj8cZszwYhbTp/v3ZsleU0RERERio+BKBGDQIN+uWJH//vYIro45xgtZXH89vPEGHHtsstcTERERkVgpuBKB4sHVxo2wYUPywdUpp0CPHnDuudC9u38vIiIiInVDwZUIFA+uoqGCSQdXO+8MV1/t25/+NPnriYiIiEismtJugEiHUCy4ihYQTrJaYOS88/wmIiIiInVHmSsRgP79vXhEseBKmSQRERERKULBlQhA166emVJwJSIiIiJVUnAlEhk4sC2QyrZ8uW932aV92yMiIiIidUXBlUhk0KD8maulS716X//+7d8mEREREakbCq5EIoWCq2XLYNddtaCviIiIiBSl4EokUixzNXRo+7dHREREROqKgiuRyKBBvqbV9u077l+61DNXIiIiIiJFKLgSiey6K7S2wttv77h/2TJlrkRERESkJAVXIpHhw327eHHbvo0bYc0aZa5EREREpCQFVyKRfMHVsmW+VeZKREREREpQcCUSyRdcLV3qW2WuRERERKQEBVcikf79oWdPZa5EREREpCoKrkQiZp69euONtn3R17vtlk6bRERERKRuKLgSybb77jtmrhYuhL59PaslIiIiIlKEgiuRbMOH7xhcvfYajBqVXntEREREpG4ouBLJNny4z7PautW/V3AlIiIiImVScCWSbfRoCAFefRW2bIEFC2CvvdJulYiIiIjUgaa0GyDSoey3n29fegm2bfPbAQek2yYRERERqQsKrkSy7bOPVw184QXPXIGCKxEREREpi4IrkWy9enkw9cQT0NwMvXt7wCUiIiIiUoLmXInkmjABpk+Hv/4Vxo+Hbt3SbpGIiIiI1AEFVyK5Tj8dNm2CN9+EyZPTbo2IiIiI1AkFVw3GzCab2Z1mtszMgplNKXDcbmZ2l5mtM7NmM/uVmfVq5+am4/DD4fLL4YIL4Kyz0m6NiIiIiNQJzblqPKcCewD3AGfnO8DMugEPAFuAM4Cdgasy239vl1am7bvfTbsFIiIiIlJnFFw1nskhhFYzex8Fgis8ANsXGB1CWAhgZluB28zs8hDCK+3UVhERERGRuqFhgQ0mhNBaxmEnAE9HgVXG3Xgm6/hEGiYiIiIiUucUXEk++wBzs3eEELYACzL3iYiIiIhIDgshpN0GSUFmWOA64PMhhBtz7nsFuDeE8JWc/dOBN0IIn8nzeOcA5wAMHjz4w7fddltSTQegpaWF973vfYleo6Nq5L5DY/e/kfsOjd1/9b0x+w6N3f/26vvEiROfDSGMTfxC0hA056rOmVlfYNdSx4UQ5pY6phYhhOuA6wDGjh0bJkyYkOTlmDZtGklfo6Nq5L5DY/e/kfsOjd1/9X1C2s1ITSP3v5H7LvVLwVX9Ow24vozjrILHXA30zbO/H/BcBY8jIiIiItIwNOeqzoUQfhdCsFK3Ch92Ljlzq8ysOzCKnLlYIiIiIiLiFFxJPvcD48xsRNa+jwM9gKnpNElEREREpGNTQYsGY2ZjgDHATsCfgF8D04AVIYRHM8d0A2YDm4Hv4EMErwYeDiGUXETYzFYAi5Jof5aBQHPC1+ioGrnv0Nj9b+S+Q2P3X31vXI3c//bq+4gQwqB2uI40AAVXDcbMLgMuzXPXoyGECVnHDQN+BUzCg6zbgG+EEDa0QzNLMrNnGrWyTyP3HRq7/43cd2js/qvvjdl3aOz+N3LfpX6poEWDCSFcBlxWxnFLgE8m3R4RERERkc5Cc65ERERERERioOBK6tV1aTcgRY3cd2js/jdy36Gx+6++N65G7n8j913qlOZciYiIiIiIxECZKxERERERkRgouBIREREREYmBgivpcMxsspndaWbLzCyY2ZQCx+1mZneZ2TozazazX5lZrzIev4eZXWlmb5vZejO718z2iLkbsTCzPTI/g3y3eSXOvazAece3V/trZWbTCvRhpzLOPdzMnjKzTWa20MwuaI82x8XM+pjZ5WY2y8zWmtlbmdf73mWcO6XAz+1L7dH2SpnZGDN7xMw2mNlSM/uemXUt47y+ZvYHM1ud+Rn9HzMb0B5tjoOZnWZmfzOzN82sxcyeNbMzyzgv33P7ZHu0OU7Vvk7r/XmHon/bgpkdVuCcQv8Pbmvv9lfCzEab2W/N7Hkz225m0/IcY2b2LTNbbGYbzewxMzu4zMf/hJm9kPlb/7KZTY69EyIVUCl26YhOBfYA7gHOzneA+ULHDwBbgDOAnYGrMttSCx3/InONrwIr8NL0D5nZASGETbU3P1bLgNx/tD2BB4H7yzh/LZAbTM2JoV3t6Z/At3L2bS52gpmNxl8f9wAXA4cAV5nZhhDC7xJpZfx2B74I/B74NtAL78tTZnZgCGFxGY9xNLAx6/vXYm9ljcysH/Aw8DLwCWBP4Er8w79LSpz+Z2Bv/O9EK/Aj4G7gyKTaG7P/BBbif4uagROBW8xsYAjhlyXOvRK4I+v7dck0sV1U+jqt9+cd4HygT86+7wEfBJ4uce7XgRlZ33f0BYb3w1/bTwLdChxzEfAd4BvAXPx342Ez2z+E8FahBzazI4C/ANcAF2Suc6uZrQ4hPBhfF0QqEELQTbcOdQO6ZLbvAwIwJc8xZwLbgZFZ+07H/9HuVeSxhwHbgM9m7dsND9LOTrvvZf58Tsv8XA4tcdxlQHPa7a2xr9OAO6o477fAfKApa981wGIyhXw6+g3oDfTM2dcfaAEuLXHulMxr5H1p96OMfl4MrAb6ZO27ENiQvS/PeYdl+jg+a98hmX2T0u5XmX0fmGffLcDCEucF4D/Sbn8M/a/4ddoZnvcC/eoOrAJ+U+SYPTL9PCnt9lbYty5ZX98BTMu5fyf8g8DvZu3rjX/4+V8lHvsB4B85++4Dpqfdb90a96ZhgdLhhBBayzjsBODpEMLCrH1340FSsWFvx2a2d2Zd701geuYx68GZwGshhKfSbkgHdgJwZwhhW9a+2/Dgev90mlSZEML6EMLGnH2rgEXA0HRalYgTgAdCCO9k7bsNz9AeVeK85SGEx6IdIYRZeCaoLn6XQwj5Mg6z6VzPb9zq/nkv4HigH3Br2g2JWxn/0/8Nz+L9Oeuc9cDfKfKcmlkPYGL2eRm3AYeZWd+qGixSIwVXUq/2wYcOvCuEsAVYkLmv2HlLQggtOfvnlDivQzCzPvg/m3LH2O9sPh9tq5nNNrNPJdi8pBybmYuzwcweMLMDix1sZr2B4eS8PmgbDtnhn+dCzGwQMBrPypVjgZltM7N5ZnZugk2rRb7f5TfwzFWp3+Xc5xjq5He5iMMo7/m9LPPcNpvZDWbWP+mGJaiS12lnfd7PAJYAj5dx7B8yc5eWmdlVZtYz4bYlbR98JMorOftLPad74sMM8/2t74IPHRVpd5pzJfWqH7Amz/7VmfviPq+j+CQ+hKKc4OpVfHjVbOD9wLnAX8zs0yGEO4ue2XE8CvwR78sIfO7R42Z2UAjh9QLn7JzZ5j7PqzPbenieC7kSHxZ4Y4njluHzF2YBXfE3bteaWa8QwtWJtrBySfwuj4qhXe3OzI7Bf8e/UOLQP+Kf6q8AxuLP9UFmdkgIYXuyrYxVNa/Tzvi89wI+Dvw2hFBs8dHNwK/xObfvABOAb+JBxicSbmaS+gEteV67q4FeZtY98+FpvvOgc/6tlzqm4EoSl0nN71rquBBCvk8jO50afx5nAi+FEF4o4/ybc677d+AJ4LtkDYtsT5X2PYRwadbux83sYfxTyq9kbnWllufezM7Di7V8OoSwssT5D+BzESL3m1dYvMTMfl7m0FtpR+YVS28B/hpCuLHYsSGEKVnfPmZmc/B5Jifjw6Prgl6n7zoZn2NUdEhgCGEZ8B9Zu6aZ2XLgmswHTs8l2EYRKZOCK2kPpwHXl3GcVfCYq4F846n7AcX+wRQ7b3We/Umo6ueRKTU8CS9UUbEQQjCzO4EfmVnXlD7hrum1EEJ4y8xmAB8qcm70KWbu8xx9itlez3M+1T73Hwd+CXwzhHBXlde+Ay/6sgcdq2pgtb+Tq4FBVZzX4WSG9N2Pz6c7q4qHmIpnND9EHQVXBZR6nXaa5z3LGcCrIYRnqjj3DrxYz4cp/r+vI1sNvC/P/6V+wIYCWavoPOiYf+ulgWnOlSQuhPC7EIKVulX4sHPJGYttZt3xYSHFMmBzgeGZeTnZCo3jj10NP49T8Q9EalnTJGRuqYjptVC0D5mJ0It571j96PvUMqTV9N/MDsef82tDCD+p5fI5244i3+/ycLz0fKnf5XzzMdrtdzkOmSFh9+DV4k4KIWyo9DGyhpJ1tOe2GqX60ime90gmm30C1Rey6AzP/Vx8WOjonP2lntMFwFby/61vpfy5qSKxUnAl9ep+YJyZjcja93GgB/4pbiHRuhenRDvMbCi+Pko560al6UxgVghhQTUnm5kBnwaeq7N5Ge8ysyHAEcCzJQ69HzjFdlyIdjIedL2YUPNiZ2b74XNrpuJruNTiVHw9nEW1titm9wPHmdn7s/ZNxtc9erTEeUMy69wAYGZj8Q9YOvrvMgBm1gTcDuwFHB9CeLvKxzkeX7qi1O9FPSj1Oq375z3HKfj/rWqDq1Mz23p+7p/A55CdFu3IfOhwMkWe0xDCZnwdxNNy7poMzAwhrI2/qSKlaVigdDhmNgYYgxduABhrZi3AihBC9GbrDry4wZ1m9h18WMDVwC0hhFeyHusRgBDCMZntEjP7PfCzTLARLSK8CNhhjlJHkhUAfq3A/UcBjwDHRD8jM3sUX1xxLj6e/4vAofiE+Q4vUxXwh/ibz0X4oroX459I/izruPf0HfgJPrzqT2Z2PTAOL+hxXokJ4x2Gme1C23CvXwCH+EsWgHdCCC9njhuBf4L7hRDCTZl9f8GLBDyPfyI8OXO7oAPOY7kWDxzvNLMf4W+SLwOuyi7PbmavAo+GEP4nQAhhppk9CNxkZl+nbTHZ6SGEh9u5D9W6Bl/09H8DAzJDfyOzQwibc/+Gmdk5eBGLh/Eg5EP4YsuzgHvbse01K+d12kmf92xn4B94vWdx99y+m9lleHGiGXgwMh5fdPfOEMLz7dbiCmUCpRMz3+4G9DGzKCi8L4Swwcz+G/iOma2mbRHhLvhw6OhxPgvcAOwZQoiC7yvwuWc/w4fEnpi5FVuSRSRZoQMstqWbbtk3/I1VyHOblnPcMPyPaQuwEq+i1CvnmGl5zusBXIUHVuvxieAjk+xTDD+Tr+ClaocWuH9C5mc0IWvf7/E5Cxsz/XwcOCHtvlTQ590yz80yfP2ylXiwuE+pvmf2H4G/cdsEvI6/YUu9XxX0P+pX0d8F2hYWnZK17wfAPLyc+Ub8U+3/kXafivR1DPCPTFuX4W+YuuYc8zpwY86+nYE/4PPs3sELQrxnYd6Oesv0qdBzvEfmmB3+hgHH4G+uV+JDohbjwXfftPtTRf9Lvk474/Oe1Y+BmefwoiKvjxuzvj8DeAZfcHcLXkX1e0CPtPtSop/R36hir3PDPzBdknktPA58MOdxpmSfk7X/k/iIhM14YHZG2n3WrbFvFkJdfIgrIiIiIiLSoWnOlYiIiIiISAwUXImIiIiIiMRAwZWIiIiIiEgMFFyJiIiIiIjEQMGViIiIiIhIDBRciYiIiIiIxEDBlYiIVMzMTjezKXn2TzOzO1JoUm47djOzdWa2Z5nHjzWzVWbWN+m2iYhI56V1rkREpGKZAGpgCGFCzv4xwNYQwiupNKytHb8Bdg4hnFnBOY8Aj4cQLkusYSIi0qkpcyUiIrEJIbzcAQKrPsDngBsqPPUPwJfMrCn+VomISCNQcCUiIhUxsxuBTwNHmVnI3C7L3LfDsEAzu8zMms3sUDN7xsw2mtl0MxtpZruY2d1m1mJmc8zs6DzXOtvMXjKzzWa2yMwuLKOJpwMbgX/kPNbFZvaqmW0ys+VmNtXMhmQd8jegP3BcpT8TERERAH06JyIilboC2B3YGTg/s29JkeN7AdcBPwbWA78A/gRsBu4HrgEuBG43s+EhhA0AZvYN4AeZ86YBHwauMLMNIYRfFbneMcCsEML2aIeZfRb4FvBN4CVgAHA00Ds6JoTwjpm9BEwC7i35UxAREcmh4EpERCoSQlhgZquALiGEJ8s4pSdwQQjhUQAzGwr8Grg0hPDTzL4leNBzFHB/ZmjfpcB/hRAuzzzOQ2bWC7jEzH6THTzl+DDw15x9hwAPhhCuydp3Z55zn8scKyIiUjENCxQRkaRtAR7P+v7VzPYfefbtltkehmeVbjezpuiWOWcwMKzI9YYAzTn7/gWcaGaXm9khZta1wLnNmfNFREQqpuBKRESSti6E0Jr1/ZbMdk20I4QQ7dspsx2Y2b4EbM26/TOzf3iR6+2EDznMdgM+LPB04ClguZn9V54ga3NWG0RERCqiYYEiItIRrcpsTwKW57l/Xolzd87ekQnurgauNrPhwFnA9/G5YtdmHbpz1rVFREQqouBKRESqsYVkMzwz8Yp/Q0MIlRaXmAeMLHRnCGEx8N9m9nlgTM7dewDzK7yeiIgIoOBKRESqMxf4hJl9Es/+LA0hLI3rwUMIazLl3X9uZiOAx/Ch7HsDE0MIpxQ5fQbw8ewdZvZbPCP1JLAWmAjshVcPzDYW+FEcfRARkcajOVciIlKNa4AH8blMTwPnxH2BEMKPM497Al7971Z8ON/jxc7DqwCOMbPds/bNBMbjCwXfB5wCfDGEcHd0gJl9EBhE/iqCIiIiJVkIIe02iIiIxMrMngNuDiH8pIJzfgiMCyFMSq5lIiLSmSm4EhGRTsfMTgN+AowOIWwr4/jewCLg1BDCtISbJyIinZTmXImISGd0BzAKXzdrURnH7w58T4GViIjUQpkrERERERGRGKighYiIiIiISAwUXImIiIiIiMRAwZWIiIiIiEgMFFyJiIiIiIjEQMGViIiIiIhIDP4/UlIjk8KcFSYAAAAASUVORK5CYII=\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAA1YAAAEkCAYAAAAsKaCIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOydd5wW1fX/34cuIL2qwKJSxC6KxhKxt6ixx5gYErumqIkt+WmIxpauxq4RjX6V2GKMGkUFNaggKEbpKEuRIr3X3fP748yws7Pz7M5Tdh+WPe/X63nN7p07t8zcuXM/99wiqorjOI7jOI7jOI6TO42KnQDHcRzHcRzHcZz6jgsrx3Ecx3Ecx3GcPHFh5TiO4ziO4ziOkycurBzHcRzHcRzHcfLEhZXjOI7jOI7jOE6euLByHMdxHMdxHMfJk21GWInIEBFRERlc7LQ42xYiMkpESvO4fmhQNksibg2yvAZ5HlbsdKShPqU1iWzKrYgMDvI7JMe4SoLrh+ZyfYYwS0VkVKHCKyRbc9q2BkTkMhFZKSIdi52W2kREuonIWhH5QbHTkisiMlxERhc7HY6zNSIi+4hIuYgcnvaa1MJKRFqKyJUi8p6ILBWRTSKyUEReDRqJTXJLdnqCj/9QEWlX23HVV4LGTXW/Q4udxq2RoGwPKXY6HMfZ9hGRFiJykYi8FIi0dSLypYg8LSK7VXNdST6dPHWBiLQFfgP8WVWXZHHdiSLyvoisCdoYz4pI75TXtheRn4nIGyIyJ7ifU0XkIRHpkeB/sGT+Rv475rdd0O4YHA9HVRcADwC3ikjLatI3amvsRBORQ4Czgf+X4fxJIvKmiCwLBOQ0EflryrBPEZHHRGRK8EznBWEdn8F/UxG5XETGi8jy4Pdx8Fybxfz+PLin80VkQ3AcKSKnZQj7hqA8fRk849Ia0n6CiLwlIguCtE8VkT+ISNeYv2zKUVMReSDI3+Ig3TMDYbtvhnS0FZF7ROQrEVkvIhPFOi0k5m9oNelQEdkU8z9IRO4WkdEislqq6VQTkWE1hD095l9E5FIR+SR4D5eLyH9E5KCEsEuqCffzfJ5NxP8AEfm/SFmZKyIvRv0H6RgqIvvEr1fVCcA/gT/G73smUokhEdkVeAXoC7wJ3A4sBroARwOPAQOAa9OElweDgV8Dw4DlsXN/B54BNtZyGuoDE4A/Zjg3tS4TUo+4EijFylacY4FUL5RTI9sBZcVORErqU1qTqO/lth+wre5gXwI8BPwXeBSYB+wMXAacLiLHq+pIABHpCbRX1U/jgYjICcAIVd1cVwlPweVAOyBVAxxARE4HngM+Ba4B2mJ18mgR2V9V59UQxIHYN++tIN7FwB7AJcDZInKwqk5KuO4h4L2Y29zY/+2wdgfAqIQw7g7S+kPg3kieTgJeVdVKZVhMLLZS1cSGYx1zEzAhLGtRROTXwFDgdSz/a4GewF4pw34IWAm8hLU7OmD36DUR+X+qemvM/zDgu8DzwCNAY+Bk4C/AwcA5Eb+DsO/1q9iz7gCcBbwgIjep6i2xsG8DlgIfY88zIyJyUZD28cCdwBrgAOwZny4ie6rqmoS81lSOmgH7A6Ox9uoq7H7+EBgTvPNvR9LRDBgB7AvcA0wGTgDuA7pizybkBWBGQnb2wt6nl2PuJwJXAFOwd+7ghGtDHsTa/XGODNIeD/s+4FLsXbkWaAlcDLwjIsep6qiEsF4M8hAl3sbP+tmIyHGYKPoCe08XYrrlG0Cb4H+w+vjXWJmakJC+vwDvYPftlYTzlVHVan9Y42IKsAk4PYOfA4DLawor3x9WkBQoqe246usvuD//LnY66tsveKFG1VLYVcotMCRwG1zsvOeYJwFaFzsd/ivY8xwclMchOV5fElw/tNh5qaP7lVd9AXQE9klwHwBsAMZF3I4CZmKCYd8g7v7AG8D7wE7Fvh+RtDYK0vdSFtc0Bb4CZkXrFGAfrGPjoRRhlAC7JLgfHZTL52Luqct7mrKNNbr+F/l/e0yQjMVEwCisUfwbYA5wzlbwrHYFyoGrqrlvN+YR/pEJbi0xkbUR6ywI3XcI4nsx5l8wwVIe9Z8hviaYSFgFNI6d2zny9+dAaTXhTMU6OlrE3H8bpPHbuZSjauLrjrWvX425Xx6E/ZOY+/PB/euVIuwHgzBOirl3xcQ9wJm55CEo3wrsHnHbJ3B7DZCIeztgATANaBRxr/HdyuPZdAGWBGlpWkO41T7HoBzOBF5Olc4UGflJEOEdWd70b2PKfA2wOvj71AR/pVil0x9TgquAFVjvVbeIv2FBOuK/ocH5IcQaqhG3I4FfYKp1Q/BwfxBLR8YHTAZBh/UGvBg8vPXAJEyhx1/qUSS8yElxYh+mK4H/BfdiZVCYHq2pcATXpxJWwElYZfVozL11EN/C8P5HC11QHqYF+Z1G7KWPhPNNrLdlBbAO6ym6IMHfqKAM7AA8DSzDesZeB/om+G8O/BKYGKRhOdZjsm+mFwXrVZkYPPtZwLUJ9yzpV5Lp+WEfy2HBPVgbPKvRwGlpyg+x8gqcFvx/UYb7ORHrkZIM5xtjFc7HGc5fQqTiwT78vwXGYD1+G4Lw7wBaVnMvr8DK+YYgXy8F+W+TEOcBwXU3xe71sIT7PwzrRXoHqzOWYL2WVcQbcDjwQVCuFgB3AbuTsoLGejf/jNUH64O4xgPXJKUr17QmlZtCvPekr1szxX8q8EmQ9znALcAx4TOO+MumjFTJUzX3v0VQdqYGZWc58Bnw+5i/UhLEC2bVmRqkZzrwY5Lr/6GBWz+sx3pucM2nwIkJ4V6OiZWvsIbLfOBJEjryktKGWVn6A51qugc13J/xwPqEe3Y18GWQh/HAKQnXtsV6cmcE/hZh9Wq0Yblv8OxHULnx0xh4N3gme8SfK3BuUD7XA7MDtyax+A8K/F+SRX4zNuIxC9QKUnz7qgl/CTAl5jaYijqtFbGGWoK/+K805u/6wL1/zP1wTBisCcrTb4DtE+I5A3tflwf3fyrWw94s4qcVNloobMcsAJ4g1sAmZV0SSXO/hPS8jbUBmgT/tybSIM6zfP8xiPegiFtonb43wf8/gM3AdinCfhVr1yQ+z8BPTcJqPjAxwT0UOsdkW45qSHPj4Dl9EHP/b1Bu4iLisCDOa2sIt1Xw7swh1iaN+ctaWAG9sE6PeJqvCsL6TsI1ocg7LOJWQkX90oLYdyXPZ3NT4LZn8H9LEuoRKr4d8d+omL8HMAFcY4dymhv4ThDJzjX5TcjkZOC64Dc5cLs45rcU+zguAO7HTIj3By/HGxF/38BMhYpVGt8LfnvFbs7ghBv2IfYxvRb4GWaBU+CQpAeckJ+hVG0Y7x8U+uXArZjgeCPw91Ts+lGkb2DdGLj9K7iPl2ANmUmpHqhd+zrQKeHXMeb3T8ReAqyiLgeOj7gNDvyNxxodN2Ev0NjA/dexcE/GKsK5Eb9jAr+3JtybRVhD4Ems0fQ77MMxmUiFgPVsjgzOPRL4vR770KwF9k9I84dYT8P/wxpgHwbu3434/V6QhslUlKvvUdGjU+X5YR+4D7GG50VBOibHw66m/AyhsrBqglUalSqq4FzYWPllDc/+d8R6kCLnRgd5bBr83x975+7F3okrsA9YOfB67NrwXk7AKumbMNP+CcC3yNCQwiqiMiI96mQWKxOwBtAfsDL/dOD+UMzvoVQ0Kn4dpH00MI70Dfu3sArynuDZ/RSrc15JSFc+aa1SbvJ978mubq0SPybgy7EG+i+xOnEy1vFR6eOaZRmpkqdq7v+jgd/Hg3xegQ21GB/zV0rVj9t1VNRFV2Pv9azI84/W/0OpqAPew74b12IdEBup2lH2JfB/QRwXYYJ9TeA/XncmpW1I2ntQzb1pFMQ3K+bePHgGUWF1csxPW6wDZlWQ9ouxd2Qh9u73ivj9aZDWGyJuNxN7lyPP9WMqf+tGBO6PZXg++2aR5xuCa45OOHcrGeq0lGG3DZ71OzH3wUG4K6hoSE0L7nFUbHYNyo1i7Y/w2/DtWHiHB34ujbkfhonVUFgNJSasInmcGDyvi6kQx+0CP02xhrYCz2L1wJ8xkbuAynVs2rrkNawjU2LpaYV9v/8VXPtVEN5abLpF11zLdxD+8CC83hG3JkF+1wEXBuVuF+wd3wzckiGsDkBnYDfsu1QOvFVD/DUJq2FB+v4YhNsDOD14fqOo3CZJVY5i4TfG2mPdsM7H8H7cGPHTKLjf/024vnmQz2dryOeQINzEexfxl4uwGhpcc0HMPRTrSZ0+fw7OXRNxKwncVgV5UqyNcTPQPM9n82HwXA7DvtmKtUfeAw6I+NuZinfwQSre8WNicf8g8HN8jfcnxQ1cAqzI4oa3x3pRZxDpxcbGM34R3MB2EffSILFnx8K5l1hvChksR7FCNDjB7RMq9/zsiH2cnk54wEOrKUQlEbfR2Au/V8RNsIaHAkdF3EeRvoH1MTAp7f1OCFOr+a2O+W2GNUhWBIXr+4G/P8T8Daai8O8Uu34s1kjdKXBrjDV0lgM7xPyODgp2n9i9Uapaka4J3I+LuF0Vd4uUrdlEGjqRNM8D2kbcW2KNjHhPSykZhvYkPT8C0RVzC4c5TIq5J5WfsGxGy+ttgduA2PUPB2Vth6T0RfyFVpvfxdx3Cdzvjj2PpN6bWwK/gxLu5VKgS8x/4+Dej024FyuoOrxBSRYr5cCBMfdXiPUQBeVtPZV74JsGZSvx/Y2F2Tbwd1/KdymftFYpN4F7STytpHjvyb5urRR/5FktJmJVCe7JLKoKq2zKSJU8VZOPpfFykcFfKZXf6Q5Yw+t/RHpxsQZK2LCJvk9DA7d/U7mxHFpSb4/Fl/ROH0Vy/VQpbYHbkLT3oJo8h8L55ojbEcHzTRoKOJqKuveu4P7sHQuzF2a1iJfll4IyexD2jpdRdchc+FzLgP0i7oKN1lAqWx4eD9yqWLCryfM9wTW7VXM/js3xfoadTT+KuR8S5P8SrCPwEio6Ch+r6X1NiGenwM89wf/bY3XCR9j8r1FYR9TNWMPx7MDfoOC6t6lqmZCw3GJCP6luPylw/3vELVUbAnvnq4xwAPYOwvwaq2uHYh0yfwrKwSRqsCxUE+feQZl7N+HcgOB+RdssG4HLqglvccTvJkx0dq4hDTUJq7ZUWMmiafkbVUcPpC5HkWv2iIW7HPv2N4n46RicG54hjK+B92vIZziEsncN/rISVpjom4V9b+KjNE4OwvpLQlkOO++i7ZCeWEfnT4BTgAuoGGI4gqqjv7J5NsuwDo01mCA7A/h5xD06hHFwTfcA69RV4Oc13aM0qwK2CW5gWo7BejzuVtWVoWPw992YSfno2DXzVPUfMbdwEl+fLOLOxH2qumVRC1X9CutVyClsEemCTfb7l6r+LxKuYsoXrCLKhRXAjpLf6n1jsOcQ/30r6im4J+dQ8ZG8DxNaN2QI9ylV3TIhM7j+z1hv08mB80DsZfmbRiYcB35/h72Up8bCLcfKRpSk5/89zNo4XkQ6hT+sATgCOFREtouF85iqroikYy3Wk5FXudLKEyRbii0r3DJI924i0iaHYB8m6AWKhN0Ke0avaQ0TuFV1ItaTfZ6IRN/t84Pj4xG/G1V1UxBHE7FVtTpRMUn1wIQonlDVr2NxlmGV2gEismfk1JlY3fFodWmO8IGqjom5vY2VrZIgnV2xRvFLqvplJA2bsEZlGtZhnSoHSmT5+yypMa05kOa9z6VujTIQ6+F7TFUXR65fgVkXK5FjGUnDCmB3Edkjy+uOwYaL3K+q6yPpXAA8Vc11dwV1c+j/I0ygVqoDwndaRBoFq3F1wkY6rCBFXlV1mKqKqg5Nn6UKRORgrPH6KdbQCvkCm9/8Y6xRgKpOUdVjse/NgmC1qvMw68hXsfpxDVbnHRuL8oeYteNpbLTAHMxakMQIVf04klfF6nOo/K3rDGyOls8UhKvpbUg4tz7mJzUiciY2BeA/2AJbW1DV0ap6qqo+qKovq+qDmMB8HRgitlpeNoSrH3YJwl+FWcEPjNQV61T1Jsy6FS6kcV5wvCFapoMwNFJuQ0vz7TE/r2C98adG6vy0bYjOWCdHnO0j53+sqkNV9UVVvRrrVNkN673PChHpjFn9QqtUnHXY6KX7sYUofoCJg7+KyPkJ/sGsFccBP8LaANtF0p8rm7AOqBeDNJyFjZD5AdZG2kKO5WgmVpedhFm2pmGCoXnET3XvBNh7Ud0qlP0wIfC2qs6sJq+5cAzWxhuuqqtj517DyvblInKtiOwqInth719Y329Jt6rOVtWjVPUeVf2Xqj6qqsdhbaGjge/Ewk/9bLBy0BKbtzdEVZ9X1T9i71JLzMKZDZXe8epII6xWkl1BDZdHnZhwLnTbOeb+ZdwjFZkoxD4YmcLPNezq8jgZqwDjeUzLL7GX5j2xJTafEpHvSmy50RpYrKpvJvxGxT2q6heYuX0vrEf73LAxlcDkBLfwAxHmN5fnPy/+USH5+e+G9dQuSvj9iAoTe5RCP3vAxLXYUr4LsYbL4iAdlwZest4SIKgA3wS+LyJNA+ezsffvkZTBPI7NVzs6SKdggnSiqo6P5eFyEfkfVnkvDdI/KjjdPiHsaRnifBTrybwg4nYB1qv2r5TpTlMHhGUraWXLVKtdBgL/SqySnym2fO09InJUynSmTWu2pHnvc3m3ooTnpiScS1oxLZcykoYrg2s/E5EvROQREYk2DDOR6/NPVQeIyJFie1OFQ7zDuqUtuec1FSIyELNwzMMmmkeF42xNWBEwOPeq2oqAnbH8HEty/XgMNqwteu1STFyVYKM4zlPVKitxBaSp+8E6hpLyt53Ynk/RX9gJtjY4Nk+4tEXMTypE5ERMbI/HFopITFcUVY0Kl5OyiY+K1TejAv7fQZjxeL7UihUB+wTXJD7fCL2x7+SyhHMTsW9E+O1L24bQSLqjrAuO5djqdVHCzrnBNaS3EiLSARM+O2DDKKfFznfDrFWzVfVyVX1OVZ/Ayu1YTFxVeQdV9V1VfUNVH1PVEwnmOif5TZnORpgQPxizKj4RpOUi4PfAhSJSXedVjeVIVdcE7bFXVfVubA2AY7BFKUKqeyfA3ovq3onwW5y23ZANGcMO6qITMEv6nZhQ/hSztF8feEvT6RIaKLbcvxyeTViOh8XSOAoTZ4NTpCNKlXc8E2mE1edAGxHJVSikoboljQuxXHCm8KNhV3ez8t2jK1PYVcJV1Q+woVtnYqp8H+wDMSGonGqD0Nq0HTaJtK5J+/wFm+SeZI0Lf4uyCDsnArHyBtZL8jhmUTo+iP//Am+5br79ENZIOiX4/wKsV7nmJT6Np7FenbCH71Cs4fNE1JOIXI0Nt52PDV84CUv/kMBLUvoTK3JVnYNVeN8TkWYi0gdbvOSJakR6nNquA7agqg9gjcmLsOEJZwJvisgzKYNIm9at/b2vlhzLSI2o6kvY/f8+Zuk7ClsSd1SWHUhpqbH+F5EDsHe6G9YAOBUTKcdgIizX97lGRGQ/Khb6OUJtREUiqlqqqiVJwQTHN8lcNx6XcN0pkb+r7OGSA4uAJmJ7WUU5BytH0V+4fHZoid8xIbzQLeM9iSO2T9ILmOA4NkvrWWlwjHfQ1UT4jsa/PwCo6uCkjs3wNCkaa2nJoi5ZREW6o4SjUpapatxiMj84phYuQZxvYp2i39bIkuIRLsY6Bp6N5aUcW8hse2C/FNE9jr3Dp6dNX4xDsTk5zyeI8TBth6cIpzQ41liOAqvPC8BxIrJL4LwMEwZV3gkRaR6Em/hOiO0pez5Wb72YIq2pCUbmnAp8rqofJvkJOoKOwIYgH44thLM3FdbnpI69OHOwejt6/7J9NmE5XpAQ/nyy7yyr9h2PkkYwPI81ki7EekJqIuwd3B0bOxllQMxPthSs8kkgNIknVTRxURmaVndP8Nsf+whH87gUG4ZTU7jAlhft+eCHiFyONXAuwJR5wRCRcGzrHVhlNExE9lLV+QnekzaujD/T6POvyW+2TMdEx9tJPYF5kk3Z2gsbK36zqv46ekJEMg2lSctLmKXnArEN8g4B7tSU+9So6mIReRU4TURaYxVsOTbUJ8r3scr/hOi9lAybN6bgIazh/W2sdwrSDwNMS2lwTBL/WXUIBOX7EeAREWmM9cyeKyJ/VBsqVggK/d7nW7eG5/onnBuQ4FboMrKFwFryJPBk0FFxB7awxKnEGlcRSoNjPyqGChNxy4fvYhbvE6JDZ4KhuLVmrQpE1ZtYT/sRqjorx6AWYVa2NqqatOdMUtynYHMbHsOW3v6DiLyrqp8leE9T94N1xIJZYsZF3F/HxF2U0Moavm/foOp+OQdhPdyZrOWVCMrmP7HG29EZLDzVEQ4PXRhxS/Nt2DU4Zrs31TSsh39vzDKTiS+B40WkXYJVcQB2j6LDe9O0IT4HvikijaLvt6ouFJHZQA8Raak2fD5kp+BYaUh4JiKiagC2Yu7rGbyGAqJxwrkmsWN1hFbQXDujCpWOpHJUHdF0f6Gq5SLyMbCviDSPCdxBWEfKuHggASdj1um7EoRxvpyPTb2o8duuqrMxy1DIiQQLH6WIZ2fsGUTvX7bPZiz2rduJqu/lTlQuwwV9x9P0wj2CDbP4hYjE58YANowheHHBet7WAD8Rke0jfrbHKvHVgZ9cCMdzFrwHV21M9ALgyOBDD0Bgqft2zO/X2P4hJ0fnCQTXhfOToj0F04DtRWRQxG8jbDGGSgRj4uOEY9sLmm8R2RurZEcCv8LGs7YB/p5hWM55IrJT5PpmWB7KsAniYVpnAz8MzPuh36ZULEjxUo5JfgLrjbo6Q34Sd95OyWrS39+wBzy++/ke5D63DtgyX2gY1rscirZsBcrj2Bji72FjkEdo1flZZcSGggQ9XdeTG+EwpkswS95oVU3TM5Uatbk047D5BFvESVC2fpYmDLH5cJXGpqvNEwvnShbyHSv0e59v3Toe68X7YTQ+sfmAlyb4L3QZQUQai0ilYbJB7+Mnwb/V3f8R2JDEy0QkHCIWDiM6L+NV6Uh8p7HOxFTWKrF5Wf0zPMsk//tieVqNiaqc50IEDeOngEFic4uS4usS+XtHbG7kZGy11POwHvJnpOo8VYBjAhEYXi+YEAYTMiGjguNBsfTNTxiaHnbevYP1IF8YdAaFceyNDdd5Nmr5FpHuwX2u9B6LyLHYd3cqtnhU0vyh0G+VIbuBJWBo8G9009M07Y4wv+9U4yeJcITDbUnW2khb5J9YObw+dv4ErCPrX6E4yqINMQqzBCV1qvwdexcuiblfFhxfjaShZfA8usfS1h4r37sDZ6jqawnxhITDSofEwmiKdXpsJqgjRKRVtJxE/DbGVhgFm1OYC1vmvknFcPyQMG1bOt6yKUci0jmpXRXUX2dh5Sw6zPtpKjbXjXIldj+GZ8hDOFSv0B2bYdgbqTpEtFqCTpyTsEVWZkXck+5fI2y1Zaj8Hmb1bCJprPRtE5GTMZH2asQ57Tu+GRvmWC01Km9VXSsi38IaTv8UkTewl2UJZj04AmsE/i7wv1xErsV6R8aIyLAgqCGY4rtEI4sJZEn4stwpIk9hpsXPtXA7mP8Ve6Cvicg/sfHAl2IK9YCY359hleh7InIvJsq+hd2L/1PVaI/yQ9hqJC+KyF1YwTyT5Ps/WUQ+xBagmIdtHndxcE3aoUo7isj3Mpz7QFW/CHpin8F6ur4XVMqfiMh12IIU1xGbKIs1FMeIyANYD+t3sftySzAcDFUtE5EfYx+4j0TkocDvOVjBvE1Vp6fMR5y7sF7P34vIkViv9UpsIuVRWHk4IsewP8SsRLdQMU/uZa26wzrB+YnAtcHHfSrQF/sIfUaylSIbHsZE6LnYMsHZ3q9XsPfzTkwoP57g5zns+b4mIi8E/r6LDSPMmuC5/w1b/hrSWbdz4RdY/fO+iNyHDZ86G+tFg5p7nvpiO8C/iL3Xy7De+MswS/R7BUxrQd/7fOvW4Bldha2qNFZEwtUmf4SVl56xSwpaRgK2B+aLyL+whtLX2PyRy7Bn8XKmC1V1iYj8BlvYYbSIPElFw2MatgVGrqMaXsQE76tBnbURq2v2ImIJqIHTMOvPb6hoWCUiIr2wctweW3jkYLHFKyqlKUP9k4lfYRbuf4jIP7A6bSM2JOdETFgPCRouT2ELoZwTWCTWisgF2JCkv1C1Qf0p8HbwrZuPWRaPxhpKH0T8jcesKydi39MaUdVNIvIzrKH4XlAu22DPYxEVHUwht2OdN0cQCDkR2R/rsBPsGZwQ6R8N44la7f8jIvOC9M7DvvXfwywN96jq2Mh1S0RkBvAdEfkC60Vfo6rRsnoi8Fm2nUmqOlZE7sS+tx+LyHCsLdEbqysGYZbIYUGerxNbdOdd7J2/PEhPtL5N24Z4HvtGnEjVXvjfYauo/UFE+mLP/1BMgL9N5Ub9IKxz9nEqC6MR2PC9p4H2CW2S97ViEaLHsDbVZUHn7etUdA7uhe1xF1oY+mB1+HPYt3cp1lA+F7NcP66qlepxEfk+9h6AtVubiUj4rZqlqn8HUNVPReT5IO/jgjpmLdauOxl7p6Idw6nLUXDvrgy+PTOx59EXe67tgQtj1sGHsTmQfwqe+WTsWZ0G/FZVS2P3ExHZAZuWMDaD5Tn01wsbkQAVo4tOloqO80oCKLjmwMDvP1R1CRkQkUex93AC1lkTlpuPqNoB+nDQsfc+NvyvE3bvB2L3+bnQY7bPRlXfFJGnsZEor2Kd/72wTshw64OQSVg79XIRCfdW/Docthp0cBwP/EerLthRFU2/TGZLrKL7L/YB3IS90K9gDyi+LOJpwc0Klzt8n9jeD4G/UpI3gRxMwvKHWC/Zl0H8SroNggcnhD+KqktoN8EqlPlYI/3j4IENDcIpifnfG+tJWkrFvktVNggO/J6IFbQN2At4JxWb4g2N+LseqzS/DvzOwYbG7BcPM8Nz0hp+Fwb+/oaJhxNj1wtWADcRLCkdfRbY/ifTqdic82cZ0nE4VrGuDO7lJ1SzQXCCe0n83kSe0U+xlzQsW9OxhsKxEX+J5Sc4N4ygozzi1gX70CylYj+FkmrKSq/guSzCXu6xWJmvUlYyuA0hQ9kMzr8VnP9+2nc0dn24hPEKEjZWxMzpN1CxkegsrOzvllAmM76QrFcAACAASURBVN7LhHtSFjzzKktXR8rnsJrcqrtH2GTfD6nYw+UubNU2peZNEztiHQcTsMpzXXAP/gJ0r4W0Fvy9J33dWqXcBu6nR9JU3QbB2ZSRkrhbhvvfDGsYj8XE3AbsG/A3ItswBH5LSf42XIEJqegGweFG9tEl4IeSUG9nChsbmTCeisVonsHEZpLfJLewDFR7D2LvVHW/KulOEW5LbB+jz7CyvQr7Lj1MRX0ebpxZZRlrKrY5OSP+XKnYIDgsNzeTvBz/tZhgz2q/I6xj8kOsPl2GNap2SfA3jMzf+oy/WBjXYZuML8K+dcsxcXBuhrQNomJTbqXyNgYl2DfjimyfVySMc4PwVwVxTMHqpKQNgr/EGuVfY73yvWJhZVOXvIoJwqQ0dcJW6Av3ffsSW1Qgvix8WJaT6srqfkNi/rtgYnxmEN8arJ64iMrbJXQK/H2Kfa83Ye/rCKwBX2X/KCq2dUn6xd/jZkEZ/pSKVWSnYR06rWJ+U5cjTCw8idVZq4M8zsFE6sEZnkG7IK/zgnRMwuq7THtk/TLI00V51j+DE655KDh3TA1hX4LVoyuC+/dZkK6kdsgFwbNZENyPVVgdcDkJG1Jn82wC/02CZxRuKP81NvKpR4LfE7E2//p4uaBin7qT0rzP4R4JjpMRERmMVRY/VNVhxU1NwyDoYfkGtnfVupr8bw0EQ0HmAI+qarzHu7bjPgNriJ2rqmktu842gojcgzU4uqsNGXUKQNBTPhP4jaZcRj7ogZ4OPKyq/68m//UdEfkzNpSrr1a2OGz1iMg3sI6ZYzTl3DzHaWgEVsYe2MbCNYqmWlvpyHGc3BCRXTHz9pP1RVQFXIZZOR6qrQjEaBFza4rNu9tMxRwPZxsk/uwDt+7YpOrPXVQVH7VV+H4N/DRpDsW2RFD2LgV+Vd9EFYDaMM7hmPXRcZwYYnNhT8U2Bk5licp3GXHHcQpEMIZ5N2yo40bgj8VNUTpE5DvYkKlrgNc1tl9WgWkOzArmWE7Fhvadg43Dv9Mb1ts8g0Xk99hcoLlULJvfmjwW1XAKi9qWBlU2nd7WUFuAI2mxj3qDqsY3YXUcJ0BVPyFLI5QLK8fZergM63n/Etuss7S4yUnN0wQbUlJ5k+DaYBM2r/NUbFK2YALrClWN77zubHvMAL7AxFRHrNyNA273oUyO4zhOsfE5Vo7jOI7jOI7jOHniFiunoHTq1ElLSkpqPZ41a9bQqlWrWo9na8Tz3jDzDg07/w0579Cw8+95b5h5h7rJ//jx4xeraudajcRpMLiwcgpKSUkJ48Zl2hC8cIwaNYrBgwfXejxbI573wcVORtFoyPlvyHmHhp1/z/vgYiejaNRF/kVkVs2+HCcdviqg4ziO4ziO4zhOnriwchzHcRzHcRzHyRMXVo7jOI7jOI7jOHniwspxHMdxHMdxHCdPXFg5juM4juM4juPkiQsrx3Ecx3Ecx3GcPHFh5TiO4ziO4ziOkye+j5VTv1i0CO6+m+369St2ShzHcRzHcRxnC26xcuoXmzfD7bfT7bXXip0Sx3Ecx3Ecx9mCCyunftG9Oxx7LF1GjSp2ShzHcRzHcRxnCy6snPrHoYey3bx5sHJlsVPiOI7jOI7jOIALK6c+sscedpw0qbjpcBzHcRzHcZwAF1ZO/WP33e3owspxHMdxHMfZSnBh5dQ/evZERWD27GKnxHEcx3Ecx3EAF1ZOfaRpUzZ26ABz5hQ7JY7jOI7jOI4DuLBy6ikbOneGuXOLnQzHcRzHcRzHAVxYOfWUDZ07u8XKcRzHcRzH2WpwYeXUSzZ06gTz5hU7GY7jOI7jOI4DuLBy6imb2reHFStg48ZiJ8VxHMdxHMdxXFg59ZONbdvaH4sWFTchjuM4juM4joMLK6eesql9e/vj66+LmxDHcRzHcRzHwYWVU0/Z2K6d/eEWK8dxHMdxHGcrwIVVA0JEzhKRf4nIVyKyWkTGi8i5Cf4uEpHpIrI+8HNUMdJbHW6xchzHcRzHcbYmXFg1LK4GVgNXAacAI4H/E5GfhB4CofUA8ARwAjAR+LeI7FH3yc3MpjZt7I/Fi4ubEMdxHMdxHMcBmhQ7AU6dcrKqRpXI2yKyAya47gnchgKPq+otACLyDrAvcD3wvTpMa7VsbtXK/li+vLgJcRzHcRzHcRzcYtWgiImqkE+AHQBEZGegL/CPyDXlwLOY9WrroXFjaNPGhZXjOI7jOI6zVeDCyvkGMC34u39wnBLzMxnoICKd6yxVaWjXzoWV4ziO4ziOs1UgqlrsNDhFIliUYgTwI1UdJiLnAU8C7VV1ecTf0YG/fqo6LSGci4GLAbp27TrwmWeeqfW0r169msFXXsn6rl35/NZbaz2+rYnVq1fTunXrYiejKDTkvEPDzn9Dzjs07Px73htm3qFu8n/EEUeMV9X9azUSp8Hgc6waKCJSAvwf8JKqDssnLFV9CHgIYP/999fBgwfnmbqaGTVqFK132onWqtRFfFsTo0aNanB5DmnIeYeGnf+GnHdo2Pn3vA8udjKKRkPPv1P/8KGADRAR6QC8BswCzoucWhYc28YuaR87v3XgQwEdx3Ecx3GcrQQXVg0MEWkJ/BtoBnxLVddGTodzq/rHLusPLFXVrWs33vbta09YzZnje2Q5juM4juM4qXFh1YAQkSbYCn99gONVtZJyUNUvsYUszopc0yj4/7U6TGo62rWDZbVgRPv4Y+jZE444AsrLCx++4ziO4ziOs83hc6waFvcBJwI/AzqKSMfIuU9UdQO2j9WTIlIKjAZ+gAmx79ZtUlPQrh2sWgWbN0OTAhblxx6z46RJ8O674OO7HcdxHMdxnBpwi1XD4tjgeBfwQezXHUBVnwYuBYYA/wH2woYMfl7Xia2Rdu3suHJlYcN9+204+GD7e/TowobtOI7jOI7jbJO4xaoBoaolKf09DDxcu6kpAO2DNTWWLYMOHQoT5vr1MHUq3HADLFkCY8YUJlzHcRzHcRxnm8aFlVN/CS1WhVzAYuJEKCuDvfeG6dNh3LjChe04juM4juNss/hQQKf+UhvCavp0O/brB336QGkpbNpUuPAdx3Ecx3GcbRIXVk79JToUsFCUltqxd2/YdVezXs2aVbjwHcdxHMdxnG0SF1ZO/SW0WBVaWHXqBK1bwy67mNuMGYUL33Ecx3Ecx9kmcWHl1F/atrVjIVcFLC2FkhL7u2dPO86dW7jwHcdxHMdxnG0SF1ZO/aVVKzuuXl24MOfOhZ12sr+7dwcRF1aO4ziO4zhOjbiwcuovjRtDy5a2SXChmD/fBBVA06bQtSt89VXhwnccx3Ecx3G2SVxYOfWb7bcvnLDasAGWLq0QVmDWK7dYOY7jOI7jODXgwsqp3xRSWC1caMeosNphB5g3rzDhO47jOI7jONssLqyc+k0hhdX8+XaMCqvOnWHx4sKE7ziO4ziO42yzuLBy6jetWxfeYtW1a4Vb586waBGoFiYOx3Ecx3EcZ5vEhZVTv9l++8KtCrhkiR07dqxw69QJNm0q7JLujuM4juM4zjaHCyunflPIoYBJwqpzZzsuWlSYOBzHcRzHcZxtEhdWTv2m0MKqSRMLMyQUVrUxz2rTJvj668KH6ziO4ziO49Q5Lqyc+k0hhdXSpWatEqlw69TJjoW2WJWXw6GH2qqDH31U2LAdx3Ecx3GcOseFlVO/ad3a5liVl+cf1pIllYcBQu0NBXz9dRg7FsrK4I47Chu24ziO4ziOU+e4sHLqN+GwvTVr8g9ryRLo0KGyW20JqzfegBYt4Pzz4a23YPPmwobvOI7jOI7j1CkurJz6TSisCrEyYJLFqlUr2G67wgurd9+Fb3wDTjgBVqyA//2vsOE7juM4juM4dYoLK6d+EwqrQsyzShJWYPOsCrl4xebN8PnnMHAg7LefubmwchzHcRzHqde4sHLqN4USVqqZhVW4SXCh+PJL2LgRdt8ddtnFLGKffVa48B3HcRzHcZw6x4WVU79p3dqO+QqrtWthw4a6EVaTJtlxwABo3Bj6969wcxzHcRzHceolLqyc+k2hLFbh5sDxxStCt2XL8gs/yowZduzb1469e8OsWYUL33Ecx3Ecx6lzXFg59ZtCC6ski1W7drB8eX7hRykttTDbtbP/e/UyYaVauDgcx3Ecx3GcOsWFlVO/KdSqgNUJq/btzWJVKOFTWgolJRX/l5TYUMQwDY7jOI7jOE69w4WVU78p1Byr0CLVvn3Vc+3a2Ua+hdgrC0xY9e5d8X+vXhXujuM4juM4Tr3EhZVTv2nVyo75ip4VK+zYtm3Vc6HYKtQ8q7lzYaedKv4PhZXPs3Icx3Ecx6m3uLBy6jdNmkCzZrUrrMK5UIWYZ7VuncXVvXuFWzgs0C1WjuM4juM49RYXVk79p1WrwgmrcM5WlEIKqwUL7NitW+Xw27SpPYvVxo21E67jOI7jOI6zBRdWTv2nEMJq5Uqbr9W4cdVzhRwKOH++HaMWK6hYGbDQ3HcftGwJv/pV4cN2HMdxHMdxtuDCqkiIyF4iMlxEvhCRDSKyX+B+q4icUOz01SsKZbFKGgYItW+xCv8PzxWKtWvh2mtt4Y3bb4c5cwobvuM4juM4jrMFF1ZFIBBO44FuwBNA08jpDcBPajHuXUXkQRH5n4iUicioBD8iIr8UkTkisk5E3hWRfWorTXlT28KqLixW3brBwoX5hx/l9dftvjzwgC0V/9xzhQ3fcRzHcRzH2YILq+JwOzBMVQ8Hbo2dmwDUpojZHTgRmApMy+DneuBG4E7gZGA18KaIdMvgv7jUtrBq08aOhbJYNWoEnTpVdu/a1YRVITcJfvNNG954wQXQrx+MHFm4sB3HcRzHcZxKuLAqDv2B4cHf8Zb0SqBDLcb9sqr2UNWzgInxkyLSAhNWt6vqX1X1TeCsIJ0/rsV05U5tC6smTWxRi0IJqy5dqs7l6toV1q/Pfz+uKGPGwP77W/oPPhjef7+wws1xHMdxHMfZggur4vA1sHOGc7sDs2srYlUtr8HLwUAb4B+Ra9YALwNb59yv2hZWYMMBCzUUMD4MEExYQeHmWW3cCP/7HwwaZP8PGgRLlvheWY7jOI7jOLWEC6vi8Axws4gcGnFTEekLXAc8VZxkAWZNKwOmx9wnB+e2PgolrMIhf0m0a1c4i1V84QqoEFaFmmc1YwZs2gR77WX/Dxhgx6lTCxO+4ziO4ziOU4kmxU5AA+VGYADwDhCaKF7CFrN4A7itSOkCaA+sVtWymPsyoKWINFPVShsjicjFwMUAXbt2ZdSoUbWeyNWrV2+Jp8/y5XRZvpzRecR72PLlfLVyJV9mCGMfEZg5kwl55u0bs2axtHNnpsbCaTVnDgcAE99+m0Vl8VtfmWjeM9H5nXfYHRi3di2rR42i6bJlHAJMf/llvmrePJ8sFJU0ed+Wacj5b8h5h4adf8/7qGIno2g09Pw79Q8XVkVAVTcA3xKRo4CjgE7AUuAtVR1R1MTlgKo+BDwEsP/+++vgwYNrPc5Ro0axJZ5XXoE33iDneDduhI0b6bnHHvTMFEZJCcycmXscYPObVq6k+5570j0ezm67AbB7x45QQxyV8p6J994DYP/zzrN9rFShfXv6lJXRpw6eT22RKu/bMA05/w0579Cw8+95H1zsZBSNhp5/p/7hwqqIqOpbwFvFTkeMZUBrEWkcs1q1B9bGrVVbBa1a2cIPZWXJG/zWxIoVdqxujlXbtraJcD6sWWMiLr4iIJhbo0aFGwpYWmpzuVq2tP9FoH9/HwroOI7jOI5TS7iwKgIiMqAmP6o6qS7SksAUoDGwK7Yke0j/4NzWR6tWdly71lbvy5Y0wqpNm/yF1ZIlduzYseq5xo1NXBVSWJWUVHbr3x/+85/ChB9n3TrLQ7NmtRO+4ziO4zjOVo4vXlEcPgc+q+FXLN7Hlnw/K3QQkZbYflavFStR1RIKq1wXsMhGWOWzXHl1wgoKu0lwaSn06lXZrX9/W5UwzG+hGDfO0t6nD3z1VWHDdhzHcRzHqSe4xao4HJHg1h44Lvj9tLYiDkTSicG/OwJtROTM4P9XVXWtiNwB3CgiyzAr1dWYCL+nttKVF3UlrDZvNstMOLwuW2oSVp06weLFuYUdpawM5syBs8+u7N67tx1nz4Y998w/npBrrjFr4cqVcMst8MADhQvbcRzHcRynnuDCqgio6jsZTv1TRH4LnA38u5ai7wI8G3ML/+8NlAJ3YELqBqAjMA44RlULZE4pMPkKq3CIX3XLrYfnVq6sPWHVsaPtPZUv8+fbUuvxoYA9etixkMKqtBRGjYLbb4cpU+Cpp+Cuu6AerzzoOI7jOI6TCz4UcOtjJHBqbQWuqqWqKhl+pYEfVdVbVXUnVd1OVQ9T1U9qK015k6+wWr3ajtXNz4oKq1xJI6xCP/lQWmrH+FDAnj3tOLuA+0+Hc7ZOOw3OOMPu5X//W7jwHcdxHMdx6gkurLY+TgIKsBNtA6JQwqp168x+CiGswmF+HTokn+/QAZYuhfLy3OMAmDXLjnGLVbdu0LSpDRMsFG+/bZawvn3hyCNtAQvfc8RxHMdxnAaIDwUsAiLyjwTnZtjKe32AX9Ztiuo5+QqrVavsWNvCaskSm8fVtGny+Y4dTVStWAHt2+ceT2ixCi1UIY0awY47FtZiNXYsfOMbtpx7q1YwYACMH1+48B3HcRzHceoJbrEqDp0Tfs2B94CTVfXOIqat/lEoi1UYThKFElaZhgFCxbmlS3OPA2DePLN+Jc0F69mzcMJq0SKzjh1wQIXbwIEmrPJZPdFxHMdxHKce4harIqCqSasCOrlSCGHVqpVZdDIRrhhYF8JqyRLYZZfc45k/34b9JdGjB4wenXvYUSZOtONee1W4DRwIw4bZsus77VSYeBzHcRzHceoBbrFy6j+FGApY3TBAqFuLVb4LWMyfD927J5/r2RPmzrUl2fNl8mQ77rZbhdvAgXasjeGAb7xByd/+ZpYyx3Ecx3GcrQy3WNURIvK7LLyrql5Xa4nZ1iiExaq6FQGh4nw+m+suWQL9+mU+XyhhtWABHHpo8rkePWw/roULYYcd8otn8mQTpFHL1N5723yrCRPg1AIubvn++3D88ZSowtSp8MEH1VsYHcdxHMdx6hgXVnXHWVn4VcCFVVqaNbPV6PIRVjVZrJo3t18+FqulSzOvCAiFEVaq1VusQvcFCwojrPr3NyEV0rKlWcWmTcsv7DhDh0K3bkw76yz63n03/PvfcMophY3DcRzHcRwnD1xY1RGq2rvYadhmCVekq82hgGDDAXMVVuXldm11q/21a2d5yUdYLV8OGzZknmMVui9YkHscIVOmwBEJ0wX79oXp0/MPP+Srr+DNN+Gmm5h/2GH0HT7c5nG5sHIcx3EcZyvCx9I42wb5CKs0QwEhP2G1cqVZk9q1y+yncWMTXvkIq1Aw1WSxmj8/9zjAxOjcuZXnV4X06WMWq0KtDPjqqxbWWWehjRvD6afDG2/Apk2FCd9xHMdxHKcAuLAqIiJyqIj8SEQuj/+KnbZ6R6tWsHZtbtemGQoI+Qmr5cGez9UJK7DhgPkIq1AwZRJWXbvaMV+L1Rdf2LFPn6rn+va1uWiFWmRixAibxzVggP1/5JEmoseNK0z4juM4juM4BcCHAhYBEekKvAUMwOZThZNUol3899V1uuo1+VqsGoqwatHC0pCvsAo3Ie6dMMK1b187TpsGXbrkFw/Ahx/CYYdVzOUaPNiOI0fa5sSO4ziO4zhbAW6xKg5/BFYAPTBRdSBQAtwITAf6Fi1l9ZWtfY5VXQmrUDBlmmMVnstXWM2aZcdevaqeiwqrfFmwAObMqbwJcadOsOeeJqwKzSOPQEkJ/OhHsHFj4cN3HMdxHGebxYVVcTgcE1fhRBdR1dmqehvwJG6typ5chZVq3cyxSiusOnSw1QNzZcECs0qF+24lUQhhVVpq9zxpX65evWy+2IwZ+cUB8NFHdtx//8ruhx0GY8bYoiCF4qOP4JJLoEkTeOwxuO22woXtOI7jOM42jwur4tAOWKSq5cBKIDpe6n3g4KKkqj6Tq7DasME2y91WLFaLF0PnzpWXQI/TvXthhFVJSXI8TZrYnKjQqpUPH31k+1Xtt19l9333NUtjOCSxENxyiy0e8skncOaZ8Kc/5bdvmeM4juM4DQoXVsVhJhBOgpkInBc5dzKQh8migZKrsFq1yo5phFXbttbQzmW1u2yE1erVuQ9DC4VVdXTrlv+qgKGwykSvXoURVuPG2aIV4SbQIfvsY8cJE/KPA0xovvIKXHyxWS9/8QsrGy+8UJjwHcdxHMfZ5nFhVRxeAY4N/v4tcIaIzBWRmcBPgXuKlrL6Sq7CavVqO6YdCrhpk1m5siUUVtUN0YP8NwletMjmIFVHt252r8K850JdCauJE2Gvvaq67767DTf85JP84wB46SUbVnhe0McxaJBtdOzCynEcx3GclLiwKgKqeoOqXhj8/Ro29O9x4EXgW6r6h2Kmr16Sr7BKOxQQchsOuHy5Xd+4cfX+8hVWixenE1aQ+3DA5cvtV52wKimBefPy22tq9WqYPTt5r6zttoP+/QtnsXrzzcpLuotU7JeV6/DPTMydC0OGwPnnF0Z8Oo7jOI6zVeDCaitAVcep6q9U9epAaDnZEgqrbIfpZTMUMF9hVdMwQKgbYRUuxZ6rsJozx449emT206uXWYC++iq3OACmTrVjkrACGw746ae5hx9SVgZvvQXHHFN5zthpp9mQzLfeyj+OkPXr4dhjYfhweO45OPro/CyHjuM4juNsNbiwKgIiUioid4rIvsVOyzZDq1Ymqtavz+66bIcCQu0Kqw4d7LhsWfZxbNpkc8Bq22I1b54dd9wxs59wGfZ8LDKTJ9sxk7DabTcTebkusx/yySd2v48+urL7gQdC8+bw3nv5hR/lrrssX//8J7z2mq2c+PvfFy58x3Ecx3GKhgur4vAscA4wTkSmicjNIrJHsRNVr2nZ0o7ZNrLraijgihXZCatcllxfvNiOaRavgNwXsAiF1Q47ZPZTKGHVuDHsumvy+f797ZjvflmhcAo3Hg5p3hwOOqhwwmrzZhNWxxwDxx0Hhx8Op5wC99/ve2Y5juM4zjaAC6sioKrXqGoJcCjwKvAj4FMR+VxEbhSRPkVNYH0kXDVuaxVW2Vqs8hFWNVmsOna0JdHztViFQwqTCIcJ5iusdt0VmjVLPh8KqylTco8DbEn3HXdMFoqHHQYff1wxZDQfRo40MXvppRVul19uC44UepGMTZvgjjvgjDPg2WcLG7bjOI7jOIm4sCoiqvqBql4J9AAGA6OAnwCTi5is+kmuwiqXOVa57G2UVli1bm2ipzaFVaNG0KVLfsKqfXtbQCITLVqYZSwfYTV9OvTtm/n8rrtaXgohrA44IPncYYfZXLEPP8wvDoCnn7YhpyecUOF2zDG20Mfjj+cffpRLL4UbboDRo+Hss80q5jiO4zhOreLCauugFdAT6AW0BXJYz7uBEwqrtWuzuy6XOVa5WC/SCisRs1rVprAC6NoVFi7MPg4wYVXdMMCQfJZcV4WZM2HnnTP7ad4cevfOT1gtW2bznAYNSj4fCq7x43OPA2wY4Isv2oIYUUHaqJGtPvj224WxigH861/wt7/B9dfbCoQnnghXXWUrLDqO4ziOU2u4sCoSIrKdiJwtIs8DXwOPAooNC+xa1MTVR/IZCihSvfUlJNehgOXl6edYgVmDclm8YtEiO24LwmrxYnuW1QkrgH79KlYPzIVx4+yYyWLVvr2lIV9hNW6cietvfavquVNOsTlWr7+eXxxggvSmm2yY5G9+Y9bP++8391tuyT/8OOPHm2XsgQeyXzjGcRzHcbYxXFgVARH5ByamngJaA1cA3VT1FFV9SlV9/eVsyWcoYOvWlZfZzkSLFtZQzVZYrVplDdu0wipfi1W4ZHt11JWwmj3bhGW2fPmlHXv3rt5f//4mrHKJAyqWa9+3mgU699svf2E1YoSVsSOPrHrukEPsmb/8cn5xgFm+Pv0UrrmmYm5az55wySXw2GP5LX8f54UXzNL3u9/BZZfBEUdkbzF2HMdxnG0IF1bFoTNwDdBdVY9T1cdUdXmxE1WvyWdVwDTDAMEaxm3aZC+slgePti6EVbt20LRpzX5DYZXtvl/l5bYAQxph1bMnbNhQYUnLhpkz7ZhGWK1fn/swt4kT7V5UJ0YHDrT05PJMQt580wRaUjxNmsBRR9l+Wdk+jzgPPmgWy+9+t7L7T39q+3UNG5Zf+CGTJ1scgwbZfRk+HMaMMQFXG6xeTZNCb9TsOI7jOAXGhVURUNUjVPUBVV1c7LRsM+QzFDDNwhUhW7uwSjMMEExMbNyY/UIcixZZAz2tsIKKDYWzIa3Fql8/O+Y6z2riRNh99+r9DBxox08+yS2Odevggw9MPGXiyCPNmjRjRm5xgFlG//1vOOccs65G2XVXsyg9+mju1r0QVfjZz2z47EsvQdu2tkDGjTfCk0+a1axQbNwIP/4xtGvHoaeeakMpc110xXEcx3FqGRdWzraBC6vshRVkPxwwzR5WIeGS67lYk2bOtJULw+eaiXyWXC8vh0mTahZW++1nx1yHA37yiS1/fvDBmf0ccYQdR47MLQ6wRSvWrYPvfCf5/JAhdl/Hjs09DrA0jhgBQ4faMwq54QYb/vnzn+dveQN7PmecAffeCxddROn555tV75BDKoa9FpI1a+Dzz304o+M4jpMzLqycbYN85lilHQoIdSesVq60xng2LFq0dQmrfC1WNS1cAbYZctu2tjR7tsyebeWlJmHVsaMtiZ6rsBozxo4HHpjZT9++ti9YPsLqxRftuWQScKecYsNEn3su9zgA/vQnu+/xYX8tWpjYmjDBhj7my513mgXu7rvh/vsp/eEP7f589ZVZ5fK1vIWsXw+/+IUtVLLnnvb+/epX2b9/juM4ToPHhZWztyTHMwAAIABJREFUbRDOscplufWtzWLVvn3l69KyeLE1eNNQF8KqQwcbLparxaqmYYBg89769oVp07KPY+JEO9YkrMCsVh9/nH0cYMKqZ0/b1ysTIma1GjkyN2vP5s0mZo4/3pZwT6JdOzj6aHj++dwtStOnwyuvwBVXVB1uCHDuuVa2/vzn3MIPmTnTVjU86ywbChhy0EFwzz023PDRR/OLA2wO4Le/DX/8I5x3ng1lPPNMuO02Wxp/QwF3vli+3MTi6afDD35gGzeXlRUufMdxHKfouLByEhGRASLyloisFZF5InKziDQudroy0qiRNeK3laGAkN2S66p1OxSwOpEQImKCIluL1ebNJsbSCCuoG2G17742/ymXBRQ+/LB6a1XIEUfY85icw/7gY8fafLnjjqve35lnQmlp7vPFnnzSnutFFyWfb97cRNdrr+WWj5DrroPGjU2gxVfsvPBCGDzY9unKZbPuKD/9qS1z/8gjtmpiKK7uv98E5GWXFWZY44gRNs/t+utt+Onrr9u8tEMPzW8T7Tiq8N57trT+9dfDE0/kf48cx3Gc1LiwcqogIu2BN7F9tU4FbgZ+DvymmOmqkZYtc19uPS35CKtwH6yaCIVVNvOs1q61IU1phVXHjiZGcxFWnTtXLOVdEz16ZG+xmjPHevLTDAUEE1Zz5tj8omyYONGG34UWwuoIl2MPl2dPy8KF1nA+6KCa/Q4ebMd3380uDrCGeqNGZpGqjlNPNcGSy3BAVRMdRx5ZvcXy0ktNYN17b/ZxgInk556zTY133LHqeREbjrh0qS31nisvvAAPPWQC5IILKp+79FJbjOOxx+Dxx3OPA2D0aDjpJCtrEybYfMCvvjLRM2kSHHZYxWIt+TBpkg0D/eY3bS+zP/3JLGM9e8Jf/lK4oZNlZfDqq3D11bYy5E9+Av/4h+9j5jiOgwurOkNELs/id1mRk3spsB1wuqqOUNUHMFF1tYikVAdFoFWr2l1uHXIXVttvbw3aNOQirLLZHBgsLZ075yas0gwDDOnRI3uLVdql1kP69rVG/xdfZBdPmhUBQ0JhNWFCdnGkmV8Vsssu1vh+553s4gATVoMGVZSdTHTsCIcfDv/8Z/ZxjBljAuC886r317mzDeH7+9+zfx/BhuU1a2YN9kzsu68t0vHnP9vy/9myZg1ceSXsvTfcfHOyn1//2kTPz36W+3L+8+eblbBXL7Mk7b23uTduDN//vj3rNWtMVOcyFzFk/Hizfn3xhS25v2qVCZ0PPrDFPq66yoY8Zju8OM7o0bDbbiYUH3jAysSwYTbnbZdd4L77CiPgJk40q+U++0Dbthxy6qn2LG68Mfv3vDrWrTOL8hNPwMMP23sxfXphrJSO4zRImhQ7AQ2Iv2bhV4H7ayshKTgBeF1VowriGeBO4HCgADuZ1gLZCivV7IcCbr+9fYw3b7b9h9KwfHn6YYCQm7AKV0lLO8cKctskOFth1bOnNS43bkxv5Qp777OxWIFZOvbYI9015eU2VC3TkLY43bvbvc12CN2YMVZOwpUFq0PERM+771rZTLNpNVg5+egja3Sm4bTTTLRMnVqxXH0annrKLFGnn16z30suMevW8OHwox+lj2PhQrMQ/eAHFcNVM/Hb35pl69Zb4a/ZVK/YXKc5cyxPmfZ9a9zYRMPee8MPf2jD+TLNX0ti40YTVatW2bVJdcA++9hKh4cfbvPj3nuvZnEcZ+xYOPZYC3/kyModEgcdZEMa//pXszAdcoj9X1KSXRyqJmKvu85E4rPPwsknW3nYvNnmvN16qw0DHT7cLH1p398oS5daOX7gAbvXhx8O3/wmX8+axY6LFtnct9/+1kT1zTdDnz7ZxwEmEO+917YLSJqX27u3icVLL7X85oKqibbhw+0ZTZtmwzJbtjRL7KBB9jxOOaXmsl4d69dbPfP55/ZOr1plC6907mwdW/vuCwcckN13LomNGy38uXMr9kBs2tSGhffoYcI67TexJjZtMkv/ihW0KOSm5o5TF6iq//xX6Qd8DQxNcF8DXFPdtQMHDtS6YOTIkVUd999f9fjj0weyerUqqN5xR/pr/vxnu2bp0vTXfPvbqnvumd7/4sUWx113JZ5OzPtrr9k177+fPp6jj1Y98MD0/lVVu3VTveCC9P4fecTSNXNm+mt++UvVxo1VN22qciox7ytXWhy3354+ji+/tGseeij9Ncceq7rPPun9q6oeeaTqfvul93///Zau6dMTTyfmf/jw7J797NnZl/uNG1U7d1Y988x0/svLVQcMUB00KH0cqqq/+pWqiOrUqVVOJeb94otVmzbNrnx98YVq8+aq556bzv8DD9j9uv/+9HGoql5xhV03fHjNfkeOVG3WTPXQQ1XXrs3gZWRVxw8+UG3TRrV3b9XS0prjaNdOtWtX1Y8+qjlNIcuXq552muXl9NPt/yTKy1X/9jdLT+vWqo8+am5pKCuzd7FjR9VGjVR/+lPVRYsiSR9pf8yZo3r99aotW1odcdllqgsWpIujvFx1xAjVww+3vLRvr3rJJaovvGDlbfZsuy8PPKB64omWjkaNVE85RfU//7E0pmHqVNWbblLdeWeLp0UL1W9+0+K6/nrL28knq3bpYucbNVIdPFj1r3+1/MVIfO7Tptm36PjjVbfbzsIBu/c9elh5aNWqwr1xY9WBA1Wvukr1n/9UXbKk5ns1Y4bqk0+q/uQn9p1o3rwivKRfixbm79JL7R5++KF9YzNRVqY6a5Y9k/vuU73yStWTTlLt00e1SZMt4S446qh09z0PgHG6FbS9/Ldt/Nxi5STRHkgaM7IsOFcJEbkYuBiga9eujBo1qlYTB7B69eoq8eyzaRPMm8eElPE3XbqUQ4Bp8+czL+U13ebNoz/wweuvsyHNAg7A3qWliEjqdFFWxmCg9OOPKU24JinvXd97j92AMV98wbqUK5ntBrSZNYsxKdMlZWV8c+FCZm3cmJiuJNovW8bewCcvvcSKcBhUTen68EPadOnCmP/+t8q5pLwDfKNjR5a+8w5T08xlAjp+8AF7Ah9v2MDKlHnZuWNHdnr7bd4bMQLNZOWIUlbGoR9+yMKjj2Z6yjhatmjBIGDKgw+y4KSTqpxPyn+/xx6jU+vWvL92LZoynv369YPHH+fjNEMUgQ5jxrDXokV8vvfeLE4Zx45HHkmfv/6VcQ8/zOoUloXG69Zx0N13s/yQQ5g4b17FQikBSXlvfvTRHPjYYyy87DKmXnddqnTtfuONdBBhzGmnsTFNXvr2Za+BA2lz9dWMa9eO9Sne+67/+Q+73Xsvs885hy+7dIEU8XS+/noG3HILi489lolDh1YZOhzPf5vPPmOv669nY/v2fHrHHWyYObNiGG0GWv7lL+x5/fU0O+wwJt14I0uq21sNaD1jBgOGDqXFggV8edllzD3rrOqttr170/zhh+l/xx20v+ACFg0bxrSf/5xNbdtmvGT7SZPoc889tJkyheV77cX0O+9kzS67mAUmKe/HHUezAw6g1+OPs8ODD1I2bBhzvvMdvjrtNDYnDesuK6Pzf/9Lj2eeoc2UKWzo1Ik5V1zBvJNOony77cxPtKz16wfXXEPz889nh5dfpvsrr9DsX/9i3Q47MO9b32LJwQeztmfPCotyeTkt58yh4/vv0/ndd2kzZQoqwrL99mPhddex+LDDKEvaj0+VVjNn0vmdd+j8zju0+vGP4cc/ZmW/fizfd19W77or67t1o9HmzUyYMIHt5syh9YwZtP/4Y1rOnQvA2h49WHrCCSzbf39W9enDxo4dK9KlSpOVK2kzdSptPv+ctp99Rpt776VxsGLn6p13Zk1JCRu6dqWseXOkrIxmy5bRYsECWk+fTrNg0ZOyFi1Y1bcvq049lVV9+7K+Wzc2tm8PjRohmzbRbMkSu+aLL2g9Ywbb//3vNHngAUuCCOu7dmVT+/Zsbt0aVGm0YQPNFy+m+eLFNIpsaVDWvDnrdtyRtT16sO6AA1i3005satuWZa1aMbkO2hSOUzCKrewa8g/YCTgSODH+K3K6NgFXJrjPBW6r7tqiWqxOPNF65dIyY4YqqD7+ePprnn3Wrvnf/9Jfs88+1kOZDW3bWs9mAol5/9OfNGtL2tVXW29n2l7luXM16577yZPtmiefTH/NgQeqZuilTMy7qvVCH3JI+jjuuMPStWxZ+mueecau+eSTdP4//zz78lVebpah889PPF0l/+XlqjvskN6SFPLb31ravvoqnf/zzjNrx/r16eNYutTK1yWXpPN/112WptGjE09nfPZXXWW9/lOm1BzH669bHLfdli5NIbNmqW6/vVkga7JcjB9vvftHHploda2W8B5cdlmV97JS/t95xywSffvae5kN8+ebdb9RI9V77kn2U1Zm51q0sPL13/9mF0dZmervf2/WxE6drH5atarifHm56tixqmefbfnt3l31qacy1kUZn/2UKWZFCy0mZ51llpwnn7R66pJLzMoOqrvuapaUbMqwqvl/+mmzOoXWme23N4tsv35mnQvdBw5U/cMfsn8mqqqTJpnV/aCD7L4lWYXatjUr1T33mOU1W9avV333XXv/jztOdZddzFIaht+5s5WNIUNUH3xQ9dNPsy/D5eVmQX7xRdWhQ63uOPpos14fdJBZ5847T/Xaay2Ot982S12G9yrjsy8guMXKfwX8FT0BDfEHbA+8BpQFv/LgF/5fVuT0fQ38OsF96x4KeNZZqv37pw9kwgRVUH3++fTXhA2zbBoaJSWq3/9+ev+qNpTje99LPJWY93D4XNrhKqqqd95peYk2eKpj7Fjz/9JL6eMIh1tm05Dt0kX1wgsTT2X8yF54oTUK0vL976vuuGN6/6rWiAMb7pSGRx81/2ka/FHOOEO1V6/EU1Xy/9lnFsfDD2cXx8SJdt1999Xsd/Vqa8RfdFF2cahaA611axuuWR2bNtl7cvDBGb1kfPYLF1r6zjmn+jjWr7eG8C67ZN+4VrWhaqB6772Z/SxYYM+uRw/Vr7/OPg5Va3CCNX4jbMn/c8+ZcOvfX3XevNziWL1a9dRTLZ5zz7WOovJy/f/t3Xm8lHXd//HXB2QRBEUESUBRkRQsl1AjqTBs0bQy18rMyqzuzH51192eS5vd3tlmtpmZlXmbmS2KW4l7it0uhIKASCAiO3IEAeHz++MzV2cYZr+uOXPOzPv5eMzjOnPNtXyvmTnnzGc+3+/n6y+95H777Z1BxDHHxPNbr0ceiQ/VSeAzaVLc32uvWDdggPuXv1zx/VHxw/XDD0cXtFGjfJtAZNCg+NLh+uvj2tJasCCCgY9/PLpHnnyy+znnxO/fv/6V/viJjRvjubv5Zp954YXuf/1rBFLVfglWi61bG3PcjCiw0q2n3dQVsDm+CewJvBa4BziB6GZ3OpHBelfzmgbAbGD//BVmNhoYkHuse6q13Pq6dbGstdw61FYZcM0aKNMVpqhdd629eMVuu9U2uD5/LqtqnoNaJgdODBwY11JtxbOODli2rPaB7+PGRWXE1aurK59eS0XAxH77xfU8/HAUM6jk73+PggK1DrB/3etiEt+FCysPnL/lllhWmr+q0AEHRLtuuCHmairnj3+M36tK1QCL+fCHowDE1VfHz6Vce23Mr1XPxMLDh0eFv69/PYorJBUcC33zmzH4/qabouhCrc46K16XT34yCloceeS2j3d0RLW85cuj2l8thWQK27lkCXzpS9G17/zzYdQo+q5cGRUKf/ADmDQJ/vSnqPJYj4ED41ouvDBK1v/2t/E3YNOmuA0fHqXozzqr+iIqxRx0UBTuuP/+eI0feST+7h5+eFzfSSfVVtinlIMPjrnHfvQjWLo0/j737x9FFdK0v9CYMXD22dkdr5S+feO5O+ggVvTr1zkVQyNk+fyIiAKrJjkW+BKQq8XMEnefAdxlZt8GPgOc0qzGEdm0z5jZIHfPRR+cCmwA6qgF3UVqrQrY0RHLWsutQ/WB1datUQmqmg/7+eoNrGqRH1jtu2/l7ZPAqtjcQuXsuWf15aqffjqW1ZZaTySVAefOjQ9t5SQVAT/ykdrO0atXfNiptjLgAw9EW2oJdiEqoUFUB3zve8tve/PNESSNHl3bOcyiOuAll1SuWvnrX8fxX/va2s4BUWb+la+MKm9nn138Q9yWLTGh7YQJUSGtHp/+dJQZ//CH4b77tq9ONmtWVJR797vhmGPqO4dZBIivfjUce2wEJsm8YUuXRpDw8MMRiE6cWN85IN4vV1wRv2cXXww//zkMHcqkVasiD/Oxj8X6ZHxQvXr3hgsuiOPdcEPMg9W3b1SQe+tb44uqrEyaFLeuMGJEdROYi4g0gOaxao7dgUXuvoXoXpdfX/cm4E1NaVWnHwMbgevN7OhccYrzgUt82xLs3Uu9gVUjM1YdHfFhqNZvZbs6sKrGkiXxoW/48NrOU8tcVrXOYZXIL7lezTk2bKg9YwWRDXn00cpz9XR0xOD7KotDbOMVr4j3S6X5rDo6Ivg69tjazwExr9FLL0UGp5RFiyIr9t731h4gQgQj55wTmYpbby2+zbXXxqS5551X3zkgnq9LL42y81/5yraPrV0bQc/OO9eXEcu3665RHn3kSHjjG6PM+bvfDWPHwv/9X5TWPu64dOeAKGN90UUwb14EhCedxIIPfCDe35demj6oyjd8eAS93/1uZK9OPjnboEpEpI0osGqORUDyKXgukP+f+AigqVPYu/tqYCrQm5iz6gLgO8B5zWxXRQMHwsaN8Q14NbqiK2AyIWejA6vly7smsBoxovqJjhO1ZKxqncMqsc8+8aG8msBq1qxY1hNYHXxwvG+Sdpby4IMRfFWoulZUr16RHbrrrvLb/e1v0W2r3sDqiCNifq5rrim9zZVXxnV88IP1nQNiTqo994z5iQoD0hdfjIDqwAPhxBPrPwfAKadE17VvfjMyMS+9FJPJTp0aAcp119X+pUAxo0fH6/uVr8TvxH33Rfbv4YcjgMvS3nvD5z8PP/4x/zr99PrnbRIRkS6hwKo5bgNyfUj4DvAxM7vPzO4Avgpc1bSW5bj74+7+Bnff0d1f5u5fzmXYuq+kpG2xCR+LqacrYBKE1RpY1TrGasiQGC/kXt329WSskjEgtQRWtYyvSoweHc9DEsiWs2BBvI61Xku/fjH+Ye7cytsmZZzHj6/tHNA5fqdSd8D7749lPRkriO6Ac+duV3J8GzfdFO/HyZPrO0evXnDGGXGcYufZujW6oU2dWt9kr4m+fSPQmTEjxu3k+8Y34jr/53/qz1YlzOCyy+D002Nc0tChEYjMng3XX9/ZxTILO+0U1/TPf0b31V/9qrbJlkVEpCUpsGqOz5LL/rj7r4ATgQVEAYtzgM81r2k9WBJYVdsdsJ6ugL16RSDWFRmrLVuqC0a2boWVK2sfLN+nT3z4bHRgteeesaymO+BTT8W39PUMqB43rrqM1cyZURQiyT7WYsKEGL9TKbC6774I3GodW5d4wxtiWar7nDtMmxZjfPr2re8cEJmoLVvgF7/Y/rGbbooCGmedVf/xE+97X7T105+Gu++OdddeC1/7WnQzrLX4Ril9+sBVV0VhhyTAmj0bjj8+m+OLiIiUocCqCdx9vbuvyLv/B3d/j7u/091/5O4VBnBIUcm4gGoDq3XroltbrRXCBg+uPrDKTbJYV2AF1XUHXLMmgqtaszwQ3QG7ImMF1QdW9WZHksCqUpZv5swYx1SP/v2jWMQjj5TeZuvWqAiYZrD+wQfDqFERIBQzc2Z0r6y3G2Biv/0iqPne9zq/aIB4Di+8MLKAabvoQQTKV10V74WpUyOTd+qpUVkvN5loZswikPrhD6O73qhR2R5fRESkBAVWTWZmvc1sQOGt2e3qkerJWA0aVHt2pJbAKk3GCqoLrJYvj2UjA6uNG6O7YZqMVaVxVu4RWFVTobCYcePiNV26tPQ2mzZFye16AyuIim8PPlg6gHvyyXjd6hlflUiCg1tuiXFIha65Jr4UeMc76j9H4vzz4z10ySXbHn/GjCiJ3adP+nNAjOe6666o3Ne/f4y5uvlmFUoQEZGWocCqCcxssJldamZLiOp764rcpFb1BFa1dANMDB5cXRc9qH+MVS2B1Ypc8rORgVUSrNQTWO2xR3ShrJSxevbZqNaXJrCC8t0BZ8+OogZpAqsjj4yul3PmFH/8vvtimba89NvfHuMFC6v2uUfgc/TR9c+VlO/Vr47CDxdeGIHczJlRin7SpOjCl6Vhw2IepjvvjPMlv7MiIiItQPNYNcdPiEqAlwOPA5ua25wW0ZWBVaOLV3S3wOqZZ2L5spfVfo4ddojgqlLGav78WKYNrObMKV2oYObMWKYJrJJiEffcA/vvv/3jd90Vr1/aYgZTp8bzdvnl8M53dq6/444o8nFehkU6f/azKMTwlrfE/REj4De/2X4+KBERESlJ/zWb483AJ9398mY3pKXUWhVw3br6A6ty1dryrVkT7aq1O1VS9KCWwKqe7MXuu8fzsGFD+blxksCq3vEq1cxllTawGj06nuuk6l8xM2fGa5Em6Bk3Lp7re+7ZvrCDO9x2W2ST0la522EH+MAH4OtfjyISe+0V6y++OMqGn5LhHOKDB0clw8svj26f73+/JlkVERGpkboCNscLwOJmN6Ll1DvGqla1Fq+odXwVdAZWq1dX3jbNGKtkXp9KWau0gVU1c1nNnx/jhpIAola9ekUm6rHHSm8zc2ZkmdKMGzKLrNUdd2w/zurxxyPofuMb6z9+vrPPjrbmslODZ82KcUnnnpvtJLEQ7+tPfSrmTVJQJSIiUjMFVs3xbeA/zEzPf5a6qitgreXWa+0GCPGheccdq89Y9e9fXxGAaicJXrw4zlFv+fAkY1WuYt/8+RGApSkfftBB8Oijpc+TpiJgvmOPjUCxMDuWlEfPKrAaPTqCnV/+Ej7+ccZfcEGsO/fcbI4vIiIimVFXwOYYCRwEzMlNCrym4HF39892fbN6uHrKracZY+VeuaLgmjX1ZawgxulUWxUwyTzVqtrA6plnYOTI+uaXgshCbdwY5ymVDZk/v/5ugIlXvhJ+8pMIBJMy74nnnovg7tBD050D4LjjYvmnP20bqF17LRx4YP1Zt2IuuCC6Al56abxef/5zfZlWERERaShlTJrjJGArEdi+ETi5yE1q1ZVdAd2rO09XBVb1VoerJWOVZj6gsWNjOW9e6W2yCKwOOiiWjz66/WMzZsTyiCPSnQMiODziCPjDHzrXzZsX81e9973pj5+vb1+4+mpYtYoHfvObzmsUERGRbkWBVRO4+94VbnXOkNrm+vWLcTZdkbGC6roD1jvGCqoPrJYtqz9jVcsYq5Ej6zsHVA6s1q6NEuZZZKzM4P/+b/vHHnggxnBlkbECOO00+Mc/OicLvvLKOPe7353N8QsNGYL37t2YY4uIiEhqCqykdZhF1qqawGrLlqgeWG/GCqoLrOodYwVdk7Hq3z/at2xZ6W3cI7BKk7Haa68IakoFVmkrAiYGDYqueffeu/1jDz4Yj2U1Ie373hfvhS98IboY/uAHMWFvmudJREREeiyNsWoCMzujzMNbgeeBR919YRc1qXUMHFhdufVkm0ZmrNzTdQUcMqRyYOWeLmMF0a0tmQC4mBUrYNOmdBmrPn1gzJjSgVWyPslspTF5Mlx1VUwEnMzDtGVLZKxOPTX98RNDhsBXvwqf+ATsvXcEqRddlN3xRUREpEdRYNUcVwJJ2bL8agD569zM/gK8x907urBtPVu1Gat162LZyIzV+vXx4T5NV8BK5dZfeAFefLH+jBVEwJSUUy8mban1xH77wdy5xR+bNSu6cSaT/KYxeTJcdllUADzkkFj3wAPR3XDq1PTHz/fxj0fQeP/9cM452bRfREREeiR1BWyOQ4F5wOeAA4DdcsvPA/OBo4H3Aa8FvtWkNvZMAwZUF1h15GLVRmas1q6NZZrAasOGuJWSzGGVJrAaNSqKU5SSPJYmYwWRjZo3r3gp9Fmzohtg//7pzgERWAHceWfnumnTInDLqgx6wgw++tHIkB1+eLbHFhERkR5FgVVzfBu4zN0vdvc57r4qt/xv4DLgPHf/NfB14ISmtrSnqTVj1cjAak2uin6aMVZQPmuVjI1K0xVw1KiY1HbLluKPZ5mxev754oUyZs2CCRPSHT8xejQccECUJU9MmwaTJtU/D5eIiIhIBQqsmmMS8ESJx54ADsv9/A9gaJe0qFVUG1glGas0XQGT4KyUJLBKk7GC8uOssspYvfRS6QIWzzwT2Z6kNHu9DjwwljNnbrt+06boIphVYAVw4okwfXpk22bPjup9xx+f3fFFRERECiiwao7FwJklHnt/7nGAIcDKrmhQy+iKjFUSjFWbsUobWK0s8xbIKmMFpbsDLl4cBS52SDkks9QcU08+Gdmy8ePTHT/fBz8YXQ4vugi+9rWYC+rMM7M7voiIiEgBFa9oji8CvzWzA4E/A8uBYcDxwHjgtNx2bwTubkoLe6pqqwKmyVj17RtjgRo9xmpoLllZLrDKKmMFEUAddtj2jy9alE0J8aFDY5zWY49tuz7JYGWZsRozBj72Mbj00rj/pS+lz7iJiIiIlKHAqgnc/XdmtgD4LPBuYASwFJgBvN/d/5Hb7j+a18oeqisyVhDdARs9xirJQiXBUzHLl0fBjoED6zsHVM5YLVgAEyfWf/x8r3zl9oHV/ffHNWQZWAFccgm8/OURCJ91VrbHFhERESmgwKpJ3P0h4ORmt6PldMUYK6gtsKo3Y7XbbrEsN3nvsmXpslXJefr2LR5YbdkCCxfCKaekO0fioIPg9ttjXFXfvrHu/vujol7aroaF+vSJEugiIiIiXUBjrKS1JOXWi5X0zpcEVvVmeqoNrPr1q7+EeN++EZSVC6yWL08fWJlF1mrRou0fW7w4ClvsvXe6cyRe9SrYvBkeeSTur18fP0+alM3xRUR+DqPoAAAeVElEQVRERJpEGasuYmbXAp939/m5n8txdz+1K9rVcgYOjCzLpk0R1JSybl083qdPfeepJrBau7b+bFVi+PDyXQGXLYvCEmmNGRNd/gol67IKrF772ljeeWdkqR56KAK317wmm+OLiIiINIkyVl1nGJB8ih+eu1/qlqLEW5tLMlCVugN2dNTfDRCqz1jVO74qMXx44zNW0Dl5b6GnnorlPvukPwdEAYkJE2JeKYhl795w5JHZHF9ERESkSZSx6iLuflTez1Oa2JTWlgRW69d3lisvZt26+gtXQARWSdW/UtasSZ+xGjYsypEX4x6BVZpS64mxY2HFiu3bvGBBzGE1enT6cyROOAG+8Y3oevi//wtHHaWJe0VERKTHU8aqGzGzlJ/CpcsyVjvv3DWBVbmMVUcHvPhidhkrgPnzt13/1FMRVNXbZbKY978/xnUdckgEbh/5SHbHFhEREWkSBVZNYGYfNbP/yrt/sJktBlaa2T/MLINJg9pUtYFV2ozVLrtE4LR1a+ltshpjtXJljBsr9NxzscxifqYksCrsDvjkk52PZWWffeCCC2DVKjjppMhgiYiIiPRwCqya4+NA/gCd7wNLgPcQr8lFzWhUSxgwIJaNzlgNGRJBVTIfVjFZjLEaNizOs2rV9o8tWRLLPfZIdw7oHEOVH1ht2QKzZsErXpH++IW++MV4DX73u+hqKCIiItLDaYxVc+wJzAEws2HAkcBUd59uZpuAS5vZuB6tlozVyJH1nycZE7R6dengKaviFVB8vqoksEpzHYmBAyNAyw+snnoKNmxoTGAFnUGwiIiISAvQV8XNsRHIzY7KUcB64O7c/VWAxlrVq6vGWCWBVTIJcKENG2L809Ch9Z8Dtg2sCj3zTCyzyFgBvPzl8PjjnfdnzoxlowIrERERkRaiwKo5HgQ+ZmYTgHOBm909GUSzD9EtMHNmdqqZXW9mz5qZm9mZJbYbaWZ/MLN1ZrbCzC41s56RXqglsEozxio/Y1XMypWxLFeZsBrJHFVLl27/2JIlkfUZPDjdORIHHwyPPRbzSkH8bBbl0UVERESkLAVWzfGfwARgJjAa+GLeY6cC9zbovCcBY4C/lNrAzPoAtwB7AacBnwBOBn7aoDZlK7/cejlpi1dUCqySMVFpM1ajcnVMFi/e/rElSyJbZZbuHImDD44s25w5cX/mzChcoS57IiIiIhVpjFUTuPvjwL5mNhRY5e6e9/CngSLpiUyc6u5bzWwn4KwS25wEHACMdfcFAGa2GbjGzC5w97kNals2qslYbdoEmzen6wqYVPtrdMZq0KDISJULrLJyxBGxvPdeGD8+llOnZnd8ERERkRamjFUTufvKgqAKd5/p7ssbdL4ytcH/7RhgRhJU5dwAbALe0oh2ZaqaqoBJJb+ekLGCyFp1RWA1blyUbr/jDnjiiSjnftRRlfcTEREREWWsZDv7A4/nr3D3TWY2P/dY97bDDtC/f/ky6B0dsUyTsRo0CHr3bnzGCooHVu4RWGVRETBhBm99K1x7bWcXw7d0/1haREREpDtQYCWFhgDFSt2tzj22HTM7GzgbYPfdd2f69OkNa1yio6Oj5Hle078/K+bM4ckSjw9csIDDgFkLF7I8RVuPHDiQZf/8J3OLHGPPhx5iH+CuWbPYWjjpbo1e3qsXuz71FPfnztPR0cE9N97I5PXrmbd+PYszfL4HH3IIh15xBVxyCSsmTeKf8+ZtP2lwE5V73dtBO19/O187tPf169qnN7sZTdPu1y89jwKrHszMdgZeVmk7d5/dyHa4+0/JFbeYOHGiT5kypZGnA2D69OmUPM+uu7LHoEHsUerx/v0BmPDqV0Oatg4fzsgBAxhZ7Bg33gj9+/O6N7+5/uMn/vY3uPVWpkyeDDvswPTp05mc64o49qijGJvl8z1lSkxIfM897HbJJUxJimd0E2Vf9zbQztffztcO7X39uvYpzW5G07T79UvPo8CqZzsZ+FkV29VSNm41UGxW2yHAozUcp3kGDSrfFTCLMVYQ46xKzWO1cmU246sgugJu3Rol15NAJ8kijR2bzTnynXtu3ERERESkaipe0YO5++XubpVuNR52NgVjqcysLzG/VkMzX5mpFFhlMcYKIrAqV7wii/FVULzk+vz5sdx332zOISIiIiKpKLCSQtOAw8xsr7x1bwP6ATc3p0k16qqM1S67lC9ekVXGavToWC5c2Llu3jwYNiy7yYFFREREJBV1BWwjZjYeGA/0z62aaGYdwHJ3vzO37jpiwuLrzezLRLfA7wBXd/s5rBKDBnVmdIp5/vlYpg1Kdt21s6x6oVWrYP+Miijus08s84tIzJ+vbJWIiIhIN6KMVXs5Bfgd8Kvc/Y/l7l+QbODum4n5qhYB1wKXAr8nV/WvR6iUsUoCq52LDSWrwbBhkZnasmX7x7LMWA0cGOXP5+bFtfPmKbASERER6UaUsWoj7n4+cH4V2y0G3tHo9jTM4MHlA6u1a6FvX+jXL915hg+P+aRWroyfE+7ZjrEC2G8/ePJJAGzTJli0qDGFK0RERESkLspYSesZNCgKVGzdWvzx559Pn62CyFgBLF++7fo1a2Dz5m2DrbQmTIBZs8CdAc88E8Hbfvtld3wRERERSUWBlbSepNpfUv2v0Nq12RR9SAKnZcu2Xf/cc7EcMSL9ORIHHxwB4dNPs9OcObHu0EOzO76IiIiIpKLASlpPEliV6g7Y6IxVEljtvnv6cyQOPjiWM2YweM6cqGg4blx2xxcRERGRVBRYSeupFFg1OmO1dGkssw6sBg+G225jyIwZMHky9O6d3fFFREREJBUFVtJ6uipjNXQomJXOWGXZFbBPH3jzm+Hyy2OM1fHHZ3dsEREREUlNgZW0nq7KWPXuHcFVsYxV797ZVgUE+NznoH9/Xtx9dzjjjGyPLSIiIiKpqNy6tJ6uylhBdAcslrHafXfolfH3FoceCv/6Fw898ACTd9op22OLiIiISCrKWEnrKRdYuUdglUXGCqKARbGqgFmOryo430sKqkRERES6HQVW0nrKBVbr18OWLY3NWC1dmu34KhERERHp9hRYSespF1itXRvLrDJWw4d3FqtINDJjJSIiIiLdkgIraT0DB8b4puef3/6xZF1WGauRI2H16siEQXQ1VGAlIiIi0nYUWEnrMYvAac2a7R/LOmM1alQsFy+O5XPPwebNnetFREREpC0osJLWtMsuxQOrrDNWhYHVwoWx3GuvbI4vIiIiIj2CAitpTUOGRBe9QllnrEaPjuWiRbFUYCUiIiLSlhRYSWsqlbFKAqssM1Zm8PTTcV+BlYiIiEhbUmAlralUxmrVqljuums25+nfH/bcE+bOjfvz5sWxswrcRERERKRHUGAlralcYLXDDpDlJLv77dcZWD3xBBxwQHbHFhEREZEeQYGVtKZSXQFXrYKhQ6P7XlbGjYM5c6LUugIrERERkbakwEpa05Ah8OKLccu3cmV23QAThxwSY7fuugtWrIADD8z2+CIiIiLS7SmwktY0ZEgsC7NWq1ZlH1gdfngsL744lpMnZ3t8EREREen2FFhJa9pll1gWjrNauTK6AmZpwgQYMQJuvDECuoMOyvb4IiIiItLtKbCS1pRkrAoDq0ZkrHr3hs98Jn7+z/+M4hgiIiIi0lb0CVBaU7mugFlnrAA+9Sk4/XTYbbfsjy0iIiIi3Z4CK2lNxboCvvgirF+ffcYqMXx4Y44rIiIiIt2eugJKayrWFTCZHLgRGSsRERERaWsKrKQ1JRmr/K6ASWDVqIyViIiIiLQtBVbSmvr2hYEDowpgIvlZGSsRERERyZgCK2ldw4fD8uWd95WxEhEREZEGUWAlrWv4cFi2rPO+MlYiIiIi0iAKrKR1FWasnnuuc72IiIiISIYUWLUJMxtsZheY2YNmttbMlprZH8xsXJFtdzazX5jZ6ty2vzGznpfmGTZs24zVs89GtcB+/ZrXJhERERFpSQqs2seewIeAW4CTgA8DLwMeMLPRBdteC0wBzgLOBA4DbuiqhmYmyVi5x/2lS2HEiOa2SURERERakiYIbh8LgH3dfUOywszuBv4FfAC4ILduEvAm4PXufldu3TNEAHa0u9/e5S2v14gRsHlzFK0YOjQyVi97WbNbJSIiIiItSBmrNuHuL+QHVbl1q4CFwB55q48BnkuCqtx2DxKB2TFd0dbMjBoVy8WLY6mMlYiIiIg0iAKrNmZmw4CxwJN5q/cHZhfZ/IncYz1HfmC1dWssR45sbptEREREpCWpK2B7+zbQAVyZt24IsKbItquBfYodxMzOBs4G2H333Zk+fXqmjSymo6Oj4nn6Ll/Oa4An//pXVqxfz2s2beLJzZtZ0gXta6Rqrr1VtfO1Q3tffztfO7T39evapze7GU3T7tcvPY8Cqx7MzHYmClCU5e7bZaDM7KPA6cCJ7r4yTTvc/afATwEmTpzoU6ZMSXO4qkyfPp2K59myBXr3ZtyOOzJuj+jtOO5Nb2JcF7Svkaq69hbVztcO7X397Xzt0N7Xr2uf0uxmNE27X7/0PAqseraTgZ9VsZ1tc8fsbcAPgM+6+x8Ktl0NDCtyjCG5x3qO3r1h773hySdh/PhYN2ZMU5skIiIiIq1JY6x6MHe/3N2t0i1/HzM7ErgG+LG7X1zksLMpPpaq1Nir7u2AA+CJJ+DxxzsDLRERERGRjCmwaiNmNgH4M3AzcG6JzaYBI8xsct5+E4nxVdMa3sisjR8fGasZM+Ln/v2b3SIRERERaUHqCtgmzGw4EVB1AN8HDjf7dzLreXd/HMDd7zezW4GrzOzTwFbgW8A9PWoOq8Rhh8VcVrfdBmec0ezWiIiIiEiLUmDVPsYDufrj3FHw2J3AlLz7pwLfAa4gspp/oXSGq3t74xs7fz7xxOa1Q0RERERamgKrNuHu0ykoYlFm2zXA+3O3nm3wYPjzn+Gee+Ctb212a0RERESkRSmwktZ33HFxExERERFpEBWvEBERERERSUmBlYiIiIiISEoKrERERERERFJSYCUiIiIiIpKSAisREREREZGUFFiJiIiIiIikpMBKREREREQkJQVWIiIiIiIiKZm7N7sN0kLMbDmwsAtOtRuwogvO0x3p2ttXO19/O187tPf169rbV1dc/17uPqzB55A2ocBKeiQze8jdJza7Hc2ga2/Pa4f2vv52vnZo7+vXtbfntYOuX3oedQUUERERERFJSYGViIiIiIhISgqspKf6abMb0ES69vbVztffztcO7X39uvb21e7XLz2MxliJiIiIiIikpIyViIiIiIhISgqsREREREREUlJgJd2KmZ1qZteb2bNm5mZ2ZontRprZH8xsnZmtMLNLzWxAFcfvZ2bfNrNlZvaCmd1oZmMyvoxMmNmY3HNQ7Danwr7nl9jvLV3V/iyY2fQS19G/in2PNLMHzOxFM1tgZud2RZuzYGaDzewCM3vQzNaa2dLc+31cFfueWeI5+0hXtL1WZjbezP5qZuvNbImZXWhmvavYb2cz+4WZrc49R78xs6Fd0easmNnJZvYnM3vGzDrM7B9m9q4q9iv2+v69K9qclXrfp63wukPZv21uZpNK7FPqf8I1Xd3+WpjZWDP7iZk9ZmZbzGx6kW3MzL5gZovMbIOZ3WVmB1d5/Leb2czc3/rHzezUzC9CpEo7NLsBIgVOAsYAfwHOKraBmfUBbgE2AacBuwCX5JanVzj+93Pn+CSwHDgfuM3MXuHuL6ZvfqaeBQr/we4I3ApMq2L/tUBhIPVEBu3qancAXyhYt7HcDmY2lniP/AX4PHA4cImZrXf3yxvSymztCXwI+DnwRWAAcR0PmNkr3X1RFcd4A7Ah7/5TmbcyJTMbAtwOPA68HdgX+Dbxpd+XKux+LTCO+DuxFfgWcAPw2ka1twE+BSwg/h6tAI4Frjaz3dz9BxX2/TZwXd79dY1pYsPV+j5thdcd4D+AwQXrLgQOAWZU2PfTwL1597v7BMITiPf234E+Jbb5HPBl4DPAbOJ343YzO9Ddl5Y6sJlNBn4PXAacmzvPb81stbvfmt0liFTJ3XXTrdvcgF655U6AA2cW2eZdwBZg77x1pxD/ZPcrc+xRwEvAGXnrRhIB2lnNvvYqn5+Tc8/LERW2Ox9Y0ez2ZnC904Hr6tjvJ8CTwA556y4DFpEr2tOdb8BAYMeCdbsCHcB5FfY9M/ce2anZ11HFdX4eWA0Mzlv3X8D6/HVF9puUu8bX5a07PLfu6GZfVw3Xv1uRdVcDCyrs58A5zW5/ymuv+X3aKq97iWvrC6wCflRmmzG5az2u2e2t8dp65f18HTC94PH+xBeBX8lbN5D48vNrFY59C/C3gnU3Afc0+7p1a8+bugJKt+LuW6vY7BhghrsvyFt3AxEglevq9qbc8vq88z0D3JM7Zk/wLuApd3+g2Q3p5o4Brnf3l/LWXUME1wc2p0nVc/cX3H1DwbpVwEJgj+a0qiGOAW5x9+fz1l1DZGZfX2G/59z9rmSFuz9IZH96yu8y7l4s0/AwrfUaZ6klXvcS3gIMAX7b7IZkrYr/668hsnfX5u3zAvBnyryuZtYPOCp/v5xrgElmtnNdDRZJQYGV9ET7E10F/s3dNwHzc4+V22+xu3cUrH+iwn7dgpkNJv7JVNuffheL8WebzexhM3tnA5vXSG/Kjb9Zb2a3mNkry21sZgOB0RS8R+jsBtntX+tizGwYMJbIxFVjvpm9ZGZzzOzDDWxaGsV+l/9FZKwq/S4Xvr7QQ36XK5hEda/x+bnXd4WZXWFmuza6YQ1Sy/u0lV/304DFwN1VbPuL3FilZ83sEjPbscFta7T9iV4ocwvWV3pd9yW6Fhb7W9+L6DIq0qU0xkp6oiHAmiLrV+cey3q/7uIdRJeJagKreUSXqoeBQcCHgd+b2Ynufn3ZPbuXO4FfEtezFzHe6G4zO8jdny6xzy65ZeFrvTq37AmvdTHfJroCXllhu2eJsQoPAr2JD2w/NrMB7v6dhrawdo34Xd4ng3Y1hZlNJX7PP1Bh018S3+YvByYSr/dBZna4u29pbCszU8/7tFVf9wHA24CfuHu5yUU3Aj8kxtk+D0wBPksEGG9vcDMbaQjQUeS9uxoYYGZ9c1+eFtsPWu9vvfRgCqykoXKp+JdV2s7di30L2XJSPh/vAma5+8wq9v91wXn/DNwHfIW8rpBdrdbrd/fz8lbfbWa3E99O/r/crcdI89qb2UeJwiwnuvvKCvvfQow7SEyzqKL4JTP7XpXdbaWLWVQnvRr4o7tfWW5bdz8z7+5dZvYEMa7keKJbdLen9+k2jifGFJXtBujuzwLn5K2abmbPAZflvmx6tIFtFJEqKLCSRjsZ+FkV21kNx1wNFOs7PQQo94+l3H6ri6xvhLqej1w54aOJohQ1c3c3s+uBb5lZ7yZ+q53q/eDuS83sXuDQMvsm314WvtbJt5dd9VoXqve1fxvwA+Cz7v6HOs99HVHgZQzdqzpgvb+Tq4FhdezXLeW68U0jxtC9p45D3ExkMw+lhwRWJVR6n7bU657nNGCeuz9Ux77XEYV5XkX5/3/d2WpgpyL/m4YA60tkq5L9oPv9rZc2pjFW0lDufrm7W6VbjYedTUG/azPrS3QFKZf5mg2Mzo3ByVeq337mUjwfJxFfhKSZr8Rzt6bJ6P1Q9jpyg54XsX3f/OR+U7Kj9Vy7mR1JvOY/dveL05y+YNldFPtdHk2Ul6/0u1xs7EWX/S5nJdcN7C9EVbjj3H19rcfI6z7W3V7fWlW6jpZ53RO5TPYx1F+0ohVe+9lEd9CxBesrva7zgc0U/1u/lerHo4pkRoGV9ETTgMPMbK+8dW8D+hHf3JaSzGlxQrLCzPYg5j+pZl6oZnoX8KC7z69nZzMz4ETg0R40BmM7ZjYCmAz8o8Km04ATbNuJZk8lAq5/Nqh5mTKzCcQ4mpuJ+VnSOImY62Zh2nZlbBrwZjMblLfuVGJeozsr7DciN4cNAGY2kfhypbv/Lv+bme0A/A7YD3iLuy+r8zhvIaaoqPR70d1Vep+2xOte4ATif1e9gdVJuWVPfu3vI8aMnZysyH3hcDxlXld330jMc3hywUOnAve7+9rsmypSnroCSrdiZuOB8USRBoCJZtYBLHf35IPWdUQRg+vN7MtEN4DvAFe7+9y8Y/0VwN2n5paLzeznwHdzgUYyQfBCYJsxSd1JXvD3nyUefz3wV2Bq8hyZ2Z3EpImzib77HwKOIAbG9wi56n/fJD54LiQmzf088U3kd/O22+76gYuJLlW/MrOfAYcRBTw+WmFweLdgZsPp7N71feDweMsC8Ly7P57bbi/iW9sPuPtVuXW/JwoCPEZ8C3xq7nZuNxy38mMiaLzezL5FfEA+H7gkvwS7mc0D7nT3DwK4+/1mditwlZl9ms6JYu9x99u7+BrSuIyY0PQTwNBcl9/Ew+6+sfDvmJmdTRSsuJ0IQg4lJlN+ELixC9ueSjXv0xZ+3fOdRnzhtd3k7YXXb2bnE8WI7iUCkdcRE+pe7+6PdVmLa5QLko7N3R0JDDazJCC8yd3Xm9lFwJfNbDWdEwT3IrpBJ8c5A7gC2Nfdk+D7q8RYs+8S3WCPzd3KTb0i0jjeDSbT0k235EZ8qPIit+kF240i/oh2ACuJSkkDCraZXmS/fsAlRFD1AjHge+9GXlMGz8n/I0rR7lHi8Sm552hK3rqfE2MUNuSu827gmGZfS43XPTL3+jxLzFG2kggW9690/bn1k4kPbi8CTxMf2Jp+XVVee3JNZX8X6Jww9My8dd8A5hAlyzcQ32S/t9nXVOZaxwN/y7X1WeKDUu+CbZ4GrixYtwvwC2JM3fNE4YftJtztzrfcdZV6ncfkttnm7xgwlfhgvZLoBrWICL53bvb11HjtFd+nrfq6513LbrnX8HNl3h9X5t0/DXiImEx3E1Et9UKgX7OvpcJ1Jn+nyr3PjfjCdHHu/XA3cEjBcc7M3ydv/TuInggbiaDstGZfs27tezP3bv/lrYiIiIiISLemMVYiIiIiIiIpKbASERERERFJSYGViIiIiIhISgqsREREREREUlJgJSIiIiIikpICKxERERERkZQUWImISM3M7BQzO7PI+ulmdl0TmlTYjpFmts7M9q1y+4lmtsrMdm5020REpDVpHisREalZLnjazd2nFKwfD2x297lNaVhnO34E7OLu76phn78Cd7v7+Q1rmIiItCxlrEREJDPu/ng3CKoGA+8Drqhx118AHzGzHbJvlYiItDoFViIiUhMzuxI4EXi9mXnudn7usW26AprZ+Wa2wsyOMLOHzGyDmd1jZnub2XAzu8HMOszsCTN7Q5FznWVms8xso5ktNLP/qqKJpwAbgL8VHOvzZjbPzF40s+fM7GYzG5G3yZ+AXYE31/qciIiI6Fs5ERGp1VeBPYFdgP/IrVtcZvsBwE+B/wZeAL4P/ArYCEwDLgP+C/idmY129/UAZvYZ4Bu5/aYDrwK+ambr3f3SMuebCjzo7luSFWZ2BvAF4LPALGAo8AZgYLKNuz9vZrOAo4EbKz4LIiIieRRYiYhITdx9vpmtAnq5+9+r2GVH4Fx3vxPAzPYAfgic5+7/k1u3mAh4Xg9My3XnOw/4mrtfkDvObWY2APiSmf0oP3Aq8CrgjwXrDgdudffL8tZdX2TfR3PbioiI1ERdAUVEpNE2AXfn3Z+XW/6tyLqRueUkIpv0OzPbIbnl9tkdGFXmfCOAFQXrHgGONbMLzOxwM+tdYt8Vuf1FRERqosBKREQabZ27b827vym3XJOscPdkXf/ccrfcchawOe92R2796DLn6090M8x3BdEV8BTgAeA5M/takQBrY14bREREqqaugCIi0h2tyi2PA54r8vicCvvukr8iF9h9B/iOmY0G3gN8nRgb9uO8TXfJO7eIiEjVFFiJiEg9NtHYzM79RGW/Pdy91kISc4C9Sz3o7ouAi8zs/cD4gofHAE/WeD4REREFViIiUpfZwNvN7B1E1meJuy/J6uDuviZXwv17ZrYXcBfRfX0ccJS7n1Bm93uBt+WvMLOfEJmovwNrgaOA/YgqgfkmAt/K4hpERKS9aIyViIjU4zLgVmLs0gzg7KxP4O7/nTvuMUSVv98SXfjuLrcfUe1vvJntmbfufuB1xCTANwEnAB9y9xuSDczsEGAYxasFioiIlGXu3uw2iIiIZMrMHgV+7e4X17DPN4HD3P3oxrVMRERalQIrERFpOWZ2MnAxMNbdX6pi+4HAQuAkd5/e4OaJiEgL0hgrERFpRdcB+xDzYi2sYvs9gQsVVImISL2UsRIREREREUlJxStERERERERSUmAlIiIiIiKSkgIrERERERGRlBRYiYiIiIiIpKTASkREREREJKX/D3oWaUSPlIhcAAAAAElFTkSuQmCC\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAA1AAAAEkCAYAAAAhN9DPAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeZwcVdX/8c/JBgkkEIkEZAv7LjsCKiQCIvERlEVAQUGUTVxQEEFQQGXzUREQFUSDoKJs8gOCQJABZBEChC2BYCAEnrAnIStJSM7vj3M7U1OpnulJZrqmZ77v16tfPVN1u/rU0lV16t66Ze6OiIiIiIiItK1X2QGIiIiIiIg0CiVQIiIiIiIiNVICJSIiIiIiUiMlUCIiIiIiIjVSAiUiIiIiIlIjJVAiIiIiIiI16jYJlJkdaWZuZsPLjkW6FzNrMrPJy/H5s9K2OSwzrEdur2meR5UdRy0aKdYi7dluzWx4mt8jl/G7hqXPn7Usn68yzclm1tRR0+tIXTm2rsDMjjezmWa2WtmxFDGzNcxsrpl9uexYlpWZ/c3MHig7DpHuwMz2N7MFZrZxrZ+pOYEyswFm9m0zu9/MppnZQjN7w8xGp5PBPssWdu3SQf4sM1u1s7+rUaWTmNZeHys7xq4obdtHlh2HiHR/ZraimX3NzG5Oydg8M3vRzP5qZpu38rlhy3Mxpx7MbBXgbOCX7v5OfpyZXWJm/2dm75nZsynZshqnPbyVY9utubKrpvOF4fnpuPvrwG+Bn5rZgFa+r6krXuQys48CnwfOKBi3qZn9w8ymm9mcdM72iXZM+6xWlvHJubKbmNk5Zvawmb1lZrPMbJyZ/cDMViqY9nfTMn3NzOan93vM7HNVYqkWx+wq5Q82swfTfM9K8z6yStmVzex0M3s6lX07ffbI7PaYuTjU2uuLmfKj2ij7Qi6O1soflCvbWizPFMyjmdlxZvZE2sfMMLN/mtkuVZbJEDO70Myes7jA8LqZ/cvM9s+VG2xm3zKzO83slTTt583scjNbp8q0t7fY371jzb/9b5tZ7ypxfyGtj7fT+nnWzH5oZoMKym9pZn+x2Je+Z2YvW+xLt8mV29Zi+x6Wn4a73ww8DVxQFH+RmpIeM9sIuA3YBBgDnAe8DawO7AX8EdgC+F6tX7yMhgM/AkYBM3LjrgauBRZ0cgyNYBzw8yrjnq9nIA3k28BkYtvK+yRQ0wFe2tQfWFR2EDVqpFiLNPp2uynQXZ/0Pgy4HPg3cCUwFdgAOB44wMw+5e73AJjZusBgd38yPxEz2xe4y93fr1fgNTgBWBW4NDvQzPoBdwHbAZcAE4B9gcuAocBZ7fiOy4H7c8Nezf2/KnG+ANBUMI2Lif3+UcCvM3F+Ghjt7i22PTNbH1jJ3Zc6US3BD4FxlW2kwsw2BB4E3gcuBN4FvgbcYWb7uvuYdnzHScR5XtZjuf+/Anwd+H/An4GFwAjgJ8DnzWwXd5+XKb8zcZwdnab9AeBg4EYz+6G7/7ggjvuJ9Z21MF/IzE4FzgeeAM5Mgw8HbjWzI9z9z5myvYDbgd2Aq4jtcQBwGHE+uzlwair+FnBEQVwQ23h/4I7MsN8R58l5nyC2tVuqTKvoOx6pUvYm4MbcsPw5McRv6zhi+/8eMY/HAPea2T7u3lQpaHEh4UFgHeAK4Cli/RwJ/MPMTnD336TiHyHOMe8mlsHbwFbAscR6383dx2emvTtwJ7E9Xkws072BXxK5wzG5uH8CnA78i7gYs5A4/z8bGGlmu1Z+nylJegiYTmwnrwIbplg+l8o+kaa7LbFPaCK2w7xfAVeZ2Zbu/mzB+JbcvdUXsXE8l2bggCpldgJOaGtay/sidrAODOvs72rUV1o+t5YdR6O90o+pqZOmvdR2S+yUHBhe9rwv4zwZsHLZcejVYetzeNoej1zGzw9Lnz+r7Hmp0/Jarv0FsBqwbcHwLYD5wNjMsD2Bl4gTle3Sd29GnJA8CKxd9vLIxNorxXdzwbgT0jbyjdzwG4gLn+vVMP2at9NatkngXuCpzP8DiZPhR4iT/SYiyTsbeAU4pAss442AxcBJBeP+Tlz02TYzbGXgZeLiqdUw/ZrPs4AdgVUKhv8kTePEGqbRB3gSmAX0zo1zYFQN0xiafjdPA30zw/umYdOAQZnhu6Zp/zI3nX7Ai8CMGr6zMo3ralxvd6TyW+aGjwK8xmnUvJ8lkgUnEkXLDF8VeB2YCPTKDD8slf9WbjqrArOJhD0bx4YF37lXmsb1ueHjgLnABrnhv0vlP5bbHuYQyXqvXPlrUvltC6axTZVYLsoMO5JWzrvSb2UOcEkt66OWJnxfJa4E/tzd8xkvxJp/1N0vyw4zs8+a2QMWVamz09/75z+bqtyazGwzM7stVdW9a2bXm9kamXKjaL6a9FKm2vKsNH6pe0oywz5hZieb2SSLauOJlmv7bK204beCe1jS8A+b2U2ZKsnxZva9fJWkVbkXoeg7zaxXqtZ8Ki2Lmalq9Eoz65ufxrIys0+b2WIzuzI3fOX0fW9Ulr9l7o8ws2+k5fdeev9GlenvbmZ3pXU5z8weN7OjC8o1pW3gQ6nKdbpF1fEdZrZJQfkVLKrdn00xzDCzW8xsu1y5bMxHpfLzLap2v5cr68B6wB7Wskp8WDbG3Gd2tqh6n5jinZW28cKmCG0xs8+l7/xalfHPmtl/zYqbuphZbzObamaPVxl/bJr+Z9P/A83sJ2b2H4sq8vlp+udbrklLbll+3czGA+8BJ1tUyc+14mr1ndLnfpgZttR9RZVhZrarmd1rsc94x8x+b2YrF0x3DzN7KG1Xr5vZryyq8Gu6B8fMPmBmv7TYH7yXvusxMzulKK5ljbVou0nDl+t3b7XvW6t9//4WTTres2h+8WPiRCNfruZtpD0smq+dleZvrsVv+Gkz+1mu3GQruM/IosnX8ymeF8zsRCve/1f225ua2blm9mr6zJNW0KzHzE6waJLyfxZt4V8zs2usoLlHlflaxeI4NqStsu7+jruPKxg+HniGuJpbGXY3cUX8RSLZWJO42n+pu+/m7ktqXlIMF6T1NN+iWdVfzWyDTJnt0rq/y6xFU6XeZnZfWidbpWFLtlUzOyxtn++Z2ZQ0LN+KZWdiXzq6YLa/QJxEXZEbfhGx/R3S1nLLMrOVzGzFKuOGE0knwI+seZ8+OVf0dmBrM9sMwN1nufs+wCnEFfadgD+kslu4+99y33Ng+p3NSMvteTO72KK2LRvnedZ8/vG6mf3JzNbLTavWfcBBxAWs0bnPrwTsRyT2S7Ytd58N/J5oQbRT4cKswswGFazjJdx9rLu/WzCqspy2KhiXn8b7wP8BK1GwH0px9MvvX3N2I5KfP7v7ktqp9PdfgMFAdh9ZOV5NzcWygKhNmdNW3MS5McSybVVa13sBD3uVmg0Lgyxqx9qU9qOt7YdHpPerPGUHAO4+A7gZ2Bj4aKZ84TIhao3mkFkm7j7Z3Sflv9CjhnMamfVuZoOBbYD73P3F3EdGpfejMsP6EhU3r7v74lz5SmzZ9VMt7hZlLY63f0zD7snsEyoxVH4r9xO/sTbV0oSvMqF8FWpVZnYCUSX+HHBOGnwkUQ14rLvnp7UWcaXnJmLHtQ1R/TaIaIYCkWUOAj5Hy6rlp2oI6VxihfyOuEpxPDDKzP7r7st0E6aZ7UhcvVpIzOvrwGeI9pPbAF+s/ulW/YBYZrcQbbQXAesTO8YVKKi6LtC3ykHcPbVJd/fbzOwi4CQzu8vdr01lLiN+WCM92olnfQNYg1iOs4grFheb2Qfc/exKITP7DLEuXycOQrOAQ4Hfm9kG7v6D3HRXAu4DHiaqbdcHvgXcbGZbufuiNN2+wD+JneXVxBXZVYgmCg+Y2e7uPjY37eOIq1NXElXchwMXmNmr7v6XVOYIoir5beCnmc++VbAMKz5HXAX+O3F1bzXgy0RThC9mpl2rW4jl9RVyJxgW7ZW3AH6Q3RFmufsiM7sGOMWKq5+/RMzfben/tYgDwA3EAeZ9YA+imn87YJ+Cr/l2ms8rUqyvAGOJbfMwYrvIOpq4UvoH2rYtcCuxg/sLcaW58vkl1fsW9/DdSVTXn0+s08/T8kDQluuA3Ynf11PEvmHz9J0/q/6x9sXaTjX97pdh39qCRYJ/A1FLcA6x3o8CPl1QfFm2kVr8mtjO/wT8gjgObUw0cWmVNTfTeRw4jWiScgqt/1avIpbf/xInWd8mltcm7j45U+5kYh90Mc0nAV8FPmFmW3vufp4CnyO2ibNpX3O0JdLJ05rAG7lRztLNSfNNzFYhaqTWJX5zz6ZpnQD8x8x2dPeX3f0Ji4tIvwK+TzTJh7hA+XHgOF+6mdp+RBPDyrFuv1R+PVqe/OyR3ls0PUrztT3wuLu/l5v2I2le2nNy/yvSyZDFPSW/Bi7O7B8nEOcJv6Rlc6f8vTMPpffhxG+qYjEtl+9S+10z+ylxvBqfvuc1ounQgUQTuwXpmHUHsX+6njgebkycg3wyrZNKAlzrsX8PYr83MRfSh1O5h1jaw+l9J6o3C8t7iqiRW2RmjwA/dvfba/zs2uk9vx0DcREL6A0MIZrwfQq4p2DbgDgHPRzobWZvEcnZGbnEbYX0Prfg85VhuxDnDRDLYAbwvZRU/4fYl3wZ2IE4b6gqJXOfJ479d7VWNjmKqJ1tLdl6l1jeC8zsPmIe/1Ol7HeJbczM7FXit/BTd5+fKVPrMqk0hf0XsY8/z8zmEOt/MPE7WpWW50aF0j5oIHERqL1xAODu89L8fyrt729IcQ0n9mXXuHv2PrI7iPPLq83sR0QTvg2Ic/HXiN8SxD5gTeIYfS6xjwDIJ4IPAfuY2Wbu/hytqaEa8B3g3Vqqs1L5wcRO6r+0rDIdlAKdBayaGT6Z2Dl9PjedX6fhm2aGnUWVqmUKquYyw54A+mWGr0UkUn/NDBtGlarRou8FHiBW6oczw4w4oXZgz8zwJmBywXSX+k7ixGB8rcu7YJreymt2rmw/4gT4XWKDOyKV+99cueFp+CwyzUXS5x8hduxrp2G9iZ3KDOBDubIPEAeFjXPLxoHv5b7zlDR8n8ywk/LDMtvWFDJNajIxTyXTxIDYSb4FPJSbxmSqNMkpWn9EW/h8uQFEM4nxueFF209l28xur+emYVvkPn9F2tY+VBRfptyW6fMX5oZvmIZfnFsffQum8eNUdueCZTkNWD1Xvnda9o8ULIt3iXsJ8tvnqIJhi4GP5IbflratlTPDHiFqvzbIDOubtq3C329umqukcpfV+FtanliX2m7S8GH5WKnhd0/7960tvj+zrt4GhuSWycvkmka1cxtZap5amY9p+e2iSrnJtPxNfwCYRxzYV8wMXyNta/nf01lp2K20bMKyUxp+Xu77in7Te1K8f2oRWxp2ZK3LoJV5rjRzOyczbERav0VN+B6ged/7q7R88k1Z1gNmFmzLN6dtdhfiN76IpZveVNbrImD7zHAjEhMHdskMvyoNG5Sbzmpp+N+qzPebwIM1LJ+PpriPJS5YHktzAvbHtn5nBdNbO5W5JP0/kPgtP0rc59FENOE7h7hg9PlUbuf0uX9lt8XMsrH099co3id/Og2/uj37gFTuZSIRzQ8/ME3z+IJxW6Rx59Yw/W8TF8O+TCRvpxA1RIuprelkbyKRX0jm/C1X5m2az0sWEhe1PlhQ7j/EhY3PEhcBr02feYqW+9qt0/B/FEzjH2nc/8sN/zhxvPbMaybw2Rrm8ehU/kc1lO2V1tksCpq9ExeEfkFcdP8scWFiOtGsda9c2XWJ+46+kdbN0TQ3DbyLTBNI4vfhZJqwZbbPx8mdE6RxB6TtPLtMXgc+2tZ8ps9fmD7zldz3vUWci/Uv2NYcmJkbvlaan2wci4ljjxXMz9lp+WbL/4fcORM13DpBJOsOHNjm/NawQBYCr9ay8FL5z6cv/2bBuG+lcQdlhk0G/q+gbGVn8D+ZYWexbAnU1wrKP03LdubDqDGBIjrPcODGgrLbpHGXZoY1UfuJ1D3EycDH8uVrXP5OXG3aq+C11EZDnFzPpLkN8qPkTppoPoH+bcHnK+1mj0//Vw4svygou38ad3Ju2Sxi6YPQDqnsiZlhjxFXDYYUvK4kkoz+uZh/WhDHLcDbuWGTaUcClRs/gDhBGAL8htwJRNF2W2V7XZ/YSfw8M2yltH5uqXH9jyUOdtm2zWen79qhymf6ECfnQ4irm07mPoXMsryoyucr87d1ZtiXKNgJUT0peaBgut9N47ZK/w+lykkYzfudpX6/uXL9iATsMdpo4788sba23bCMv3vav29t8f00/zZ/VvD508glUO3cRpaap1bm4yXihGKrNspNpmUCdQjVTxAvo3oCtXdB+VnkkoXMuF5EUlnZt8wAbmgtto54ETXr7xH3C2QTxHVJSVFaztl1OjKtGyNOSu+geP94JzA1930fIE6WXiKu2k4mk4Dn1us/C+Kt3ANyQWbYaGBhQdl1Utk/VZn3KWTusWjncutFtExwMid6tWyTRO1zi30K8D+k/Wf6DQ1Pf29A877oV+lzH2kjttHE8W1wwbgniH175btqOvYTV+3HFAyvXAD9SsG4DWhlH17DMl6NuJo/nTbufSU6ZHDgtFbK7E60LjoqLaNbyd0f08pnT0/T/0Fu+J1p+IVEi4LNiVqI+Wn4mFz57YjajZ8RtcdHE4nFXAr2GbnPPpTW67o1xLtP+v7ft2N5b0w0PXuhxvKXp+/4YmZYH6IWegHRamAjopZyVBq2VExEK4DbiCRuf+KCziRi37JNGzEcRJy/tLjnKrfObicuYK1PXFx4l8gx3s+VH5Lm6SqidulQIslear2n8scT+4ATUtw/Sr+tR2l5Af1I2k6g9qXKcSb/qqWt5Uziqkyt1k/vRe08K8M2yA3Pt4uEqPmC+OEur2rTX9ZptzaPE4iNKD+PtTqdOIjeb9EW/88W3Tn2a+uDGW+7+5iCV1O+oEc71u8QP6zewGGeaUOcM6FgWKWnlcr8Lsv6n+pLV90Xrf/NiSuvbxW8vkJzk4Csjl73AJjZ6hZddr5B7OjeTnFUqv7b3dW+u79E9N5zRKbN++eJ31+b7ayTq4APEQkz6R6Hw4Fn3b1FD0oW93w8RRxgpqX4m9LowQXTzjcZqbiSOJhk73E7mriq/P9qjLuWfUBl2yrqSbKm3iU92rh/m2ie9ZLFvWWXmNmeNcZZa6ztVcvvfll+W1mVcUXNEsYXDFuWbaQW306ffTrdF/J7i/uy2joeLev6r2kfYHGvbBPxe55B875lFZZ9XmtiZjsQJy5TgU9n94fuPsULeuBL40Z73EPyQWJ+Pknx/nFv4gJE9rPTiBPYYcQV3y963B9RpJZ9P8SJR5FKU50VqoxfkeImPm3yuE+i0gyxqClqayr3gC2J291v9aXvvcDdX/Tmpo0bp88UrpeM9Ynj2/SCcc8S+/bKMavWY79n4s5qbRmvmCvTLh7NV39LHNd2q1bO4n7KE4HL3f28auXc/T53v9Pd/+juI4kLGg+k+2Xa8jMiAciv60OIZlonE9vmeOL4+fU0fmYmzq2JWrK73P0Ud7/J3a8EPkbUuFxhBd1rp89uQdTa3uXuU2qIt3JcrPUYjkcTtb8DG1nBveAFKs3rliyTtF/Yl6ilvgB4gdhetyOa7kLLZbIPUetzibuf7e43e/Rt8FEiGVvSU2WexT2lfyYuTB7iKRPJOD/FOJyoMX6RqHU7mUjKs3FUegMc5O5fdvdr0+tgovnmOWa2aab8T4jf/5HuflmK+2xi3e9I1KC2x1L7hGpqSaCeAQZZ5ibUTtBaV8Ed0Q1vtelnp93awlreZ1xVm/ZS03X3h4haoYOIJhLbEhvmuNRuuDN8Jr33JzoMqbda178RNYd7t/LK3wvR4d1Qp6TkTqKJw1XEjvtT6fsr9z4t60OqLydOhvZL/x9N7NBvq/qJlv5KXNH5Uvr/Y8QJzp+yhczsO8QO8TWiGcynifiPTEWK4i88+Lr7K8TVn8PTzb4bE1cY/9RKMp7X2fuAJdz9t8RJ49eIK44HAWPM7NrWPpdRa6xd/XffqmXcRtrk8byNYcQV838RzeT+ATS180JRrdrc/5vZTsRveg3i5GJ/IhnZm0i2Ou2h82a2PXHi8i4wwt3/r1pZj5u3hxVNJr2Pofq+seietf0yf2/b7uCX9hbQJ90LkTWdaF64Vv4DZrYCkURUne8aTE7vbXbgkVP5bRXeQ+fuw4suPFZGU8NJVq3asQ94i+a4syo3zS+1jDPDOm0Zp5v0zyDux2n1HqICVxG/vQPaKpiOKVPzcbj7dHc/kLjPZXfinrsNaV4u2QtHJxFJ5XW5acwljrXrEfuoIjUnRBYPkt4feMbdH26rfM7k9F7LNv0KsZ/LL5Mp7j6C1EkWUYO6DZGoQ8tlciowx93/mZvG68R9UrsU7Z/N7FNE4vos8El3n5kv4+6L3f2MFN+uRBI+lDhfGZKL4yDiAsV1+emkYb2I85rKffEnA/d77p79NB+zaL4vs1at7hOyakkMbiA2xq8SV0jaUrnatyXRVjNri1yZ9uqwnVWBaem9aMeUTx5fSu9bFpTdjFjB2XmcRjRJa2u6AHj0BHJDemVvHD+a2m5yr5lFL3r7EVcIDiA61/iwu79WULzoAY/5dZpd/22Vba8XiOTiX0VXCJdTe7atDxNNNc9x9x9lR5jZV4s/UrObiZqboy0ejPdRoolMTc95cfe3zWw08fyDlYlEajHR/WfWEcQOet/sskw7w2VxOXGC/VniChdEzVRHmpzei5L8diX+afv+PdGxSW/i5uLDzOzn7v7ockXZrKN/98u7b62M26xg3BYFwzp6G1ki1X5cA1yTLkicTzQz2Z/iAye0XP//yo1b3gs/XyBqsPdNNcHAkp7NOq32KSVPY4gD/Qh3f3kZJ/UWUWs2yGt81o+Z7UfcS/FHonnP/5rZfe7+dEHxWvb90Hzz+MZEc2IgTqAsegjdzsxW8JY3u+9MJID5DoDaY+P0nu20oJZ9+kbpvb3PdppIXN3fhtY7ZXiRuBl+1YLavS2IK+9LnrVU47H/GWB3M+uVOw4+TdQU71oQR+Um/Y5exqQ4zyKaTV0FfLWgBqIt/dN7mxeLLHpeXJvmjjFacPc3sjFac4+b2V4LKwllUS1Tn9x79rv7EfvFt4hjdVu+RDQbX5ZjYdXlXWADYl4Ky6aasmxt2UjivCD7/Kq1gF5mZgXrr0+afosLSelY8A8iAdqrSk1rNo45ZNabxYOC8z1KtmfdDCFqXAsfxpuGZ9djh+4Tarmq9nuiecTJVtBVLkTzg/RDh7iSNgf4hpkNzJQZSOysZ1NbryVFKj3odPgVWXefRVzp/0Ra8ACkmrfP5sq+SVQxfsZSd6+prBH3EkBcQaqYCAw0s50zZXsRV0FasOLe8ypdU3fofFs8gOxnRNvrHxDtTAcRvZkUbRtfNLO1M5/vR8zDIqINcyXWKcBR1rIb+r40dwxRy46nyJ+Iq1TfqTI/Q4uG12g2tS/fyhXtFjUjaVtYpm7MK9LVtVHE1eJKctbene9VxH1ZhxM9HN3l7vkuPheRawpi0V3t91k2leZHxxI1cw94Wz3YtFO6wjQW2N9adsvcl7gHqE1mNsByXb969PJY6c2zI39jHf27X95962PEvS5HZb/Pogv6oivGHb2NVLrKbtG8NR2sKw86bG3530XqRdUy3Ven/cyy9npaUfibJi4a1tqtcM3dmKfy2xHzNJtInl5q4yNVpRPpPwM7p5OSou9bPfP3WkRPfROIJldfJGqIrjWz/gUf3zsle5XPG5HwQpxAVTSl911Y2l9pfpBn1reJ+1eXdBFuZn3Tslw3Nw9LNZFNNVhnpX+zDymt5XyhEue9rZQpUmlpcG6Vq/KV7egfxPbz/dz4fYkLTf+vkgS149jfRDT9a3HRIyVftwDD07G98l0rExfAXyCT7BVtr2ZWVHuIma1D3GfyDnHukx33Q+JYdTVx/1XhxU2L7tyLHkvRm+ZmdtmT62rNoX9MnBRXeyBtdto7EvN+r7v/OzOq0vz0yFz5VYmLONOJznry9iMu4l5dY+uKo4nmhlcXjbQqXfGnfcPBwATPdBdeZfvvRTx3C2pbJvsRFzuvzl2wGU/cc31wrvz6RCXK09mmxWb2SeI893mi07RptEOal3OJCwi/zYyqrJsvF3ysMqxykfMNYpvcPcWZ9Xlif5O9IFrrPuENd2/ztoA2a6Dcfa6Z/Q9xgvQPM7uT2Om/Q2xII4iTvQtT+RkWXaT+mug6dVSa1JFEZnesFz83oBaVH9cFZvZnohryGe+4J4NfSmyIt5vZP4h7SY4jMtF8F6vfIna695tZpWvX/yGWxV88nt1RcTlxk/lNZvYr4gd1EMXLf4KZPUz0IDKV5m4XFxA90NRiLTM7vMq4h9x9Urqyei1xBezwtNN7wqLbyF8S1bn5NswTiXX6W+KK6ReI5fLj1IwLj+60TyR+WI+a2eWp7CHEhnmut+yCsj1+RTRF+ZmZfYK4Cj2TuMl6T2J7GFH94616mKj1+THN97Hdkq6Y5E0gqqu/l07GnyeesXEscRWwqNahPa4gks3DiB1/e5fXbcTv8wIiIb6qoMz1xPq93cxuTOW+QG3d5C8lrfc/EE04oLba6mVxMrH/edDMLiOaPX2euMoHbV9h2oR4CvtNxO96OnF1/XiiZvn+Vj7bXh36u1/efWtaRycRbesfMbNK745fIbaXdXMf6dBtJBkIvGZm/49Imt4k7hM5nlgXVU8A3P0dMzubOOg+YNFtf+WEfCLR3n1ZWyncRCS2o9M+awGxr/kwmRqCNtTcjbnFc2HuImq3LgZ2M7P8vSU3Vdn/VPMDosb672b2d2KftoBovjOSSKCPTCdcfyZOlg5JzZbmWjyn70biuUzH5qb9JPCvdKx7jTjJ3Is4Cct2m/0YUesykjieZl1B3HP1C4tna01I5T4H/MRbdim/Vhp/L3HfRMU/zWxq+p6pxDH6cOJq/SXuviRBSNvLf4FDzWwScbI1x92z29hI4sSwXRd73P0RM7uAOE4+bmZ/I84B1id+4zsTNYKjiJO+U9M830f8Vk9I8WT3k7Ue+28g9u0jWfoq+WnEsfBOM/slcdutUpQAACAASURBVHz8GrE8P52rWSjaXlcm7g39B7H8pxO1u19N4w5z93mVCZjZ19PnpxA1qV+wlo8qfMPdKxd1Nib2vdcTx8xpKa7D0ndc5e7Z/e8ZFo/wuCdNf+U0zyPSMrok+0Xp2L0xkSS+SzThO4potnhEbjldRNQOnW9xP9QDxEn114jl/vV0YS2vPc33PkK0Fvi7V38EwsY0n2++QFwg24bYJy9i6YsNV6QLXg8SzfaGEB2u7UBcmL4+F8OVxEWhccQFko8RF0seZemLjucStyJcY/EctXFETd/xRHPHJdtqSkxvTtP+I7Bvbr3j7tdkyo8kzmnuIn4n6xHb1GBgP3fP7mNvJdbhSIvuzCuPIDiA6DnxOnd/PH3HYovaz0toPjd9lWj++lVi3/2/mWk/Spzb/cDifrs5wEueuotPCf7Hqe3RK9TUI0j6zQ0gDjD/Jn5UC4kdwG3Expl/gvTniJVceQDXgxR0D0mV3oyo8sRx4qrXi+n7l/SwQ+u98C3V4wbFXVP3IRLB14iT8ceJ+4POIteLWiq/DXGFaRpxZXRCiq93wfeNJDbI+cTO8QJip9GilyDiStV9xInFfOJHch2ZLmTbWE/exuurqdwfiA1pZO7zRmzAC0k9DGXXBfBN4oc+P71/q0ocexA/lplpWT4BHF3LekjDh+WXTWYdfZP4IVS2rReIE4JPtrX9pHGjSBe+M8NWJw5M02h+BsiwVraV9dJ6eYu4N+gRYptfalupMuxIWukNhmii5cARtf5Gc5+v9IT0LrmuQ9P43sTB9r9pXb5Mc+9F+W2y6rIsWCaL0jpfqkvozPY5qq1hrS0joqegh9N29TqRWH8klf1eGzGuRlwgGEec4MxLy+AiYM1OiLXDf/fUvm9dartNww/IxPQKcUV37/w6buc2Miw/rMry70ckZY8QSdt84hjwBzKPN0hlJ1N8bPg6kTBV9kEnEjVwTsuu1c+iYL9dbdpES4PHaO4U5loiqSwqWzSssg20ugxyv6nWXkvFXcN0BwBnEhdy5hEXryYQyUtlf/5DqvQyRfPjQw7Mr1fiRLfSocgrRNfeRd3cf49IzIcWjFuVSKympumMT+sv32tX5Xvzy/hUoge0t4hj1AziBPuwKstjZ+LkeE6a3uTcdywmTpbbtZwz0zgsTX9W+o7niH1J9rEpKxHb/ItEMvQmUSOxXm5a7dkHjCYSv6KYNidObmcQx6Z/k+sOu9r2SjSH+n3afirnea8RJ+Y7F0xjVBvbcFOm7JC07p8kjrMLid/ZXcRJfX4b2J9oYvZ/xL5+DrHfOp1cr72pfGW/OC2Vn0jsb1etspw2JC4uvppimZmW/wFVyq9DHN+W6oW1SvlKz3hVe/QjWtRcnbabmSmOKSmuzQrKH03s119P29Is4lh4ApmedzPljyX2ae8S+4On0/Jb6pwgld8ures3iN/wdOL+5uFVtp2qr1z5LYge+F5LcU9N812tm/uBREL3HPFbeC/F/j2gT0H5A9NyqfTqN5VosbR+QdkvE/udSk+Eo3LjnDZ6iK28Ks8qEKkqXY24BzjK3UeVG03PYHEf067EcwzmtVW+KzCzNYmD/pXunr+C3dnffSCx4z/Mmx8KLT2EmV1CnIiv6Us/AFyWUao1eQk4293PqvEzg4jE9gqPG8e7pFRDczCwiUctXMMws12JZGFvr/GeNxFpncV9mpPdvc3OTKATexYSkWVjZhsRTUGvaZTkKTmeqLW4vLO+wMKKuWF9ifvi3qf5HgzphqrcL7Am0RznGSVP5fPohetHwDdbuY+lVGmbOY54pkxDJU+wpMe+vxG1gCKynMzss8TjTU6t9TPL2z23iHSQ1GZ6c6KJ4gLg5+VGVBszO5Ro6nQKcIfnnjfVwVYAXk73QD5PNMk7hLhX5QKdQHd7w83sZ0S7+Fdp7o5+ZZajcwvpWB6PCvhtmwVL4tELZ1FnGQ3D3Q8tOwaR7sLd/0HzvdQ1UQIl0nUcT1xJf5F4qOXkcsOp2V9JD4Ck5cN0O8NC4r7L/YmbfY1IpL7u8dA/6d7+C0wikqbViO1uLHCemjKJiEi96B4oERERERGRGqkGSpbbkCFDfNiwYZ3+PXPmzGGllVbq9O/pijTvPXPeoWfPf0+ed+jZ869575nzDvWZ/8cee+xtd/9gp36JdGtKoGS5DRs2jLFjl+cB57Vpampi+PDhnf49XZHmfXjZYZSmJ89/T5536Nnzr3kfXnYYpanH/JvZy22XEqlOvfCJiIiIiIjUSAmUiIiIiIhIjZRANTAz28jMfmdmT5nZIjNrKigz2cw891qqq2cz28LM7jazuWY21czOMbPedZkREREREZEGoXugGtuWwEjgYaBvK+X+AlyS+X9BdqSZDQbGAOOJ7qE3JJ5B1Avosk+SFxERERGpNyVQje0Wd78ZwMyuB4ZUKfeauz/cynSOIx4qeEB6ivxdZjYIOMvMLkzDRERERER6PDXha2DuvriDJrUvcEcuUbqWSKr26KDvEBERERFpeEqgeoajzWyBmb1rZteb2Xq58ZsBz2UHuPsUYG4aJyIiIiIigLl72TFIB6g04XP34bnhvyLukXoV2Bz4EbAI2Nrd301lFgKnuPtFuc++CvzJ3U8v+L5jgGMAhg4dusO1117b4fOUN3v2bFZeeeVO/56uSPPeM+cdevb89+R5h549/5r3njnv6/7lL7y95prMHTGiU79nxIgRj7n7jp36JdKt6R6obs7dv5X5934zexAYBxwFXFT8qZqmezlwOcCOO+7o9XjoX09+uKDmfXjZYZSmJ89/T5536Nnzr3kfXnYY9ecOn/40fUeOZJ2zzy47GpFWqQlfD+PuzwDPA9tnBk8HVikoPjiNExEREek8b70Fc+fy3hprlB2JSJuUQPVMnl4Vz5G718nM1gEGkLs3SkRERKTDvfgiAO996EMlByLSNiVQPYyZbUUkS49lBt8O7GNmAzPDDgHmAffWMTwRERHpiV56CYB5qoGSBqB7oBqYmQ0gHqQLsBYwyMwOSv+PBkYAhwO3AlOJxOkMYAowKjOp3wLfBG40swuADYCzgF/oGVAiIiLS6VICpSZ80giUQDW21YHrcsMq/68PvJLKXASsCrwD/BM4PZsYuft0M9sTuBS4BZgB/JJIokREREQ610svweqrs7h//7IjEWmTEqgG5u6TAWuj2J41Tms88InljUlERESk3V56CTbYoOwoRGqie6BEREREpFwvvQTrr192FCI1UQIlIiIiIuVZuBBeflk1UNIwlECJiIiISHmmTIFFi2DDDcuORKQmSqBEREREpDyTJsW7EihpEEqgRERERKQ8SqCkwSiBEhEREZHyTJoEK64Ia65ZdiQiNVECJSIiIiLlmTQpOpDopdNSaQzaUkVERESkPJMmqfmeNBQlUCIiIiJSDnd48UUlUNJQlECJiIiISDneeAPmzNEzoKShKIESERERkXKoBz5pQEqgRERERKQcSqCkASmBEhEREZFyTJoEZjBsWNmRiNRMCZSIiIiIlGPSJFhnHVhhhbIjEamZEigRERERKYe6MJcGpARKRERERMqhBEoakBIoEREREam/WbPgrbeUQEnDUQIlIiIiIvWnHvikQSmBEhEREZH6UwIlDUoJlIiIiIjUnxIoaVBKoERERESk/iZNgtVWg1VWKTsSkXZRAiUiIiIi9ace+KRBKYESERERkfpTAiUNSgmUiIiIiNTXggUwZYoSKGlISqBEREREpL5efBEWL4ZNNy07EpF2UwIlIiIiIvU1cWK8b7JJuXGILAMlUCIiIiJSX5UEauONy41DZBkogRIRERGR+po4EYYMgcGDy45EpN2UQImIiIhIfU2cqOZ70rCUQImIiIhIfSmBkgamBEpERERE6mfWLHjtNSVQ0rCUQImIiIhI/bzwQrwrgZIGpQRKREREROpHXZhLg1MCJSIiIiL1U6mB2mijcuMQWUZKoBqYmW1kZr8zs6fMbJGZNRWUMTM73cxeMbN5ZnafmW1bUG4LM7vbzOaa2VQzO8fMetdlRkRERKTnmDgR1l0X+vcvOxKRZaIEqrFtCYwEngcmVinzfeBM4ALgM8BsYIyZrVEpYGaDgTGAA/sD5wDfBc7utMhFRESkZ1IPfNLglEA1tlvcfR13Pxh4Nj/SzFYkEqjz3P1Sdx8DHEwkSidmih4H9AcOcPe73P23RPL0HTMb1OlzISIiIj2DuxIoaXhKoBqYuy9uo8huwCDg75nPzAFuAfbNlNsXuMPdZ2aGXUskVXt0TLQiIiLS4739NsyYoQRKGpoSqO5tM2AR8EJu+IQ0LlvuuWwBd58CzM2VExEREVl26oFPuoE+ZQcgnWowMNvdF+WGTwcGmFk/d1+Qys0o+Pz0NG4pZnYMcAzA0KFDaWpq6rCgq5k9e3Zdvqcr0rw3lR1GaXry/PfkeYeePf+a96ayw+g0a9x+O5sBD0+bxnsF89nd51+6ByVQskzc/XLgcoAdd9zRhw8f3unf2dTURD2+pyvSvA8vO4zS9OT578nzDj17/jXvw8sOo/PccQf07csuhxwCfZY+De328y/dgprwdW/TgZULuiMfDMxNtU+VcqsUfH5wGiciIiKy/CZOhA02KEyeRBqFEqju7TmgN5B/Ul3+nqfnyN3rZGbrAANy5URERESWnXrgk25ACVT39iAwk+i6HAAzG0A8D+r2TLnbgX3MbGBm2CHAPODeOsQpIiIi3d3ixfDCC0qgpOGp/rSBpWRoZPp3LWCQmR2U/h/t7nPN7HzgTDObTtQmfYdInC/JTOq3wDeBG83sAmAD4CzgF7muzUVERESWzeTJMH8+bLpp2ZGILBclUI1tdeC63LDK/+sDk4HziYTpNGA1YCywt7u/UfmAu083sz2BS4lnRM0AfkkkUSIiIiLLb8KEeN9883LjEFlOSqAamLtPBqyNMg78NL1aKzce+ESHBSciIiKSpQRKugndAyUiIiIinW/CBPjgB2G11cqORGS5KIESERERkc43YYJqn6RbUAJVR2b2YTP7m5lNMrP5ZrZ9Gv5TM9u37PhEREREOoW7EijpNpRA1UlKkB4D1gD+BPTNjJ4PfKOMuEREREQ63RtvwIwZSqCkW1ACVT/nAaPcfQ+W7tBhHLBt/UMSERERqQN1ICHdiBKo+tkM+Fv623PjZgIfqG84IiIiInWiBEq6ESVQ9fMm8YDaIlsCU+oYi4iIiEj9TJgAK68Ma69ddiQiy00JVP1cC5xjZh/LDHMz2wQ4FfhzOWGJiIiIdLIJE2CzzcBafXylSENQAlU/ZwJjgXtprm26GXgGeAo4t6S4RERERDqXeuCTbqRP2QH0FO4+H/gfM9sT2BMYAkwD7nb3u0oNTkRERKSzvPsuTJ2qBEq6DSVQdebudwN3lx2HiIiISF0891y8K4GSbkIJVJ2Y2RZtlXH38fWIRURERKRu1AOfdDNKoOrnGZbuvjyvdz0CEREREambCROgb1/YcMOyIxHpEEqg6mdEwbDBwD7p9c36hiMiIiJSB88+Gz3w9dFpp3QP2pLrxN3vrTLqH2b2E+DzwK11DElERESk8z3zDOy2W9lRiHQYdWPeNdwD7F92ECIiIiIdauZMePll2GqrsiMR6TBKoLqGTwMzyg5CREREpEM9+2y8b711uXGIdCA14asTM/t7weB+wGbAxsDp9Y1IREREpJM980y8qwZKuhElUPXzwYJh7wH3A99x99F1jkdERESkcz3zDKy0Eqy3XtmRiHQYJVB14u5FvfCJiIiIdF/PPANbbgm9dNeIdB/amkVERESkczz9tO5/km5HNVCdyMwubEdxd/dTOy0YERERkXp680146y3d/yTdjhKoznVwO8o6oARKREREugd1ICHdlBKoTuTu65cdg4iIiEgplEBJN6V7oERERESk4z39NAwZAkOHlh2JSIdSDVSdmdnHgE2AFfPj3P2y+kckIiIi0gmeeSZqn8zKjkSkQymBqhMzGwrcDWxB3O9U2Zt4ppgSKBEREWl87pFAHXlk2ZGIdDg14aufnwPvAusQydNHgGHAmcALRK2UiIiISON7+WWYPVv3P0m3pBqo+tkD+BbwWvrf3H0KcK6Z9SJqn/YpKzgRERGRDjNuXLxvu225cYh0AtVA1c+qwFvuvhiYCayeGfcgsFspUYmIiIh0tHHjoFcvPURXuiUlUPXzErBm+vtZ4IuZcZ8BptU9IhEREZHO8OSTsPHGMGBA2ZGIdDg14auf24BPAn8HfgLcbGavAguBddFDdEVERKS7GDcOPvKRsqMQ6RRKoOrE3U/L/H27me0GfA7oD9zl7reXFpyIiIhIR5kxAyZPhmOPLTsSkU6hBKok7j4WGFt2HCIiIiId6skn410dSEg3pXug6sTMJpvZBWa2XZ2/90gz84LXcZkyZmanm9krZjbPzO4zM+31REREpP0qCdQ225Qbh0gnUQJVP9cBhwBjzWyimZ1jZvV8OMIngF0zrxsz475PPI/qAqJDi9nAGDNbo47xiYiISHcwbhysvjqsodMI6Z6UQNWJu5/i7sOAjwGjga8AT5rZM2Z2pplt3MkhPOruD2debwKY2YpEAnWeu1/q7mOAgwEHTuzkmERERKS7GTcumu+ZlR2JSKdQAlVn7v6Qu38bWAcYDjQB3wAmlBTSbsAgondAANx9DnALsG9JMYmIiEgjWrgQnn1W9z9Jt6YEqjwrEd2XrwesAszv5O+bZGbvm9nzZpbtFmczYBHwQq78hDROREREpDbPPQcLFuj+J+nWlEDVkZn1N7PPm9kNwJvAlURTua8AQzvpa18j7m86gri/6WHgt2Z2Uho/GJjt7otyn5sODDCzfp0Ul4iIiHQ348bFu2qgpBszdy87hh7BzP5ONIlbEfgXcC1wk7vPKCGWvwF7AR8ETgNOcfdVc2W+ClwBrODuCwqmcQxwDMDQoUN3uPbaazs97tmzZ7Pyyit3+vd0RZr3njnv0LPnvyfPO/Ts+de8N+68b3jZZXzo5pv59+jReO/e7f58PeZ/xIgRj7n7jp36JdKtKYGqEzO7B/gbcL27v11yLAcT9zxtCHwKuJhIlBZlypwCnOXuK7U1vR133NHHju38R1o1NTUxfPjwTv+erkjzPrzsMErTk+e/J8879Oz517wPLzuMZTdiBMyZA488skwfr8f8m5kSKFkuepBunbj7iLJjyPDM+3NAb2Aj4PlMmc3SOBEREZG2LV4Mjz0GRxxRdiQinUr3QPVMBwFvAy8DDwIzia7LATCzAcT9UreXEp2IiIg0nhdegFmzYEdV7kj3phqobi51WPEI8BRR03RIen3T3RcD75nZ+cCZZjadqHX6DpFcX1JO1CIiItJwHnss3pVASTenBKr7e57o5W8dwIDxwJfc/epMmfOJhOk0YDVgLLC3u79R51hFRESkUY0dC/37w+ablx2JSKdSAtXNufvpwOltlHHgp+klIiIi0n5jx8J220EfnV5K96Z7oERERERk+SxaBI8/DjvsUHYkIp1Olwg6kZmd0I7i7u6/6bRgRERERDrL889H9+W6/0l6ACVQnevSdpR1QAmUiIiINJ7K8yCVQEkPoASqE7m7mkiKiIhI9zd2LKy0Emy6admRiHQ6neCLiIiIyPJ57DHYfnvo3bvsSEQ6nWqg6szM1gY2AVbMj3P30fWPSERERGQ5vP8+PPEEHHdc2ZGI1IUSqDoxs4HA34FPVgald88U02UbERERaSzjx8O8eeqBT3oMNeGrn/OAdYGPE8nT54DhwJXAS8AupUUmIiIisqwefjjed9GpjPQMSqDqZyTxoNr/pP+nuvt97n4McDNwSmmRiYiIiCyrhx6CIUNggw3KjkSkLpRA1c9Q4BV3XwTMAT6QGTea5qZ9IiIiIo3j4Ydh113BrO2yIt2AEqj6eQUYkv5+AfifzLiPAO/VPSIRERGR5TFtGjz3nJrvSY+iTiTq5y5gL+Am4JfAVWa2AzAf2B34eYmxiYiIiLTfI4/E+667lhuHSB0pgaqfU4EBAO5+tZnNBg4C+gMnAr8rMTYRERGR9nvoIejVC3baqexIROpGCVSduPtcYG7m/5uI2igRERGRxvTQQ7D11rDyymVHIlI3ugeqBGbW28wG5F9lxyUiIiJSs8WL4T//0f1P0uMogaoTMxtkZpea2VTivqdZBS8RERGRxjBhAsycqQRKehw14auf3xE97/0eGA8sKDccERERkeVQeYCuOpCQHkYJVP3sA5zk7r8vOxARERGR5fbQQzB4MGy8cdmRiNSVmvDVzxzg1bKDEBEREekQDzwQtU+9dDopPYu2+Pr5OXCCmWmZi4iISGN78814gO7uu5cdiUjdqQlf/awFbAM8b2b3ADNy493dT61/WCIiIiLtdP/98a4ESnogJVD1cxCwmFjmexeMd+JhuyIiIiJd2333Qf/+sMMOZUciUndKoOrE3dcvOwYRERGRDnH//dF9eb9+ZUciUne6H0dEREREavfuuzBunJrvSY+lGqg6MbMvtTJ6MTATeNLdX65TSCIiIiLt98AD4K4ESnosJVD1M4q4zwnAMsOzw9zMbgW+6O6z6xibiIiISG3uuw/69IkmfCI9kJrw1c/2wH+B7wObA0PS+2nAJGAv4MvAx4ELSopRREREpHX33Qc77QQDBpQdiUgpVANVPz8HLnP3X2WGTQMuNLMFwI/cfQ8zGwp8F/h6GUGKiIiIVDV3LowdCyedVHYkIqVRDVT97ApMqDJuArBT+vsxYLW6RCQiIiLSHg8/DAsX6v4n6dGUQNXPq8CRVcYdlcYDDAbeqUdAIiIiIu1y993Qu7cSKOnR1ISvfn4A/NXMtgJuAd4CPgh8BtgCODSV2xu4v5QIRURERFozZkx0HjFwYNmRiJRGCVSduPt1ZvYScCrwBWAN4HXgUeAod38slTuhvChFREREqpg+Pe5/OvPMsiMRKZUSqDpy97HAwWXHISIiItJuTU2weDHstVfZkYiUSvdAiYiIiEjbxoyBlVeGj3yk7EhESqUaqE5kZn8HTnP3Senv1ri7H1KPuERERETabcwY2GMP6Nu37EhESqUaqM71QaCyl1k9/V/ttXoZAWaZ2RZmdreZzTWzqWZ2jpn1LjsuERERKdkrr8DEibDnnmVHIlI61UB1Incfkfl7eImhtMnMBgNjgPHA/sCGxMN/ewFnlBiaiEjr3OO5NO+9B/PmxXvRqz3j5s+P6Vamn/++rL59oV8/WGGFeM++VlgBVlwRBg2KXssGDWp+Vf5fZZXoFlqkK7v77njX/U8iSqDKZmaruvuMsuMAjgP6Awe4+0zgLjMbBJxlZhemYSIixRYtiqSjvclLK0nNllOmRJJRy+fySU17VRKd/v3jvV8/6JVppGHWsnzlf3d4//2Y9wUL4lX5e+HC2r67Vy8YMgRWX73Fa905c+Kq/3rrxWuttaCPDttSkjFjYtvcaquyIxEpnfbEdWJmxwMD3f3C9P+2wK3AmmY2Dtjf3V9tbRqdbF/gjlyidC1wAbAH8ewqEam3xYvjhHzhwni9/37z39WGtfX/woXNJ/qV2pb2vueHvf/+8s1nr17NyUt6DVi0CFZbLf4fMAA+8IEW41skPEWvauPyw/PJUkep1IzNnQuzZsVr5sx4Vf5+912YNg3efDNeb7wR3US/+SYbzJwJV17ZchmttVYkU5tsAptvDpttFu/DhqkWSzrP4sVw553wyU8ufTFBpAdSAlU/3wAuzvx/MTAVOJl4NtT5wOElxFWxGfCv7AB3n2Jmc9O48hKo8eNhv/34yLx5ceID1a8GtzVuecrW63sKxm0/a1bzQwsbMP6aFdQibDNjBqy6aqtl2ppGu8bXOo3Fi5tfixbV/n87yw5vO9rlZ9acTKywQnNtTPZ94MCoJckPz35mhRVaJie1JjArrhg1K7lt5dGmJoYPr8sS6BxmzU35sttwje775z/Zff31YcoUePnl5vfJk+G22+APf2gu3K9fJFXbbgs77ADbbw/bbaeHnUrHePRReOst+PSny45EpEtQAlU/6wLPA5jZB4GPAnu6e5OZLQAuLTM4YDBQ1JRwehrXgpkdAxwDMHToUJqamjotsBVef50N1l+fhe+/T98+fdq+HyEbZ2tl2zhJbvWzeblx1o7vyY6v9p3vDxzIwvzV5aLvrPV7W4t3eT5by7y28+rl4kWLmDFtWsuBbUzD2/qODriC6r16Qa9e8d67N17526x5nNmSd+/du7ZxuTLzFy2ib//+eJ8+eJ8+LO7dG+/de8n/3qcP3rt3DM/8v6Rs5v8Wn+/Xj8X9+rG4b98l39+pFi+Ompi5c2v+yOzZszt139LVzX7/fZpeey3usdpoo3hl9Jk1iwFTpsTr5ZdZ6eWXWfmf/2SFa64B4ncwb+21mbXppszYemve3WYb5q67bkPUIPTkdd8V533YH/7Aer168cBKK/F+J8fWFedfJE8JVP3MB/qlv0cAc4H70//TgPZfniyRu18OXA6w4447eqdfJT70UJoa/Wr0ctC8Dy87jNL05PnvyfMOyzH/r78Ojz+OPfYYAx5/nAEPP8zQMWNi3JAh8PGPx2uvveJ+li6YUPXkdd8l5/2734XdduNj++3X6V/VJedfJEcJVP08AnzdzF4Fvgn8090XpXEbEM35yjQdWKVg+OA0TkREGsEaa8DIkfGCqPmdNAnuuw/uvz/eb7opxq21FnzqU7DvvpFQrVJ0GJAe7bXX4PHH4bzzyo5EpMtQAlU/3yXuI3oaeAX4SmbcIcADZQSV8Rxxr9MSZrYOMCCNExGRRmTW3ATwK+nQ8+qrcMcdcPvtcN110VlFnz7xkNSDDoIDDoge10RGj473SkIuInqQbr24+3h335B4aO4wd5+YGX1yepXpdmAfM8vecXwIMA+4t5yQRESkU6y9Nhx9NFx/Pbz9dtRKnXxydJt+/PGw5powYgT8+tfRwWNluQAAG9RJREFUM6D0XLfdFtvL1luXHYlIl6EEqs7c/R33lnfau/vT7v5WWTElvyXu07rRzPZKnUScBfxCz4ASEenG+vaNe6LOOw+eew6eegp+8IO4l+rEE6OZ3377RbO/BQvKjlbqaf58uOuu6H2vC94rJ1IWJVACgLtPB/YEehNNDc8Gfgn8qMy4RESkjsyipuGcc2DCBHj66ehA4NFHo1nfWmvBt78NzzxTdqRSD/ffD7Nnq/tykRwlULJEamb4CXfv7+5ruvuZmY4uRESkp9lqK7jggmjad9ttMHw4/OY3kWSNGAE33rj8D1GWruvGG+Mh1nvuWXYkIl2KEigRERFpXZ8+0YnAddfB1Klw4YXw0ktw4IGwwQZw/vlxL5V0H4sWRQI1cmQkUSKyhBIoERERqd1qq8Epp0TX6DfdBBtvDKedBuutByedFD38SeN78MHoQOTAA8uORKTLUQIlIiIi7de7N3z2s3D33XGv1MEHwyWXRI3U174GL7xQdoSyPG64AVZYQfc/iRRQAiUiIiLLZ6utYNQo+O9/I3m6+mrYbDP4wheUSDUi92i+t88+MHBg2+VFehglUCIiItIxhg2LZ0dNnhy99918M2y+ORxzjJr2NZJHH42OQ9R8T6SQEigRERHpWGusER1NTJoEJ5wQtVMbbRRJlTqb6Pquvz46DvnMZ8qORKRLUgIlIiIinWONNeDii2HiRDj0ULjoIthwQ/jf/9VDebsq90ig9twTBg8uOxqRLkkJlIiIiHSuYcOiFurpp+FjH4te/LbaCm69NU7Ypet4+OHoov6ww8qORKTLUgIlIiIi9bHFFvFA3tGjoVevaCL2qU/B+PFlRyYV11wD/fvD5z5XdiQiXZYSKBEREamvffeN2qiLLoJHHoFttoHvfx/mzi07sp5twQL4299g//1h0KCyoxHpspRAiYiISP317Qvf+lZ0c/6lL8EFF8CWW8Ltt5cdWc91xx3wzjtw+OFlRyLSpSmBEhERkfIMGQJXXglNTbDiijByJFucfTa89lrZkfU811wT6+OTnyw7EpEuTQmUiIiIlG+PPWDcOPjxjxnywAPxIN7f/U6dTNTLtGnx3K5DD43aQRGpSgmUiIiIdA0rrABnnMGjf/gD7LgjHHcc7LMPTJlSdmTd3zXXwPz58NWvlh2JSJenBEpERES6lHlrrw1jxsBvfgMPPhhdnv/+96qN6izucMUVsNNO0aGHiLRKCZSIiIh0PWZRA/X001Eb9bWvRe99r75admTdz3/+A888E8tYRNqkBEpERES6rvXXj9qoSy+F+++Pnvr+/Oeyo+perrgCVlop7n8SkTYpgRIREZGurVcv+PrX4amnYOuto5vtL34R3n237Mga3/TpcO21kTwNHFh2NCINQQmUiIiINIYNN4zuzs85Jx74us028O9/lx1VY7vyyniA8Yknlh2JSMNQAiUiIiKNo08fOPPMSJx6947uz3/4Q1i4sOzIGs/778Mll8Qy3HbbsqMRaRhKoERERKTx7LJLPDfqiCPgxz+Gj38cJk0qO6rGcvPN0UX8t75VdiQiDUUJlIiIiDSmgQNh1Ki4h+e552C77eJvqc2vfgXDhsF++5UdiUhDUQIlIiIije2QQ6KDia22gsMOg2OPhXnzyo6qa3vooejV8JvfjKaQIlIzJVAiIiLS+NZdF+69F773Pbj88mji9/zzZUfVdf30p7DaanDMMWVHItJwlECJiIhI99C3L1xwAYweDVOnwg47wDXXlB1V1/PEE3DbbXDSSfH8JxFpFyVQIiIi0r3su290MLH99tHJxFe+AnPmlB1V13HuuTBoUDxbS0TaTQmUiIiIdD9rrQX/+heccUZ0NLHzzvDss2VHVb4nn4QbbojnPq26atnRiDQkJVAiIiLSPfXpE12c33EHvP027LQT/PGP4F52ZOU57bRInE4+uexIRBqWEigRERHp3vbeO5r07bprNOc74giYNavsqOqvqQluvz2SqMGDy45GpGEpgRIREZHub8014c474Zxz4K9/jQ4mnnii7Kjqxx1OPRXWXjua74nIMlMCJSIiIj1D795w5plwzz3RqcQuu8All/SMJn1/+hM88kg0aezfv+xoRBqaEigRERHpWXbfPTpT2HvveJDsAQfAtGllR9V5ZsyAU06JJoxf+lLZ0Yg0PCVQIiIi0vMMGQK33AI//3k8E2m77eDBB8uOqnOccQa88w5cdhn00qmfyPLSr0hERER6JjP4znfggQeied/uu8P558PixWVH1nEefBB+8xs44QTYdtuyoxHpFpRAdXNm1mRmXvBaMVduLTO7ycxmmdnbZnapmQ0oK24REZG62Wmn6FDiwAOjh7pPfQreeKPsqJbfnDnw5S/DuuvGw3NFpEP0KTsAqYt7gNNzw+ZX/jCzvsAdwALgUGBV4Bf/v707D5Ojqtc4/n1DIBAgCTsBwxoQw3aFJIogBOFhe5Tlhi3C1VwVRK8i96psKgRUFJAAyq5CcMEIIQYQkyDgQFhDeBAUCJAAIcgiIZEwCSRAfvePUyNNp6e7ZqZ7eqb7/TxPPT19+pyqc7qqe/pXdc6p7PHYbqqjmZlZ/QwcCBMnwj77wDe+ATvvnCZe2G+/etes8049FebMSZNmrL12vWtj1jB8Bao5LIyIB4qWwimHDgc+AoyOiFsj4rfA14HPStqmLjU2MzPrbhIcf3yarW7ddWH//dOU30uW1LtmHXfTTXDJJXDSSTBqVL1rY9ZQHEAZwIHAQxHxXEHaFNIVqQPqUyUzM7M62XFHePjhFHxcemmaYOKBB+pdq/yeeSbNtjdiRBrTZWZV5QCqOewnaWm2TJe0U9Hr2wGzCxMiYjkwN3vNzMysuayxBlx4Idx5JyxbBrvvnmazW7683jUrb/HiNC37qqvCpEnQr1+9a2TWcBTNcPO4JibpLOB5YA6wOfAdYBNg54h4PsvzDHBrRJxUVPYe4IWI+GyJ9R4PHA+w0UYb7Tpx4sQatiJpbW1lrbXWqvl2eiK3vTnbDs3d/mZuOzR3+3ta21dpbWXopZcyeNo0Wrfaiqe++U3eHDasJtvqStu1fDk7nXYag/76Vx477zwW7bprlWtXe92x7/fee++HI2J4TTdiDc0BVC8jaSAwuFK+iJhdKl3SxqSrTRPaAqbOBFCFhg8fHrNmzcrZgs5raWlhVJP243bbR9W7GnXTzO1v5rZDc7e/x7b95pvTdOAvvZQezzkHBgyo6iY63fb33oNjj00TYVx7ba+9YW537HtJDqCsS9yFr/c5Angyx1JSRLwC3AvsUpC8CBhYIvs62WtmZmZ28MHwxBPw9a+nm9J+5CNwww1Q75PR77wDxxyTgqdzz+21wZNZb+EAqpeJiF9EhCotlVaTLW1mUzTWSdJqwFYUjY0yMzNragMGwMUXw4MPwoYbwpFHphvwPvRQfeqzZAkcdhj8/vcpeDr55PrUw6yJOIBqMlkXvj2AhwuSpwIjJG1ekHYw0A+Y1o3VMzMz6x1GjEhB01VXwdNPw8iRqQvd3LndV4c5c+DjH4epU+Hyyx08mXUTB1ANTNJOkm6VNFbS3pI+D7QAK4CLCrJOIl1pmizpIEljgEuA6yLimW6vuJmZWW/Qty8cd1yaNvz00+HGG+HDH4axY1NQVSsRaZzT8OFpPNbUqXDCCbXbnpl9gAOoxvY6IOBHwHRgPPA48ImIeKEtU0S8Q7rf03zgelLwdCPZLHtmZmZWxoAB8MMfwrPPwoknwvXXp/FRhx4K06fDihXV29Zjj8F++6UgbYcdYNas9NzMuo0DqAYWEf+IiIMiYnBErBYR60XE6FIz9EXEixFxaESsleX7n4hYWo96m5mZ9UqDB8P48fDcc3DKKXDffXDAAbDttjBuHPz9752bcCICZsxI46123hlmzkw3+L37bthyy6o3w8zKcwBlZmZmVk0bbZSmOJ8/H667DoYMgbPPhh13hG22gS9+ESZMgEcegTffXLn8ihXwwgtwyy1w0kmpzJ57wrRp6Wa+zz+fplHv459xZvXQt94VMDMzM2tI/frBmDFpeeUVmDIljVeaMgWuvvr9fOuuC2uvDautxm4LF6aZ9d5+O722+urwyU/CGWfA6NGw5pr1aYuZ/ZsDKDMzM7Na23jjNNHDCSekK0xPPpmWOXPSlarWVli+nIWLFzN42DAYOjSNoxo5MgVRZtZjOIAyMzMz6059+sD226elyFMtLQweNar762RmubnzrJmZmZmZWU4OoMzMzMzMzHJyAGVmZmZmZpaTAygzMzMzM7OcHECZmZmZmZnl5ADKzMzMzMwsJwdQZmZmZmZmOTmAMjMzMzMzy0kRUe86WC8n6TVgXjdsan1gQTdspydy25tXM7e/mdsOzd1+t715dUf7N4+IDWq8DWtgDqCs15A0KyKG17se9eC2N2fbobnb38xth+Zuv9venG0Ht996B3fhMzMzMzMzy8kBlJmZmZmZWU4OoKw3uareFagjt715NXP7m7nt0Nztd9ubV7O333oBj4EyMzMzMzPLyVegzMzMzMzMcnIAZWZmZmZmlpMDKKs7SUdJmizpZUkhaWw7+TaV9AdJb0paIOkSSf1zrL+fpAsk/VPSEkm3Stqiys2oCklbZO9BqeWpCmXHtVPugO6qfzVIammnHavnKLu7pAclvS3pOUkndkedq0HSAElnSZop6Q1Jr2TH+7Y5yo5t5z07oTvq3lGShkm6Q9JSSS9JOlvSKjnKDZR0jaRF2Xv0W0nrdUedq0XSEZJulvQPSa2SHpY0Jke5Uvv3ge6oc7V09jhthP0OZb/bQtJu7ZRp73/CxO6uf0dIGirpSkmPSXpPUkuJPJJ0uqT5kt6SdLek/8i5/kMk/S37rn9C0lFVb4RZGX3rXQEz4HBgC+CPwJdKZZC0KjAdWA4cDQwCxmePx1ZY/0+zbfwv8BowDvizpB0j4u2uV7+qXgaK/5GuAdwGTM1R/g2gOGB6sgr16m5/AU4vSltWroCkoaRj5I/AacBIYLykpRHxi5rUsro2A44Dfgl8B+hPaseDknaKiPk51vEp4K2C589WvZZdJGkd4HbgCeAQYGvgAtIJve9WKH49sC3pe2IFcC4wBfhkrepbA/8HPEf6PloAHARcJ2n9iPhZhbIXAJMKnr9ZmyrWXEeP00bY7wBfBQYUpZ0NfBR4qELZbwH3Fjzv6Tfa3Z50bD8ArNpOnlOB7wHfBmaTPhu3S9ohIl5pb8WS9gBuBC4DTsy28ztJiyLituo1wayMiPDipa4L0Cd7XAsIYGyJPGOA94AtC9KOJP0z3abMuj8EvAt8riBtU1Ig9qV6tz3n+3NE9r58rEK+ccCCete3Cu1tASZ1otyVwNNA34K0y4D5ZBPm9OQFWBNYoyhtXaAVOLNC2bHZMbJWvduRo52nAYuAAQVpJwNLC9NKlNsta+OeBWkjs7R9692uDrR//RJp1wHPVSgXwNfqXf8utr3Dx2mj7Pd22rYasBC4vEyeLbK2frre9e1g2/oU/D0JaCl6fXXSCb8zCtLWJJ3k/EGFdU8H7ixK+xNwT73b7aV5Fnfhs7qLiBU5sh0IPBQRzxWkTSEFQuW6qO2XPU4u2N4/gHuydfYGY4BnI+LBelekhzsQmBwR7xakTSQF0TvUp0r5RcSSiHirKG0hMA/YpD61qokDgekRsbggbSLpSuteFcq9GhF3tyVExEzS1Zze8lkmIkpdOXiExtrH1dQQ+70dBwDrAL+rd0WqLcf/9U+QrsZdX1BmCXALZfarpH7A3oXlMhOB3SQN7FSFzTrIAZT1FtuRLvH/W0QsB+Zmr5Ur92JEtBalP1mhXI8gaQDpn0ne/u6DlMaHvSPpEUn/WcPq1dJ+2fiYpZKmS9qpXGZJawJDKDpGeL/7Yo/f16VI2gAYSrqylsdcSe9KekrSl2tYta4o9Vl+gXQFqtJnuXj/Qi/5LFewG/n28bhs/y6QdLWkdWtdsRrpyHHayPv9aOBFYEaOvNdkY4leljRe0ho1rlutbUfqVfJMUXql/bo1qUtgqe/6PqSunmY15zFQ1lusA/yrRPqi7LVql+spDiV1dcgTQM0hdYV6BFgb+DJwo6TRETG5bMme5S7gWlJ7NieNB5ohaeeIeL6dMoOyx+J9vSh77A37upQLSF34JlTI9zJpLMFMYBXSD7MrJPWPiAtrWsOOq8Vneasq1KsuJO1D+px/oULWa0ln518DhpP2986SRkbEe7WtZdV05jht1P3eHzgYuDIiyt2QcxlwKWkc7GJgFHAKKZA4pMbVrKV1gNYSx+4ioL+k1bKTpKXKQeN911sv4wDKqi67hD64Ur6IKHVWseF08f0YAzweEX/LUf43Rdu9BbgPOIOCLozdraPtj4gzC5JnSLqddLbxpGzpNbqy7yV9hTRByuiIeL1C+emkcQFtpirNWvhdSRfn7CZr3UxpNtDrgJsiYkK5vBExtuDp3ZKeJI37+AypO3OP5+P0Az5DGvNTtvteRLwMfK0gqUXSq8Bl2UmlR2tYRzNrhwMoq4UjgJ/nyKcOrHMRUKpv8zpAuX8g5cotKpFeC516P7JpevclTQ7RYRERkiYD50papY5nqbt0PETEK5LuBXYpU7btbGTxvm47G9ld+7pYZ/f9wcDPgFMi4g+d3PYk0kQrW9CzZuPr7GdyEbBBJ8r1SFn3u6mkMW7HdGIV00hXJ3ehlwRQ7ah0nDbUfi9wNDAnImZ1ouwk0gQ5u1L+/19PtghYq8T/pnWApe1cfWorBz3vu96ajMdAWdVFxC8iQpWWDq52NkX9oiWtRurCUe5K1mxgSDZGplB7/eqrrgvvx+Gkkxxdud9HZEvdVOl4KNuObPDxfFbuO9/2vC5XOzvTdkm7k/b5FRFxflc2X/TYU5T6LA8hTdte6bNcamxEt32WqyXrvvVH0ixsn46IpR1dR0G3r562fzuqUjsaZr+3ya5MH0jnJ49ohH0/m9SNc2hReqX9Ohd4h9Lf9SvIP17UrEscQFlvMRUYIWnzgrSDgX6kM7HtabsnxGFtCZI2Id0/JM99leppDDAzIuZ2prAkAaOBR3vRGImVSNoY2AN4uELWqcBh+uANWY8iBVZ/r1H1qkrS9qRxLtNI9zfpisNJ94qZ19V6VdlUYH9JaxekHUW6L9BdFcptnN0DBgBJw0knUXr6Z/nfJPUFbgC2AQ6IiH92cj0HkG79UOlz0dNVOk4bYr8XOYz0v6uzAdTh2WNv3vf3kcZ0HdGWkJ1Y+Axl9mtELCPdJ/CIopeOAu6PiDeqX1WzlbkLn9WdpGHAMNJkCQDDJbUCr0VE2w+qSaTJBCZL+h7p8v2FwHUR8UzBuu4AiIh9sscXJf0SuCgLKNpupDsP+MCYoZ6kIMj7Zjuv7wXcAezT9h5Juot0c8HZpL71xwEfIw1Q7xWy2fZ+RPqBOY90c9nTSGcWLyrIt1L7gfNJXaF+LennwAjSRBpfqTBIu0eQtCHvd8v6KTAyHbIALI6IJ7J8m5POwn4hIn6Vpd1IGpj/GOms7lHZcmIPHFdyBSk4nCzpXNIP4XHA+MKpzSXNAe6KiC8CRMT9km4DfiXpW7x/Q9V7IuL2bm5DV1xGuvHnN4D1sq66bR6JiGXF32OSjidNHHE7KdjYhXTT4ZnArd1Y9y7Jc5w28H4vdDTpxNZKNzkvbr+kcaRJge4lBRx7km48OzkiHuu2GndQFgwdlD3dFBggqS3w+1NELJX0Y+B7khbx/o10+5C6L7et53PA1cDWEdEWZH+fNBbsIlL31YOypdwtTcyqK3rAzai8NPdC+vEUJZaWonwfIn1ZtgKvk2Ym6l+Up6VEuX7AeFLwtIQ08HrLWrapCu/JSaQpXjdp5/VR2Xs0qiDtl6QxBG9l7ZwBHFjvtnSw3Ztm++dl0j2+XicFhdtVan+WvgfpB9rbwPOkH2Z1b1fOtre1qexngfdvrDm2IO0c4CnSVOBvkc5M/1e921SmrcOAO7O6vkz6QbRKUZ7ngQlFaYOAa0hj3haTJmBY6ca0PXnJ2tXeft4iy/OB7zFgH9IP6NdJ3Zfmk4LsgfVuTwfbXvE4bdT9XtCW9bN9eGqZ42NCwfOjgVmkm84uJ81OejbQr95tqdDOtu+pcse5SCdGX8yOhxnAR4vWM7awTEH6oaSeBctIwdfR9W6zl+ZaFNHjT8yamZmZmZn1CB4DZWZmZmZmlpMDKDMzMzMzs5wcQJmZmZmZmeXkAMrMzMzMzCwnB1BmZmZmZmY5OYAyMzMzMzPLyQGUmZnlIulISWNLpLdImlSHKhXXY1NJb0raOmf+4ZIWShpY67qZmVnj8H2gzMwslyxIWj8iRhWlDwPeiYhn6lKx9+txOTAoIsZ0oMwdwIyIGFezipmZWUPxFSgzM+uSiHiiBwRPA4DPA1d3sOg1wAmS+la/VmZm1ogcQJmZWUWSJgCjgb0kRbaMy177QBc+SeMkLZD0MUmzJL0l6R5JW0raUNIUSa2SnpT0qRLb+pKkxyUtkzRP0sk5qngk8BZwZ9G6TpM0R9Lbkl6VNE3SxgVZbgbWBfbv6HtiZmbNyWfczMwsj+8DmwGDgK9maS+Wyd8fuAo4D1gC/BT4NbAMmApcBpwM3CBpSEQsBZD0beCcrFwLsCvwfUlLI+KSMtvbB5gZEe+1JUj6HHA6cArwOLAe8ClgzbY8EbFY0uPAvsCtFd8FMzNreg6gzMysooiYK2kh0CciHshRZA3gxIi4C0DSJsClwJkR8ZMs7UVSYLMXMDXrhncm8IOIOCtbz58l9Qe+K+nywgCpyK7ATUVpI4HbIuKygrTJJco+muU1MzOryF34zMysFpYDMwqez8ke7yyRtmn2uBvp6tANkvq2LVmZjYAPldnexsCCorS/AgdJOkvSSEmrtFN2QVbezMysIgdQZmZWC29GxIqC58uzx3+1JUREW9rq2eP62ePjwDsFy1+y9CFltrc6qXtgoatJXfiOBB4EXpX0gxKB1LKCOpiZmZXlLnxmZtZTLMwePw28WuL1pyqUHVSYkAVwFwIXShoCHAP8kDR264qCrIMKtm1mZlaWAygzM8trObW9UnM/aSa9TSKioxM6PAVs2d6LETEf+LGk/waGFb28BfB0B7dnZmZNygGUmZnlNRs4RNKhpKs4L0XES9VaeUT8K5sa/WJJmwN3k7qabwvsHRGHlSl+L3BwYYKkK0lXlh4A3gD2BrYhzcpXaDhwbjXaYGZmjc9joMzMLK/LgNtIY4seAo6v9gYi4rxsvQeSZtX7Hanr3Yxy5Uiz6w2TtFlB2v3AnqSb5f4JOAw4LiKmtGWQ9FFgA0rPzmdmZrYSRUS962BmZtZlkh4FfhMR53egzI+AERGxb+1qZmZmjcQBlJmZNQRJRwDnA0Mj4t0c+dcE5gGHR0RLjatnZmYNwmOgzMysUUwCtiLdV2pejvybAWc7eDIzs47wFSgzMzMzM7OcPImEmZmZmZlZTg6gzMzMzMzMcnIAZWZmZmZmlpMDKDMzMzMzs5wcQJmZmZmZmeX0/8Eoe8G4EVESAAAAAElFTkSuQmCC\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"RZs6E0T6RriG"},"source":["A special type of complex exponential is y(t) = Ae st , where s = σ +\n","jω . Consider, a phases shift introduced to the signal y(t) with phase\n","θ . The resultant signal becomes, y(t) = Ae j(ωt+θ) . Plot the real and\n","imaginary parts ( Re(y(t)) and Im(y(t)) ) of the following signals,\n","with the following parameters (A, ω, θ): (1, 0.14π, 0) , (1, 0.14π, π) ,\n","(2, 0.34π, 0.5π) , (2, 0.75π, π/3) ."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"CP5h71NcQDYu","executionInfo":{"status":"ok","timestamp":1610955104368,"user_tz":-330,"elapsed":7887,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"b06a6ebb-b443-4048-ce94-6f425c26568f"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","\n","\n","pie=np.pi\n","params=[(1, 0.14*pie, 0) , (1, 0.14*pie, pie) ,(2, 0.34*pie, 0.5*pie) , (2, 0.75*pie, pie/3)]\n","rnge=10\n","t=np.linspace(-rnge,rnge,100*rnge)\n","plt.rcParams.update({'font.size': 15})\n","\n","for param in params:\n"," a=param[0]\n"," w=param[1]\n"," theta=param[2]\n"," real=a*np.cos(w*t + theta)\n"," imag=a*np.sin(w*t + theta)\n"," fig,ax=plt.subplots(1,2,figsize=(10,4))\n"," ax[0].plot(t,real,label='real part')\n"," ax[0].set_xlabel('time (s)')\n"," ax[0].grid()\n"," ax[0].legend()\n"," ax[1].plot(t,imag,label='imaginary part',c='r')\n"," ax[1].set_xlabel('time (s)')\n"," ax[1].grid()\n"," ax[1].legend()\n"," fig.suptitle(f'Complex Exponential signal : {a}*exp({w} t+{theta})')\n"," plt.show()\n"],"execution_count":null,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"nEEpJ9v-7nQb"},"source":["**2. Discrete Signals**\n","Plot the following signals: x 1 [n] = δ[n] , x 2 [n] = 3δ[n] , x 3 [n] = 3δ[2n] ,\n","x 4 [n] = 3δ[2n−5] , x 5 [n]\n","= 3δ[n 2 +3n+2] , x 6 [n] = x 1 [n]+x 2 [n]+x 3 [n] ,\n","P ∞\n","x 7 [n] = δ[n] , x 8 [n] = k=−∞ δ[n − k] (Impuse Train), x 9 [n] = δ[n]\n"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"EKDKYvZ3SW-z","executionInfo":{"status":"ok","timestamp":1611040912340,"user_tz":-330,"elapsed":2743,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"f13d53fa-5646-423e-c288-7f43cb820f11"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","\n","rnge=10\n","n=np.arange(-rnge,rnge+1,1)\n","plt.rcParams.update({'font.size':12})\n","\n","# delta [n]\n","x1=[0]*rnge + [1] + [0]*rnge\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x1,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title('Discrete Unit Impulse Function = $\\delta$[n] = x1[n] = x7[n] = x9[n] ')\n","plt.show()\n","\n","# 3delta [n]\n","x2=[0]*rnge + [3] + [0]*rnge\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x2,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title(' 3 $\\delta$[n]')\n","plt.show()\n","\n","# 3delta [2n]\n","x3=[0]*rnge + [3] + [0]*rnge\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x3,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title(' 3 $\\delta$[2n]')\n","plt.show()\n","\n","# 3delta [2n-5]\n","x4=[0]*rnge + [0] + [0]*rnge\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x4,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title(' 3 $\\delta$[2n-5]')\n","plt.show()\n","\n","# delta[n2 + 3n + 2]\n","x5=[]\n","for i in n:\n"," if(i**2 + 3*i + 2 == 0):\n"," x5.append(3)\n"," else:\n"," x5.append(0)\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x5,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title('3 $\\delta$[n\\N{SUPERSCRIPT TWO} + 3n + 2]')\n","plt.show()\n","\n","# x1 + x2 + x3\n","x6=np.array(x1) + np.array(x2) + np.array(x3)\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x6,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title(' x1[n] + x2[n] + x3[n]')\n","plt.show()\n","\n","# x1 + x2 + x3\n","x8=[1]*21\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x8,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title(' $\\Sigma$ k=−∞ δ[n − k]')\n","plt.show()\n"],"execution_count":44,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAAksAAAEdCAYAAAAcgiN1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAfOklEQVR4nO3de5hkdX3n8ffXGZQJLUxYtJUxMmsUNBKF0MZdjFITMbOYG9FsIF4im2UHddlsEsODRoxojIi3mMQbrCSgRkaNSEyM4m5Ir5dFdCaoiAIJIup4QYSG6aGHS/vdP+o0KdquX1dN96mqrvN+PU89TJ/61fl9v/3r7vpwzqmqyEwkSZK0tAcMuwBJkqRRZliSJEkqMCxJkiQVGJYkSZIKDEuSJEkFhiVJkqQCw5I0JiLi7IjI6vbGPh97esdj31VXjaupo96MiIk+H3tLx2MfUVeNq6VpayuNGsOStAZExOMj4oMR8S8R8cPCk97XgYcDr+pzir+sHnfFCspcFRHxyIg4r+p1LiK+FRF/FRGblhh+Ou269/Q5zU8Bz15xsasgIrZGxBVVgNsbETdExGsi4oGLhn6dNb620lq1ftgFSOrJjwHfAD4C/H5h3HxmfrffnWfmncCdEXF3P4+LiM3AjZkZ/c5ZcARwAPC7wLW0n+jfDHw8Io7KzPmOsbfvY783R8St/T4uIi4Evp6ZZ/f72II7gD8DvgzsBo4Gzqf9Pfi9jnEDXVtJ/8YjS9KQRcRvRMTdEfGzHdt+qzqq8gSAzPx8Zr4kM98D3N7n/qcj4l0R8YqI+G5E3BoR7+731NVqiIgHRcRVEXFpx7YNEfHliHgfQGb+78x8XmZ+NDNvyMxPAy8EjqR9RKi0/1Z1uukZEfHJiLgzIr4SESfU2lj3enpZ2ysyc3tmfjkzb8rMS4G/Blo97H9k1lYaZ4Ylacgy8wPARcDFEXFgRBwOvA14SWZ+aZWm+XXgYNpPwCcDvwScuUr77llm3gWcBBwfEadXm/8c2B84rfDQjdV/7+xxqjcCrwWeCFwJvD8ifrz/ildmX9Y2Ih4LnAD8U4/TjMTaSuPMsCSNhv8J7AXeBbwf+D+Z+fZV3P9Nmfl7mXltZn6imuP4Vdx/zzLzeuC/A2+MiFcDLwB+MzN3LzW+OkryZuBDmXlDj9O8KjM/npn/ArwUeDDws8s8pi49rW11bdZdwFdpB6U/6HH/I7O20rjymiVpBGTmnRFxEvAF4HvA01d5ii8u+vrbwNZ92VFEzHZ+ucQ2MrN4GigzL4qIZwKvAF6amZ/vMtcBtK/Tuhf4r32U+YWOub4XEfPAZB+PX5j/D4E/7Nj0ICAjojPIvDYzX9ttH32s7VNpX5t2NHAucDPwyh7KXLW1lbQ0w5I0On6u+u9BwEOAvi9ALlh8cW+y70eWj+r49yZgetG2ZVVHi34GmAcO7zLmIOCjwH7A8ZnZz7VaS13MvC/9vhP4QMfX5wK7aJ86XNDLOi27tpl5Y/XPa6pw996IeH1mLvdKv9VcW0lLMCxJIyAijqR9qulU4ERge0T8h+oan5GSmf+68O+IuHfxth69A7iH9umiT0TEZdX1PQv7PQT4BO1rlJ6RmXesuPB9kJm30hFsImI3cGs//e7j2j6guj2Q/t8WQdIq8/8+pCGLiP2Bi4FLM/NC4LeBQ4DXd4x5YEQcFRFHARPAwdXXxVeHjaKIeD7ti5JPzsxp4OXA+dXbEBARDwc+SfsIyQuAH4uIh1W3xe89NNJ6XNuXRMQvRsRjIuLREXFydf9HMvO2YdQt6f48siQN35/Sfk+dF0L7aEZEPAe4PCI+kZkfBQ4Frup4zDHArwE3AZsHW+6+i4hH03412BkdrwZ7I+3reN4XEU+jfb3N46r7Fh/B2UL7tN9a0cva7kc7HG0Gfkh7Td8GvGUoFUv6EZGZw65B0iqIiLOB52Xmo1ewj2ngXzPz1NWqqy4RkcDzM/O9+/j4Fu1Xnf1EZn5rNWtbbU1bW2nUeBpOGi+PiojZiPiTfh4UEduqV7Q9taa66vKuqt8D+nlQRHwd+Fg9JdWmaWsrjQyPLEljIiIOpv3mhAC3ZeYP+njswqu0oP0RIt9f7fpWW3VKb8EN2ccfs4j498C66ssbF32Eyshp2tpKo8awJEmSVOBpOEmSpILaXg13yCGH5ObNm+vaPQB79uzhgAP6ulRhrDS5f3tvZu/Q7P6b3Ds0u397r7/3nTt33pKZD1nqvtrC0ubNm9mxY0dduwdgenqaVqtV6xyjrMn923tr2GUMTZP7b3Lv0Oz+7b1V+zwRcVO3+zwNJ0mSVGBYkiRJKjAsSZIkFRiWJEmSCgxLkiRJBcuGpert9Ttv8xHxF4MoTpIWXHrVLp7yuss55eN7eMrrLufSq3YNuyRJDbHsWwdk5sTCvyNiAvgu8ME6i5KkTpdetYuXXXI1c/e0P5Vk18wcL7vkagBOPHrTMEuT1AD9noZ7NnAz8KkaapGkJb3hsuvuC0oL5u6Z5w2XXTekiiQ1SV+fDRcRlwOfzMyzu9y/DdgGMDk5ecz27dtXo8auZmdnmZiYWH7gmGpy//berN5P+fiervdd+J+a867GTVz7Tk3u397r733Lli07M3Nqqft6DksRcRjwNeDRmXnjcuOnpqbSd/CuV5P7t/fWsMsYqKe87nJ2zcz9yPZNGzfwmZf+/BAqGo4mrn2nJvdv763a54mIrmGpn9Nwzwc+3UtQkqTVdMbWI9iw37r7bduw3zrO2HrEkCqS1CT9hKXfAi6qqxBJ6ubEozdxzrN+mgeua//J2rRxA+c866e9uFvSQPT0QboRcSywCV8FJ2lITjx6Exd/7hvMzMxw2ZnNOfUmafh6PbL0AuCSzNxdZzGSJEmjpqcjS5l5Wt2FSJIkjSI/7kSSJKnAsCRJklRgWJIkSSowLEmSJBUYliRJkgoMS5IkSQWGJUmSpALDkiRJUoFhSZIkqcCwJEmSVGBYkiRJKjAsSZIkFRiWJEmSCgxLkiRJBYYlSZKkAsOSJElSgWFJkiSpwLAkSZJU0HNYioiTI+KrEbEnIm6IiKfWWZgkSdIoWN/LoIh4BnAucBLwOeDhdRYlSZI0KnoKS8CrgFdn5merr3fVVI8kSdJIicwsD4hYB8wBfwScCuwPXAqckZlzi8ZuA7YBTE5OHrN9+/Y6ar7P7OwsExMTtc4xyprcv703s/dzrpxjfn6es45tZv9NXntodv/2Xn/vW7Zs2ZmZU0vd10tYOpT2kaSdwC8D9wB/C0xn5su7PW5qaip37Nixz0X3Ynp6mlarVesco6zJ/dt7a9hlDMVJ513BzMwMl515wrBLGYomrz00u397b9U+T0R0DUu9XOC9cPToLzLzO5l5C/Bm4JmrVaAkSdKoWjYsZeZtwLeAzkNQ5cNRkiRJY6LXtw74K+B/RMRDI+LHgd8D/r6+siRJkkZDr6+G+2PgEOB6YC/wAeBP6ipKkiRpVPQUljLzHuDF1U2SJKkx/LgTSZKkAsOSJElSgWFJkiSpwLAkSZJUYFiSJEkqMCxJkiQVGJYkSZIKDEuSJEkFhiVJkqQCw5IkSVKBYUmSJKnAsCRJklRgWJIkSSowLEmSJBUYliRJkgoMS5IkSQWGJUmSpALDkiRJUoFhSZIkqaCnsBQR0xGxNyJmq9t1dRcmSZI0Cvo5snR6Zk5UtyNqq0iSJGmEeBpOkiSpIDJz+UER08DjgQCuA16emdNLjNsGbAOYnJw8Zvv27atZ64+YnZ1lYmKi1jlGWZP7t/dm9n7OlXPMz89z1rHN7L/Jaw/N7t/e6+99y5YtOzNzaqn7eg1LTwa+AtwNnAy8FTgqM2/o9pipqancsWPHvlXco+npaVqtVq1zjLIm92/vrWGXMRQnnXcFMzMzXHbmCcMuZSiavPbQ7P7tvVX7PBHRNSz1dBouM6/MzN2ZeVdmXgR8BnjmahYpSZI0ivb1mqWkfUpOkiRprC0bliJiY0RsjYj9I2J9RDwXeBrw8frLkyRJGq71PYzZD3gN8FhgHrgWODEzr6+zMEmSpFGwbFjKzO8DTxpALZIkSSPH91mSJEkqMCxJkiQVGJYkSZIKDEuSJEkFhiVJkqQCw5IkSVKBYUmSJKnAsCRJklRgWJIkSSowLEmSJBUYliRJkgoMS5IkSQWGJUmSpALDkiRJUoFhSZIkqcCwJEmSVGBYkiRJKjAsSZIkFfQVliLiMRGxNyLeW1dBkiRJo6TfI0tvAz5fRyGSJEmjqOewFBEnAzPAP9ZXjiRJ0miJzFx+UMSBwA7g54FTgUdn5vOWGLcN2AYwOTl5zPbt21e32kVmZ2eZmJiodY5R1uT+7b2ZvZ9z5Rzz8/OcdWwz+2/y2kOz+7f3+nvfsmXLzsycWuq+9T3u44+BCzLzWxHRdVBmng+cDzA1NZWtVqvPUvszPT1N3XOMsib3b++tYZcxFO+47gpmZmYa23+T1x6a3b+9t4Zaw7JhKSKOAo4Hjq6/HEmSpNHSy5GlFrAZ+EZ1VGkCWBcRP5WZP1NfaZIkScPXS1g6H+i8+OgPaIenF9VRkCRJ0ihZNixl5p3AnQtfR8QssDczv19nYZIkSaOg1wu875OZZ9dQhyRJ0kjy404kSZIKDEuSJEkFhiVJkqQCw5IkSVKBYUmSJKnAsCRJklRgWJIkSSowLEmSJBUYliRJkgoMS5IkSQWGJUmSpALDkiRJUoFhSZIkqcCwJEmSVGBYkiRJKjAsSZIkFRiWJEmSCgxLkiRJBT2FpYh4b0R8JyLuiIjrI+LUuguTJEkaBb0eWToH2JyZBwK/ArwmIo6pryxJkqTR0FNYysxrMvOuhS+r20/WVpUkSdKIiMzsbWDE24FTgA3AVcDTMnN20ZhtwDaAycnJY7Zv376qxS42OzvLxMRErXOMsib3b+/N7P2cK+eYn5/nrGOb2X+T1x6a3b+919/7li1bdmbm1FL39RyWACJiHfAfgRZwbmbe023s1NRU7tixo89S+zM9PU2r1ap1jlHW5P7tvTXsMobipPOuYGZmhsvOPGHYpQxFk9cemt2/vbdqnyciuoalvl4Nl5nzmflp4BHAi1ajOEmSpFG2r28dsB6vWZIkSQ2wbFiKiIdGxMkRMRER6yJiK/CbwD/WX54kSdJwre9hTNI+5fZO2uHqJuB3M/MjdRYmSZI0CpYNS5n5feC4AdQiSZI0cvy4E0mSpALDkiRJUoFhSZIkqcCwJEmSVGBYkiRJKjAsSZIkFRiWJEmSCgxLkiRJBYYlSZKkAsOSJElSgWFJkiSpwLAkSZJUYFiSJEkqMCxJkiQVGJYkSZIKDEuSJEkFhiVJkqQCw5IkSVLBsmEpIh4UERdExE0RsTsivhARJwyiOEmSpGHr5cjSeuCbwHHAQcBZwAciYnN9ZUmSJI2G9csNyMw9wNkdm/4+Im4EjgG+Xk9ZkiRJoyEys78HREwCNwFHZea1i+7bBmwDmJycPGb79u2rVeeSZmdnmZiYqHWOUdbk/u29mb2fc+Uc8/PznHVsM/tv8tpDs/u39/p737Jly87MnFrqvr7CUkTsB3wMuCEzTyuNnZqayh07dvRVaL+mp6dptVq1zjHKmty/vbeGXcZQnHTeFczMzHDZmc28bLLJaw/N7t/eW7XPExFdw1LPr4aLiAcA7wHuBk5fpdokSZJG2rLXLAFERAAXAJPAMzPznlqrkiRJGhE9hSXgHcDjgOMzc67GeiRJkkZKL++zdBhwGnAU8N2ImK1uz629OkmSpCHr5a0DbgJiALVIkiSNHD/uRJIkqcCwJEmSVGBYkiRJKjAsSZIkFRiWJEmSCgxLkiRJBYYlSZKkAsOSJElSgWFJkiSpwLAkSZJUYFiSJEkqMCxJkiQVGJYkSZIKDEuSJEkFhiVJkqQCw5IkSVKBYUmSJKnAsCRJklRgWJIkSSroKSxFxOkRsSMi7oqIC2uuSZIkaWSs73Hct4HXAFuBDfWVI0mSNFp6CkuZeQlAREwBj6i1IkmSpBESmdn74IjXAI/IzFO63L8N2AYwOTl5zPbt21ejxq5mZ2eZmJiodY5R1uT+7b2ZvZ9z5Rzz8/OcdWwz+2/y2kOz+7f3+nvfsmXLzsycWuq+Xk/D9SQzzwfOB5iamspWq7Wau/8R09PT1D3HKGty//beGnYZQ/GO665gZmamsf03ee2h2f3be2uoNfhqOEmSpALDkiRJUkFPp+EiYn01dh2wLiL2B+7NzHvrLE6SJGnYej2ydBYwB7wUeF7177PqKkqSJGlU9PrWAWcDZ9daiSRJ0gjymiVJkqQCw5IkSVKBYUmSJKnAsCRJklRgWJIkSSowLEmSJBUYliRJkgoMS5IkSQWGJUmSpALDkiRJUoFhSZIkqcCwJEmSVGBYkiRJKjAsSZIkFRiWJEmSCgxLkiRJBYYlSZKkAsOSJElSwfpeBkXEwcAFwC8AtwAvy8z31VlYyaVX7eINl13Hrpk5Nn32cs7YegQnHr2ptnm+PTPHoRs31DLPuMwxqHkGsfbj+P1a63MMims/enN0zrPWf+/9+dq3Oep+ru9FT2EJeBtwNzAJHAV8NCK+mJnX1FZZF5detYuXXXI1c/fMA7BrZo6XXXI1wKp+Ewcxz7jMMah5xmWOQc0zLnMMims/enMMap5xmWNQ84zLHP2IzCwPiDgAuA04MjOvr7a9B9iVmS/t9ripqancsWPHatYKwFNedzm7ZuY47Ut/y6Nu33Xf9getX8fRj9y4avNc9Y0Z7rp3/ke2r+Y8K51jZmaGjRvL4wbRx6DmGZc5VjpPL+u+0jl6Najv14KvfOcO7r33Xp7wEwev+r5d+/6she/XOM3RtLXvnONrB23ivCf8KgCbNm7gMy/9+VWZY7GI2JmZU0vd18uRpcOBexeCUuWLwHFLTLQN2AYwOTnJ9PR0/9UuY9fM3JLb77p3npmZmVWbZ6kfhNWeZ6VzzM8vP24QfQxqnnGZY6Xz9LLuK52jV4P6fi04dAPMz2ct+3bt+7MWvl/jNEfT1r7bHLtm5mrJFsvp5cjSU4EPZubDOrb9N+C5mdnq9ri6jywtttppcxDzrHSO6elpWq1WrXP0ai18v0ZljpXO08u6r3SOXg3q+9Wp1/775dr3Zy18v8Zpjqat/TD+tpSOLPXyarhZ4MBF2w4Edq+0sH1xxtYj2LDfuvtt27DfOs7YesSam2dc5hjUPOMyx6DmGZc5BsW1H705BjXPuMwxqHnGZY5+9HIa7npgfUQ8JjP/pdr2RGDgF3fDv13Ydd8V8jVdhd85T11X+4/LHIOaZxBrP67fr7U8x6C49qM3x+J51vLvvT9f+z5Hnc/1vVr2NBxARGwHEjiV9qvh/gE4tvRquLpOw3Wq63D8WtHk/u29NewyhqbJ/Te5d2h2//beqn2elZ6GA3gxsAG4GbgYeNEw3jZAkiRp0Hp6n6XMvBU4seZaJEmSRo4fdyJJklRgWJIkSSowLEmSJBX09Gq4fdpxxPeBm2rZ+b85hPYH+zZVk/u39+Zqcv9N7h2a3b+91++wzHzIUnfUFpYGISJ2dHuZXxM0uX97b2bv0Oz+m9w7NLt/ex9u756GkyRJKjAsSZIkFaz1sHT+sAsYsib3b+/N1eT+m9w7NLt/ex+iNX3NkiRJUt3W+pElSZKkWhmWJEmSCgxLkiRJBSMdliLi9IjYERF3RcSFS9z/9Ii4NiLujIh/iojDCvvaXI25s3rM8bUWv8oiYnbRbT4i/qLL2FOq+zvHtwZc8qqKiOmI2NvRz3WFsRER50bED6rbuRERg6x3tUTEgyLigoi4KSJ2R8QXIuKEwvg1v/YRcXBEfDgi9lR9P6fLuLFZ5wX9rPc4rPVivf6ej+naN+pvfOn5fRSf20c6LAHfBl4D/OXiOyLiEOAS4BXAwcAO4P2FfV0MXAX8O+DlwN9ExJLv1DmKMnNi4QY8DJgDPlh4yBWdj8nM6YEUWq/TO/o5ojBuG3Ai8ETgCcAvA6cNosAarAe+CRwHHAScBXwgIjYXHrPW1/5twN3AJPBc4B0R8fglxo3TOi/od73X+lovpZff87Fb+wb+jV/y+X1Un9tHOixl5iWZeSnwgyXufhZwTWZ+MDP3AmcDT4yIxy4eGBGHAz8DvDIz5zLzQ8DVwLPrq75WzwZuBj417EJG1AuAN2XmtzJzF/Am4JThlrRvMnNPZp6dmV/PzB9m5t8DNwLHDLu2OkTEAbR/vl+RmbOZ+WngI8Dzlxg+Nuu8oGnrvQJjt/aLjP3f+MLz+0g+t490WFrG44EvLnyRmXuAG6rtS439Wmbu7tj2xS5j14IXAO/O8vs+HB0Rt0TE9RHxiohYP6jianRO1dNnljnkfL+fDdb2Wt9PREwChwPXFIat5bU/HLg3M6/v2NZt/cZ2nRf0sN5rea276eX3fNzXvql/42FEn9vXcliaAG5ftO124MErHDvSqnO3xwEXFYZ9EjgSeCjthP2bwBn1V1erM4FHAZtov0HZ30XET3YZu3i9bwcmxuCahv2AvwYuysxruwxb62s/AdyxaFuvv9djsc4Leljvtb7WS+n193xs177Bf+MXjORz+9DCUnUhX3a5fbqHXcwCBy7adiCwe4VjB67P78XzgU9n5o3d9peZX8vMG6vD+FcDrwZ+vc4eVqKX/jPzyszcnZl3ZeZFwGeAZ3bZ5eL1PhCYXeb/0oai17WPiAcA76F9Lc/p3fa31tZ+CSv5vR7Zde5XL+s9Bmv9I/r4PR/btWcM/8b3aSSf24cWljKzlZnR5fZzPeziGtoX9wH3Xevwkyx9uPoa4FER0Zk2n9hl7MD1+b34Lcr/x7HkFMDI/h/XPv4slHq6388GI7TWi/XSe/V/yxfQvuD52Zl5Tz9TMMJrv4TrgfUR8ZiObd3Wb82scz9WsN5rba170a2nsVz7ytj9je/TSD63j/RpuIhYHxH7A+uAdRGxf8d52Q8DR0bEs6sxfwR8aanD1dX1D18AXlnt49dov4LiQ4PpZHVExLG0D0+XXiFBRJxQXetAdVHcK4C/rb/CekTExojYurD+EfFc4GnAx7s85N3A70fEpog4FHgJcOGAyq3DO4DHAb+cmXOlgWt97avrEy4BXh0RB0TEU4BfpX2UZbFxW+cFPa33Wl/rxfr8PR/LtW/S3/jC8/toPrdn5sjeaF8Fn4tuZ3fcfzxwLe2XWE4Dmzvueyfwzo6vN1dj5oDrgOOH3d8+fD/OA96zxPZH0j4c+cjq6zcC3wP2AF+jfYh2v2HXv4K+HwJ8nvah1Rngs8AzOu5/Ku1D8AtfB/B64Nbq9nqqz0FcazfgsOrnfm+1xgu3547r2tN+ufClVQ/fAJ4z7uvcy3qP41ov6r3r73kT1r7qqzF/4yk8vzOCz+1+kK4kSVLBSJ+GkyRJGjbDkiRJUoFhSZIkqcCwJEmSVGBYkiRJKjAsSZIkFRiWJHUVEbMR8agBzbU1Ii4dxFy9qj6O5tQV7uNNEfGi1apJ0uCNy6cUS+pTRDwS+ErHpgOAO2m/ORzACZk5McCS/oTC596tYW8EPhcRF2Tm3cMuRlL/PLIkNVRmfiMzJxZu1eYndmz71KBqiYgnAQdl5mcHNeegZOZ3aL8b8a8MuxZJ+8awJKmriMiIeHT17wsj4u0R8bHq9NxnIuJhEfGWiLgtIq6NiKM7HntoRHwoIr4fETdGxO8UpjoB+L8dj42I+NOIuDki7oiIqyPiyOq+X4yIq6rt34yIszset7mq+b9U990WES+MiCdFxJciYiYi3tox/pSqj7dGxO1VD08vfD9+OyK+Wu33sog4bLl6K9PAL/b6fZc0WgxLkvrxG8BZwCHAXcAVwD9XX/8N8GaAiHgA8HfAF2l/MOjTgd+NiK1d9vvTtD/XacEv0P4Q1cOBg6p5f1Ddt4f2J7NvpB1AXhQRJy7a35OBxwAnAW8BXk7786YeD/xGRBy3aOwNVQ+vBC6JiIMXFxgRvwr8IfAs2p9j9ing4h7qBfgqHZ+kLmltMSxJ6seHM3NnZu6l/engezPz3Zk5D7wfWDiy9CTgIZn56sy8OzO/Bvwv4OQu+91I+wNUF9wDPBh4LO0PSP1qdTqLzJzOzKsz84eZ+SXageW4Rfv748zcm5mfoB2uLs7MmzNzF+2Qc3TH2JuBt2TmPZn5ftqhbamjQC8EzqlquRd4LXBUdXSpa72V3VWPktYgw5Kkfnyv499zS3y9cO3TYcCh1WmvmYiYoX1UZrLLfm+jHTYAyMzLgbcCbwNujojzI+JAgIh4ckT8U3V673baIeaQfawTYFfe/xPFbwIOXaLGw4A/6+jnViCATaV6Kw8GZrr0LmnEGZYk1eGbwI2ZubHj9uDMfGaX8V+ifQrrPpn555l5DPBT1X1nVHe9D/gI8BOZeRDwTtqhZV9tiojOxz8S+PYS474JnLaopw2Z+f+WqRfgcbRPSUpagwxLkurwOWB3RJwZERsiYl1EHFm96m0p/0DHqbTqguwnR8R+tE+j7QV+WN39YODWzNwbET8LPGeFtT4U+J2I2C8i/jPtYPMPS4x7J/CyiHh8VeNB1fjl6qXq7WMrrFPSkBiWJK266hqmXwKOAm4EbgHeRfvi56XG/zNwe0Q8udp0IO1rnG6jfVrsB8AbqvteDLw6InYDfwR8YIXlXkn7YvBbaL/X069n5g8WD8rMDwPnAtsj4g7gy7RfxVesNyIeTvto00i94aak3sX9T9VL0nBExC8AL87Mxa9sq3POU4BTM/PnapzjTcANmfn2uuaQVC/fwVvSSKheufaJYdex2jLzJcOuQdLKeBpOkiSpwNNwkiRJBR5ZkiRJKjAsSZIkFRiWJEmSCgxLkiRJBYYlSZKkgv8PaAtO8B6atm8AAAAASUVORK5CYII=\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"nKjbUmzR7y8X"},"source":["Plot the discrete counter parts of all the signals given in the Continuous\n","Signals section. Replace x(t) by x[n] and plot them. You can use the\n","discrete impulse train to sample continuous signals in intervals of 1 sec"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000,"output_embedded_package_id":"17PlYL1ZkhyEyt8g_kD_V2NwNQKlZ-Tqq"},"id":"WCfM_i5h9gSG","executionInfo":{"status":"ok","timestamp":1611050076597,"user_tz":-330,"elapsed":26474,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"d0c49310-0fb7-4cda-c810-547db4238ca0"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","import math\n","\n","zeroSamples=10\n","numSamples=10\n","rnge=10\n","n=np.arange(-rnge,rnge+1,1)\n","\n","\n","# UNIT STEP \n","#t=np.linspace(-10,10,zeroSamples+numSamples)\n","x1=[]\n","for i in n:\n"," if(i<0):\n"," x1.append(0)\n"," else:\n"," x1.append(1)\n","\n","\n","#UNIT RAMP\n","x2=[0 for i in range(zeroSamples)]\n","for i in list(np.arange(0,numSamples+1,1)):\n"," x2.append(i)\n","\n","#UNIT PARABOLIC\n","x3=[0 for i in range(zeroSamples)]\n","for i in list(np.arange(0,numSamples+1,1)):\n"," x3.append((i**2)/2)\n","\n","#RECTANGULAR PULSE\n","#t2=np.linspace(-2,2,zeroSamples+numSamples)\n","x4=[0 for i in range(zeroSamples-1)]\n","for i in range(3):\n"," x4.append(1)\n","for i in range(numSamples-1):\n"," x4.append(0) \n","\n","#TRIANGULAR PULSE\n","#t2=np.linspace(-2,2,zeroSamples+numSamples)\n","x5=[0 for i in range(zeroSamples-3)]\n","x5.append(0.25)\n","x5.append(0.5)\n","x5.append(0.75)\n","x5.append(1)\n","x5.append(0.75)\n","x5.append(0.5)\n","x5.append(0.25)\n","for i in range(numSamples-3):\n"," x5.append(0) \n","\n","# SINC FUNTION\n","#t3=np.linspace(-6,6,zeroSamples+numSamples)\n","nForsinc=np.arange(-rnge,rnge+1,0.2)\n","x6=np.sinc(nForsinc)\n","#x6 = np.sin(math.pi * n) / (math.pi * n)\n","\n","\n","#PLOTTING THE BASIC FUNCTIONS\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(2,3,figsize=(20,10))\n","#plt.figure(figsize=(10,10))\n","\n","ax[0,0].stem(n,x1,label='unit step function',use_line_collection=True)\n","ax[0,0].set_xlabel('time(samples)')\n","ax[0,0].legend()\n","ax[0,0].grid()\n","ax[0,1].stem(n,x2,label='unit ramp function',use_line_collection=True)\n","ax[0,1].set_xlabel('time(samples)')\n","ax[0,1].legend()\n","ax[0,1].grid()\n","ax[0,2].stem(n,x3,label='unit parabolic function',use_line_collection=True)\n","ax[0,2].set_xlabel('time(samples)')\n","ax[0,2].legend()\n","ax[0,2].grid()\n","ax[1,0].stem(n,x4,label='rectangular pulse function [-1,1]',use_line_collection=True)\n","ax[1,0].set_xlabel('time(samples)')\n","ax[1,0].legend()\n","ax[1,0].grid()\n","ax[1,1].stem(n,x5,label='triangular pulse function [-1,1]',use_line_collection=True)\n","ax[1,1].set_xlabel('time(samples)')\n","ax[1,1].legend()\n","ax[1,1].grid()\n","ax[1,2].stem(nForsinc,x6,label='sinc function',use_line_collection=True)\n","ax[1,2].set_xlabel('time(samples)')\n","ax[1,2].legend()\n","ax[1,2].grid()\n","\n","fig.suptitle('Basic signals')\n","\n","plt.show()\n","\n","########################################################################\n","'''\n","zeroSamples=800\n","numSamples=1200\n","\n","# UNIT STEP \n","t=np.linspace(-10,10,zeroSamples+numSamples)\n","x1=[0 for i in range(zeroSamples)]\n","for i in range(numSamples):\n"," x1.append(1)\n","\n","\n","#UNIT RAMP\n","x2=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,12,numSamples)):\n"," x2.append(i)\n","\n","\n","#UNIT PARABOLIC\n","x3=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,12,numSamples)):\n"," x3.append((i**2)/2)\n","'''\n","x1=[5*i for i in x1]\n","for i in range(2):\n"," x1.pop()\n"," x1=[0]+x1\n","x2=[5*i for i in x2]\n","for i in range(2):\n"," x2.pop()\n"," x2=[0]+x2\n","x3=[5*i for i in x3]\n","for i in range(2):\n"," x3.pop()\n"," x3=[0]+x3\n","\n","#PLOTTING THE BASIC FUNCTIONS\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,3,figsize=(30,8))\n","#plt.figure(figsize=(10,10))\n","\n","\n","\n","\n","ax[0].stem(n,x1,label='step function',use_line_collection=True)\n","ax[0].set_xlabel('samples')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].stem(n,x2,label='ramp function',use_line_collection=True)\n","ax[1].set_xlabel('samples')\n","ax[1].legend()\n","ax[1].grid()\n","ax[2].stem(n,x3,label='parabolic function',use_line_collection=True)\n","ax[2].set_xlabel('samples')\n","ax[2].legend()\n","ax[2].grid()\n","\n","fig.suptitle('Amplitude-scaled (by 5) and time-shifted (by 2) Basic signals')\n","plt.show()\n","\n","#########################################################################################\n","'''\n","zeroSamples=1000\n","numSamples=1000\n","\n","# TIME SCALED BY 0.5\n","\n","# UNIT STEP \n","t=np.linspace(-10,10,zeroSamples+numSamples)\n","x1=[0 for i in range(zeroSamples)]\n","for i in range(numSamples):\n"," x1.append(1)\n","\n","\n","#UNIT RAMP\n","x2=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,5,numSamples)):\n"," x2.append(i)\n","\n","\n","#UNIT PARABOLIC\n","x3=[0 for i in range(zeroSamples)]\n","for i in list(np.linspace(0,5,numSamples)):\n"," x3.append((i**2)/2)\n","'''\n","# UPSAMPLING BY 2\n","# UNIT STEP \n","#t=np.linspace(-10,10,zeroSamples+numSamples)\n","x1=[]\n","for i in n:\n"," if(i<0):\n"," x1.append(0)\n"," else:\n"," if(i%2==0):\n"," x1.append(1)\n"," else: \n"," x1.append(0)\n","\n","\n","#UNIT RAMP\n","x2=[0 for i in range(zeroSamples)]\n","for i in list(np.arange(0,numSamples+1,1)):\n"," if(i%2==0):\n"," x2.append(i/2)\n"," else: \n"," x2.append(0)\n","\n","#UNIT PARABOLIC\n","x3=[0 for i in range(zeroSamples)]\n","for i in list(np.arange(0,numSamples+1,1)):\n"," if(i%2==0):\n"," x3.append(((i/2)**2)/2)\n"," else:\n"," x3.append(0)\n","\n","\n","#PLOTTING THE BASIC FUNCTIONS\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,3,figsize=(30,8))\n","#plt.figure(figsize=(10,10))\n","\n","ax[0].stem(n,x1,label='step function',use_line_collection=True)\n","ax[0].set_xlabel('samples')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].stem(n,x2,label='ramp function',use_line_collection=True)\n","ax[1].set_xlabel('samples')\n","ax[1].legend()\n","ax[1].grid()\n","ax[2].stem(n,x3,label='parabolic function',use_line_collection=True)\n","ax[2].set_xlabel('samples')\n","ax[2].legend()\n","ax[2].grid()\n","\n","fig.suptitle('Timescaled (by 0.5) Basic signals')\n","\n","plt.show()\n","\n","\n","# TIME SCALED BY 2\n","\n","# UNIT STEP \n","#t=np.linspace(-10,10,zeroSamples+numSamples)\n","x1=[0 for i in range(zeroSamples)]\n","for i in range(11):\n"," x1.append(1)\n","\n","\n","#UNIT RAMP\n","x2=[0 for i in range(zeroSamples)]\n","for i in range(11):\n"," x2.append(2*i)\n","\n","\n","#UNIT PARABOLIC\n","x3=[0 for i in range(zeroSamples)]\n","for i in range(11):\n"," x3.append(((2*i)**2)/2)\n","\n","\n","#PLOTTING THE BASIC FUNCTIONS\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,3,figsize=(30,8))\n","\n","\n","ax[0].stem(n,x1,label='step function',use_line_collection=True)\n","ax[0].set_xlabel('samples')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].stem(n,x2,label='ramp function',use_line_collection=True)\n","ax[1].set_xlabel('samples')\n","ax[1].legend()\n","ax[1].grid()\n","ax[2].stem(n,x3,label='parabolic function',use_line_collection=True)\n","ax[2].set_xlabel('samples')\n","ax[2].legend()\n","ax[2].grid()\n","\n","fig.suptitle('Timescaled (by 2) Basic signals')\n","\n","plt.show() \n","\n","########################################################################\n","\n","A= [-5,5]\n","B= [ -5, -2, -0.5, -0.25, 0, 1, 2]\n","rnge=10\n","t=np.arange(-rnge,rnge,1)\n","plt.rcParams.update({'font.size': 15})\n","\n","for a in A: \n"," for b in B: \n"," x=a*np.exp(b*t)\n"," plt.figure(figsize=(10,4))\n"," plt.stem(t,x,use_line_collection=True)\n"," plt.xlabel('time (samples)')\n"," plt.ylabel('signal value')\n"," plt.title(f'DiscreteExponential signal : A={a}, B={b}')\n"," plt.grid()\n"," plt.show()\n","\n"," ########################################################################\n","\n","rnge=10\n","t=np.arange(-rnge,rnge,1)\n","\n","# 5 sin (2 pi t)\n","x1=[0]*20\n","plt.figure(figsize=(10,6))\n","plt.stem(t,x1,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 5sin(2 $\\pi$ n)')\n","plt.grid()\n","plt.show()\n","\n","# 2 sin (2/3 pi t)\n","x2=2*np.sin((2/3)*np.pi*t)\n","plt.figure(figsize=(10,6))\n","plt.stem(t,x2,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 2sin(2/3 $\\pi$ n)')\n","plt.grid()\n","plt.show()\n","\n","# 4 cos (1/3 pi t)\n","x3=4*np.cos((1/3)*np.pi*t)\n","plt.figure(figsize=(10,6))\n","plt.stem(t,x3,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.ylabel('signal value')\n","plt.title(f'Cosinusoidal signal : 4cos($\\pi$ /3 n)')\n","plt.grid()\n","plt.show()\n","\n","# 3 cos (2 pi t + pi/3)\n","x4=3*np.cos((2*np.pi*t) + (np.pi/3))\n","plt.figure(figsize=(10,6))\n","plt.stem(t,x4,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.ylabel('signal value')\n","plt.title(f'Cosinusoidal signal : 3cos(2$\\pi$n + $\\pi$/3)')\n","plt.grid()\n","plt.show()\n","\n","# x1 + x2 \n","x5=x1+x2\n","plt.figure(figsize=(10,6))\n","plt.stem(t,x5,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 5sin(2 $\\pi$ n) + 2sin(2/3 $\\pi$ n)')\n","plt.grid()\n","plt.show()\n","\n","# x3 + x4\n","x6=x3+x4\n","plt.figure(figsize=(10,6))\n","plt.stem(t,x6,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 4cos($\\pi$ /3 n) + 3cos(2$\\pi$n + $\\pi$/3)')\n","plt.grid()\n","plt.show()\n","\n","# x1 + x3\n","x7=x1+x3\n","plt.figure(figsize=(10,6))\n","plt.stem(t,x7,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 5sin(2 $\\pi$ n) + 4cos($\\pi$ /3 n)')\n","plt.grid()\n","plt.show()\n","\n","# x1(-t)\n","x8=[0]*20\n","plt.figure(figsize=(10,6))\n","plt.stem(t,x8,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 5sin(- 2$\\pi$ n)')\n","plt.grid()\n","plt.show()\n","\n","# x3(-t)\n","x9=4*np.cos(-(1/3)*np.pi*t)\n","plt.figure(figsize=(10,6))\n","plt.stem(t,x9,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal signal : 4cos(-$\\pi$ /3 n)')\n","plt.grid()\n","plt.show()\n","\n","################################################################################\n","\n","pie=np.pi\n","A= [0.1, 0.5, 1, 2]\n","B= [ -0.25, -0.5, -1, 0.5, 1 ]\n","omega = [2*pie, pie/6 , 5*pie/3]\n","\n","rnge=10\n","t=np.arange(-rnge,rnge,1)\n","plt.rcParams.update({'font.size': 15})\n","\n","for a in A: \n"," for b in B: \n"," for w in omega:\n"," x=a*np.exp(b*t)*np.cos(w*t)\n"," plt.figure(figsize=(10,4))\n"," plt.stem(t,x,use_line_collection=True)\n"," plt.xlabel('time (samples)')\n"," plt.ylabel('signal value')\n"," plt.title(f'Discrete Exponentially varying sinusoidal signal : {a}*exp({b}t)*cos({w}t)')\n"," plt.grid()\n"," plt.show()\n","\n","###################################################################################\n","\n","pie=np.pi\n","params=[(1, 0.14*pie, 0) , (1, 0.14*pie, pie) ,(2, 0.34*pie, 0.5*pie) , (2, 0.75*pie, pie/3)]\n","rnge=10\n","t=np.arange(-rnge,rnge,1)\n","plt.rcParams.update({'font.size': 15})\n","\n","for param in params:\n"," a=param[0]\n"," w=param[1]\n"," theta=param[2]\n"," real=a*np.cos(w*t + theta)\n"," imag=a*np.sin(w*t + theta)\n"," fig,ax=plt.subplots(1,2,figsize=(10,4))\n"," ax[0].stem(t,real,label='real part',use_line_collection=True)\n"," ax[0].set_xlabel('time (samples)')\n"," ax[0].grid()\n"," ax[0].legend()\n"," ax[1].stem(t,imag,label='imaginary part',use_line_collection=True)\n"," ax[1].set_xlabel('time (samples)')\n"," ax[1].grid()\n"," ax[1].legend()\n"," fig.suptitle(f'Discrete Complex Exponential signal : {a}*exp(j{w} t+{theta})')\n"," plt.show()\n","\n","\n"],"execution_count":95,"outputs":[{"output_type":"display_data","data":{"text/plain":"Output hidden; open in https://colab.research.google.com to view."},"metadata":{}}]},{"cell_type":"markdown","metadata":{"id":"Sm02uGtOK73b"},"source":[" IF PLOTS ARE NOT VISIBLE, JUST COPY PASTE THE FOLLOWING URL IN A BROWSER. THE PLOTS WILL BE VISIBLE AS A CELL OUTPUT IN A COLAB NOTEBOOK.\n","\n","\n","https://colab.research.google.com/drive/1D0KJTBNaU_FWfd-9DWhw0f5j69YtAPmp#scrollTo=WCfM_i5h9gSG&line=1&uniqifier=1"]},{"cell_type":"markdown","metadata":{"id":"MB0H88atQCHG"},"source":["The impulse function, unit step function, ramp function and parabolic\n","function in continuous time are related by the differentiation operation.\n","If we differentiate unit step we get impulse and so on. Is there any re-\n","lation between the discrete counterparts? If yes how will you implement\n","ramp function from parabolic function, unit step from ramp and impulse\n","function from unit step in the discrete time domain."]},{"cell_type":"code","metadata":{"id":"EIf2GaXKc_f0","colab":{"base_uri":"https://localhost:8080/","height":441},"executionInfo":{"status":"ok","timestamp":1611050534868,"user_tz":-330,"elapsed":1628,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"060d8d59-f953-4c6a-f675-e18f7646becc"},"source":["\n","import matplotlib.pyplot as plt\n","import numpy as np\n","from matplotlib.ticker import MaxNLocator\n","import math\n","\n","zeroSamples=10\n","numSamples=10\n","rnge=10\n","n=np.arange(-rnge,rnge+1,1)\n","\n","\n","# UNIT STEP \n","#t=np.linspace(-10,10,zeroSamples+numSamples)\n","x1=[]\n","for i in n:\n"," if(i<0):\n"," x1.append(0)\n"," else:\n"," x1.append(1)\n","\n","\n","#UNIT RAMP\n","x2=[0 for i in range(zeroSamples)]\n","for i in list(np.arange(0,numSamples+1,1)):\n"," x2.append(i)\n","\n","#UNIT PARABOLIC\n","x3=[0 for i in range(zeroSamples)]\n","for i in list(np.arange(0,numSamples+1,1)):\n"," x3.append((i**2)/2)\n","\n","\n","#RAMP FROM PARABOLIC\n","rampFromPara = np.array(list(np.diff(x3)))\n","for i in range(np.shape(rampFromPara)[0]):\n"," if(rampFromPara[i]>0):\n"," rampFromPara[i]=rampFromPara[i]-0.5\n","\n","#UNIT STEP FROM RAMP\n","unitFromRamp = list(np.diff(x2))\n","\n","\n","#IMPULSE FROM UNIT\n","impulseFromUnit = list(np.diff(x1))\n","impulseFromUnit.pop()\n","impulseFromUnit=[0]+impulseFromUnit\n","\n","n=n[:-1]\n","plt.rcParams.update({'font.size':14})\n","fig,ax=plt.subplots(1,3,figsize=(30,8))\n","ax[0].xaxis.set_major_locator(MaxNLocator(integer=True))\n","ax[0].stem(n,rampFromPara,use_line_collection=True)\n","ax[0].set_title('Ramp from Parabolic function')\n","ax[0].set_xlabel('Samples')\n","ax[0].grid()\n","ax[1].xaxis.set_major_locator(MaxNLocator(integer=True))\n","ax[1].stem(n,unitFromRamp,use_line_collection=True)\n","ax[1].set_title('Unit step from Ramp function')\n","ax[1].set_xlabel('Samples')\n","ax[1].grid()\n","ax[2].xaxis.set_major_locator(MaxNLocator(integer=True))\n","ax[2].stem(n,impulseFromUnit,use_line_collection=True)\n","ax[2].set_title('Impulse from Unit Step function')\n","ax[2].set_xlabel('Samples')\n","ax[2].grid()\n","\n","plt.suptitle('Differentiation in discrete domain')\n","plt.show()\n","\n"],"execution_count":97,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"6yX4eY3cFSk9"},"source":["**In contrast to differentiation in continuous domain, we have difference in discrete domain**. We take the differences of adjacent samples and do some required adjustments. For eg, we subtract 0.5 from the signal obtained by taing consecutive differences of the parabolic function to obtain the ramp function."]},{"cell_type":"markdown","metadata":{"id":"C8PhFXh0l0jh"},"source":["Plot an alternating discrete periodic square wave using impulse train."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":198},"id":"jDw1aP0lVFkd","executionInfo":{"status":"ok","timestamp":1610992215216,"user_tz":-330,"elapsed":964,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"f80a8762-34fc-44d1-d418-53034d6e2a66"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","\n","rnge=30\n","n=np.arange(-rnge,rnge+1,1)\n","sqr=[]\n","\n","for i in n:\n"," if i%10<5:\n"," sqr.append(1)\n"," else:\n"," sqr.append(-1)\n","\n","plt.figure(figsize=(10,2))\n","plt.stem(n,sqr,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title(' Alternating discrete periodic square wave using impulse train')\n","plt.show()\n"],"execution_count":null,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"4H-VYzm3oLR5"},"source":["3. **Systems** (Time domain approach)\n","Every discrete LTI system is characterized by its impulse response. The\n","output of a discrete LTI system in terms of the impulse response is given\n","by the equation:\n","y[n] = x[n] ∗ h[n] =\n","∞\n","X\n","x[k]h[n − k]\n","(1)\n","k=−∞\n","This is the convolution sum. Write a function for performing convolution.\n","\n","Use this function to calculate the output for the following system: x[n] =\n","2, 4, 5, 2, 7, h[n] = 8, −5, 4."]},{"cell_type":"code","metadata":{"id":"RYVo9C_Cmpla","colab":{"base_uri":"https://localhost:8080/"},"executionInfo":{"status":"ok","timestamp":1611034553225,"user_tz":-330,"elapsed":879,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"5d917d81-de22-4a46-9343-c1f5fab5feff"},"source":["# Signature of Convolution.py\n","## Function for computing convolution of 2 sequences\n","def convolution(x, h):\n"," x = list(x)\n"," h = list(h) #converting to lists\n"," if(len(x)"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"uinau1FZgNba"},"source":["**Pattern in the input and response of complex exponential signal**\n","\n","The real part and complex part of the input are individually shifted by 3 samples (rightwards) and amplified by 2 to produce the real and imaginary parts of the response respectively."]},{"cell_type":"code","metadata":{"id":"9PW18oMGgbo1"},"source":[""],"execution_count":null,"outputs":[]}]} \ No newline at end of file diff --git a/Assignment2/204102311_SatyakiGhosh/Assignment2.ipynb b/Assignment2/204102311_SatyakiGhosh/Assignment2.ipynb new file mode 100644 index 0000000..ce5d34a --- /dev/null +++ b/Assignment2/204102311_SatyakiGhosh/Assignment2.ipynb @@ -0,0 +1 @@ +{"nbformat":4,"nbformat_minor":0,"metadata":{"colab":{"name":"Assignment2.ipynb","provenance":[],"collapsed_sections":[],"mount_file_id":"1-iMRe1AgmgRPz35gQaXWnmRwg63yFiUr","authorship_tag":"ABX9TyP6PG4Lc6FPGR4pxOmvAMq5"},"kernelspec":{"name":"python3","display_name":"Python 3"}},"cells":[{"cell_type":"markdown","metadata":{"id":"g6XRs_B6SC0G"},"source":["**1. Probability Distributions** In studying signals, we require the help of\n","random variables to model the randomness in them. This randomness is\n","also useful in predicting the nature of noise."]},{"cell_type":"markdown","metadata":{"id":"XKGnljroSSml"},"source":["**• Continuous distributions** Plot the PDF and CDF for the following\n","distributions by taking at least three different sets of parameters for\n","each. Vary the parameters to get at least 3 different realizations of\n","each: Uniform (a,b), Exponential (λ), Gamma (γ), Beta (β), Gaus-\n","sian (μ,σ), Standard Normal (0, 1), Chi-squared (k).\n","\n","Calculate the mean median\n","mode and variance and plot it with the PDF in a single plot for one\n","distribution. Also draw the CDFs separately."]},{"cell_type":"code","metadata":{"id":"GOa61oIJjMOy"},"source":["def integ(fx,x): # function to integrate pdf into cdf using trapezoidal rule\n"," import numpy as np\n"," sum=0\n"," cdf=[]\n"," for ser in range(2000):\n"," #print(ser)\n"," integ=np.trapz(fx[0:ser],dx=1/100)\n"," cdf.append(integ)\n"," return cdf\n","\n","def f_mean(fx,x):\n"," xfx = []\n"," for i in range(len(fx)):\n"," xfx.append(fx[i]*x[i])\n"," return np.trapz(xfx,dx=1/100)\n","\n","def f_median(fx):\n"," area=np.trapz(fx,dx=1/100)\n"," areas=[]\n"," for i in range(len(fx)):\n"," curr_area=np.trapz(fx[0:i],dx=1/100)\n"," areas.append(abs(curr_area-area/2))\n"," for i in range(len(areas)):\n"," if areas[i]==min(areas):\n"," return (i/100)-10\n","\n","def f_mode(fx):\n"," for i in range(len(fx)):\n"," if fx[i]==max(fx):\n"," return (i/100)-10\n","\n","def f_variance(fx,x):\n"," mu=f_mean(fx,x)\n"," var=[]\n"," for i in range(len(fx)):\n"," var.append(((x[i]-mu)**2) * fx[i])\n"," return np.trapz(var,dx=1/100)\n"],"execution_count":null,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"u2JHEicFSFkf","executionInfo":{"status":"ok","timestamp":1611766237661,"user_tz":-330,"elapsed":4299,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"7eaec910-4676-438a-806d-649d0f0353ba"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","import math\n","\n","def plot_uniform(start,end):\n"," stretch=end-start\n"," x=np.linspace(-10,10,2000)\n"," start_index=start*100\n"," end_index=end*100\n"," fx=[0]*(start_index+1000) + [1/(end-start)]*(end_index-start_index)+[0]*(1000-end_index)\n"," plt.figure(figsize=(20,4))\n"," plt.rcParams.update({'font.size':14})\n"," plt.plot(x, fx,label=f'Uniform distribution with a={start} and b={end}, Variance={f_variance(fx,x)}')\n"," #plt.vlines(x=[f_mean(fx,x),f_median(fx)],ymin=min(fx),ymax=max(fx),colors=['g','c'],label=['Mean','Median'])\n"," plt.axvline(x=f_median(fx),ymin=min(fx),ymax=max(fx),color='c',label=f'Median={f_median(fx)}') \n"," plt.axvline(x=f_mean(fx,x),ymin=min(fx),ymax=max(fx),color='g',label=f'Mean={f_mean(fx,x)}') \n"," plt.axvline(x=f_mode(fx),ymin=min(fx),ymax=max(fx),color='r',label=f'Mode={f_mode(fx)}') \n"," #plt.axvline(x=f_variance(fx,x),ymin=min(fx),ymax=max(fx),color='g',label='Variance') \n"," plt.xlabel('X')\n"," plt.ylabel(u'f\\u2093(x)')\n"," plt.grid()\n"," plt.legend(loc='upper left')\n"," plt.show()\n","\n","print('UNIFORM DISTRIBUTIONS:')\n","plot_uniform(0,2)\n","plot_uniform(0,5)\n","plot_uniform(3,5)\n","\n","start=3\n","end=5\n","stretch=end-start\n","x=np.linspace(-10,10,2000)\n","start_index=start*100\n","end_index=end*100\n","fx=[0]*(start_index+1000) + [1/(end-start)]*(end_index-start_index)+[0]*(1000-end_index)\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(x, integ(fx,x),label=f'CDF for Uniform distribution with a={start} and b={end}')\n","plt.xlabel('X')\n","plt.ylabel(u'f\\u2093(x)')\n","plt.grid()\n","plt.legend(loc='upper left')\n","plt.show()"],"execution_count":298,"outputs":[{"output_type":"stream","text":["UNIFORM DISTRIBUTIONS:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABJkAAAEOCAYAAAAqkcZ3AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzde1yO9/8H8NdV6r47KXROJyLxdUiRyggRQpgxNTI5DquZ85DTD2OznJktZ2YYw4xvQyZCRYgQQ7SSHNZJx/v9+6N1fbuqO+UQud/Px+N+rPvzeV/X9bk+n6vbvU+fg0BEYIwxxhhjjDHGGGPsVai97QIwxhhjjDHGGGOMsZqPO5kYY4wxxhhjjDHG2CvjTibGGGOMMcYYY4wx9sq4k4kxxhhjjDHGGGOMvTLuZGKMMcYYY4wxxhhjr6zW2y7Am2JoaEg2NjZvuxivRVZWFnR0dN52MdhbwG2vurjtVRe3vWridldd3Paqi9tedXHbq673pe1jYmLSiMiovLz3tpPJxsYG0dHRb7sYr0V4eDg8PDzedjHYW8Btr7q47VUXt71q4nZXXdz2qovbXnVx26uu96XtBUG4pyyPp8sxxhhjjDHGGGOMsVfGnUyMMcYYY4wxxhhj7JVxJxNjjDHGGGOMMcYYe2XcycQYY4wxxhhjjDHGXhl3MjHGGGOMMcYYY4yxV1btu8sJgvAZgMkAzABcBRBERKeUxHoAOFFOlgMRXX+VcqSnpyM1NRX5+fmvcppqoa+vj/j4+LddDPYWcNurLm571cVtr5pe1O4aGhowNjZG7dq1q7FUjDHGGGNVU62dTIIgDAKwHMBnACL+/e/vgiA0JaLECg5tBuBJifePXqUc6enpePjwISwsLKClpQVBEF7ldG9cRkYG9PT03nYx2FvAba+6uO1VF7e9aqqo3YkIz58/R1JSEgBwRxNjjDHG3lnVPZJpIoBNRLTh3/cTBEHoDmAsgOkVHJdKRGmvqxCpqamwsLCAtrb26zolY4wxxthLISL8k0vIUuRUEKUGub4RLiXcQ9RjzWormyqTaajD380GurJqH/jPGGOM1VjV9q+mIAiaAJwAfFMq678A3F5weLQgCDIA1wAsIKLyptBBEIRRAEYBgImJCcLDw8s9mb6+PgoKCpCRkVH5G3iLCgsLa0xZ2evFba+6uO1VF7e96skrJDzJUQA5FXUy/TuiKTcPK49XNPibvQ70739zUu/CyeTNfl3OzMxU+p2Vvd+47VUXt73qUoW2r84/zRgCUAfwsFT6QwCeSo5JRtEopygAmgCGADgmCELH8tZxIqLvAXwPAM7OzuTh4VHuSePj42vUUHOeOqG6uO1VF7e96uK2Vz3P8wqBzAxY19WGvnbFo5TiM7VxZ7F3NZVMdd1IyYBXyJ9o4tAMHi3M3ui1wsPDoew7K3u/cdurLm571aUKbf9Oj/8lohsAbpRIihQEwQZFC4eXu1g4Y4wxxhhjjDHGGKt+atV4rTQAhQBMSqWbAEipwnnOAWj0ugrFGGOMMfZOeLf3IVEpxXvCkDhxjjHGGGOVUW2dTESUByAGQNdSWV0BnKnCqVqhaBodewXDhg1Dr169xPcKhQKjR49GvXr1IAjCOztPdPz48ZLhhaXv43USBAF79uwBANy9exeCICA6OvqNXOtN3sfLCg8PhyAISEureM19GxsbfPNN6aXWVNuePXteuGtlyedLVcyZMwf/+c9/3nYxGHsHcUfGu4q4aRhjjLEqqc6RTACwDMAwQRBGCILgIAjCcgDmANYBgCAIWwRB2FIcLAhCkCAIfQVBaCQIQjNBEBYB6AtgVTWX+53g4eGB8ePHl0nftGkTdHV1q3Su5cuXY9u2beL7w4cPY+PGjTh48CCSk5Ph5vaitdjfDaXvoyJVrafk5GT07t37ZYtWLmUdN1W5j+ri5uaG5ORk1KtXD8DLPWfV6enTpxgyZAj09fWhr6+PIUOG4NmzZ2+7WNUmPDwcPj4+MDMzg7a2Nlq0aIHQ0NAqnWPZsmWoXbs2srOzy+QVFhbCwsICM2bMeOkyTpo0CSdPnnzp498VL/OszZo1C02aNIGOjg7q1KmDLl264MwZ6d9XRo4ciYYNG8LY2BhGRkbw8fFBfHy8mK9QKNCnTx9YWVlBLpfDzMwMn3zyibitfUnbtm1Dq1atIJfLYWhoiKFDh4p5xZ3mpV9HjhyRnGPHjh1o1aoVtLW1YWpqik8++QQpKf8beLxp06Zyz5NTYvFqGxubcmO8vf+3ptCcOXPK5JuamkrK8ssvv8DLywtGRkYV/iHk/Pnz6Nq1K3R1daGnpwc3NzfJ5+2L2q4ydZOcnAxfX180adIE6urqGDZsWLllSU9Px+effw5zc3PIZDLY2dnh559/LrdutGUaaGlZBwbaMkndsLeHB5UxxhhjL6daO5mIaBeAIAAzAcQCaA+gJxHd+zfE6t9XMU0ASwFcRtEaTO0BeBPRL9VW6PeUvr4+DAwMxPe3bt2CmZkZ3NzcYGpqCk3Nl9seOT8//3UVsVJK38frkJeXBwAwNTWFTCZ7redW5k3cx6vS1NSEqanpC0fkvCt8fX1x4cIFHDlyBEeOHMGFCxcwZMiQt12sanPmzBk0b94ce/bsQVxcHMaOHYtRo0Zhx44dlT7HkCFDkJubi927d5fJ+/3335GcnIyAgIAql02hUKCwsBC6urpip2VN9jLPmr29PVavXo0rV64gIiICtra26N69Ox4+/N9eGM7Ozti0aROioqJw9OhREBE8PT0ln6udO3fGzz//jBs3bmDv3r3466+/0K9fP8m1VqxYgcmTJ2PSpEmIi4vDiRMn4OPjU6ZMR44cQXJysvjq3LmzmHf69GkMGTIE/v7+uHr1Kvbv349r167Bz89Pcg5tbW3JOZKTkyGXy8X8qKgoSd6FCxcgCAIGDhxYpn5Kxl25ckWSn5WVBTc3NyxbtkxpHZ87dw7dunWDh4cHzp49i5iYGEyaNAkaGhpiTGXbrqK6yc3NhaGhIaZNmwYXF5dyy5Kfn4+uXbsiISFBbK9NmzbB1ta23Lr56959/PT7yXLrhr0d/5suxxhjjLEqIaL38uXk5ETKXLt2TWneuyg9PZ2IiDp27Ejjxo0rk79x40bS0dER3/v7+5O3tzeFhISQubk5GRgY0LBhwygrK6tMTPHPKPoeRQDI2tqaiIhycnIoMDCQjI2NSSaTkYuLC506dUo8x4kTJwgA/fbbb9SmTRvS0NCggwcPUseOHWnMmDE0ceJEqlOnDhkaGlJISAjl5OTQZ599Rvr6+mRpaUlbtmyp8L4LCgroyy+/JAMDAzIwMKDAwEAaM2YMdezYsdz7ICI6efIkubi4kI6ODtWuXZvatGlDV65cEcta8hUcHExERNbW1hQcHEyffvop6evr04ABA4iICADt3r2biIju3LlDAGj79u3k7u5OMpmM7O3t6ejRo2Xq49GjR2Ja8XFRUVHizyVf/v7+5d5Hcd0bGRlVWPd//PEHtW3blrS0tMjJyYliYmKU1ufatWvJ3t5efB8WFkYAaNGiRWKan58fBQQElLmfF9Xf/PnzadSoUaSnp0cWFha0ZMmSCtv21q1b1KdPHzIxMSFtbW1ydHSkgwcPVnhMRa5du0YAKCIiQkw7deoUAaDr168rPW7r1q3k7OxMurq6ZGRkRAMGDKAHDx6I+ZWt582bN5OVlRVpaWmRt7c3rVq1ioo+XpUDQCtXrqSePXuSlpYWWVlZ0datW8X84t/7V/HRRx9R//79q3TMgAEDqEOHDmXS+/btS506dSIiom+//ZaaN29O2traZG5uTgEBAfT06VMxtvgz6bfffqNmzZqRuro6XblyhYKDg6lZs2Zi3Pnz56lr165Ur1490tPTI3d3dzpz5ozkugBo/fr1NGDAANLW1iZbW1tJPRERJSUlka+vL9WtW5e0tLSoZcuWdPz4cTH/wIED1Lp1a5LJZGRjY0MzZsyg3NzcKtVLsZd91kr7559/CAAdOXKkTF5x21+6dOmF5/31118JAD1//pyIiJ4+fUra2tr03//+V+kxJT+XlFm6dClZWVlJ0kJDQyX/1pT+t6cyFixYQPr6+pSdnS2mlX4uKvLo0SMCQCdOnCiT5+rqSjNmzFB6bGXarjJ1U5K3t7f4OV7S+vXrydbWttLPWXZuPo2f/BXVLlU3yu6DvXkJD9PJeuoh2n/xwYuDX1F5zzNTDdz2qovbXnW9L20PIJqU9MVU93S5d9bcg1cxaH1ktb7mHrz6xu7n1KlTiIuLwx9//IFdu3Zh3759WL58ebmxy5cvx+zZs1G/fn0kJycjKioKADBlyhTs2rULoaGhuHjxIpo3b47u3bsjOVm6JNbUqVOxYMECXL9+Xfyr7vbt26Gnp4dz585h2rRpCAoKQt++fdG4cWNER0fD398fI0aMKHOukr799lts2LAB69evR2RkJAoLC7F9+3al8QUFBfDx8UH79u1x6dIlnDt3DkFBQVBXV4ebmxtCQkIkf3WfNGmSeOyyZcvQpEkTREdHY+HChUqvMWXKFHz++eeIjY1F165d4ePjU+5UlfJYWlpi7969AICrV68iOTlZaZsU1/3q1asrrPvp06dj8eLFuHDhAurVqwc/Pz+QkgUkPDw8cOPGDXG6S3h4OAwNDSXTTk6ePFnulpovqr/vvvsOzZs3x4ULFzB16lRMmTIFkZGRSusiMzMTPXr0QFhYGC5duoQPP/wQ/fv3x/Xr18WYMWPGQFdXt8JXYmIiACAyMhK6urqSaZ7u7u7Q0dEpMyWppLy8PMydOxeXLl3CoUOHkJaWhsGDB5eJq6iez507h2HDhmHUqFGIjY1F7969MXv2bKXXLCk4OBh9+vRBbGwsRo0ahaFDh0rW/WrWrFmF99+sWbMKz5+eno46depUqizFAgICcOrUKdy6dUtMe/jwIQ4dOiSOYlJTU0NISAiuXr2KHTt24Pz585gwYYLkPDk5OZg/fz7Wr1+Pa9euwdrausy1MjIyMGTIEJw6dQrnz59Hq1at0LNnTzx+/FgSN2/ePPj4+ODSpUsYNGgQhg8fLrZ9VlYWOnbsiLt372L//v24cuWKpP6PHj0KPz8/jB8/HlevXkVoaCj27NkjmfZXHc9aSXl5efj+++9Ru3ZttGrVqtyYrKwsbNy4EVZWVrCxsSk35smTJ9i+fTtcXFzE0UP//e9/UVhYiIcPH6Jp06awsLBAv3798Ndff5U5vn///jA2Noa7u3uZ9cHc3d2RnJyMgwcPgoiQlpaGn376CT179pTEPX/+HNbW1qhfvz569eqFixcvKr1vIsKPP/6ITz75BFpaWpK8v/76C+bm5rC1tcXHH39cbnkrkpqaisjISJiZmaF9+/YwNjbGBx98gGPHjokxVWm7iuqmMvbv3w93d3dMmDABpqamaNq0KebMmaN0tC8RYd+ubRj0sW+ZumFvS80YxcsYY4y9c5T1PtX0V1VHMs05EEcD152p1tecA3EV9A3+z8uMZKpfvz4VFBSIaSNGjKAuXbpIYkqOnFm6dKk4gomIKDMzkzQ0NGjz5s1iWkFBATVo0IC++uorIvrfKI89e/ZIytOxY0dq166d+F6hUJChoSH17t1bTMvLyyMNDQ1xpFB5zMzMaMGCBeL7wsJCatSokdKRTI8fPyYAFB4eXu75lP3V3dramnr16lUmHeWMZCqvPKXrQ9lIJmUxpe+jZN0Xt72yui85CiIiIoIA0P3798u9fyIiU1NT2rFjBxERubu70+LFi0lHR4fy8/MpISFBcnzpslZUfx9//LEkzc7OjubPn6+0HOVxcXGRHPPw4UNKSEio8JWfn09ERP/3f/9Htra2Zc5pa2tLCxcurHQZ4uPjy62Diup58ODB5OnpKTlPQEBApUYyjRgxQpLWpUsX8vPzI6Ki3/u7d+9WeP93795Vev6DBw9SrVq16Ny5c5W+f6Ki59rKyoqmT58upi1ZsoQMDAzE0TKl/f7776SpqUmFhYVEVPSsAKDo6GhJ3ItGrCgUCjI1NZWMVAJA06ZNE9/n5+eTlpaWGPP999+Trq5umd+pYh988AHNmzdPkrZv3z7S0dEhhUJBRNX3rB08eJB0dHRIEAQyNzcvt21Wr15NOjo6BIDs7e0pISGhTMyUKVNIW1ubAFC7du0k975o0SLS0NAge3t7+v333+ncuXPk7e1NVlZW4mjWR48e0TfffEORkZEUFRVFs2bNIjU1tTIjxPbu3Ut6enpUq1YtAkBdu3aVjLI5c+YMbdq0iS5evEh//vknffjhh6SlpUU3b94s9/6PHj1KACg2NlaSfvjwYdq1axddunSJwsLCqGPHjmRiYkJpaWllzqFsJFNkZCQBoLp169KPP/5IFy5coOnTp5O6urp4vcq0XWXrppiykUz29vYkk8no008/pejoaNqzZw+ZmJjQl19+We55fj10uGiU1dkXj6DikUzV41ZqBo9kYm8ct73q4rZXXe9L26OCkUy1qqsz610X3LviEQE1TdOmTaGuri6+Nzc3x7lz5yp9/O3bt5Gfnw93d3cxTV1dHa6urrh27Zok1tnZuczxLVq0EH8WBAHGxsZo3ry5mKahoYE6deogNTW13Ov/888/SE5Ohqurq5impqYGFxcX3L9/v9xj6tati2HDhsHLywtdunRBly5dMGDAAFhZWZUb/6J7KE955SldH6+qKnVfsp7Nzc0BFP1Fv379+uWeu2PHjuIC0VFRUdi7dy/Wrl2LqKgoXL16FQ0bNlR6bEVKlqO4LMraFigapTF37lwcOnQIycnJyM/PR05OjuQ8xsbGMDY2rnJZquLChQuYO3cuYmNj8eTJE3F0UmJioqQeKqrn+Pj4MgvEu7q64scff3zh9Us+T8Xvf/vtN/F9eaN/KuP06dPw9fXFihUr0LZt2yodq6amhk8//RQ//PAD5s+fD3V1dYSGhsLPz08cLXP8+HEsWrQI8fHx+Oeff1BYWIi8vDykpKSI9VOrVi2lo3SKpaamYtasWThx4gQePnyIwsJCPH/+XBw1VKxk/deqVQtGRkbi83Xx4kW0aNEChoaG5V4jJiYG58+fx9dffy2mKRQKPH/+HCkpKTAzM6uWZw0AOnXqhNjYWKSlpWHDhg0YOHCgOPqmmJ+fH1xdXZGRkYFvvvkGH330EU6fPg1tbW0xZvLkyQgICMC9e/cwd+5cfPLJJ/j9998hCAIUCgXy8/OxYsUKdOvWDUDRyFJTU1McPHgQgwYNgqGhIb788kvxfM7OzkhLS8OSJUvwySefAACuXbuGCRMmYNasWfDy8kJycjImT56M0aNHY8uWov05XF1dJc+wm5sbWrVqhZUrV2LFihVl7n/Dhg1o06YNWrZsKUnv0aOH5H27du3QoEEDbN68GRMnTqxU3SoUCgDA6NGjMXz4cACAo6MjTpw4gXXr1mHt2rWVOk9l6qay5TE2NsaGDRugrq4OJycnPH78GF988QWWLl1aZq27TaE/olnL1mjeoqWSM7K3RcngYMYYY4wpwdPlapDatWvjn3/+KZP+7Nkz6OvrS9JKLnQKQPyfj9eh9JdjHR2dMjHlXf9NlqnYxo0bce7cOXTo0AEHDhyAvb09jh49+sLjyruHqlJTK/p1ohLfSF/3Quil675knRbnVVSnHh4eOHHiBM6cOQM7OzuYmJiIaeHh4eVOlauMqrbtpEmTsHv3bsyfPx8nT55EbGws2rZtKy66DlRtCpOpqSkePXokqXsiQmpqapldqoplZWXBy8sL2tra2Lp1K6KiosQdpEqWo/T9VaaeX5eXmS4XERGBHj16YN68eRg7duxLXffTTz9FcnIyjh49ijNnzuD69esYMWIEAODevXvw9vaGg4MDdu/ejZiYGHEXu5L1JpPJJB3d5fH390dUVBS+++47nDlzBrGxsahfv36F9Q9U7bNDoVAgODgYsbGx4uvy5ctISEiAkZERgDf/rBXT0dGBnZ0d2rVrhx9//BEaGhr44YcfJDH6+vqws7NDhw4dsGfPHty8eVOcZlvM0NAQjRs3RteuXfHTTz/h6NGjiIiIAACxw6pp06aSc5qbm5fpvCvJxcUFCQkJ4vtFixahbdu2mDx5Mlq0aAEvLy+sWbMGW7duxYMHD8o9h7q6OpydnSXnKZaamopff/0VI0eOrLCOAIjPdnnnUaa8+y5+/6ptV7puKluexo0bS34HHBwckJ2dXWZ30dTUVBw6eAAf+g4tfRr2FvFkOcYYY+zl8EimGsTe3h6HDx8GEUk6Gy5cuAB7e/vXeq2GDRtCU1MTp0+fRsOGDQEUbWEeGRkJX1/f13qt8ujr68PMzAxnz54Vd/UhIpw/f17yV//ytGzZEi1btsTUqVPRo0cPbN68GV5eXtDU1ERhYeErlau88gwYMAAAxP9hTU5OFn+OjY2VHF+8a19F5ShZ98W7Rr2uuvfw8MDYsWOxfft2sUPJw8MD27dvx/Xr17Fo0SKlx76O+isWERGBoUOH4sMPPwRQtH7P7du30bhxYzFm3rx5knWfylM8asbV1RWZmZmIjIwU11uJjIwUd6Qqz/Xr15GWloaFCxeKOz798kvVN650cHDA2bNnJWml3ytz9uxZcdRF8XsHBwfx/eHDhyvsqCzd+fLnn3/C29sbc+fORVBQUKXKUB5ra2t4enrixx9/hIGBAZycnMRRSdHR0cjLy8N3330n/g/0oUOHXuo6ERERWLFihbhl+8OHDytcp608jo6O2Lp1K9LS0sodzdS6dWtcv34ddnZ2Ss/xpp81ZRQKBXJzc5XmFw85riimuLOtOKZ4BOSNGzfE0XiZmZlITk6ucGRcbGys5LM1Ozu7TCdh8XtlHXxEhMuXL5cZqQQAmzZtgkwmK3fNs9JycnJw/fp1dOrU6YWxxWxsbGBubo4bN25I0m/evCmOon3ZtitdN5Xh7u6OHTt2QKFQiH+AuHnzJrS1tcs8p8V108Pnwypdg71Zxd+ziPeXY4wxxqqEO5lqkLFjx2LVqlWYMGECRo4cCblcjsOHD2Pnzp04cODAa72Wjo4Oxo4di6lTp8LQ0BC2trb47rvv8PDhQ3z22Wev9VrKBAYGYtGiRWjcuDGaN2+ONWvWIDk5WemX/Tt37mD9+vXo06cPLCws8Ndff+Hy5cviaA4bGxvk5OQgLCwMjo6O0NbWlkxBqYy1a9dKynPv3j3x/HZ2drC0tMScOXOwePFi3L17FwsWLJAcb21tDUEQ8Ntvv6F3797Q0tKCrq6uJKZk3Wtra6NZs2avre6bNGkCU1NTbNu2DTt37gRQ1Mk0cuRIFBQUVDiS6XXUX7HGjRtj37598PHxgYaGBubOnYucnBxJTFWmMDk4OKB79+4YPXo0vv/+ewBF02Z69eqltAPWysoKMpkMq1atwrhx4xAfH49Zs2ZV+V4+//xzuLm5YdGiRRgwYADCw8Oxb9++Sh37yy+/oE2bNvDw8MCePXtw7NgxybTWqkyXCw8Ph7e3Nz777DP4+vqKC7yrq6uLnZ5VERAQgE8++QSamppYunSpmN6oUSMoFAqEhISgf//+OHv2LEJCQqp8fqDoOdi2bRtcXFyQlZWFKVOmiB2xleXr64vFixfDx8cHixcvhoWFBeLi4qCnp4dOnTph9uzZ6NWrF6ytrTFw4EDUqlULcXFxOH/+PJYsWQLg9T9rSUlJ6NKlCxYtWoR+/fohPT0dS5YsQe/evWFmZoZHjx5h9erVePDggbhd/a1bt7B37154enpCS0sLz549w+LFiyGTydCrVy8ARR0iFy5cQPv27WFgYIDbt29j1qxZsLGxQfv27cU69fHxQWBgINavX486deogODgYxsbG4nk2b94MDQ0NODo6Qk1NDQcPHsTq1aslUwp79+6NkSNHYu3ateJ0uaCgILRu3Vqcgjx37ly0a9cOjRo1Qnp6OlasWIHLly+XmZpGRPjhhx/w8ccfl/m8A4pGNvbu3RtWVlZITU3F/PnzkZWVBX9/fzHmyZMnSExMxLNnz8T6MjAwgKmpKUxNTSEIAiZPnozg4GC0aNECjo6O+Pnnn3H27FmsWrWq0m1XmboB/vcHhPT0dKipqSE2NhaampriSKrif68DAwMxfvx43L17F8HBwfjss88kfyQqrpsBAwdCW6ds3bC3j6fLMcYYY1WkbLGmmv6q6sLf77KSW5mfP3+eunXrRsbGxlS7dm1q27Yt7du3TxJfelFvorIL7r5o4W8iopycHAoMDCRjY2PS1NQkFxcXOnXqlJivbBHr8hYob9asmbjlfTETExNauXKl0vvOz8+noKAg0tfXJ319fRo/fjyNGTNG6cLfKSkp1K9fPzI3NydNTU2ytLSkyZMnU15enhg/ZswYqlevHgEQy2NtbU1Lly4tc32Us/D3tm3byNXVlWQyGTVu3JgOHz4sOeb06dPUsmVLksvl1K5dOzp06FCZ7bDnzZtHpqamJAiCuGBs6fYornsjI6NK131lt94eNGgQCYJAqampYpq1tTU1bNhQElfeNSpbf8oWqS929+5d6tKlC2lra5OFhQUtXbpU6QK6lfXkyRPy8/MjPT090tPTIz8/P3r69GmFx/z000/UoEEDkslk1KZNGzpy5IhkUeHK1nNoaChZWlqSXC6n7t2708qVKyu18PfKlSvJy8uL5HI5WVpa0qZNm8T8kr/3leHv708AyrxK/l4Xl33jxo0vPF9ubi4ZGhqSlpYWPXv2TJK3fPlyMjc3J7lcTp07d6Zdu3YRALpz5w4RKV8kvvTnUGxsLLVt25bkcjk1aNCAtmzZUuazouTvYbHSz9z9+/dp4MCBpK+vT1paWtSqVSvJoopHjx6l9u3bk5aWFunp6ZGTk1OFnz0v8qJnrXQ9Z2VlUd++fcnMzIw0NTXJzMyM+vTpQ2fPnhWPSUxMpO7du5ORkRFpaGhQ/fr1ydfXl+Lj48WYixcvkoeHB9WtW5dkMhnZ2NjQmDFjyiz2n56eTiNGjKA6deqQgYEB9erVi27duiXmb9q0iRwcHEhbW1usj/IWtl6xYgU1bdqUtLS0yNTUlHx9fSXXCgoKIisrK9LU1CQjIyPq1q0bnTlzpsx5jh8/TgCULkI/aNAgMjMzIw0NDTI3NyVEIoAAACAASURBVKf+/fvT1atXJTHFi8mXfpX+d2Xx4sVkaWlJ2tra1KZNGwoLC5Pkv6jtKls3L/pdIypajNzV1ZXkcjnZ2NjQrFmzKDc3t9y6ORlxmi7df0r/ZOfRi9S07zA11Z1HmWQ99RDtjVG+mcbr8r4sAsuqjttedXHbq673pe1RwcLfAr2nf6JxdnamkluBlxQfHy+ZkvKuy8jIgJ6e3tsuBnsLuO1V15to+xMnTqBnz564evUqGjRo8FrPzV4f/r1XPdm5Bbj1KBM29XRQW0ujwtia9h2mprr3OAsdl4bj249a4kOnqm+IURWvsiYiq9m47VUXt73qel/aXhCEGCIqd/csXvibMcZUxOHDhzF16lTuYGKMMcYYY4y9EbwmE2OMqYiSaysxxt4d4phy3tLsnSGgeOFvxhhjjFUFj2RijDHGGHsHcB/Tu6N4ffb3dVkJxhhj7E3hTibGGGOMMcYYY4wx9sq4k4kxxhhjjLFy8DgmxhhjrGq4k4kxxhhjjLESiqfLcS8TY4wxVjXcycQYY4wxxhhjjDHGXhl3MjHGGGOMMVaCIBTvLsdDmRhjjLGq4E4mxhhjjDHGShBny3EfE2OMMVYl3MnEytDV1cWmTZvE94IgYM+ePW+vQIwxxhhjjDHGGHvncSdTDTNs2DAIgoCAgIAyeVOnToUgCOjVq9drvWZycjJ69+79Ws9ZVb/88gu8vLxgZGQEQRAQHh5eqeNOnjwJJycnyOVyNGjQAOvWrSsTs2bNGtja2kIul8PJyQmnTp2S5N++fRv9+vWDkZERateujYEDB+Lhw4eSmAsXLqBr164wMDBAvXr1MGrUKGRmZkpijh07Bjc3N+jp6cHU1BRTp05FQUGBJObnn39Gq1atoK2tDWtrayxfvrxMeVevXg0HBwdoaWnB3t4eW7ZskeTn5+dj3rx5aNiwIeRyOVq2bIkjR45IYjIyMhAUFARra2toaWnBzc0NUVFRkpiHDx9i2LBhMDc3h7a2Nrp3746EhIR3pm6WLl1a5boBgOXLl6NJkybQ0tJC/fr1MW7cuDLlYYyx6lQ8WEaoMIpVp+KFv3kgE2OMMVY13MlUA1laWuLnn39GVlaWmFZQUIAtW7bAysrqtV/P1NQUMpnstZ+3KrKysuDm5oZly5ZV+pg7d+6gZ8+ecHNzw8WLFzF9+nRMmDABe/fuFWN27dqFwMBAzJgxAxcvXoSbmxt69OiBxMRE8brdunUDEeH48eM4ffo08vLy0Lt3bygUCgDA33//DU9PTzRo0ADnzp3DkSNHcPXqVQwbNky8zqVLl9CzZ0907doVFy9exK5du3DgwAFMmzZNjPn999/h6+uLUaNGIS4uDmvWrMHq1auxatUqMWbt2rWYOnUqZs+ejatXr2Lu3LkYN24cDh48KMbMnDkTa9euxYoVK3Dt2jWMGTMG/fr1w8WLF8WYESNG4OjRo9i8eTOuXLmCbt26wdPTE0lJSQAAIkLfvn2RkJCA/fv34+LFi7C2toanp6f43L3tuvnuu++qXDc7duzAlClT8NVXXyE+Ph5btmzB4cOHERgYWOnnijHG2PtP+LfLj6fLMcYYY1VERO/ly8nJiZS5du2a0rx3UXp6uvizv78/eXt7k6OjI4WGhorp+/fvJxsbGxo6dCh5e3uL6aGhoeTg4EAymYwaNWpEy5Yto8LCQjE/ISGBOnbsSDKZjBo3bkwHDx4kHR0d2rhxoxgDgHbv3i2+nzp1KjVu3JjkcjlZW1vT5MmT6fnz52J+cHAwNWvWjHbu3EkNGjQgXV1d8vHxoUePHr1yXTx69IgA0IkTJ14YO2XKFLKzs5OkBQQEULt27cT3bdu2pREjRkhi7OzsaNq0aUREdPToURIEgZ48eSLmP3v2jARBoLCwMCIiWr9+PdWrV48KCgrEmMuXLxMASkhIICKi6dOnU6tWrSTXOXDgAMnlcrF9Bw8eTH379pXELFmyhOrXr08KhYKIiFxdXSkoKEgSM3HiRHJ3dxffm5mZUUhIiCSmf//+5OfnR0RE2dnZpK6uTvv375fEtG7dmr766isiIrpx4wYBoNjYWDG/sLCQjIyMaMOGDe9E3axYsaLKdTNu3Djq0KGDJGb27NnUrFkzeteU/L1nqoXbXvVk5OTTpftPKeN53gtja9p3mJoq+dlzsp56iLafvffGr1WZ7zTs/cRtr7q47VXX+9L2AKJJSV9MrbfZwfUuCUpIQGw1T5lppauLkEaNXurYgIAAhIaG4tNPPwUA8ee//vpLjNmwYQNmz56NlStXwsnJCXFxcRg5ciQ0NDQwfvx4KBQK9OvXD3Xq1EFkZCSys7MRGBiI3NzcCq+to6OD0NBQWFhYiCNlZDIZ5s+fL8bcvXsXu3btwr59+5CVlYWPP/4YX331FdavXw8A2L59O0aPHl3hddavXw8/P7+Xqh8AiIyMRLdu3SRpXl5e2Lx5M/Lz80FEiImJwaRJkyQx3bp1w5kzZwAAubm5EAQBcrlczJfL5VBTU0NERAQ8PT2Rm5sLDQ0NqKurizFaWloAgIiICNjZ2SE3N1dyjuKYnJwcxMTEwMPDQ2nMgwcPcO/ePdjY2CiNOX/+PPLz86GhoaE0JiIiAkDRqLfCwsIKY4qfgZIxampqkMlkiIiIwIgRI2pk3bRv3x5bt27F2bNn0a5dOyQmJuLAgQPo2bMnGGPsreHRMu+c/02X48ZhjDHGqoKny9VQvr6+iI6ORkJCAlJSUnDkyBHJFCQAmD9/PpYsWYIBAwbA1tYWvXv3xrRp07BmzRoAwB9//IFr165h27ZtcHR0hLu7O0JCQsqshVParFmz4O7uDhsbG/Ts2RMzZszAzp07JTEFBQXYtGkTWrRoAVdXV4waNQrHjh0T8/v06YPY2NgKX3369HmlOkpJSYGJiYkkzcTEBAUFBUhLS0NaWhoKCwvLjUlJSQEAtGvXDrq6upg8eTKysrKQlZWFSZMmobCwEMnJyQCAzp07Iy0tDYsXL0ZeXh6ePn0qTvUqjvHy8sK5c+ewbds2FBQUICkpCfPmzSsT8+uvv+K///0vFAoFbt68iZUrV5aJCQ0NRVRUFIgI0dHR+OGHH5Cfn4+0tDQxJiQkBDdu3IBCoUBYWBh++eUX8Rx6enpwdXXFggULkJSUhMLCQmzbtg2RkZFiTJMmTWBlZYUZM2bgyZMnyMvLw9dff40HDx6IMW+7br799tsq183HH3+MhQsXokOHDtDQ0IC1tTWaN2+Or7/+uqqPF2OMvUa8KtO7hneXY4wxxl4Oj2T618uOKHpb6tSpg379+iE0NBQGBgbw8PCQrMf06NEj3L9/H6NHj8bYsWPF9IKCAtC/35ji4+NhYWEhOc7FxQVqahX3Pe7ZswchISG4desWMjMzUVhYiMLCQkmMtbU19PX1xffm5uZITU0V3+vp6UFPT+/lbr4aGRkZYffu3Rg7dizWrFkDNTU1DB48GK1btxbrqVmzZti8eTMmTpyIr776CrVq1cLnn38OExMTMaZbt2745ptvMG7cOAwbNgwymQyzZs3CqVOnxJiRI0fi9u3b8PHxQX5+PmrXro0xY8Zg0aJFYsysWbOQkpICNzc3EBFMTEzg7++PJUuWiDHLly/HyJEj0bRpUwiCgIYNG+LTTz9FaGioeF9bt27F8OHDUb9+fairq6N169YYPHgwYmJiAAAaGhr45ZdfEBAQgHr16kFdXR2enp7o0aOH+Py87boJDAzEnDlzqlQ3J0+exPz587FmzRq4uLjg1q1bCAwMRHBwsNixxRhjjDHGGGPs5fBIphps+PDh2LJlC0JDQzF8+HBJXvHCy+vWrZOMDoqLi8PVq1df+ppnz57Fxx9/DC8vLxw8eBAXL17EggULkJ+fL4nT0NCQvBcEQSwTUDRdTldXt8LX9u3bX7qcQNGC5aV3Onv48CFq1aoFQ0NDGBoaQl1dvdwYU1NT8X23bt1w+/ZtpKamIi0tDVu3bkVSUhIaNGggxvj6+iIlJQV///03Hj9+jDlz5uDRo0eSmIkTJ+LZs2dITExEWloafHx8AECMEQQBX3/9NTIzM3Hv3j2kpKTAyclJEqOlpYXQ0FBkZ2fj7t27SExMhI2NDfT09GBkZASgqPNn//79yMrKwr1793D9+nXo6upKytKwYUOcPHkSmZmZuH//vjilrGSMk5MTYmNj8ezZMyQnJ+PIkSN4/PixJOZt1k3btm2rXDczZ87E4MGDMWLECDRv3hz9+vXDwoULsWTJkheO4GOMsTeOBzK9O3h3OcYYY+yl8EimGqxLly7Q1NREWloa+vbtK8kzMTGBubk5bt++jaFDh5Z7vIODA5KSknD//n1YWloCAM6fPy/pDCrt9OnTsLCwwKxZs8S0e/fuVbnsffr0gYuLS4UxpaexVZWrqyv27dsnSQsLC4Ozs7PYCebk5ISwsDB89NFHkpgPP/ywzPkMDQ0BAMePH0dqamq50/mKyxwaGgq5XI6uXbtK8gVBgLm5OQBg586dsLS0ROvWrSUx6urqsLCwAFA0aszV1VXsJCmmoaGB+vXrAwB++ukn9OrVq8wINLlcDgsLC+Tn52Pv3r0YOHBgmfLq6OhAR0cHT58+xdGjR7FkyZIyMcUj0hISEhAdHS1Ze+tt1s3OnTurXDfZ2dmS9aGKz0k8H4IxxlgJgtjLxP8+MMYYY1XBnUw1mCAIuHz5MogIMpmsTP7cuXMxYcIEGBgYoGfPnsjPz8eFCxeQlJSE6dOnw9PTE02aNMHQoUPx3Xff4fnz5/jiiy9Qq5byx6Jx48ZISkrC9u3b4erqiqNHj5ZZj6kyqjpd7smTJ0hMTMSzZ88AALdu3YKBgQFMTU3FUUfFnWlbtmwBAIwZMwarVq1CUFAQRo8ejdOnT2PTpk2S8k6cOBFDhgxB27Zt4e7ujnXr1uHvv//GmDFjxJiNGzeiSZMmMDY2RmRkJAIDA/HFF1/A3t5ejFm1ahVcXV2hp6eHsLAwTJ48GYsXL4aBgYEYs3TpUnTv3h1qamr45ZdfsHjxYvz8889ip0daWhp2794tLnS9ceNG7N+/HydPnhTPcfPmTZw7dw7t2rXD06dPsWzZMsTFxWHz5s1izLlz55CUlIRWrVohKSkJc+bMgUKhwJQpU8SYo0ePQqFQoEmTJrh16xYmT56MJk2aiAvJA8Du3bthaGgIa2trXLlyBYGBgejbt69kMfW3WTe7d++uct307t0by5Ytg7OzszhdbtasWejVq1eFzz1jjDHGGGOMsUpQtu1cTX85OTkp3W6vpm3/W3I7a39/f/L29lYaWzp/x44d5OjoSDKZjAwMDMjd3Z127twp5t+4cYM6dOhAmpqaZGdnR7/++ivp6OjQxo0bxRgAtHv3bvH9tGnTyNDQkHR0dKhfv360Zs0aKnqUigQHB5fZEn7jxo2ko6PzUvdffDyKRq1LXsHBwWJMx44dqWPHjpLjwsPDydHRkTQ1NcnGxobWrl1b5tyrV68ma2tr0tTUpNatW9PJkycl+VOnTiUTExPS0NCgRo0a0bfffksKhUISM2TIEKpbty5pampSixYtaMuWLWWu06lTJ9LX1ye5XE4uLi50+PBhSf6jR4+oXbt2pKOjQ9ra2tSlSxc6duyYJObatWvUqlUr0tLSotq1a5OPjw9dv369zD07ODiQTCajevXq0ZAhQygpKUkSs2vXLmrQoAFpamqSqakpjRs3jp49eyaJWb58OdWvX580NDTIysqKZs6cSbm5ue9M3Zw9e7bKdZOfn09z5swhOzs7ksvlVL9+fRo7diw9efKkTJneNt7GXnVx26ue9Od5dOn+U8rMyX9hbE37DlNTPcrIIeuph2jzmTtv/Frvy3bWrOq47VUXt73qel/aHkA0KemLEaiahwELgvAZgMkAzABcBRBERKcqcVx7AOEArhPRf14U7+zsTNHR0eXmxcfHw8HBoSrFfqsyMjJqxCLZ7PXjtldd3Paqi9te9WTk5ONOWhYaGulCR1bxqMqa9h2mpnqcmQunBX9gbp9m8HezeaPXCg8Ph4eHxxu9Bns3cdurLm571fW+tL0gCDFE5FxeXrUu/C0IwiAAywEsBOAI4AyA3wVBsHrBcXUAbAFw7I0XkjHGGGOMMcYYY4xVWXXvLjcRwCYi2kBE8UQ0AUAygLEvOO5HAJsBRL7pAjLGGGOMMdUmCEULf1f3iH/GGGOspqu2TiZBEDQBOAH4b6ms/wJwq+C4zwCYAFjw5krHGGOMMcZYkX/3lgN3MTHGGGNVU53bKRkCUAfwsFT6QwCe5R0gCEJzAMEA2hFRYfFflZQRBGEUgFFA0Xbp4eHh5cbp6+sjIyOjKmV/qwoLC2tUednrw22vurjtVRe3verJzi/qysjOzoYir+LvOjk5OUq/37DXJzOvqE1uJdxCeP69N3utzExuUxXFba+6uO1Vlyq0/Tu7Z7cgCDIAuwBMIqI7lTmGiL4H8D1QtPC3sgW14uPja9SiqrwIrOritldd3Paqi9teBeXkA9lZ0NbWfuHC33K5HI6OjtVUMNX1LDsPOB6GhnZ28Ghv+0av9b4sAsuqjttedXHbqy5VaPvq7GRKA1CIoqlvJZkASCkn3gyAA4CNgiBs/DdNDYAgCEIBgJ5EVHrqHWOMMcZYjcJTst49wr8T5rhtGGOMsaqptjWZiCgPQAyArqWyuqJol7nSkgA0B9CqxGsdgFv//lzeMYwxxhhjjDHGGGPsLaju6XLLAGwVBOE8gNMAxgAwR1HnEQRB2AIARDSUiPIBxJU8WBCEVAC5RCRJZ4wxxhirsf4dLlPxakysWv3bGLy7HGOMMVY11drJRES7BEGoB2AmiqbDxaFo2lvxiopW1VkexhhjjLF3BvcyvTNesNcMY4wxxpSo9oW/iWgNgDVK8jxecOwcAHNee6EYY4wxxhhjjDHG2CuptjWZ2OsxbNgwCIKAgICAMnlTp06FIAjo1avXWyhZ5f3555/o06cPLCwsIAgCNm3aVKnjrly5go4dO0JLSwsWFhaYN29emWHse/fuRdOmTSGTydC0aVPs27dPkk9EmDNnDszNzaGlpQUPDw9cvXpVEmNjYwNBECSvadOmSWISExPRu3dv6OjowNDQEJ9//jny8vIkMSdPnoSTkxPkcjkaNGiAdevWSfIXLVqENm3aoHbt2jAyMkLv3r0RFyedCTpmzJgyZWnXrp0kJjc3FxMmTIChoSF0dHTQp08fPHjwQBITGBgIZ2dnyOVy2NjYlKnb8PBw+Pj4wMzMDNra2mjRogVCQ0PLxJQuiyAIuH79epXaAABu3ryJ/v37w8DAANra2mjdujXi4+MBAE+ePMGECRPQpEkTaGlpwdLSEmPHjsXjx4/LnAco2s67ZcuWEAQB0dHR5cYwxhhjVVE8kIlnyzHGGGNVw51MNZClpSV+/vlnZGVliWkFBQXYsmULrKze/RmHmZmZ+M9//oPly5dDS0urUsekp6eja9euMDExQVRUFJYvX46lS5di2bJlYkxkZCQGDRoEPz8/xMbGws/PDx999BHOnTsnxixZsgTffvstVq5ciaioKBgbG6Nr167IyMiQXG/27NlITk4WXzNnzhTzCgsL4e3tjYyMDJw6dQo7d+7Enj178OWXX4oxd+7cQc+ePeHm5oaLFy9i+vTpmDBhAvbu3SvGhIeH47PPPsOZM2dw/Phx1KpVC56ennjy5ImkLJ6enpKyHD58WJIfFBSEvXv3YufOnTh16hTS09PRq1cvFBYWijEKhQL+/v4YOnRoufV75swZNG/eHHv27EFcXBzGjh2LUaNGYceOHWVir169KilPo0aNqtQGd+7cgbu7O2xtbXH8+HHExcVhwYIF0NXVBQD8/fffSEpKwpIlS3DlyhVs27YNf/75JwYPHlxu2SdNmoT69euXm8cYY4y9DIHnyzHGGGMvh4jey5eTkxMpc+3aNaV576L09HTxZ39/f/L29iZHR0cKDQ0V0/fv3082NjY0dOhQ8vb2FtNDQ0PJwcGBZDIZNWrUiJYtW0aFhYVi/rfffkvNmzcnbW1tMjc3p4CAAHr69KmYv3HjRtLR0aE//viDmjVrRtra2uTh4UF//fXXa7k3HR0d2rhx4wvj1qxZQ3p6epSdnS2mzZ8/n8zNzUmhUBAR0cCBA8nT01NyXJcuXejjjz8mIiKFQkGmpqa0YMECMT87O5t0dXVp3bp1Ypq1tTUtXbpUaVkOHz5MgiBQYmKimLZ161aSyWT0zz//EBHRlClTyM7OTnJcQEAAtWvXTul5MzIySE1NjQ4cOCCm+fr6StqztGfPnpGGhgZt27ZNTEtMTCRBEOjIkSNl4pcuXUrW1tZKz1fSRx99RP379xffnzhxggDQo0ePlB7zojYgIho8eDD5+vpWqgzFfvvtNxIEQazfYvv376emTZvStWvXCABFRUVV6bzvspK/90y1cNurnn+y8+jS/aeUlZv/wtia9h2mpsrIySfrqYdo/clbb/xaJ06ceOPXYO8mbnvVxW2vut6XtgcQTUr6Yqp9TaZ3VdCRIMSmxFbrNVuZtkJI95CXOjYgIAChoaH49NNPAUD8+a+//hJjNmzYgNmzZ2PlypVwcnJCXFwcRo4cCQ0NDYwfPx4AoKamhpCQEDRo0AD37t3DhAkTMGHCBGzdulU8T25uLhYtWoTQ0FDI5XL4+/tjzJgxOHr0KADg1KlT6NGjR4XlnTFjBmbMmPFS9woUjZD54IMPJCOfvLy8MGvWLNy9exe2traIjIzEhAkTJMd5eXlh1apVAIpG0KSkpKBbt25ivpaWFjp06IAzZ85g9OjRYvo333yDRYsWwdLSEh999BEmT54MTU1NsSwODg6wtLSUXCc3NxcxMTHo1KkTIiMjJdcpjtm8eTPy8/OhoaFR5h4zMjKgUChQp04dSXpERASMjY1hYGCAjh074v/+7/9gbGwMAIiJiUF+fr7kWpaWlnBwcMCZM2fg5eVVuQouR3p6erkjhJydnZGbm4umTZti5syZ6NSpk5j3ojZQKBQ4ePAgpk2bhu7duyMmJgY2NjaYNGkSBg0aVGFZZDIZtLW1xbQHDx5g7Nix+P333ys9Io4xxhirDJ4uxxhjjL0c7mSqoXx9fTFp0iQkJCRAT08PR44cwcqVKzF79mwxZv78+ViyZAkGDBgAALC1tcW0adOwZs0asZMpKChIjLexscGSJUvg4+ODzZs3Q02taDZlQUEBVq9eDXt7ewBF05OGDx8OIoIgCHB2dkZsbMUddHXr1n2l+01JSSnT4WFiYiLm2draIiUlRUwrGZOSkiLGlTyuZExSUpL4/vPPP4ejoyPq1auH8+fPY9q0abhz5w5++OEH8Tylz2FoaAh1dXXJtTw9Pctcp6CgAGlpaTAzMytzj4GBgWjVqhVcXV3FNE9PTwwaNAi2tra4e/cuZs6cic6dOyMmJgYymQwpKSlQV1eHoaGh0vt+GYcOHcKxY8dw+vRpMc3MzAxr165FmzZtkJeXh61bt6JLly44efIkPvjgA6V1U7IsqampyMzMxMKFCzF//nwsXrwYx48fh5+fH3R1deHt7V2mLM+ePcOsWbMwcuRI1KpV9JFVWFgIPz8/fPnll2jZsiXu3r370vfKGGPvCp6g9e7g2XKMMcbYy+FOpn+97Iiit6VOnTro168fQkNDYWBgAA8PD8l6TI8ePcL9+/cxevRojB07VkwvKCiQLJZ9/PhxLFq0CPHx8fjnn39QWFiIvLw8pKSkwNzcHAAgk8nEDiYAMDc3R15eHp4+fYq6detCS0sLdnZ21XDX1WPixInizy1atEDt2rUxaNAgfP3116hXr94bu2ZERAQiIiKgrq4upg8YMAB6enoAgObNm8PJyQnW1tb47bff0L9//zdSltOnT8PX1xcrVqxA27ZtxXR7e3vJc+Dq6oq7d+9i6dKlYifTiygUCgCAj4+PWM+tWrVCdHQ0Vq1aVaaTKTMzE71794aFhQWWLFkipi9cuBCampqStmKMsZqKB8u8u7htGGOMsarhhb9rsOHDh2PLli0IDQ3F8OHDJXnF/zO/bt06xMbGiq+4uDhxN7V79+7B29sbDg4O2L17N2JiYsQdxUrulFY8eqRY8WKYxdc4deoUdHV1K3wtXLjwle7V1NQUDx8+lKQVvzc1Na0wpmR+yePKiymPi4sLAODWrVtKr5OWlobCwsIXlqVWrVplRh198cUX2LlzJ44fP44GDRooLQdQ1MFXv359JCQkiNcpLCxEWlpale5JmYiICPTo0QPz5s2TdE4q4+LiIpaluDwV1a+hoSFq1aqFpk2bSmIcHByQmJgoScvMzETPnj0BFI2sksvlYt6xY8dw/PhxaGhooFatWmInZ7t27eDn51eFO2aMMcbKEv4dV8bT5RhjjLGq4ZFMNViXLl2gqamJtLQ09O3bV5JnYmICc3Nz3L59W+mOYtHR0cjLy8N3330njp45dOhQlctRHdPlXF1dMXXqVOTk5IidDWFhYTA3N4eNjY0YExYWhsmTJ4vHhYWFwc3NDUDRdEFTU1OEhYWhTZs2AICcnBycOnUKS5cuVXrt4nsrnuLm6uqKBQsW4MGDB+IUvrCwMMhkMjg5OYkx+/btk5wnLCwMzs7OkvWYAgMDsWvXLpw4cQJNmjR5YT2kpaUhKSlJLIuTkxM0NDQQFhYGX19fAEVrFcXHx4v3XVl//vknvL29MXfuXMk0yorExsZKpv69qA00NTXRpk0b3LhxQ3KemzdvwtraWnyfkZGBHj16gIhw5MgRcee5Yhs3bpTsrvj333/Dy8sL27dvh7u7e+VvmjHGGGOMMcbYa8OdTDWYIAi4fPkyiAgymaxM/ty5czFhwgQYGBigZ8+eyM/Px4ULF5CUlITp06ejUaNGUCgUCAkJQf/+/XH27FmEhFR92mBVp8tlZmaKo4IUCgUSExMRGxuLunXrilP+pk+ff0AeUAAAIABJREFUjvPnz+PYsWMAitagmjt3LoYNG4aZM2fi5s2bWLx4MYKDg8WRVYGBgejQoQMWL16Mvn37Yt++fThx4gQiIiLE+goKCsLChQvRpEkTNG7cGAsWLICurq7YQRMZGYmzZ8+iU6dO0NfXR1RUFL744gv06dNHLFu3bt3QrFkzDB06FN9++y0eP36MyZMnY+TIkahduzYAYMyYMVi1ahWCgoIwevRonD59Gps2bcLOnTvFehg3bhy2bt2K/fv3o06dOuK6RcWjvzIzM/HVV19h8ODBMDMzw927dzF9+nQYGxujX79+AAB9fX0EBARgypQpMDY2Rr169TBx4kS0aNFCsibUrVu3kJmZib///ht5eXlix1nTpk2hqamJ8PBweHt747PPPoOvr69YFnV1dRgZGQEAQkJCYGNjg2bNmiEvLw/btm3D/v37sXfvXvE6L2oDAJgyZQoGDhyIDz74AJ07d8aJEyfw008/Yf/+/QCKOpi6deuG9PR07N+/H1lZWWKHUt26daGpqQlbW1vJM1XcCdWwYcNyFytnjDHGqqJ4TSbiCXOMMcZY1Sjbdq6mv5ycnJRut1fTtv8tuZ21v79/hVval87fsWMHOTo6kkwmIwMDA3J3d6edO3eK+cuXLydzc3OSy+XUuXNn2rVrFwGgO3fuEBHRxo0bSUdHR3KNymxlX5Hi40u//P39JfdhbW0tOe7y5cv0wQcfkEwmI1NTU5ozZw4pFApJzO7du8ne3p40NDSoSZMmtHfvXkm+QqGg4OBgMjU1JZlMRh06dKArV66I+TExMeTi4kL6+vokl8vJ3t6egoODKSsrS3Kee/fukbe3N2lpaVHdunVpwoQJlJOTI4kJDw8nR0dH0tTUJBsbG1q7dq0kv7w6AEDBwcFERJSdnU2dO3cmIyMj0tDQICsrK/L396fExETJeXJycmj8+PFUt25d0tLSol69epWJ6dixY7nXKm5nf3//cvNLtsHXX39NdnZ2JJfLqU6dOtS+fXv67bffqLQXtQFR0XPVqFEjksvl1Lx5c9qxY4eYp+z5AKB0y887d+4QAIqKiio3vybibexVF7e96nmWnUuX7j+l7NyCF8bWtO8wNdXzvAKynnqIVh1PeOPXel+2s2ZVx22vurjtVdf70vYAoklJX4xA7+lkc2dnZ4qOji43Lz4+Hg4ODtVcopeXkZEhLv7MVAu3veritldd3Paq55/nebj3OBuNjPWgpaleYWxN+w5TU+XkF6LJrCOY7PX/7d15lF1llbDxZ0MCiSSAiFRACKDSAgISCCgoUDQEWWAjijYKDhEUGQT5bEARmg+xHZCI8GEjYC9lEoEWbQdACWoJkhhIWlqZlIYALZBoGoIpSAiB/f1xbmLlUkNOVd2h6jy/tWql7jnvOXdXdp1b5+77Dm/ghH0bu7hJV1cXnZ2dDX0OtSdzX13mvrpGS+4jYl5mTu1tnxN/S5IktdLo/LxvRFs5XE6SJJVjkUmSJKkdWNhoG39bXc4KoCRJZVhkkiRJkiRJ0pBZZJIkSWqhlX1l7MjUPlatLmdHJkmSSrHIJEmSJPWwsuBnjUmSpHIsMkmSJEmSJGnILDJJkiRJPUSsnPi7xYFIkjTCWGSSJEmSevjbcDmrTJIklWGRSZIkSZIkSUNmkUmrWbRoERFBV1dXq0ORJElqCVeXkyRpcCwyjTDTp08nIjj66KNftu/Tn/40EcE73vGOFkS25jKTs88+m80224zx48fT2dnJvffeO+BxN9xwA9tvvz3rrrsu22+/PT/4wQ+aEK0kSaqaVXMytTgOSZJGGotMI9AWW2zB9ddfz7PPPrtq24oVK7jyyiuZPHlyCyNbM1/5ylf46le/ykUXXcRdd93FJptswrRp01iyZEmfx8yePZvDDz+cI488krvvvpsjjzyS9773vcyZM6eJkUuSJEmSpL5YZBqBdtppJ7bZZhuuv/76VdtuvPFGxo0bR2dn56ptL730Ep///OfZYostWHfdddlxxx354Q9/uNq57rrrLnbddVfGjRvHlClTei3a3HfffRx88MFMnDiRTTbZhPe///0sWLBgULFnJhdccAGf+cxnOOyww9hhhx244oorWLJkCddcc02fx11wwQXsu+++nHHGGWy33XacccYZdHZ2csEFFwwqDkmS2sXK3jLRbyu1hOPlJEkqZUyrA2gbJ58Md9/d3OfceWcYZJHk6KOP5lvf+hYf+chHAFZ9//DDD69qc+GFF3LeeedxySWXMHXqVK6++mre/e53M2/ePHbeeWe6u7s5+OCD2Weffbjiiit4/PHHOfnkk1d7nieffJK9996bo48+mhkzZvDCCy9wxhln8M53vpPZs2ez1lpr8Z3vfIePf/zj/cZ76aWXcuSRRzJ//nwWLFjAAQccsGrf+PHj2XvvvZk1a1af55k9ezYnnnjiatve/va38/Wvf73U/5skSdKaiHC4nCRJZVlkGqGOOOIITjnlFB588EEmTpzIT3/6Uy666CLOOuusVW1mzJjBKaecwhFHHAHAOeecw2233caMGTO4+uqrueaaa1i+fDnf/va3mTBhAjvssANnnHEGH/zgB1ed4xvf+AZvetObOPfcc1dtu/LKK9loo42YO3cuu+++O4cccghvfvOb+423o6MDYFUPqJWPe+5//PHH+zx+wYIFvR4z2B5VkiRJkiRpeFlkWmmEDbt65Stfybve9S6+9a1vseGGG9LZ2bnafEx//etfeeKJJ3jrW9+62nFve9vbuOmmmwC4//772WmnnZgwYcKq/Xvsscdq7efNm8dtt922WpuVHnroIXbffXcmTpzIxIkTh/PHkySpOuwu05YCR8tJklSWRaYR7KijjuLDH/4wEyZM4Jxzzlnj41aumLImXnrpJQ4++GBmzJjxsn0rexaVGS43adIkABYuXLhaUWzhwoWr9vVm0qRJLFy4cLVtAx0jSdKI4qRMbaXM/ZIkSSpYZBrB9ttvP9ZZZx0WLVrEoYceutq+9ddfn80224w77riD/fbbb9X2X//612y//fYAbLfddlx++eU8++yzrLfeegD85je/We08u+yyC9dffz1bbrklY8eO7TWOMsPltt56ayZNmsTMmTPZbbfdAFi2bBm333475513Xp/H77HHHsycOZNTTz111baZM2ey55579vu8kiRJg5V2M5MkqZSmry4XEcdHxPyIWBYR8yJir37a7hMRsyLifyNiaUQ8EBGnNDPedhYR/O53v2P+/Pmsu+66L9t/6qmnMmPGDL773e/yxz/+kbPOOovbb7+dU04p/guPOOIIxowZw1FHHcW9997LzJkz+cIXvrDaOU444QSeeeYZDj/8cObMmcPDDz/MrbfeyjHHHMOSJUsAmDhxIq9//ev7/Vo5nC4iOPnkkzn33HP5/ve/zz333MP06dOZMGHCqrmjoCignX766asef/KTn+QXv/gFX/7yl3nggQf40pe+xC9/+cuXTVQuSdJIYxmjPTlcTpKk8prakykiDgcuBI4Hfl379+aI2D4zH+vlkG7g/wG/B54D3gpcGhHPZebFTQq7rfU3F9JJJ53EkiVLOO2001i4cCFveMMbuOGGG3jTm94EwIQJE/jJT37Ccccdxy677MK2227LueeeyyGHHLLqHCt7Q51++ukceOCBLFu2jMmTJ3PAAQf0WthaE6eddhpLly7lhBNO4Omnn+bNb34zt9xyy2o/y0MPPcQWW2yx6vGee+7Jtddey5lnnslZZ53F6173Oq677roBe1BJkjRSODirvThaTpKk8iKb+BFNRMwBfpeZH+ux7UHge5l5et9HrnaO7wPPZ+b7+2s3derUnDt3bq/77r//frbbbrs1D7zFlixZ4sTaFWXuq8vcV5e5r56nnl3On55+jm0nTWSdMWv323ak3cOMZNuccRMf3eu1fPrAbRv6PF1dXXR2djb0OdSezH11mfvqGi25j4h5mTm1t31N68kUEesAuwL1M0jfAqzRxDoRMaXW9uw+9h8DHAPFHEBdXV29nmeDDTZYNdRrJHjxxRdHVLwaPua+usx9dZn76lm2vPjAr/vZZxm7Vv/dZ5YtW9bn/Y2GV76UPProY3R1LWjo83R3d5vTijL31WXuq6sKuW/mcLmNgbWBhXXbFwL793dgRPwJeDVFvJ/LzEt6a5eZlwGXQdGTqa8K4f333z+iPiX2U+3qMvfVZe6ry9xXzwvPLoelzzFhvfUG7Mk0btw4pkyZ0qTIqm2tW29m8uTJdHbak0mNYe6ry9xXVxVyP1JWl9sLmAC8BTg3IuZn5lUtjkmSJEmjmKvLSZJUTjOLTIuAF4GOuu0dQL/9kDNzfu3b30dEB8VwOYtMkiRpFFhZyHCm6XYS4NJ/kiSVtFaznigzlwPzgGl1u6YBs0qcai1gcMuarR7PUE8hSZLUNN67NJery0mSVF6zh8udD1wVEXcCdwDHApsBlwBExJUAmfmh2uMTgfnAH2rH7w2cAlw8lCDGjh3L0qVLecUrXjGU00iSJDXN0qVLGTt2bKvDqBTLepIkldPUIlNmXhcRrwLOBDYF7gEOysxHa00m1x2yNnAusBWwAngI+Ay1otRgbbLJJjz++OO85jWvYfz48YQfVUmSpBYZqJCRmSxdupTHH3+cjo76WQfUKEHYe0ySpJKaPvF3Zl5MHz2RMrOz7vEFwAXDHcP6668PwBNPPMELL7ww3KcfdsuWLWPcuHGtDkMtYO6ry9xXl7mvnmefX8HTz73AWs+MY+21ev/ga+zYsXR0dKy6h1Hj+RmkJEnllSoyRcS6FMPbxgN/ycy/NCSqJlh//fVHzI1aV1eXyxVXlLmvLnNfXea+eq6Z8xif/dHv+c3p+zFpAwuM7cSOTJIklTPgxN8RMTEijouI24BngP+mGOa2ICIei4hvRsRujQ5UkiRpNMragDl7zrSXwDmZJEkqq98iU0R8CngEOAqYCbwT2Bn4O2AP4GyK3lAzI+KnEbFNI4OVJEkarawxtRfn7JQkqbyBhsu9BdgnM+/pY/+dwLci4jiKQtQ+wIPDGJ8kSdKo5pCs9mVuJEkqp98iU2b+45qcJDOX0cdk3pIkSerbqjqGHWfaSjFcziqTJEllDDgn00oRsVU/+/YcjmAkSZKqKqwytRfTIUlSaWtcZAL+KyI+0HNDRKwVEecAvxzesCRJkirCMVlty9RIklROmSLTacAlEXFNRKwfEa8DZlHMxXRwQ6KTJEka5VbWMZxnur2YDkmSylvjIlNmXgpMBd4A3AP8Fngc2Ckzb21MeJIkSdVgUaO9uLqcJEnllenJBPAk8AjQAYwHfpqZTw13UJIkSVXhkKz2lSZHkqRSykz8vTfwe2Bz4I0Uw+TOi4gfRMSrGhSfJEnSqLaykGHPmfYSgWvLSZJUUpmeTLcCVwJvzcz/zsyrgCnAqymKT5IkSSpp9wvP4axbLxsVw+VO/unJTLl2Oic/+GCrQxmy0ZAPSZKabUyJtvtn5m09N2Tm/FoPp88Ob1iSJEnV8MoH72f7/3221WEMi7sX3M387m426O5udSjDwtFykiSVs8ZFpvoCU4/tLwH/MmwRSZIkVZCj5dpLRJAOmJMkqZR+h8tFxAdiDScIiIgtI2Kv4QlLkiSpWsIBWm3FbEiSVN5AczIdBfwhIj4bETvWF5wiYqOIOCQirgfuAjZoVKCSJElSMzlcTpKkcvotMmXm3wP/B9gHuBvojoj5EXF/RCwA/gJcCvw38MbM/EmjA5YkSRqV7DrTVhy+KElSeQPOyZSZNwI3RsTGwNuALYHxwCLgt8Bva/MySZIkaZAsarSbcEYmSZJKKjPx9yLgPxoYiyRJktQ2HC4nSVI5A83J1KuI2LTu8RuGJxxJkqRqsiNTe7FnmSRJ5Q2qyAR8PyL+ASAijgG+MXwhSZIkVYedZdqZ2ZEkqYzBFpn2Bz4QEXcDrwUOGL6QJEmSqqQoZIRdZ9pK4HA5SZLKGmyRaTeKCcB/DOwObD5sEUmSJFWQJab2Ys1PkqTy+p34OyL2BmZl5oq6XZ8ADsrMpyJie+BKYO8GxShJkjR62VumbdmTSZKkcgbqyfRLYCOAiHg4Il4FkJnvycynat/fRzF8TpIkSYNkz5n2EgRpBVCSpFIGKjI9DWxd+36rvtpn5vJhjEmSJKlywgFzbcWinyRJ5fU7XA64AfhVRDxJ0Zl7bkS82FvDzHztcAcnSZI02tlXpn05XE6SpHIGKjIdC/wI2AY4H/g2sKTRQUmSJFWNPWfaS2ABUJKksvotMmVmAjcCRMSbgK9m5pCKTBFxPHAqsClwL3ByZt7eR9t3UxS6pgDjgPuAL2Tmj4YSgyRJktSfsOonSVJpA83JtEpmfmQYCkyHAxcCX6QoHM0Cbo6IyX0csg/wC+DgWvubgB9ExF5DiUOSJEkaiMPlJEkqZ6DhcsPtU8DlmfnN2uMTI+JA4Djg9PrGmfnJuk2fi4iDgUOBXns/SZIkjUR2nGk/ri4nSVI5a9yTaagiYh1gV+CWul23AHuWONVEilXvJEmSRg1Xl2svFv0kSSqvmT2ZNgbWBhbWbV8I7L8mJ4iIE4DNgav62H8McAxAR0cHXV1dg421rXR3d4+an0XlmPvqMvfVZe6rZ/OlywC47bZfMWatkV3ZWLx4MSuAxc88M+J/j5ctW8aCBQsb/nN4zVeXua8uc19dVch9s4fLDVpEHAacBxyemY/21iYzLwMuA5g6dWp2dnY2L8AG6urqYrT8LCrH3FeXua8uc189fxo3DpY+xz777MPYtZvWybwhNnxkQ8Z0d7PhBhvQOWVKq8MZkvF3/oKOjo3o7Ny5oc/jNV9d5r66zH11VSH3zbyTWQS8CHTUbe8AFvR3YES8h6L30ocy88eNCU+SJKl1RnYfptHH4YuSJJXXtCJTZi4H5gHT6nZNo1hlrlcR8Y8UBabpmfm9xkUoSZIk/U26vJwkSaU0e7jc+cBVEXEncAdwLLAZcAlARFwJkJkfqj1+H0WB6RTgtoiYVDvP8sx8qsmxS5IkNUw403RbicC15SRJKqmpRabMvC4iXgWcCWwK3AMc1GOOpcl1hxxLEeMFta+VfgV0NjZaSZKk5rHE1F7MhyRJ5TV94u/MvBi4uI99nf09liRJkprF0XKSJJUzspcwkSRJGuFW1jEcLddeIsLhcpIklWSRSZIkqQ04J1N7MRuSJJVnkUmSJEnqhavLSZJUjkUmSZKklrKQ0ZZcXU6SpNIsMkmSJEl1HC4nSVJ5FpkkSZKk3tiVSZKkUiwySZIkSXWciF2SpPIsMkmSJLWSvWXaUgBpciRJKsUikyRJUgtZxmhfLi4nSVI5FpkkSZKkOo6WkySpPItMkiRJUp0g7MkkSVJJFpkkSZKkXjgnkyRJ5VhkkiRJajFHZrUfh8tJklSeRSZJkqRWs6DRlhwuJ0lSORaZJEmSWsg6RvsyN5IklWORSZIkSaoTjpeTJKk0i0ySJElSncDhcpIklWWRSZIkSeqVVSZJksqwyCRJkiTVcbScJEnlWWSSJElqJTvLtKUIh8tJklSWRSZJkiSpF9aYJEkqxyKTJElSizkyq/2EWZEkqTSLTJIkSS1kb5n2VAyXMzuSJJVhkUmSJEnqhSUmSZLKscgkSZIk1XGwnCRJ5VlkkiRJain7y7SlCFeXkySpJItMkiRJUi+sMUmSVI5FJkmSJKmOw+UkSSrPIpMkSZJUx9XlJEkqr+lFpog4PiLmR8SyiJgXEXv103bTiLgmIh6IiBcj4vImhipJktRw1jEkSdJo0dQiU0QcDlwIfBGYAswCbo6IyX0csi6wCPgyMKcpQUqSJKnyHC4nSVJ5ze7J9Cng8sz8Zmben5knAk8Cx/XWODMfycyTMvNy4KkmxilJkqSKs5eZJEnljGnWE0XEOsCuwIy6XbcAew7TcxwDHAPQ0dFBV1fXcJy25bq7u0fNz6JyzH11mfvqMvfVM2n58wCjIu+LFy9mBbD4mWdG/M/z178u5fm1G58Xr/nqMvfVZe6rqwq5b1qRCdgYWBtYWLd9IbD/cDxBZl4GXAYwderU7OzsHI7TtlxXVxej5WdROea+usx9dZn76pm/zrrE88tGRd43fGRDxnR3s+EGG9A5ZUqrwxmSr98/i3XHrkVn51sa+jxe89Vl7qvL3FdXFXLv6nKSJElSLxwuJ0lSOc0sMi0CXgQ66rZ3AAuaGIckSVJ7cZbpthPmRJKk0ppWZMrM5cA8YFrdrmkUq8xJkiRVjp1l2lMQ9mSSJKmkZs7JBHA+cFVE3AncARwLbAZcAhARVwJk5odWHhARO9e+XR94qfZ4eWbe18zAJUmSVC1pCVCSpFKaWmTKzOsi4lXAmcCmwD3AQZn5aK3J5F4O+23d438AHgW2alSckiRJzeXYrLZjSiRJKq3ZPZnIzIuBi/vY19nLNv/ES5IkqakCJ/6WJKksV5eTJEmSemGNSZKkciwySZIktZjdttuPq8tJklSeRSZJkqRWsrtMWwrC3EiSVJJFJkmSpBayjtG+XF1OkqRyLDJJkiRJdRwuJ0lSeRaZJEmSWsreMu0owtXlJEkqyyKTJEmS1AtrTJIklWORSZIkSaoTrvknSVJpFpkkSZKkOsVwOfsySZJUhkUmSZKkFrKM0b7MjSRJ5VhkkiRJkiRJ0pBZZJIkSWoxZ/9pPxHh6nKSJJVkkUmSJKmVLGS0LVMjSVI5FpkkSZKkOvYukySpPItMkiRJUp0IcLycJEnlWGSSJEmSemGJSZKkciwySZIktZpjs9qOKZEkqTyLTJIkSS1kb5n25OpykiSVZ5FJkiRJ6kVaApQkqRSLTJIkSVIdh8tJklSeRSZJkqQWs6DRfiJcXE6SpLIsMkmSJEmSJGnILDJJkiRJL+PE35IklWWRSZIkqYWsY7SnCHMjSVJZFpkkSZIkSZI0ZBaZJEmSWsnuMm0pgHS8nCRJpVhkkiRJaikLGe0oXPJPkqTSLDJJkiRJkiRpyJpeZIqI4yNifkQsi4h5EbHXAO33qbVbFhEPR8SxzYpVkiRJ1RSuLidJUmlNLTJFxOHAhcAXgSnALODmiJjcR/utgZtq7aYAXwIuiojDmhOxJElS4zkyq/0Uq8tZZZIkqYxm92T6FHB5Zn4zM+/PzBOBJ4Hj+mh/LPBEZp5Ya/9N4ArglCbFK0mSJEmSpDUwpllPFBHrALsCM+p23QLs2cdhe9T29/Qz4MMRMTYzXxjeKNvPP//HPfz8nudY7z9/1epQ1ALPPmfuq8rcV5e5r54vLH2BsXZlajsR8Mii55h2fmOvR6/56jL31WXuq+vgzVfQ2eogGqxpRSZgY2BtYGHd9oXA/n0cMwm4tZf2Y2rne7Lnjog4BjgGoKOjg66urqFF3AaWPrWcjnVfYu1Y2upQ1AITzH1lmfvqMvfVs94YGL92jor7lsWLF7MCWPzMMyP+59l2nRX8eeMAGns9es1Xl7mvLnNfXfHCihH/93EgzSwyNVxmXgZcBjB16tTs7OxsbUDDoLMTurq6GA0/i8oz99Vl7qvL3FfQD7/M4sWLee0oyPuGj2zImO5uNtxgAzqnTGl1OEPSCZzUhOfxmq8uc19d5r66qpD7Zs7JtAh4Eeio294BLOjjmAV9tF9RO58kSZIkSZLaQNOKTJm5HJgHTKvbNY1i9bjezO6j/dwqzMckSZIkSZI0UjR7dbnzgekR8dGI2C4iLgQ2Ay4BiIgrI+LKHu0vAV4TERfU2n8UmM7LJw+XJEmSJElSCzV1TqbMvC4iXgWcCWwK3AMclJmP1ppMrms/PyIOAr4GHAc8AZyUmTc0MWxJkiRJkiQNoOkTf2fmxcDFfezr7GXbr4BdGhyWJEmSJEmShqDZw+UkSZIkSZI0CllkkiRJkiRJ0pBZZJIkSZIkSdKQRWa2OoaGiIi/AI8O2HBk2BhY1Oog1BLmvrrMfXWZ+2oy79Vl7qvL3FeXua+u0ZL7LTPz1b3tGLVFptEkIuZm5tRWx6HmM/fVZe6ry9xXk3mvLnNfXea+usx9dVUh9w6XkyRJkiRJ0pBZZJIkSZIkSdKQWWQaGS5rdQBqGXNfXea+usx9NZn36jL31WXuq8vcV9eoz71zMkmSJEmSJGnI7MkkSZIkSZKkIbPIJEmSJEmSpCGzyCRJkiRJkqQhs8jUYhFxTET8MiIWR0RGxFa9tHllRFwVEc/Uvq6KiA0HOG9ExNkR8URELI2Iroh4Y6N+Dg1NRGxVy39vX6f2c1xnH8ds28z4NTS167M+h9euwXGHRcR9EfF87d93NSNeDY+I2CgiLoqIB2qv0/8TEd+IiFcNcNz0Pq77cc2KXeVFxPERMT8ilkXEvIjYa4D2+9TaLYuIhyPi2GbFquEREadHxF0R8deI+EtE/DgidhjgmL7uBw5sVtwauto9eH0OFwxwzI4R8ava34PHI+KsiIhmxazhERGP9HEN39jPMb219zW/zUXE3hHxo9r1mhExvW7/oN6Pj4b7e4tMrfcK4Bbg7H7aXAPsAhxY+9oFuGqA854G/BNwIrAb8GdgZkRMHGK8aoz/ATat+zoeSOB7a3D8G+uOfbAxYaqBvs3qOfx4f40jYg/gOuA7wM61f/89It7c4Dg1fDYDXkPxer0j8AFgb+C7a3Dsc9S9ZmTmsgbFqSGKiMOBC4EvAlOAWcDNETG5j/ZbAzfV2k0BvgRcFBGHNSdiDZNO4GJgT+DvgRXArRGx0RoceyCrX+O/aFCMapw/sHoOd+yrYUSsD8wEFlLct38SOBX4VOPD1DDbjdXzvgvF/fz1Axz3sbrjrmhgjBoeE4B7KK7Xpb3sL/1+fLTc37u6XJuIiKnAXcDWmflIj+3bAfcBb8vMO2rb3gbcDmybmX/o5VwBPAF8PTO/UNs2nuIX+5TMvLTBP46GQUTMBDIzD+inTSfwS+DVmbmoWbFpeEVEF3BPZn6ixDHXARtl5rQe226eaTb4AAAJKElEQVQF/pKZ7x/+KNUMEXEQ8BNgw8z8ax9tplO8vk9oZmwavIiYA/wuMz/WY9uDwPcy8/Re2p8LvDszt+mx7d+AN2bmHs2IWcMvIiYAzwCHZuaP+2izFTAf2C0z5zYvOg2niDgbeE9m9ttzrUf744BzgY7MXFrbdiZwHLB5+oZtxIqIMygKhpuuzG0vbRJ4b2auyQfLakMR0Q18IjMvrz0e1Pvx0XJ/b0+m9rcH0E3xaeZKdwDPUnwy1putgUkUPaQAqL2o3dbPMWojEfFaYD/gsjU8ZG5EPBkRP4+IfRsYmhrnfRGxKCLujYgZa9DrcA96XOM1P8NrfKRbH3ieoqdSf8ZHxKMR8aeI+ElETGlCbBqEiFgH2JWXX6+30Pf12tf1PTUixg5vhGqiiRT33k+vQdvvR8SfI+KOiHhPg+NSY7y2NkxmfkRcW7u368sewO11RYifUfR43aqRQapxaoWGo4Gr+yow9XBh7T7wrog4NiJ8nz6yDfb9+Ki4v/eXt/1NoqhcrvoEo/b9n2v7+joGii63PS3s5xi1l48CfwF+OEC7Jyk+5ToMeDdF1+yfxwBzfajtXAMcCewLfJ4inzcMcMwkvMZHlSjm2vs88M3MXNFP0z8ARwHvBN4PLAPuiIht+jlGrbMxsDblrte+ru8xtfNpZLoQuBuY3U+bbuAU4B+Bg4CfA9dFxAcaH56G0RxgOsWwx49RXNOzou859/q65lfu08g0jaLY8M0B2p0FHA7sD1wLfBX4bGNDU4MN9v34qLi/H9PqAEajiPgX4IwBmu2bmV1NCEctNJjfhYgYA3wEuCIzX+jvwNpwyZ5DJmfXutqfSjGkUi1SJveZ2bPH2u8j4mFgTkTskpn/2bgo1QiDvO4nAD8GHqcYw9+nzJxNjzepETGL4o3ricBJg4taUiNFxPnA2yimP3ixr3a1oe9f7bFpbkRsTPG6cHVjo9Rwycybez6OiN8ADwMfBs5vSVBqhY8Bd2Xmf/XXKDM/3+Ph3RGxNsV9xL80MjipUSwyNcYFDHwj8NganmsB8OqIiJW9mWpdLzep7evrGICOuufp6OcYNcZgfhf+gaJa/W+DfM45wPsGeayGz1BeB+YCLwLbAH0VmRZQXNM9eY23h1K5rxWYbqo9fEfZCbwz88WImEvx+6L2s4jiei5zvfZ1fa+onU8jSER8jeLv8r6Z+fAgTjGH4sMnjVCZ2R0R99L363Rf1/zKfRphImITih7HJwzi8DnA+hHRkZn1vVo0Mgz2/fiouL+3yNQAtU+hhusmcDbFzPV78Ld5mfYA1mP1eZp6mk/xiziNYjJxoljaei+KHi5qkkH+LnwM+FVm/nGQT7szxTA6tdAQXwd2pBhe018eZ1Nc4+f12DaNvl8X1CRlcl+be+tmIIADM7O77PPVPnjYCej3k1K1RmYuj4h5FNfnv/fYNY2+h8XOBuqXLJ4GzB2oh6vaS0RcSDEMZt/MfGCQp/Hv+ghXuw/flmKxlt7MBs6NiHE9PmiYRjFx8CONj1ANMJ1ijsU1WTG23s4UQ+EXD2dAaqrBvh8fFff3FplaLCImUfRa+bvapu1r83I8lplPZeb9EfFT4NKIOKbW5lLgJytXlouI11CM2T89M3+QmRkRFwCfjYgHgD8CZ1KM87+meT+dyqotZ/124EN97L8SIDM/VHt8MsXNx73AOhRLoB9KMaePRoCIeB3FfEw3URQmtqcYKvFbikn+V7b7OXBnj5WoLgRui4jPAP9B8YZ0X4rhGBoBagWmWygm+z4UWC8i1qvtfiozl9farZb7iPi/wG+AB2vHnkRRZDquuT+BSjgfuCoi7qS4ro+lmND3Enj5a3tt+ydqf8svBd5K8YZlxKwsI4iIfwU+SHF9P1275wPoXllQjogvAbtn5n61xx8GXqD4G/ASRe/mE4BPNzl8DUFEzKAYAv0YxeiDf6b4gPiK2v7V8k5xf/5/gctrQ67/DvgM8DlXlht5ah/+fBS4tv7Do4j4BMUqZNvWHq8cwTAbWEpxL3cOcFlmPt/UwFVKrSf662sP1wImR8TOFPdwj63J+/HRen9vkan1jqX4o7LSjbV/PwJcXvv+COAiipnlAX4E9FzqfCzwBmCDHtu+AowH/hV4JUW3ywMyc8kwxq7hdzTF8sZ9fbo9ue7xOhSV7s0p/jDdCxycmTfVH6i2tZxiJcFPUvRa/B+K14HP1c3b8braPgAyc1ZEvI9ivP45wEPA4Zk5p1mBa8h2Bd5S+76+5+K+QFft+9VyD2xIsfLkJIrXi98Ce2fmnQ2LVEOSmdfVJvw9E9gUuAc4KDMfrTWZXNd+fkQcBHyNonj4BHBSZg60IIDay/G1f39et/1zwNm17zeluMZ7OhPYkmKY5R+BozLT+ZhGls0perBsTLGQy2+At/S45lfLe2Y+ExHTKO7b51KsQPhVnL9ppOqkGBrZ24T9G1O8b1vpBYrXivMpChUPU0wE/q+NDVHDYCqr9078XO3rCooPhtbk/fiovL8Pi+OSJEmSJEkaqrVaHYAkSZIkSZJGPotMkiRJkiRJGjKLTJIkSZIkSRoyi0ySJEmSJEkaMotMkiRJkiRJGjKLTJIkSZIkSRoyi0ySJEmSJEkaMotMkiRJTRYRa0XEbRHx47rtr4iIP0TEJa2KTZIkabAsMkmSJDVZZr4ETAf2jYijeuw6F1gb+KdWxCVJkjQUkZmtjkGSJKmSIuJYisLSTsDrgZ8BnZn565YGJkmSNAgWmSRJklooIn4GjAe2Aq7NzNNaG5EkSdLgWGSSJElqoYjYGnio9rVDZj7f4pAkSZIGxTmZJEmSWusoYCmwObB1i2ORJEkaNHsySZIktUhE7AbMAg4BjgM6gD0z88WWBiZJkjQI9mSSJElqgYgYB1wJXJ6ZNwPHUEz+7ZxMkiRpRLInkyRJUgtExNeAQ4GdMnNJbdv7gCuAXTLz3lbGJ0mSVJZFJkmSpCaLiL2BXwD7Z2ZX3b5/p5ib6S2ZuaIF4UmSJA2KRSZJkiRJkiQNmXMySZIkSZIkacgsMkmSJEmSJGnILDJJkiRJkiRpyCwySZIkSZIkacgsMkmSJEmSJGnILDJJkiRJkiRpyCwySZIkSZIkacgsMkmSJEmSJGnI/j8AHP4x6e7HsgAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"4f6NlrBBkN9k","executionInfo":{"status":"ok","timestamp":1611766228058,"user_tz":-330,"elapsed":3867,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"7611a456-2970-4221-b65d-664f30a1eb9a"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","import math\n","\n","def plot_exponential(lambd):\n"," scale=1/lambd\n"," x=np.linspace(-10,10,2000)\n"," fx=[]\n"," for i in x:\n"," if(i<0):\n"," fx.append(0)\n"," else:\n"," fx.append(lambd*np.exp(-lambd*i))\n"," plt.figure(figsize=(20,4))\n"," plt.rcParams.update({'font.size':14})\n"," plt.plot(x,fx,label=f'Exponential distribution with $\\lambda$={lambd}, Variance={f_variance(fx,x)}')\n"," plt.axvline(x=f_median(fx),ymin=min(fx),ymax=max(fx),color='c',label=f'Median={f_median(fx)}') \n"," plt.axvline(x=f_mean(fx,x),ymin=min(fx),ymax=max(fx),color='g',label=f'Mean={f_mean(fx,x)}') \n"," plt.axvline(x=f_mode(fx),ymin=min(fx),ymax=max(fx),color='r',label=f'Mode={f_mode(fx)}') \n"," plt.xlabel('X')\n"," plt.ylabel(u'f\\u2093(x)')\n"," plt.grid()\n"," plt.legend(loc='upper left')\n"," plt.show()\n","\n","print('EXPONENTIAL DISTRIBUTIONS:')\n","plot_exponential(0.5)\n","plot_exponential(1)\n","plot_exponential(10)\n","\n","lambd=10\n","scale=1/lambd\n","x=np.linspace(-10,10,2000)\n","fx=[]\n","for i in x:\n"," if(i<0):\n"," fx.append(0)\n"," else:\n"," fx.append(lambd*np.exp(-lambd*i))\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(x, integ(fx,x),label=f'CDF For Exponential distribution with $\\lambda$={lambd}')\n","plt.xlabel('X')\n","plt.ylabel(u'f\\u2093(x)')\n","plt.grid()\n","plt.legend(loc='upper left')\n","plt.show()"],"execution_count":297,"outputs":[{"output_type":"stream","text":["EXPONENTIAL DISTRIBUTIONS:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"RP1SaSCZnMwY","executionInfo":{"status":"ok","timestamp":1611766218984,"user_tz":-330,"elapsed":4386,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"100b6594-937a-47e8-e3b8-4cecad0cff06"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","import math\n","\n","def plot_gamma(alpha,beta):\n"," \n"," x=np.linspace(-10,10,2000)\n"," fx=[]\n"," for i in x:\n"," if(i<0):\n"," fx.append(0)\n"," else:\n"," fx.append( ((beta**(-alpha)) * i**(alpha-1) * np.exp(-i/beta)) / math.gamma(alpha) )\n"," plt.figure(figsize=(20,4))\n"," plt.rcParams.update({'font.size':14})\n"," plt.plot(x,fx,label=fr'Gamma distribution with $\\alpha$={alpha},$\\beta$={beta}, Variance={f_variance(fx,x)}')\n"," plt.axvline(x=f_median(fx),ymin=min(fx),ymax=max(fx),color='c',label=f'Median={f_median(fx)}') \n"," plt.axvline(x=f_mean(fx,x),ymin=min(fx),ymax=max(fx),color='g',label=f'Mean={f_mean(fx,x)}') \n"," plt.axvline(x=f_mode(fx),ymin=min(fx),ymax=max(fx),color='r',label=f'Mode={f_mode(fx)}')\n"," plt.xlabel('X')\n"," plt.ylabel(u'f\\u2093(x)')\n"," plt.grid()\n"," plt.legend(loc='upper left')\n"," plt.show()\n","\n","print('GAMMA DISTRIBUTIONS:')\n","print(u'Shape parameter=\\u03b1')\n","print(u'Scale parameter=\\u03b2')\n","plot_gamma(0.5,2)\n","plot_gamma(4.5,2)\n","plot_gamma(4.5,1)\n","\n","alpha=4.5\n","beta=1\n","x=np.linspace(-10,10,2000)\n","fx=[]\n","for i in x:\n"," if(i<0):\n"," fx.append(0)\n"," else:\n"," fx.append( ((beta**(-alpha)) * i**(alpha-1) * np.exp(-i/beta)) / math.gamma(alpha) )\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(x,integ(fx,x),label=fr'CDF for Gamma distribution with $\\alpha$={alpha},$\\beta$={beta}')\n","plt.xlabel('X')\n","plt.ylabel(u'f\\u2093(x)')\n","plt.grid()\n","plt.legend(loc='upper left')\n","plt.show()"],"execution_count":296,"outputs":[{"output_type":"stream","text":["GAMMA DISTRIBUTIONS:\n","Shape parameter=α\n","Scale parameter=β\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"R8EWTOeb3nuA","executionInfo":{"status":"ok","timestamp":1611766211991,"user_tz":-330,"elapsed":5687,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"097cf959-0c37-46b5-b152-ed734243f495"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","from math import gamma as gamma\n","\n","def plot_beta(a,b):\n"," \n"," x=np.linspace(-10,10,2000)\n"," fx=[]\n"," for i in x:\n"," if(i<0 or i>1):\n"," fx.append(0)\n"," else:\n"," fx.append( ( gamma(a+b)/(gamma(a)*gamma(b)) * i**(a-1) *(1-i)**(b-1)) )\n"," plt.figure(figsize=(20,4))\n"," plt.rcParams.update({'font.size':14})\n"," plt.plot(x,fx,label=fr'Beta distribution with $\\alpha$={a}, $\\beta$={b}, Variance={f_variance(fx,x)}')\n"," plt.axvline(x=f_median(fx),ymin=min(fx),ymax=max(fx),color='c',label=f'Median={f_median(fx)}') \n"," plt.axvline(x=f_mean(fx,x),ymin=min(fx),ymax=max(fx),color='g',label=f'Mean={f_mean(fx,x)}') \n"," plt.axvline(x=f_mode(fx),ymin=min(fx),ymax=max(fx),color='r',label=f'Mode={f_mode(fx)}')\n"," plt.xlabel('X')\n"," plt.ylabel(u'f\\u2093(x)')\n"," plt.grid()\n"," plt.legend(loc='upper left')\n"," plt.show()\n","\n","print('BETA DISTRIBUTIONS:')\n","print(u'Shape parameters=\\u03b1 and \\u03b2')\n","plot_beta(1,1)\n","plot_beta(5,1)\n","plot_beta(5,5)\n","\n","a=5\n","b=5\n","x=np.linspace(-10,10,2000)\n","fx=[]\n","for i in x:\n"," if(i<0 or i>1):\n"," fx.append(0)\n"," else:\n"," fx.append( ( gamma(a+b)/(gamma(a)*gamma(b)) * i**(a-1) *(1-i)**(b-1)) )\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(x, integ(fx,x),label=fr'CDF for Beta distribution with $\\alpha$={a}, $\\beta$={b}')\n","plt.xlabel('X')\n","plt.ylabel(u'f\\u2093(x)')\n","plt.grid()\n","plt.legend(loc='upper left')\n","plt.show()"],"execution_count":295,"outputs":[{"output_type":"stream","text":["BETA DISTRIBUTIONS:\n","Shape parameters=α and β\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABIwAAAEOCAYAAADrFozbAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeVhU1f8H8PdlX2YEkR1RQE0FXHEFFVwCC9GwBZUUDPflixnmWu6aZq6BpgXuaWn5S0vUFEwTFRQSBdy1UnBHBpBt5vP7A7kxwsDgwuJ8Xs8zzzNzz7nnfO49MzAcziIQERhjjDHGGGOMMcYYK6FV0wEwxhhjjDHGGGOMsdqFO4wYY4wxxhhjjDHGmBLuMGKMMcYYY4wxxhhjSrjDiDHGGGOMMcYYY4wp4Q4jxhhjjDHGGGOMMaZEp6YDUIe5uTk5ODjUdBgvRU5ODoyNjWs6DFYDuO01E7e75uK211x1oe0vPrgIAGjeoDku5uYWPzcyKpWhOB3Nm1d3aHVaXWh79mpw22subnvN9Dq1+5kzZ+4TkUV5aXWiw8jBwQEJCQk1HcZLERsbCy8vr5oOg9UAbnvNxO2uubjtNVddaHuvjV4AgNjgWHglJhY/b9euVIbidMTGVmtcdV1daHv2anDbay5ue830OrW7IAg3VaXxlDTGGGOMMcYYY4wxpqTaOowEQZgjCAI988iorvoZY4wxxhhjjDHGmHqqe0raRQBepV7Lq7l+xhhjjDHGGGOMMVaJ6u4wKiIiHlXEGGOMMcYYY4wxVotV9xpGToIg3BYE4bogCDsEQXCq5voZY4wxxhhjjDHGWCUEIqqeigThLQBSAGkALAHMAtACgAsRPSgn/ygAowDAysrKbceOHSrL1tbWhrGxMQRBeBWhv1REVCfiZC8ft71m4nbXXNz2NYuIkJOTA7m8+me/Z2dnQyKRVHu9VTEpaRIAYGXblZj09NjKUultJxUfTVq5Ekx9daHt2avBba+5uO010+vU7j179jxDRB3KS6u2KWlEtL/0a0EQTgK4BiAIwPJy8q8HsB4AOnToQKq2rMvKysKdO3dgZ2cHQ0PDWv/lXCaTQSqV1nQYrAZw22smbnfNxW1fc4gIT548wa1bt2BlZYV69epVa/11Yatd0xumAAAvLy+YJiYWP2/XrlSG/9KZ+upC27NXg9tec3HbayZNaffqnpImIqJsABcANHuRcu7evQs7OzsYGRnV+s4ixhhjjL16giDAyMgIdnZ2uHv3bk2HUycRgLuyfOQV8v4kjDHGmKaqsQ4jQRAMUDwlLf1FyiksLIShoeHLCYoxxhhjrw1DQ0MUFhbWdBh10qOcAly7l43Vhy/XdCiMMcYYqyHV1mEkCMIyQRA8BUFwFAShM4BdAIwBbHoJZb9wfIwxxhh7vfD3g+eXX6QAAOQW8AgjxhhjTFNV2xpGABoC+B6AOYB7AE4C6EJEN6sxBsYYY4wxVokiRfGmKPUMqvOrImOMMcZqk+pc9HpQddXFGGOMMcaen1xRPMKonqFuDUfCGGOMsZpSY2sYMcYYY4yx2kkcYcQdRowxxpjG4g4jxhhjjDGmRC4v7jAy1NWu4UgYY4wxVlO4w4hVqF+/fggODhZfBwcHo1+/fq+krtJle3l5YcKECa+knmfrqi3UielV35easn37drRq1QpGRkZo1qwZfvjhh5oO6ZWrje9BxhgrUTLCiDHGGGOaizuMalBwcDAEQRAf5ubm6NevH9LS0qpUTnV2IqxatQpbt25VK29V46pK2epSFcOrqOtFPRtTbe8cmjNnjtL7VxAEWFtbV7mcffv2ISQkBFOmTMH58+cREBCAkSNHQi5/vp15/vjjD/Tv3x92dnYQBAEbN26schn9+/dH7969y01LTU2FIAg4ePDgc8VXoja+B59HREQEHB0dYWBgADc3Nxw7duyFz1OnDWUyGSZNmoTGjRvD0NAQ7u7uiI+PV8ojl8vx2WefifU4Ojpi1qxZKCoqqlI5pS1evBiCIJT5bKoTs4ODQ5nPjCAI8PX1VcqXnp6OoKAgWFhYwMDAAM7Ozjh69GiV4qnsutS5N4sXL0bHjh1Rr149WFhYwM/PD+fPny9Tf2V51Ik3PDwcrVu3Rr169VCvXj107doVv/76a5Wuib1c8qcdRtxtxBhjjGku7jCqYX369EF6ejrS09Nx8OBBPHnyBP7+/jUdlkomJiYwNTV9qWUWFBS8srJVqc661FUbY6pM8+bNxfdveno6kpOTq1zGsmXLMHHiRAwbNgxOTk4YMGAAZDIZtLSe78dTdnY2XF1dsWrVKhgaGj5XGSEhIYiJicGNGzfKpH333Xdo3Lgx+vTp81xl18T7/VXZuXMnQkNDMWPGDCQmJsLd3R1vvfUW/v777xc6T502HDFiBA4cOIBNmzYhOTkZ3t7e6NOnD27duiXmWbJkCcLDw7F69WqkpaVh1apVCA8Px+LFi6tUTomTJ09i/fr1aN26dZk0dWKOj49X+rycPXsWgiDggw8+EPNkZmbCw8MDRIRff/0VqampWLNmDSwtLasUT2XXpc69iY2Nxbhx43DixAkcOXIEOjo66NOnDx4+fFilPOrE27BhQyxZsgRnz55FQkICevXqhXfeeQfnzp1T+5rYy8UjjBhjjDEGIqr1Dzc3N1IlJSVFZVptlJWVJT4PCgoiX19fpfS9e/cSAMrNzSUiIoVCQUuWLCEnJycyMDAgV1dX2rJli1IZKP4HoPi4fv067d+/n7p160ampqZUv3598vb2rvRe5eTkUFBQEBkbG5OlpSUtXLiQfH19KSgoSGXMR48epc6dO5OxsTHVq1ePOnbsSMnJySrjIiLy9PSkMWPG0CeffELm5ubUoUOHMmV7enrS6NGj6X//+x+ZmpqSqakphYWFkVwuF+v29PSk8ePHK11D6TIqiuHZ68jLy6PQ0FCytLQkfX196ty5Mx07dkyprrFjx9L06dOpQYMGZGFhQZ988olSPKXt37+fJBIJFRYWEhHR5cuXCQCNHj1azDNz5kzq3bt3lWKvahylJSQkUK9evcjAwICaNGlCR48epZ07d5K7u3ul55Zn9uzZ5OLi8lznlsjJySFtbW2KjY0Vj82bN4/atm37QuWWMDY2pqioqCqfV1hYSNbW1vT5558rHS8oKCBLS0uaO3cuEZFan7Nu3bpV+n5Xpyx12l6hUNCyZcuoadOmpKenR3Z2djRt2jSl9Ip+nlRVp06daMSIEUrHmjZtqlTni55XXhvm5uaStrY27dmzR+l4+/btaebMmeJrX19fGjZsmFKeYcOGifdd3XKIiDIzM8nJyYmOHDlS7s+e8mIu/fO+PAsWLCATExPx5z0R0fTp09X6TFYUjzrXVdm9KY9MJiMtLS365ZdfqpynKvevRP369WndunVqX5MqNfE9ISYmptrrrCrPKE/yjPIsfn72LHmePauUfrpxK4qzd6U9if/WQHR1V11oe/ZqcNtrLm57zfQ6tTuABFLRF6NTzf1T1WLu3gtIuZ1VrXU629bDbD+XFypDJpNh586daNWqlfgf6lmzZmHXrl0IDw9H8+bNERcXh5EjR6J+/frw9fXFqlWrcOnSJbRo0QKLFi0CAFhYWODMmTOYNGkSWrdujSdPnmDBggXw8/NDSkoK9PT0yq0/LCwMhw4dwu7du2FnZ4e5c+fijz/+wMCBA8vNX1RUhAEDBiAkJATbtm1DYWEhzp49C21tbZVxldi6dStGjRqFY8eOofg9Wta2bdsQHByMuLg4nDt3DiNHjoSNjQ0mT56s1v2sLIbSPv30U/zwww+IjIyEk5MTli9fjr59++Ly5cuwsbER4wkNDcWJEyeQlJSEIUOGwM3NDYMHDy5TXrdu3ZCXl4eEhAR06dIFsbGxaNCgAWJjY8U8sbGx6Nu3b5Vjr0ocJeLj4+Hp6YkZM2Zg3bp1mDt3LmbPno2HDx9ixYoVAIBFixaJdamyf/9+dO/eXXx97do12NraQl9fH507d8aiRYvg5ORUYRmlnTt3DgqFAu3atcOTJ0+wa9cuLFq0CN99952Y53nielE6OjoICgrCxo0bMXv2bHG00969e3H//n0MHz4cAJCTk6PW50yd97s6ZVXW9jNmzMDatWuxfPly9OjRA/fu3UNiYqJYR2U/T6pyrwsKCnDmzBmEhYUppXt7e+PEiRMqz3/e80orKiqCXC6HgYGB0nFDQ0McP35cfN2tWzdEREQgLS0NLVq0QEpKCo4cOYLp06dXqRwAGDVqFN577z307NkTc+fOVSvOihARvvvuO3z44YdKI5L27NmDvn37IiAgADExMbC1tcWIESMwfvx4CIKgVjzqXFdl96Y8MpkMCoUC9evXr3Keqtw/uVyOH3/8EdnZ2XB3d1f7mtjLJecRRowxxpjGey07jOqS6OhoSCQSAMV/MNrb2+O3334TXy9fvhwHDx4U/xh2dHTE6dOnER4eDl9fX5iYmEBPTw9GRkZK68e8++67SvVERUWhXr16OH36NLp161YmjuzsbHz33XeIjIyEj4+PeE7Dhg1Vxp6VlYXMzEz4+fmhSZMmAIAWLVqI6eXFVcLR0RFfffVVhffGxsYGq1evhiAIaNGiBS5duoTly5er3WGk6t48KycnB2vXrsW3334rriWybt06HDlyBOHh4ViwYAEAwNnZGfPmzQMAvPHGG9iwYQMOHz5cbkeNRCKBm5sbYmJixA6jUaNGYcWKFUhPT4eJiQni4+PxxRdfVDn2qsRR4pNPPoGfnx9mzZoFABgyZAj8/PzQo0cP9OrVCwAwZswYpakx5bGzsxOfd+7cGRs3bkSLFi1w9+5dLFiwAO7u7rhw4QIaNGhQYTklkpKS0KRJE1y5cgUdOnQAEcHHx0cpjqrG9bKEhIRgyZIl+P333+Ht7Q2geDqat7c37O3tAaj/OVPn/a5OWRW1fXZ2NlasWIGVK1fio48+AgA0bdoUXbt2BaDez5Oq3Ov79+9DLpfDyspKKd3Kygq///67yvOf97zSpFIpunbtigULFsDV1RXW1tb4/vvvERcXh6ZNm4r5pk6dCplMBmdnZ2hra6OoqAgzZ87EuHHjqlTOhg0bcOXKlZe65tShQ4dw/fp1jBw5Uun4tWvXEBERgY8//hjTpk1DUlISJk6cCADiuj+VxaPOdVV2b8oTGhqKtm3biu8pdfOoe/+Sk5PRtWtX5OXlQSKR4Oeff0arVq3UvibGGGOMMfZyvZYdRi860qc69ejRA+vXrwcAPHr0CBEREfD29sapU6eQkZGBvLw89O3bV+k/y4WFhXBwcKiw3KtXr+Kzzz7DqVOncO/ePSgUCigUCpVri1y9ehUFBQVKX/IlEon4Zb08ZmZmCA4Oho+PD3r37o3evXvjvffeQ6NGjSq9bjc3t0rzdOnSRem6u3btis8++wxZWVmoV69epeer6+rVqygsLISHh4d4TFtbG127dkVKSop47Nl1N2xtbXH37l2V5Xp5eSE2NhbTp0/H0aNHsXnzZpw4cQKxsbGwsLCAjo4OOnXqVOV4qxpHRkYGjh07hpiYGPGYnp4eFAoF5s+fLx4zMzODmZmZ2nG89dZbSq+7dOkCJycnbNq0Se1OvcTERLRv3x5vvPEGTp48ifj4eMycOROTJ0/G6tWrnyuul6VZs2bw9PREZGQkvL29cfv2bRw4cAA7duwQ86j7OVPn/a5OWRW1fUpKCvLz81Uu1p2SklLpz5OautfPY8uWLfjoo4/QsGFDaGtro3379hg8eDDOnDkj5tm5cyc2b96M7du3w8XFBUlJSQgNDYWjoyNCQkLUKufixYuYMWMGjh8/Dl1d3ZcW/4YNG9CxY0e0adNG6bhCoUCHDh3EtYTatWuHy5cvIzw8HBMmTFA7nsquS517U9rkyZNx/PhxHD9+HNra5W+zXl6eqty/5s2bIykpCY8fP8auXbsQFBSE2NhYuLq6qnVN7OUpPRJSxaBIxhhjjGmA17LDqC4xMjJS+u/ot99+CxMTE6xfv17ccnvv3r1lOmEq++Ldr18/NGzYEN988w3s7Oygo6MDZ2dnccHdlyUqKgqTJk1CdHQ0fvnlF8ycORN79uwRRympYmxs/MJ1a2lplZneU1hY+MLlllb6D+tn77kgCFAoFCrP9fLywtdff43U1FRkZWWhXbt28PLyQkxMDCwtLdG1a1eV0wMrUtU4UlNTAQAdOnQQj128eBHNmzdXGgXzolO/JBIJXFxccPny5UqvoURSUhIGDhwIiUSCTp06oVOnTrhx44bS1L2amJJWIiQkBCNHjsTDhw+xceNGmJmZYcCAAWK6up8zdd7v6pRV1bYvrSRfRT9PqnKvzc3Noa2tjTt37iil37lzp8IRfc973rOaNGmCo0ePIicnB1lZWbCxsUFAQIDSlMgpU6YgLCwMgwYNAgC0atUKN2/exOLFi8VOkcrKiYuLw/379+Hi8t8/IuRyOf744w+sW7cOOTk50NfXVztuALh79y7+7//+D+Hh4WXSbGxs4OzsrHSsZcuWWLVqVZXiqey61Lk3JT7++GPs2LEDMTExKqecqspTlfunp6cn/j50c3NDfHw8VqxYIU5RVafN2cuRU/DfLpXE+6QxxhhjGos7jGoZQRCgpaWF3NxcODs7Q19fHzdv3hSnDZVHT09PaQvyBw8eIC0tDREREejZsycA4OzZs0rbJT+rSZMm0NXVxcmTJ8Uv3zk5OTh//rw43UyVNm3aoE2bNpg6dSreeustbNq0CT4+PmXiqqpTp06BiMROm5MnT8LW1lYcXWRhYYH09HSlc/766y+l0VfqxNCkSRPo6enhzz//FK9VLpcjLi4OQ4YMee74u3Xrhvz8fCxduhTdunWDtrY2vLy8MHLkSFhZWalcv6gqsasjMzMTgiCI//GXyWRYuHBhmT/OX3TqV15eHtLS0sT3XGXkcjmSk5Mxc+ZMpePnzp1T6vypqSlpAPDee+9h4sSJ2Lp1KyIjIzFs2DCxc+V5PmeqvIyyWrZsCX19fRw+fBjNmjUrk67Oz5Oq3Gs9PT24ubnh0KFDeP/998X0Q4cOlZleV9rznqeKsbExjI2N8ejRIxw4cABLly4V03Jzc8uMhtHW1i63k01VOe+8845SZysADB8+HM2aNcOMGTOeq9N348aN0NfXL3caqYeHBy5evKh07NKlS2jcuPFzxaPqutS9N6Ghodi5cydiYmKUphyrm+dF7p9CoUB+fn6Z4xW1OXs5Hj95uf98YYwxxljdxB1GNSw/Px8ZGRkAiqekff3118jOzoafnx+kUinCwsIQFhYGIkKPHj2QnZ2NkydPQktLC6NGjQIAODg44PTp07hx4wYkEgnMzMxgbm6ODRs2wN7eHrdu3cKUKVOgo6O6uSUSCUJCQjB16lRYWFjA1tYW8+bNq7DD4vr16/jmm2/Qv39/2NnZ4dq1azh37hzGjh2rMq6qbJV++/ZtTJo0CePGjUNycjK+/PJLcQ0eAOjVqxcmTZqEX375Bc2bN8c333yDf/75R6nDSJ0YjI2NMXbsWEydOhXm5uZwdHTEihUrcOfOnQrX86hMyTpGW7duFaeXdOnSBf/++y+uX7+ucv2iimJ/Hm3btgURYfHixQgMDMSUKVNgY2ODK1eu4PLly2LnQlWnI4WFhcHPzw+NGjXC3bt3MX/+fOTk5CAoKEit8y9evIgnT55g4cKFsLOzg1QqxaZNmxAfH4+1a9eK+aoaV3Z2Nq5cuQIA4pSupKQkmJmZqTVdsjRDQ0MMGTIEc+bMwaNHj5RGXtSvX7/KnzNVXkZZUqkUoaGhmD59OvT19dGjRw88ePAAZ86cwdixY9X6eVLVez158mQMHToUnTp1goeHB9atW4fbt29jzJgxYp6vv/4aX3/9NdLS0tQ+T502PHDgABQKBVq0aIErV65gypQpaNGihbggOQD4+fnhiy++gKOjI1xcXJCYmIjly5dj2LBhYp7KyjE1NYWpqanSdRsbG8PMzEycKqUq5nPnzsHe3l7pfUdE+PbbbzFo0CBx/brSPv74Y7i7u2PhwoUICAhAYmIiVq9eLY78Ujeeyq5LnXszfvx4bNmyBXv27EH9+vXF31USiUSMvbI86sY7bdo0+Pr6wt7eHjKZDNu3b0dsbCx+/fVXta+JvTyPc//rMOIpaYwxxpgGU7V9Wm16uLm5qdwCria2y30RpbdZfnbrdKlUSh07dqRdu3aJeRQKBa1evZpatmxJenp6ZG5uTn369KGDBw+KeS5evEhdunQhQ0NDcfv1w4cPk4uLC+nr65OLiwtFR0dXusV4dnY2DR06lIyNjcnCwoLmzZtHvr6+FBQUpBRzybbLGRkZ5O/vT7a2tqSnp0f29vY0ZcoUKigoUBkXEancUrl02Z6enjR69GgaP348mZiYkKmpKU2ePJmKiorE/AUFBTRu3Dhq0KABNWjQgD7//PMyW5WriuHZfHl5eRQaGkqWlpakp6dHnTt3pmPHjonp5cX8bBnlmTp1KgGg+Ph4se09PT3JyMiI8vPzKyyvvNifN46FCxeSubk56evr05AhQ+jhw4fk7u5O5ubmFZ5XkYCAALKxsSFdXV2ytbWlgQMH0oULF8T0qKgopXv+rK1bt5KVlRX5+PiQRCIhCwsL8vPzo7/++uu5YyIq3uKy9Oeq5FH6fVxZbKWdOXOGAJS71bk6n7Nu3bpV+n5Xpyx12l4ul9PixYvJ0dGRdHV1qWHDhjRjxgwxXZ2fJ1UVHh5OjRs3Jj09PWrfvj0dPXpUKX327NlU/KtG/fPUacOdO3eSk5MT6enpkbW1NY0fP54yMzOV6sjKyqLQ0FBq1KgRGRgYkKOjI02fPp2ePHlSpXKeVV5bqBMzEdGRI0cIAJ06dUpl+fv27aPWrVuTvr4+NWvWjFatWkUKhaJK8VR2Xercm/KuBwDNnj27SnnUiTcoKIgaNWpEenp6ZGFhQb1796bo6OgqXZMqNfE9oS5stesZ5UmeUZ7Fz8+eJc+zZ8W0P6/cozh7V4qzd6XdZ/6poQjrprrQ9uzV4LbXXNz2mul1ancACaSiL0agOvCvow4dOlBCQkK5aampqWjZsmU1R/T8ZDIZpFJpTYfBaoCmtf3s2bOxa9cu/PXXX+WOlJkyZQrS0tKwd+/eWhfby6Rp7c7+w21fO9TE94TY2Fh4eXlVa51V5bXRCwAQGxwLr8TE4uft2gEAfktOh5lv8e6Qt37ej3fdVO+YypTVhbZnrwa3vebittdMr1O7C4Jwhog6lJem/vwgxhirgt9++w3h4eEqO2QSExPL7PpVXSqLjTHGNFlm6SlpNRgHY4wxxmoW/7XEGHsl4uPjK0z/66+/MHLkyGqKRlllsTHGmCZ7lPtyd1RljDHGWN3EHUaMsRpx7969mg6BMcZYOUrvklYXli5gjDHG2KvBU9IYY4wxxpjoUc5/I4y4u4gxxhjTXNxhxBhjjDHGRJlPCqGtJdR0GIwxxhirYdxhxBhjjDHGRJm5BdDRfvoVkYcYMcYYYxqLO4wYY4wxxpgoM7cQOk9HGBH3GDHGGGMaizuMGGOMMcaY6FFu4X8jjBhjjDGmsfjbAGOMMcYYA1C8K9rjJwXQLRlhxAOMGGOMMY3FHUaMMcYYYwwAkFMgR6GcoKNdMiWNMcYYY5qKO4wYY4wxxhiA4gWvAUBHi78iMsYYY5qOvw28xiQSCTZu3Ci+FgQBu3btqrmAGGOMMVarZeYWAsB/I4x4iBFjjDGmsbjDqIYEBwdDEASEhISUSZs6dSoEQUC/fv1eap3p6enw8/N7qWVWFRFhzpw5sLW1haGhIby8vHDhwoVKz1u1ahVatGgBQ0NDNGzYEOPHj0d2draYLpfL8dlnn8HR0REGBgZwdHTErFmzUFRUVKW6Hz16hKFDh8LExAQmJiYYOnQoMjMzlfIkJyfD09MThoaGsLOzw7x580DPfKPevXs3nJ2doa+vD2dnZ/z8889Vvg8cS/mxXLp0Ce+88w7Mzc0hlUrRpUsXREdHgzHG2IsTO4yejjDiXdIYY4wxzcUdRjXI3t4eP/zwA3JycsRjRUVF2Lx5Mxo1avTS67O2toa+vv5LL7cqli5diq+++gpr1qxBfHw8LC0t8eabb0Imk6k8Z/v27fj0008xc+ZMpKamYvPmzfjtt98QGhoq5lmyZAnCw8OxevVqpKWlYdWqVQgPD8fixYurVPeQIUNw9uxZREdHIzo6GmfPnsXQoUPF9KysLLz55puwsrJCfHw8Vq1ahS+//BLLly8X88TFxSEgIACBgYFISkpCYGAg3n//fcTHx9eaWE6dOlVnY+nXrx/y8vJw+PBhJCYmolu3bhgwYACuXr2q8j3EGGNMPY+eTknTfTrCiDHGGGMajIhq/cPNzY1USUlJUZlWG2VlZRERUVBQEPn6+lK7du0oMjJSTN+zZw85ODjQsGHDyNfXVzweGRlJLVu2JH19fWrWrBktX76c5HK5mH758mXy9PQkfX19euONN2jv3r1kbGxMUVFRYh4A9OOPP4qvp06dSm+88QYZGBhQ48aNacqUKfTkyRMxffbs2eTi4kLff/89OTk5kUQioQEDBtC9e/ee69oVCgVZW1vTggULxGO5ubkkkUho3bp1Ks8bP3489ejRQ+nY559/Ti4uLuJrX19fGjZsmFKe0vdQnbpTUlIIAB0/flzMc+zYMQJAaWlpREQUERFBUqmUcnNzxTzz588nW1tbUigURET0wQcfUJ8+fZRi6d27N7377ru1JpZBgwbVyVju3btHAOjIkSNinsLCQtLS0lJ6b9cmJZ95pnm47WuHmvieEBMTU+11VpVnlCd5RnkWPz97ljzPniUios1xN6jx1H2U3cWD4uxdaUvcjRqMsu6pC23PXg1ue83Fba+ZXqd2B5BAKvpiamyEkSAI0wVBIEEQvn7ZZU+6fBleiYnV+ph0+fJzxRoSEoLIyEjxdWRkJIYPHw5B+O8/exs2bMCMGTMwb948pKam4quvvsKSJUsQEREBAFAoFPD394dCoUBcXBwiIyMxZ84c5OfnV1i3sbExIiMjkZqaioiICOzYsQMLFy5UynPjxg3s3LkTP//8Mw4ePIjExETMnDlTTN+2bRskEkmFj23btvIHYSIAACAASURBVAEArl+/joyMDHh7e4vnGxoaokePHjhx4oTKOLt164akpCScPHkSAPD333/jl19+wdtvv62UJyYmBmlpaQCAlJQUHDlyRMyjTt1xcXGQSCRwd3cX83h4eMDY2FgpT/fu3WFoaCjm8fHxwe3bt3Hjxg0xT+l6SvKcPn261sRSUkZdi6VBgwZo2bIltmzZguzsbMjlcqxfvx5SqRQeHh5gjDH2YjJzni56rV0yJY0xxhhjmkqnJioVBKELgFEAztVE/bXJkCFDEBYWhsuXL0MqlSI6Ohpr1qzB559/LuaZP38+li5divfeew8A4OjoiGnTpiEiIgITJkzA77//jpSUFFy/fl2cyrZy5Up07969wro/++wz8bmDgwNmzJiBZcuWYf78+eLxoqIibNy4ESYmJgCAUaNGISoqSkzv378/OnfuXGE9VlZWAICMjAyl16XTb926pfL8QYMG4cGDB+jRoweICEVFRRg6dCiWLFki5pk6dSpkMhmcnZ2hra2NoqIizJw5E+PGjVO77oyMDFhYWCh11gmCAEtLS/H8jIwMNGzYUOX1OTo6IiMjo9x67ty5U2tiKV1GXYpFEAQcOnQI/v7+qFevHrS0tGBmZob9+/fDxsYGjDHGXkzmk0IY62lD4BlpjDHGmMar9g4jQRBMAGwD8BGA2a+ijpXNmr2KYl+J+vXrw9/fH5GRkTA1NYWXl5fS+kX37t3DP//8g9GjR2Ps2LHi8aKiInFB4dTUVNjZ2Smd17lzZ2hVsiXurl27sHLlSly5ckUcrSGXy5XyNG7cWOwsAgBbW1vcvXtXfC2VSiGVSp/v4tV09OhRzJ8/HxEREejcuTOuXLmC0NBQzJ49G/PmzQMA7Ny5E5s3b8b27dvh4uKCpKQkhIaGwtHRsdyFxVndREQYN24cGjRogGPHjsHQ0BDffvst3n33XcTHx8POzq6mQ2SMsTrtUW4BTI30/jvA26QxxhhjGqsmpqStB7CLiGJqoO5a6aOPPsLmzZsRGRmJjz76SClNoVAAANatW4ekpCTxcf78ebV2F1Pl5MmTGDRoEHx8fLB3714kJiZiwYIFKCwsVMqnq6ur9FoQBDEmoGpT0qytrQFAHGlT4s6dO2JaeWbNmoXBgwdjxIgRaNWqFfz9/bFo0SIsXbpU3AVtypQpCAsLw6BBg9CqVSsMHToUkydPFhe9Vqdua2tr3Lt3T2lnLyLC3bt3lfKUV0bpOlTlKRk5UxtiKZ1el2I5cuQI9u7di++//x4eHh5o3749IiIiYGxsrDTyjTHG2PN5nFsIUyNdlAww4u4ixhhjTHNV6wgjQRBGAmgK4EM18o5C8bQ1WFlZITY2ttx8JiYmFe6wVdvI5XLIZDIUFhaiqKgIMpkMnTp1gq6uLu7du4fevXsrpRsZGcHGxgYpKSnw9/cvU55MJkPjxo1x69YtpKamitOCTp48CYVCgby8PKX78+TJE8hkMhw5cgS2traYNGmSmHb56TpMJfnz8/OhUCiUzs/Ly1PK07NnTxw/frzCa7a0tIRMJoO5uTmsrKywb98+tGjRQizv2LFjmD9/vsp2LBn9VDq9oKAARASZTAYdHR3k5OSgsLBQKU9RUZF4njp1t27dGtnZ2Th8+LA4ze7UqVPIyclBmzZtIJPJ0K5dO8yePRv37t2DgYEBAGDfvn2wsbFBgwYNIJPJ0LFjR+zfvx9jxowRY9m/fz86duzIsbxgLA8ePAAA5OTkQFtbW+l9UvLerm2efe8yzcFtXzvk5eWp/A7xqmRnZ1d7nVWVmZkJAIiNjUXm02OxsbG4mfEEetrA46wsAMClS5cRm3+jZoKsg+pC27NXg9tec3HbayaNaXdVq2G/7AeA5gDuAWhe6lgsgK8rO/d13iWt9PHHjx+Lr0unb9iwgQwMDGj58uWUlpZGycnJtGnTJlq0aBEREcnlcnJ2diYvLy9KTEykEydOUMeOHUlHR0flLmm//PILaWtr09atW+nq1asUERFB5ubmVPyWKFayS1ppUVFRZGxs/NzX/8UXX1C9evVo9+7dlJycTAEBAWRjY6O0m1CvXr1o2rRpSnFIpVL6/vvv6dq1a3Tw4EFq0qQJDRw4UOl+2dnZ0b59++j69ev0008/kbm5OU2ePLlKdfft25dcXV3pxIkTdOLECXJ1daV+/fqJ6ZmZmWRlZUUBAQGUnJxMu3fvJqlUSsuWLRPz/Pnnn6StrU2LFy+m1NRUWrRoEeno6NDhw4drTSwnT56sk7Hcu3ePGjRoQAMHDqSkpCS6ePEihYWFkY6ODp05c4ZqI94pS3Nx29cOvEta+VTtktZzWQyN23aGCrp1pzh7V9r45/WaC7IOqgttz14NbnvNxW2vmV6ndkcFu6RVZ4dRMIpHNheVehAAxdPn+qrO1YQOo2c9m759+3Zq164d6evrk6mpKXl4eND3338vpl+8eJF69OhBenp61LRpU/q///s/MjY2VtlhREQ0bdo0Mjc3J2NjY/L396eIiIhX3mGkUCho9uzZZG1tTfr6+tSjRw9KTk5WytO4cWMKCgoSXxcWFtKcOXOoadOmZGBgQA0bNqSxY8fSw4cPxTxZWVkUGhpKjRo1IgMDA3J0dKTp06fTkydPqlT3w4cPKTAwkKRSKUmlUgoMDKRHjx4p5Tl37hx1796d9PX1ydramubMmSNuHV/ixx9/pObNm5Ouri61aNGCdu/erfTHY03HUtU2qU2xxMfHk7e3N5mZmZFUKqVOnTrRvn37qLbiTgPNxW1fO3CHUflUdRi1m3eQZvx0jgq69aA4e1eKOn6tBqOse+pC27NXg9tec3Hba6bXqd0r6jASqJoWMxQEwRRAw2cORwG4DGARgAukIpgOHTpQQkJCueWmpqaiZcuWLzPUV0omk73yRaJZ7cRtr5m43TUXt33tUBPfE2JjY+Hl5VWtdVaV10YvAEBscCy8EhMBAEfatEXTmb9hnFdThC4YhTM3HyLt+18Q7OFYg5HWLXWh7dmrwW2vubjtNdPr1O6CIJwhog7lpVXbGkZElAmI0+QBAIIg5AB4SETnqysOxhhjjDFWliy/CAoCTI3+2/CCF71mjDHGNFdN7JLGGGOMMcZqmce5xTulmhjqQni6TVo1DURnjDHGWC1UrbukPYuIvGqyfsYYY4wxVuxRbgEAoL6RXg1HwhhjjLHagEcYMcYYY4wxPCzpMDL+r8OIBxgxxhhjmos7jBhjjDHGGB5mF3cYNTDmEUaMMcYY4w4jxhhjjDEG4GFOcYeRmaTUCCNexIgxxhjTWNxhxBhjjDHG8CCnALraAqT6NbrEJWOMMcZqCe4wYowxxhhjeJiTDzNjPQglW6QxxhhjTKNxhxFjjDHGGMOD7AKYGesDAEq6jHhGGmOMMaa5uMOIMcYYY4zhQU5BmQWvifdJY4wxxjQWdxjVkODgYAiCgJCQkDJpU6dOhSAI6NevXw1EVjURERFwdHSEgYEB3NzccOzYsUrP2b59O9q2bQsjIyNYW1vjww8/REZGhpj+448/okOHDjA1NYWxsTHatm2LTZs2KZUxZ84cCIKg9LC2tlbK89lnn6FFixYwNjZG/fr10bt3b5w4cUIpz/r169GzZ0+YmppCEATcuHGjTLwODg5l6po2bZqYfu/ePfj4+MDW1hb6+vqwt7fH+PHj8fjxY6V469WrV6YcQRBw9+5dAEBeXh6Cg4PRunVr6OrqwsvLq8L7ePz4cejo6MDV1VXpeGFhIebNm4cmTZrAwMAAbdq0QXR0dJnz09PTERQUBAsLCxgYGMDZ2RlHjx5VynPp0iUMHDgQpqamMDIyQvv27ZGamiqmX716Ff7+/rCwsEC9evXwwQcf4M6dO+XGm5eXhzZt2kAQBCQkJIjHN27cWO59EQQB8fHxFd4DxhhjL8/DnAKY8Q5pjDHGGHuKO4xqkL29PX744Qfk5OSIx4qKirB582Y0atSoBiNTz86dOxEaGooZM2YgMTER7u7ueOutt/D333+rPOfPP//E0KFDERQUhAsXLmDPnj1ISUlBYGCgmKdBgwaYNWsWTp48iXPnzmH48OEICQnBb7/9plRW8+bNkZ6eLj6Sk5PLpIeHhyM5ORnHjx+Ho6Mj+vbtq9ShkZubC29vb8yZM6fCa/3888+V6po1a5aYpqWlBX9/f+zduxeXLl3Cxo0bcfjwYYwcOVLMExYWhsuXLyuV4enpCS8vL1haWgIA5HI5DAwMMGHCBPj6+lYYz6NHjzBs2DD07t27TNqsWbOwdu1arF69GikpKRgzZgz8/f2RmJgo5snMzISHhweICL/++itSU1OxZs0aMRYAuH79Ojw8PODo6IgjR47g/PnzWLBgASQSCQAgJycH3t7eICIcOXIEf/75JwoKCuDn5weFQlEmrrCwMDRs2LDM8YCAAKX7kp6ejg8//BBOTk7o0KFDhfeBMcbYy6PUYfR0ThpPSWOMMcY0GBHV+oebmxupkpKSojKtNsrKyiIioqCgIPL19aV27dpRZGSkmL5nzx5ycHCgYcOGka+vr3g8MjKSWrZsSfr6+tSsWTNavnw5yeVyMf2rr76iVq1akZGREdna2lJISAg9evRITI+KiiJjY2P6/fffycXFhYyMjMjLy4uuXbv23NfSqVMnGjFihNKxpk2b0rRp01Se8+WXX1KjRo2UjkVGRpKxsXGFdbVr106p3NmzZ5OLi0uV4n38+DEBoOjo6DJp8fHxBICuX79eJq1x48b05ZdfVqmuVatWkbW1tdKxkrYnIvr7779JS0uLtm3bVu7548ePJ09PT5Xl+/v705w5c8q9DzY2NrRy5UqlYwMHDqTAwEDx9fTp08nd3b3Caxg8eDANGTJEZfqBAwdIEAR6+PCheCwzM5MEQaBDhw4p5d2zZw85OztTSkoKAaD4+HiV5ebk5JCJiQktXLiwwvjqitLtzjQLt33tUBPfE2JiYqq9zqryjPIkzyjP4udnz1L3M2ep8dR9tPr3S0REVNSjB8XZu9La2Cs1GGXdUxfanr0a3Paai9teM71O7Q4ggVT0xbyW+6ZOip6EpIykaq2zrXVbrOy7ssrnhYSEIDIyEsOHDwcA8fm1a9fEPBs2bMDnn3+ONWvWwM3NDefPn8fIkSOhq6uLCRMmACge5bJy5Uo4OTnh5s2bmDhxIiZOnIgtW7aI5eTn52Px4sWIjIyEgYEBgoKCMGbMGBw4cAAAcOzYMbz11lsVxjtjxgzMmDEDBQUFOHPmDMLCwpTSvb29y0z7Ks3DwwMzZszA3r170a9fPzx48AA7duzA22+/XW5+ejp65eLFi1i4cKFS2rVr18RpYJ07d8aiRYvg5ORUbjkFBQVYv3496tWrh7Zt21Z4jeVZtmwZFi9eDHt7e7z//vuYMmUK9PTKH7Z/+/Zt/PTTT/D09FRZ3nfffYf69evj3XffrXIsERERuHPnDmbNmoX58+eXSc/Pz4eBgYHSMUNDQxw/flx8vWfPHvTt2xcBAQGIiYmBra0tRowYgfHjx0MQBCgUCuzduxfTpk1D3759cebMGTg4OCAsLAwBAQFiPYIgKNVlYGAALS0tHD9+HH369AEA/Pvvvxg7diz2798PQ0PDSq+vZNTdRx99VOV7wxhj7PkUPR0ZaibhKWmMMcYYK8ZT0mrYkCFDkJCQgMuXLyMjIwPR0dEIDg5WyjN//nwsXboU7733HhwdHeHn54dp06YhIiJCzDNp0iT06tULDg4O8PT0xNKlS/HDDz8oTQ0qKipCeHg4OnXqhNatWyMsLAyxsbGgp+PNO3TogKSkpAofY8aMAQDcv38fcrkcVlZWSrFaWVkprUf0rK5du2LHjh0IDAyEnp4eLCwsQERl1ih6/PgxJBIJ9PT04Ovri9WrVyt1ZnXu3BkbN25EdHQ0NmzYgIyMDLi7u+PBgwdK5ezbtw8SiQQGBgZYsWIFDh06VCbmyvzvf//D999/j5iYGEyYMAErVqzAuHHjyuQbPHgwjIyMYGdnB6lUiqioqHLLk8vliIyMxNChQ6Gvr1+lWJKTkzF37lxs3boV2tra5ebx8fHBypUrcfHiRSgUChw6dAg//fQT0tPTxTzXrl1DREQEnJyccODAAYSGhmLatGkIDw8HANy9exfZ2dlYtGgRvL29cejQIQwePBiBgYH49ddfAQBdunSBRCLBlClTkJOTg5ycHISFhUEul4t1yeVyBAYG4pNPPkGbNm3Uusb169ejX79+ZdakYowx9uoUyou/C5Qses27pDHGGGPstRxh9DwjfWpK/fr14e/vj8jISJiamsLLy0tp/aJ79+7hn3/+wejRozF27FjxeFFRkdjRAwBHjhzB4sWLkZqaisePH0Mul6OgoAAZGRmwtbUFAOjr66N58+biOba2tigoKMCjR49gZmYGQ0NDNG3a9JVeb0pKCiZOnIjPPvsMPj4+SE9Px5QpUzB69Ghs3rxZzCeVSpGUlITs7GwcPnwYkydPhoODg7hmz7Mjobp06QInJyds2rQJkydPFo/37NkTSUlJuH//PjZs2IAPPvgAcXFxsLGxUTvm0uW1bt0a9erVQ0BAAJYsWYIGDRqIaStWrMDs2bNx6dIlTJ8+HZMmTcI333xTprzo6Gj8888/SmscqSM/Px8BAQFYtmwZHB0dVeZbtWoVRo4cCWdnZwiCgCZNmmD48OGIjIwU8ygUCnTo0AGLFy8GALRr1w6XL19GeHg4JkyYIHY0DhgwQLz+tm3bIiEhAV9//TV8fX1hYWGBH3/8EWPHjkVERAS0tLQwePBgtG/fHlpaxX3RixYtgp6entI9rMiFCxcQFxcndkoxxhirHkXypyOMjJX/kcG7pDHGGGOai0cY1QIfffQRNm/ejMjIyDLTcEr+cF+3bp3SSJ/z58/jwoULAICbN2/C19cXLVu2xI8//ogzZ86InQMFBQViWTo6yv2DgiAo1XHs2DFIJJIKH4sWLQIAmJubQ1tbu8yOWHfu3KlwZMjixYvRqVMnTJkyBa1bt4aPjw8iIiKwZcsW/Pvvv2I+LS0tNG3aFG3btsUnn3yC999/X6y7PBKJBC4uLrh8+bLScWNjYzRt2hRdunTBd999B11dXXz77bcqy1FH586dAQBXrlxROm5tbY0WLVqgf//++Oabb7B+/Xr8888/Zc5fv3493N3d4ezsXKV609PTkZqaiuHDh0NHRwc6OjqYN28eLly4AB0dHRw8eBAAYGFhgT179iAnJwc3b95EWloaJBKJ0nQ9GxubMvW3bNlSXLDc3NwcOjo6FeYBiqcgXr16FXfv3sX9+/exZcsW3Lp1S6zr8OHDOHLkCHR1daGjoyN2SHbp0kVpofPS98be3h59+/at0r1hjDH2YkpGGPEuaYwxxhgr8VqOMKprevfuDT09Pdy/fx/vvPOOUpqVlRVsbW1x9epVDBs2rNzzExISUFBQgBUrVojTlPbt21flOEqmpFXEzMwMAKCnpwc3NzccOnQI77//vph+6NChCtflyc3NLTOVquR1eTtrlVAoFMjPz1eZnpeXh7S0NPTs2bPC+CsrRx0l96iiUUol1/JsXbdv38avv/76XJ1WdnZ2ZXaCi4iIwKFDh/Dzzz/DwcFBKc3AwAB2dnYoLCzE7t278cEHH4hpHh4euHjxolL+S5cuoXHjxgCK27djx44V5inN3NwcQPFIt7t376J///4AgKioKKVdAG/fvg0fHx9s27YNHh4eSmXk5eVhy5Yt+N///ieOUGKMMVY9Cp+OMGrwTIcRT0ljjDHGNBd3GNUCgiDg3LlzIKJy17SZO3cuJk6cCFNTU7z99tsoLCzE2bNncevWLUyfPh3NmjWDQqHAypUrMXDgQJw8eRIrV1Z9Wl5Vp6RNnjwZQ4cORadOneDh4YF169bh9u3b4jpHAMROrpLpZn5+fhg5ciTWrl0rTkmbNGkS2rdvL07FW7hwITp37gwnJyfk5+fjt99+w5YtW7BmzRqx3LCwMPj5+aFRo0a4e/cu5s+fj5ycHAQFBQEAsrKysHTpUvj5+cHGxgb37t1DeHg4/v33X6WOk4yMDGRkZODSpUsAiqfMZWZmolGjRjAzM0NcXBxOnjyJnj17wsTEBPHx8fj444/Rv39/Md59+/bhwYMHcHNzg0QiwYULFzBlyhR06dKlzP2MjIyEsbGxUgylpaSkoKCgAPfv30d2drbYOdW2bVvo6urC1dVVKb+lpSX09fWVjp86dQq3bt1C27ZtcevWLcyZMwcKhQKffvqpmOfjjz+Gu7s7Fi5ciICAACQmJmL16tVKo7g+/fRTfPDBB+jevTt69eqFmJgY7NixA3v27BHzREVFoUWLFrC0tERcXBxCQ0Px8ccfi1Mfn506J5FIAABNmjRBw4YNldJ27dqFx48f82LXjDFWA4oUCmhrCTAx1K3pUBhjjDFWS3CHUS0hlUpVpo0YMQLGxsb48ssvMX36dBgaGsLFxUXcIa1169ZYtWoVlixZglmzZsHd3R3Lli0Td7N6VQICAvDgwQMsWLAA6enpcHV1xW+//aY0AqX09CUACA4Ohkwmw9dff41PPvkEJiYm6NWrF5YsWSLmyc7OxtixY/Hvv//C0NAQLVq0wObNmzF48GAxz7///ovBgwfj/v37sLCwQJcuXXDy5Emxbh0dHVy4cAGRkZF48OABGjRogI4dO+KPP/5A69atxXLWrVuHuXPniq99fX0BFHeEBAcHQ19fHzt37sTcuXORn5+Pxo0bY+TIkUqdLwYGBli3bh1SU1ORn58Pe3t7+Pv7Y9q0aUrXTkT47rvvEBgYCCMjo3Lv6dtvv42bN2+Kr9u1ayeeq668vDzMmjUL165dg0Qiwdtvv40tW7bA1NRUzNOxY0fs2bMHM2bMwPz589GoUSPMnz9faTHvd955B+vXr8eiRYsQGhqKZs2aYfPmzeI9AoCLFy9i+vTpePjwIRwcHDBz5kx8/PHHasda2oYNG+Dj46O0hhdjjLHqUSgnWBrpQkurZLlrocL8jDHGGHv9CVX5Q7SmdOjQgRISEspNS01NRcuWLas5oucnk8kq7Bxiry9ue83E7a65uO1rh5r4nhAbGwsvL69qrbOqvDZ6AQBig2PhlZiIS3dkcE0lHPzYEwCg8PTC6esPkLDxJ0zo1awGI61b6kLbs1eD215zcdtrptep3QVBOENEHcpL44VCGGOMMcY0XKGcyl3wug78X5Exxhhjrwh3GDHGGGOMabgiBaGB8X/rKPKENMYYY4xxhxFjjDHGmIYrlCvKH2FUA7EwxhhjrHbgDiPGGGOMMQ1GKB5hpNRh9HSIEU9JY4wxxjQXdxgxxhhjjGmwIrkCANBAUnaEEWOMMcY0F3cYMcYYY4xpsEJ58TCi8qek8RAjxhhjTFNxhxFjjDHGmAYrGWHEu6QxxhhjrDTuMGKMMcYY02AFT0cYWUoNxGO8SxpjjDHGuMOIMcYYY0yDFT4dYWQh1S+TxgOMGGOMMc3FHUavqfv370MQBMTGxtZ0KIwxxhirxQrlCmgJQD0DnbKJPCeNMcYY01jcYVRDgoODIQgCQkJCyqRNnToVgiCgX79+NRCZ+ogIc+bMga2tLQwNDeHl5YULFy5UeE5hYSHmzZuHJk2awMDAAG3atEF0dLRSHplMhkmTJqFx48YwNDSEu7s74uPjq1z3o0ePMHToUJiYmMDExARDhw5FZmamUp7k5GR4enrC0NAQdnZ2mDdvHuiZL8e7d++Gs7Mz9PX14ezsjJ9//vm5Yhk5cmStiaU23JcbN24gJCQETk5OMDQ0hJOTE6ZPn44nT56AMcZY9SmUK6CrrQVB4IlojDHGGPtPtXUYCYIwXhCEc4IgZD19xAmC4Ftd9ddG9vb2+OGHH5CTkyMeKyoqwubNm9GoUaMajEw9S5cuxVdffYU1a9YgPj4elpaWePPNNyGTyVSeM2vWLKxduxarV69GSkoKxowZA39/fyQmJop5RowYgQMHDmDTpk1ITk6Gt7c3+vTpg1u3blWp7iFDhuDs2bOIjo5GdHQ0zp49i6FDh4rpWVlZePPNN2FlZYX4+HisWrUKX375JZYvXy7miYuLQ0BAAAIDA5GUlITAwEC8//77OHXqVJVj+euvv2pNLLXhvqSlpUEul2Pt2rW4cOEC1qxZg82bNyM0NFTl+4cxxtjLVyAn6GqX/5WQxxcxxhhjGoyIquUBYACAtwA0BfAGgIUACgG0ruxcNzc3UiUlJUVlWm2UlZVFRERBQUHk6+tL7dq1o8jISDF9z5495ODgQMOGDSNfX18iIpLL5TRv3jxq2LAh6enpkaurK+3Zs0ep3NOnT1P79u1JX1+f2rZtS/v27SMAFBMTI+a5cOECvf322ySRSMjCwoIGDRpE6enpz3UdCoWCrK2tacGCBeKx3NxckkgktG7dOpXn2djY0MqVK5WODRw4kAIDA8UytLW1y1xf+/btaebMmWrXnZKSQgDo+PHjYp5jx44RAEpLSyMiooiICJJKpZSbmyvmmT9/Ptna2pJCoSAiog8++ID69OmjFEvv3r1p0KBBVY7l4MGDtSaW2nBfyhMeHk5mZmYq0+uiks880zzc9rVDTXxPKP27t7byjPIkzyhPIiKqvzeGrPcffSaDJ8XZu9KyA2nVH1wdVhfanr0a3Paai9teM71O7Q4ggVT0xVTbCCMi+j8i2k9EV4joEhHNBCAD0PWlVzZpEuDlVb2PSZOeK9SQkBBERkaKryMjIzF8+HClYeElIzyWLFmC5ORk+Pv7Y+DAgUhKSgIAZGdnw9fXF05OTkhISMAXX3yBsLAwpXrS09PRo0cPuLq64vTp0/j999+RnZ2NAQMGQKEoXuxy27ZtkEgkFT62bdsGALh+/ToyMjLg7e0t1mFoaIgePXrgxIkTKq83Pz8fBgYGSscMDQ1x/PhxAMUjrORyeYV51Kk7Li4OEokE7u7uYh4PDw8YGxsr5enefZg6aAAAIABJREFUvTsMDQ3FPD4+Prh9+zZu3Lgh5ildT0mekjKqEkvnzp1rTSy14b6UJysrC/Xr11eZzhhj7OUrLFJAr7wRRoLASxgxxhhjGqxG1jASBEFbEIRBACQAVP/1qAGGDBmChIQEXL58GRkZGYiOjkZwcLBSnmXLliEsLAxDhgzBG2+8gXnz5qF79+5YtmwZAGD79u0oKChAVFQUXF1d4ePjg5kzZyqVsXbtWrRp0wZLlixBy5Yt0bp1a2zevBmnT59GQkICAKB///5ISkqq8NG/f38AQEZGBgDAyspKqR4rKysxrTw+Pj5YuXIlLl68CIVCgUOHDuGnn35Ceno6AEAqlaJr165YsGABbt26Bblcjq1btyIuLk7Mo07dGRkZsLCwUOp4EwQBlpaWSnnKK6N0HarylE7nWJ4vlmfdvHkTy5Ytw7hx48pNZ4wx9vIVyhUoVFQ0JY17jBhjjDFNVc52GK+OIAitAMQBMACQDcCfiJJV5B0FYBRQ/Eemqt2+TExMyq6ZM3/+S4u5SipYu6eEXC6HTCZDYWEhioqKoKOjg379+mHdunUwMTFBt27dUL9+fTH91q1buH37Ntq1a6d0nR07dsShQ4cgk8nw119/wcXFBUQk5mnVqhUAIDc3FzKZDKdOncIff/wBiURSJqbz58+jZcuWAMr+gV/+ZcqQm5sLoHh0U+m4SuJWtY7RwoULMXHiRDg7O0MQBDg6OiIwMBBbt24Vz1m7di3Gjx+Phg0bQltbG23atMF7772HpKQktevOz89Xuh8liAj5+fmQyWQoKipCYWGhUp7s7GwAQE5Ojnj8yZMnSnlKFmWuaiwlbV8bYqkN96W0u3fv4u2334aXlxdGjBhR4TpYdc2z7c40B7d97ZCXl1ftO4ZmZ2fX+l1KSzY72HcoFgBQVKB8n9pmZgJEuHnzb8TGqv5HEFNWF9qevRrc9pqL214zaUq7V2uHEYCLANoCMAHwHoBNgiB4EdH5ZzMS0XoA6wGgQ4cO5OXlVW6BqampkEqlryzgl00mk0EqlUJXVxc6OjqQSqUYPXo0goKCIJFIMG/evDLpAGBkZKR0nfr6+tDW1oZUKoWenp74vERJx1DJeVpaWvD19RVHJZVmZWUFqVSKbdu2YfTo0RXG/8033yAwMBBOTk4AijsQStf7/+3de5yddX3g8c93kskFMiFAIJOIhETu92isolwGlC5ltWrtiq26Xrqw3tpuu71I166XdqvdKq6vVqvY14q1q1JN3VVEAS8jQhIQBSEUAUkgmBBISCb3STKZ7/7xnKQzQ+aac5lzns/79ZpX5pzn9zzPd+Y7z8lzvud32bx5MyeccMKwOeno6OCmm26it7eXZ599lgULFvC+972PxYsXH9znvPPO44477mDnzp1s27aN+fPnc9VVV3HyySfT0dExpnMvXLiQTZs2MWvWrIO9aTKTjRs3snDhQjo6OjjhhBN49tlnBx3jwATkL3jBC+jo6KCzs5Nt27YNarNt2zY6OzvHHUtbW9vBNo2OZTL8Xg7YsGEDr371qzn33HP58pe/zNSp9X5Zqq0D17zKx9xPDjNmzGDJkiV1PWd3dzfD3bdMFnMenwPAKee8CO66h9kdR9L18pcMaDCH2LKZE088ka6u0xsUZfNphtyrNsx9eZn7cipL3us6JC0z91bmMPpJZl4L3Af8QT1jmIxe8YpXMG3aNDZt2sRrX/vaQdtmz57NggULuPPOOwc9f8cdd3DmmWcCcMYZZ/DAAw8MWm1t5cqVg9q/8IUv5MEHH2ThwoWcfPLJg74OvKEZz5C0RYsW0dnZyW233XbwHL29vfzoRz8aND/OcGbMmMHznvc8+vr6WLZsGa95zWue0+bII49k/vz5bNmyhVtuueVgm7Gc+4ILLmDHjh2sWLHiYJsVK1awc+fOQW1+9KMf0dvbe7DNbbfdxoIFCzjppJMOthl4ngNtDhxjPLHcfffdkyaWyfB7gWJura6uLs4444yWLBZJ0mS3cfsegEPPYYSrpEmSVGrDzYZdjy/g+8A/jdaulVdJG/j81q1bDz4euP0Tn/hEdnR05Je+9KV8+OGH88///M+zra0t77vvvszM3L59e86dOzff8IY35KpVq/LWW2/NM844Y9AqaevWrcvjjjsuX/e61+XKlSvzsccey9tuuy2vvvrqCa/k89GPfjRnz56dy5YtywceeCCvuuqqnD9//qDjXXbZZfm+973v4OOVK1fmsmXL8rHHHsvbb789L7vssly0aFFu2bLlYJvvfOc7efPNN+fq1avz1ltvzfPOOy9f8pKX5N69e8d17iuuuCLPPvvsXL58eS5fvjzPPvvsfNWrXnVwe09PT86bNy+vuuqqfOCBB3LZsmXZ0dGRH/vYxw62ufPOO3PKlCn5kY98JB966KH8q7/6q5w6dWquXLly3LGceeaZkyaWyfB7WbduXZ5yyil5ySWX5Nq1a/Opp546+NXX15etwpWyysvcTw6uknZoB1ZJu/HutTl92XfzpXffM6TBJbnyxHPyo99+qDEBNqlmyL1qw9yXl7kvp1bKOyOsklbP4tBHgYuAk4BzgI8A/cCvjbZvGQpGQw3cvn///vzwhz+cJ5xwQra3t+fZZ5+dX//61we1X7lyZS5ZsiSnTZuW5557bn7jG98YVDDKzHzkkUfy9a9/fc6ZMydnzJiRp556ar73ve/NPXv2TOhn6e/vzw984APZ2dmZ06dPz4svvjgfeOCBQW0WLlyYb33rWw8+7u7uzjPOOCOnT5+exx57bL7lLW/JdevWDdrnxhtvzMWLF+e0adOys7Mz3/Oe92RPT8+4z7158+Z805velB0dHdnR0ZFvetObBhWmMjPvv//+vOiii3L69OnZ2dmZH/zgBw8uHX/AV7/61TzttNOyvb09Tz/99Fy2bNmEYnnDG94waWKZDL+Xz3/+80nx4fVzvtasWZOtwqJBeZn7ycGC0aEdKBj93fcfzenLvpsX/eSnQxoUBaOP3GzBaDyaIfeqDXNfXua+nFop7yMVjCLrtF5qRNwAXAp0AluB+4G/ycxbRtt36dKleWAlr6EeeuihgxM2NwPntCgvc19O5r28zP3k0Ij7hGaY16Drhq7i32M+xd/0P82LFx5N98C5nrq6uGvNZr7/mRu59tea5z6r0Zoh96oNc19e5r6cWinvEfGTzFx6qG11mzAkM99Wr3NJkiRpdBu372Ha7LpOaSlJkpqEdwiSJEkltXH7HtqnxCG3BTjrtSRJJWbBSJIkqaQ27thD+zArpIH1IkmSysyCkSRJUkkVPYy8HZQkSc81rjmMImI6sACYCWzMzI01iWqcMpOIQ3enliRJ5VSvhT2aVX8mO/b0MW3qMPdQ4e9QkqQyG/UjpYjoiIh3RcTtFKub/QJYBWyIiLUR8bmIeHGtAx1Oe3s7u3fvbtTpJUnSJLV7927a29sbHcakta+vKAa1t40wJM16kSRJpTViwSgi/hB4HHgHcBvwGuB84FTgAuCDFL2UbouI70TEKbUM9lCOP/541q1bx65du/wUTJIkkZns2rWLdevWcfzxxzc6nElr7/5+ANqnOiRNkiQ912hD0l4KXJKZq4bZfjfwvyPiXRRFpUuAR6sY36hmz54NwPr169m3b189Tz0hvb29zJgxo9FhqAHMfTmZ9/Iy943V3t7OvHnzDt4n6Ln2VQpG04aZwyhw0mtJkspsxIJRZr5hLAfJzF7g01WJaAJmz57dNDeE3d3dLFmypNFhqAHMfTmZ9/Iy95rs9vZVCkYj9DCy87YkSeU15j7IEXHSCNteVo1gJEmSVB979/czbWobU9tcOESSJD3XeAat/ywi3jzwiYhoi4gPAz+obliSJEmqpb19/cybPX3ENumgNEmSSms8BaM/AT4TEV+KiNkR8QJgOcXcRf++JtFJkiSpJvb29dM5e+R5thySJklSeY25YJSZnwWWAqcBq4B7gXXAuZn53dqEJ0mSpFrYu7+fzqNmNjoMSZI0SY13HdWngMeBecBM4DuZubnaQUmSJKm2ih5GIw9JkyRJ5TWeSa8vBh4ATgDOohiK9jcR8fWIOLZG8UmSJKnK+vqT/kzmjTgkLUjHpEmSVFrj6WH0XeAfgZdn5i8y84vAEuA4ikKSJEmSmsDevn4AOo8avmAULp4mSVKpTR1H21dm5u0Dn8jMNZWeR39W3bAkSZJUKwcLRrNnwJbh29m/SJKk8hrPpNe3D/N8f2b+ZfVCkiRJUi3t3V8UjEYekuYqaZIkldmIBaOIeHPE2DokR8TCiLioOmFJkiSpVg70MBqtYCRJksprtB5G7wAejog/i4hzhhaPIuKYiPj1iPhn4MfAUbUKVJIkSdWxd38/7VPamDZ15FvBdFCaJEmlNeJdQmZeBvwBcAlwH7AjItZExEMRsQHYCHwW+AVwVmbeVOuAJUmSdHj29vWPWiySJEnlNuqk15n5LeBbETEXuBBYCMwENgH3AvdmZn9No5QkSVLV7O3rZ/ooBaPAOYwkSSqzMa+SlpmbgP9bw1gkSZJUB3v79tMxY/TbQOtFkiSV14T6IkfE/CGPT6tOOJIkSaql7b376OvPUXsYSZKkcpvoncK/RMSrASLiGuDvqxeSJEmSauWprb0ATJ86ZdS2DkmTJKm8JloweiXw5oi4D1gM/Gr1QpIkSVKtrNuyG2CMk15bMZIkqawmWjB6McXk198EfgU4oWoRSZIkqWbW9RQFI4ekSZKkkYw422FEXAwsz8y+IZveC1yZmZsj4kzgH4GLaxSjJEmSqmR9z24igvbRCkbhkDRJkspstI+WfgAcAxARqyPiWIDM/M3M3Fz5/l8phqhJkiRpklvfs5tpU9qIMbS1YCRJUnmNVjDaAiyqfH/ScO0zc28VY5IkSVKNrOvZPabhaGMpKEmSpNY14pA0YBnww4h4imLWw3siYv+hGmbm4moHJ0mSpOpa39M75vmL0kmvJUkqrdEKRu8EvgGcAlwHfB7YPpETRcS1wG8ApwF7gJXAtZm5aiLHkyRJ0vj07e9nw7Zeps0dY8HIepEkSaU1YsEoMxP4FkBEnAd8PDMnVDACuoBPAz+m6OX8YeC7EXHmgfmQJEmSVDvPbN/D/v7kiKlTGh2KJEma5EbrYXRQZr79cE6Umf9u4OOIeAuwFXg58M3DObYkSZJGt65nNwDT2sfSwygckCZJUomNuWBUAx0Uk2hvOdTGiLgGuAZg3rx5dHd31y+yGtqxY0fL/CwaH3NfTua9vMx9eU3m3C9f3wfA3l076dkD3d3d9FS2DYz5/J4e+vv7eeqpDXR3H/JWTYcwmXOv2jL35WXuy6kseW9kweiTwH3AikNtzMzrgesBli5dml1dXfWLrIa6u7tplZ9F42Puy8m8l5e5L6/JnPv7v/co8AhzjzmKtgi6urqYc++9AHQtWfJvDefMoW1bD52dnXR1ndeYYJvQZM69asvcl5e5L6ey5L0hBaOIuA64ELgwMw+56pokSZKqa+3mXcybPZ22iFHbBq6SJklSmY1tiYwqiohPAL8FXJaZq+t9fkmSpLJau3kXJx5zxNh3sF4kSVJp1bVgFBGf5N+KRT+v57klSZLK7snNu3j+WAtGo3dCkiRJLaxuBaOI+BTwduC3gS0R0Vn5mlWvGCRJkspqT99+NmzrHVcPIzsYSZJUXvXsYfRuipXRvgc8NeDrj+oYgyRJUimt27KbTMZXMEpLRpIklVXdJr3OTDs2S5IkNcjazbuA8RWMJElSedV90mtJkiTV35OVgtFY5zAqVkmTJEllZcFIkiSpBNZu3sX0qW0cN2v6mPdxRJokSeVlwUiSJKkE1lZWSGtrc5YASZI0OgtGkiRJJfDEs7t4/tEzx7WPHYwkSSovC0aSJEktrr8/eeLZXZw098hx7ecqaZIklZcFI0mSpBb39PZedu/bz+LjZjU6FEmS1CQsGEmSJLW4NRt3ArB4vD2MahGMJElqChaMJEmSWtzqTUXBaNE4C0aSJKm8LBhJkiS1uDWbdjKjvY3O2TPGvE8EdjGSJKnELBhJkiS1uDWbdrJo7iza2mJc+6UVI0mSSsuCkSRJUotbs2nnuOcvkiRJ5WbBSJIkqYXt29/P2s27JjR/UdrBSJKk0rJgJEmS1MLWbt7F/v60YCRJksbFgpEkSVILW7OxWCFt8XHjLRiNb74jSZLUWiwYSZIktbBfbNwBwOK5s8a1X7FIml2MJEkqKwtGkiRJLeyRp7czb/Z0jjqifdz7OiRNkqTysmAkSZLUwh59egenzutodBiSJKnJWDCSJElqUf39yaPPbOeU4ydWMLKDkSRJ5WXBSJIkqUU9uWUXvfv6OXXe+OYvOsAhaZIklZcFI0mSpBb1yNPFhNenOCRNkiSNkwUjSZKkFvXI09sBJtbDKMBBaZIklZcFI0mSpBb16NPbWXDUDDpmjH+FNHBImiRJZWbBSJIkqUU98vSOCQ9HiyrHIkmSmosFI0mSpBa0b38/v3hmB6d1Tnz+IjsYSZJUXhaMJEmSWtAvntnB3v39nLVg9oSPkY5JkySptCwYSZIktaAH128D4KwFRzU4EkmS1IwsGEmSJLWgB9dvZWb7FBbNPXLCx7B/kSRJ5WXBSJIkqQU9uH4bZ8zvYErbxKevdkSaJEnlZcFIkiSpxfT3Jw+t3+ZwNEmSNGF1LRhFxMUR8Y2IWBcRGRFvq+f5JUmSyuDJLbvYvqfvsCa8DhySJklSmdW7h9EsYBXw+8DuOp9bkiSpFKo14bWrpEmSVF5T63myzLwZuBkgIm6o57klSZLK4me/7GHalDZO7Zw18YNMfOojSZLUApzDSJIkqcXcu7aHMxfMZvrUKY0ORZIkNam69jAaj4i4BrgGYN68eXR3dzc2oCrZsWNHy/wsGh9zX07mvbzMfXk1Ovf7+5P7ntjFJc+fOmwcPT09AHR3d9NTeW5g2/N7etjf18/mzZv9Ox6HRudejWPuy8vcl1NZ8j5pC0aZeT1wPcDSpUuzq6ursQFVSXd3N63ys2h8zH05mffyMvfl1ejcr1q3lb233sGrX3YOXectOGSbOY/PAaCrq4s5995bfL9kyYAGc/jlrm0cffQxdHW9pOYxt4pG516NY+7Ly9yXU1ny7pA0SZKkFnLvk0WfoSXPn3NYxylWSXPSa0mSysqCkSRJUgu5d+0W5s6azglHz2x0KJIkqYnVdUhaRMwCTq48bANOjIjzgc2ZubaesUiSJLWi+9b2sOTEOUQc/jJnaQcjSZJKq949jJYC91a+ZgIfqnz/4TrHIUmS1HI2bt/D6k07edHCo6tyPAtGkiSVV117GGVmN8WQeEmSJFXZytXPAnDB4mMbHIkkSWp2zmEkSZLUIlaufpZZ06dy1oLZVTmek15LklReFowkSZJaxIrVz/Iri45h6pTq3OI5JE2SpPKyYCRJktQCntnWy+qNO3np4mMaHYokSWoBFowkSZJawIrK/EUvrdL8RRE4IE2SpBKzYCRJktQCbn9kE0fNbOesBUdV76BWjCRJKi0LRpIkSU2uvz/54SPPcMmpxzGlzQVpJUnS4bNgJEmS1ORWrd/Kph17ufT046p6XFdJkySpvCwYSZIkNbnv//wZIuCSU4+v6nFdJU2SpPKyYCRJktTkfvDwRs5//hyOOXJao0ORJEktwoKRJElSE9uwtZf7f9nDK06vbu+iwDmvJUkqMwtGkiRJTexbDzxFJlx5zvyqHzsdkyZJUmlZMJIkSWpiN92/njPnz2bxcbMaHYokSWohFowkSZKa1C+37OLetT286rzq9y4Ch6RJklRmFowkSZKa1E33PwXAq85ZUJPjOyJNkqTysmAkSZLUhDKTG3/8JEsXHs2Jxx5R/RNEVP+YkiSpaVgwkiRJakIrVj/Lmk07+e2XnFizc9jBSJKk8rJgJEmS1IS+fPeTHDWzvSarox3kmDRJkkrLgpEkSVKTeWZbL7es2sDrljyPGe1TanIOB6RJklRuFowkSZKazD/csYa+/n7e/vKTanoe+xdJklReFowkSZKaSM+uvfyflU/wqnMXsPDYI2t6LkekSZJUXhaMJEmSmsj/vvNxdu7dz7u6XtDoUCRJUguzYCRJktQkNmzt5XO3r+bKczo5Y/7smp8vHZQmSVJpWTCSJElqEv/zlp+zvz+59tfOaHQokiSpxVkwkiRJagLLH9vEv/x0He+4cBHPP+aImp8vcA4jSZLKzIKRJEnSJLetdx9/9M8/Y/HcI/n9V5xSt/NaMJIkqbymNjoASZIkDa+/P/nTr93P09v38LV3XsDMaVMaHZIkSSoBexhJkiRNYtfd9gjfXrWBP73iNJaceHT9Thw45bUkSSVmDyNJkqRJKDP5dPdj/N0PfsEbX/x8rr5ocUNikCRJ5WTBSJIkaZLZ29fP//jWv/KFFU/wuiXP4y9fezYR0eiwJElSidR9SFpEvDsi1kREb0T8JCIuqncMkiRJk9WqdVt5/d8v5wsrnuB3LlzEx//DeUyd4iwCkiSpvurawygirgI+CbwbuKPy77cj4szMXFvPWCRJkiaLzOSna3u4Yfnj3HT/eo45YhqfefOLuOLszobFFLhKmiRJZVbvIWl/CNyQmZ+rPP7diLgCeBdwbZ1jkSRJqru9ff08u3MPG7b28vCG7fzsl1vpfvgZntraS8f0qVxz8WLec+nJzJ7R3uhQJUlSidWtYBQR04AXAR8bsulW4GX1iqORfv3v7mDTll0c8dMfVvW4tZqQsqYfKtbo4LWM+XB/z7t372bmj3/w3OMe1lGHV8tPhbNGUdc05gZ9St7b28uMld8f9361nGjWv7kBx63ha9HevXuZdud3q3/smv2ea/g312Sv+Yd7/e3bt4/222895La+/cn2PX2Dnps1fSoXnjyXP7j8eK48Zz6zpk+eKSbXbNrJ5ddV976lle3ctYsjq3yfp+Zg7svL3JfTzl27+ObSPRw7a3qjQ6mpet6RzAWmAE8Pef5p4JVDG0fENcA1APPmzaO7u7vW8dXcrP49TJnZz9S23VU/djNOg1mrmCfrnKB9bf1MnbrnkNtqFnINfxdRo4NP0vSNaKS/uX3T+mlv3zex404wnkaarNffSGoV8r59/Uxr31+bg9co6Fqmrzlf5yZm376kvf3QRae2gFnt7Rw1PThqevC8WW3MnRm0xXbYsZ17Vjw28YDHoaenB4Du7m56Ks8NvNc6v6eHI9qSc+cGUP37llY1a3o/U8LfVxmZ+/Iy9+U0a3o/K1cs58j2Jrz5HYfJ8xHWEJl5PXA9wNKlS7Orq6uxAVVBV1dxM9YKP4vGz9yXk3kvL3NfXs2Q+zmPzwGgq6uLOffeW3y/ZMmABnOYA3ztD65oQHTNqxlyr9ow9+Vl7supLHmv55Ibm4D9wLwhz88DNtQxDkmSJEmSJI2gbgWjzNwL/AS4fMimy4Hl9YpDkiRJkiRJI6v3kLTrgC9GxN3AncA7gQXAZ+ochyRJkiRJkoZR14JRZt4YEccC7wfmA6uAKzPziXrGIUmSJEmSpOHVfdLrzPw08Ol6n1eSJEmSJEljU89JryVJkiRJktQELBhJkiRJkiRpEAtGkiRJkiRJGiQys9ExjCoiNgKtMjH2XGBTo4NQQ5j7cjLv5WXuy8vcl5e5Ly9zX17mvpxaKe8LM/O4Q21oioJRK4mIezJzaaPjUP2Z+3Iy7+Vl7svL3JeXuS8vc19e5r6cypJ3h6RJkiRJkiRpEAtGkiRJkiRJGsSCUf1d3+gA1DDmvpzMe3mZ+/Iy9+Vl7svL3JeXuS+nUuTdOYwkSZIkSZI0iD2MJEmSJEmSNIgFI0mSJEmSJA1iwUiSJEmSJEmDWDCqooi4JiJ+EBE9EZERcdIh2hwdEV+MiK2Vry9GxJxRjhsR8cGIWB8RuyOiOyLOqtXPocMTESdV8n+orz8eYb+uYfY5vZ7x6/BUrs+hOfzKGPZ7fUT8a0Tsqfz7unrEq+qIiGMi4m8j4ueV1+knI+LvI+LYUfZ72zDX/Yx6xa7xi4h3R8SaiOiNiJ9ExEWjtL+k0q43IlZHxDvrFauqIyKujYgfR8S2iNgYEd+MiLNH2We4+4Er6hW3Dl/lHnxoDjeMss85EfHDyv8H6yLiv0dE1CtmHb6IeHyY6/dbI+xzqPa+3k9yEXFxRHyjcq1mRLxtyPYJvRdvlXt7C0bVdQRwK/DBEdp8CXghcEXl64XAF0c57p8A/xX4XeDFwDPAbRHRcZjxqjaeBOYP+Xo3kMDXxrD/WUP2fbQ2YaqGPs/gHP7nkRpHxAXAjcD/Ac6v/PvViHhJjeNU9SwAnkfxen0O8GbgYuDLY9h3F0NeMzKzt0Zx6jBFxFXAJ4G/ApYAy4FvR8SJw7RfBNxcabcE+AjwtxHx+vpErCrpAj4NvAy4DOgDvhsRx4xh3ysYfI1/v0YxqnYeZnAOzxmuYUTMBm4Dnqa4b/994I+BP6x9mKqiFzM45y+kuJf/51H2u3rIfl+oYYyqjlnAKoprdfchto/7vXgr3du7SloNRMRS4MfAosx8fMDzZwD/ClyYmXdWnrsQ+BFwemY+fIhjBbAe+LvM/B+V52ZS/KH+UWZ+tsY/jqogIm4DMjN/dYQ2XcAPgOMyc1O9YlN1RUQ3sCoz3zuOfW4EjsnMywc8911gY2b+VvWjVD1ExJXATcCczNw2TJu3Uby+z6pnbJq4iLgLuD8zrx7w3KPA1zLz2kO0/2vgNzLzlAHP/QNwVmZeUI+YVX0RMQvYCrw2M785TJuTgDXAizPznvpFp2qKiA8Cv5mZI/YoG9D+XcBfA/Myc3flufcD7wJOSN98NaWI+G8Uhb/5B/J6iDYJ/IfMHMsHxJqEImIH8N7MvKHyeELvxVvp3t4eRvV1AbCD4lPGA+4EdlJ8YnUoi4BOip5LAFRepG4fYR9NIhGxGHgFcP0Yd7knIp6KiO9FxKU1DE2188aSs5PTAAAIUElEQVSI2BQRD0bEx8bQG/ACBlzjFbfgNd7sZgN7KHoQjWRmRDwREb+MiJsiYkkdYtMERMQ04EU893q9leGv1+Gu76UR0V7dCFVHHRT30VvG0PZfIuKZiLgzIn6zxnGpNhZXhqOsiYivVO7thnMB8KMhRYVbKHqinlTLIFUblaLB7wD/NFyxaIBPVu4BfxwR74wI3283t4m+F2+Ze3v/gOurk6KqePCThcr3z1S2DbcPFN1aB3p6hH00ufwnYCPw/0Zp9xTFp0+vB36Dovvz92KUuTE06XwJeBNwKfAXFPlcNso+nXiNt5Qo5qb7C+Bzmdk3QtOHgXcArwF+C+gF7oyIU0bYR40zF5jC+K7X4a7vqZXjqTl9ErgPWDFCmx3AHwFvAK4EvgfcGBFvrn14qqK7gLdRDC28muKaXh7Dz1E33DV/YJuaz+UUhYPPjdLuvwNXAa8EvgJ8HPiz2oamGpvoe/GWubef2ugAJruI+Evgv43S7NLM7K5DOGqgifwtRMRU4O3AFzJz30g7VoYkDhyWuKLSnf2PKYYtqkHGk/vMHNiT7IGIWA3cFREvzMyf1i5K1cIEr/tZwDeBdRTj3oeVmSsY8IYzIpZTvAn9XeD3Jha1pFqKiOuACymmGNg/XLvK8PKPD3jqnoiYS/G68E+1jVLVkpnfHvg4IlYCq4G3Atc1JCjV29XAjzPzZyM1ysy/GPDwvoiYQnEP8Ze1DE6qJQtGo/tfjP6f+toxHmsDcFxExIFeRpUujsdXtg23D8C8IeeZN8I+qo2J/C28mqKS/A8TPOddwBsnuK+q53BeB+4B9gOnAMMVjDZQXNMDeY1PDuPKfaVYdHPl4avGO3l1Zu6PiHso/l40+WyiuJ7Hc70Od333VY6nJhIRn6D4f/nSzFw9gUPcRfFBkppUZu6IiAcZ/nV6uGv+wDY1kYg4nqIX8HsmsPtdwOyImJeZQ3ubqDlM9L14y9zbWzAaReXToWrd0K2gmIX9Av5tHqMLgCMZPK/RQGso/rAup5hImyiWW76IoueJ6mSCfwtXAz/MzEcmeNrzKYaqqYEO83XgHIohLCPlcQXFNf43A567nOFfF1Qn48l9Za6qbwMBXJGZO8Z7vsqHCOcCI36KqcbIzL0R8ROK6/OrAzZdzvBDT1cAQ5fSvRy4Z7Sep5pcIuKTFMNNLs3Mn0/wMP6/3uQq9+GnUyxUcigrgL+OiBkDPjS4nGLi3MdrH6Gq7G0U8xGOZdXToc6nGGreU82AVFcTfS/eMvf2FoyqKCI6KXqTnFp56szKPBZrM3NzZj4UEd8BPhsR11TafBa46cAKaRHxPIox7tdm5tczMyPifwF/FhE/Bx4B3k8xLv5L9fvpNF6VJZb/HfAfh9n+jwCZ+R8rj/8LxY3Eg8A0imW5X0sxB46aQES8gGL+opspigxnUgxHuJdigvsD7b4H3D1gRaVPArdHxPuA/0vx5vJSiiEPagKVYtGtFBNdvxY4MiKOrGzenJl7K+0G5T4iPgCsBB6t7Pt7FAWjd9X3J9A4XAd8MSLupriu30kxme1n4Lmv7ZXn31v5v/yzwMsp3oA01SopZRcRnwLeQnF9b6nc8wHsOFAcjoiPAL+Sma+oPH4rsI/i/4B+il7H7wH+tM7h6zBExMcohhmvpRgV8OcUH/Z+obJ9UN4p7s8/ANxQGdZ8KvA+4EOukNZcKh/i/CfgK0M/BIqI91KspnV65fGBUQUrKJZmvxT4MHB9Zu6pa+Aal0rv8JMrD9uAEyPifIr7t7VjeS/eyvf2Foyq650U/0Ec8K3Kv28Hbqh8/9vA31LMkg7wDWDg8tvtwGnAUQOe+5/ATOBTwNEU3Rt/NTO3VzF2Vd/vUCy5O9ynzicOeTyNogp9AsV/NA8C/z4zbx66oyatvRQr4v0+RW/CJyleBz40ZJ6LF1S2AZCZyyPijRRj3D8MPAZclZl31StwHbYXAS+tfD+0R+GlQHfl+0G5B+ZQrKDYSfF6cS9wcWbeXbNIdVgy88bKZLfvB+YDq4ArM/OJSpMTh7RfExFXAp+gKASuB34vM0ebDF+Ty7sr/35vyPMfAj5Y+X4+xTU+0PuBhRRDGR8B3pGZzl/UXE6g6F0yl2IRk5XASwdc84PynplbI+Jyivv2eyhW0vs4znfUjLoohh4eaqL6uRTv2Q7YR/E6cR1F0WE1xSTYn6ptiKqCpQzuMfihytcXKD7gGct78Za9tw8L3ZIkSZIkSRqordEBSJIkSZIkaXKxYCRJkiRJkqRBLBhJkiRJkiRpEAtGkiRJkiRJGsSCkSRJkiRJkgaxYCRJkiRJkqRBLBhJkiRJkiRpEAtGkiRJhyEi2iLi9oj45pDnj4iIhyPiM42KTZIkaaIsGEmSJB2GzOwH3gZcGhHvGLDpr4EpwH9tRFySJEmHIzKz0TFIkiQ1vYh4J0WR6FzgZOAWoCsz72hoYJIkSRNgwUiSJKlKIuIWYCZwEvCVzPyTxkYkSZI0MRaMJEmSqiQiFgGPVb7Ozsw9DQ5JkiRpQpzDSJIkqXreAewGTgAWNTgWSZKkCbOHkSRJUhVExIuB5cCvA+8C5gEvy8z9DQ1MkiRpAuxhJEmSdJgiYgbwj8ANmflt4BqKia+dw0iSJDUlexhJkiQdpoj4BPBa4NzM3F557o3AF4AXZuaDjYxPkiRpvCwYSZIkHYaIuBj4PvDKzOwesu2rFHMZvTQz+xoQniRJ0oRYMJIkSZIkSdIgzmEkSZIkSZKkQSwYSZIkSZIkaRALRpIkSZIkSRrEgpEkSZIkSZIGsWAkSZIkSZKkQSwYSZIkSZIkaRALRpIkSZIkSRrEgpEkSZIkSZIG+f8BUJipXwuL5AAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"KDg6q2_oR46v","executionInfo":{"status":"ok","timestamp":1611766197041,"user_tz":-330,"elapsed":4543,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"cf8d1695-38f7-43c3-8462-08319b830a13"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","import math\n","\n","def plot_gaussian(mu,sigma):\n"," #x = np.linspace(mu - 3*sigma, mu + 3*sigma, 100*sigma)\n"," x=np.linspace(-10,10,2000)\n"," fx=[]\n"," for i in x:\n"," fx.append( (1/(math.sqrt(2*np.pi)*sigma)) * np.exp(-((i-mu)**2)/(sigma**2)) )\n"," plt.figure(figsize=(20,4))\n"," plt.rcParams.update({'font.size':14})\n"," plt.plot(x, fx,label=f'Gaussian distribution with mean={mu} and standard deviation={sigma}, Variance={f_variance(fx,x)}')\n"," plt.axvline(x=f_median(fx),ymin=min(fx),ymax=max(fx),color='c',label=f'Median={f_median(fx)}') \n"," plt.axvline(x=f_mean(fx,x),ymin=min(fx),ymax=max(fx),color='g',label=f'Mean={f_mean(fx,x)}') \n"," plt.axvline(x=f_mode(fx),ymin=min(fx),ymax=max(fx),color='r',label=f'Mode={f_mode(fx)}') \n"," plt.xlabel('X')\n"," plt.ylabel(u'f\\u2093(x)')\n"," plt.grid()\n"," plt.legend(loc='upper left')\n"," #plt.ylim([0,1])\n"," plt.show()\n","\n","print('GAUSSIAN DISTRIBUTIONS:')\n","\n","plot_gaussian(0,5)\n","plot_gaussian(3,5)\n","plot_gaussian(3,2)\n","\n","mu=3\n","sigma=2\n","x=np.linspace(-10,10,2000)\n","fx=[]\n","for i in x:\n"," fx.append( (1/(math.sqrt(2*np.pi)*sigma)) * np.exp(-((i-mu)**2)/(sigma**2)) )\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(x,integ(fx,x) ,label=f'CDF for Gaussian distribution with mean={mu} and standard deviation={sigma}')\n","plt.xlabel('X')\n","plt.ylabel(u'f\\u2093(x)')\n","plt.grid()\n","plt.legend(loc='upper left')\n","#plt.ylim([0,1])\n","plt.show()\n"],"execution_count":294,"outputs":[{"output_type":"stream","text":["GAUSSIAN DISTRIBUTIONS:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"NsZtzz30SX57"},"source":["**Anomaly:**\n","\n","Here although the mean median and mode are supposed to coincide, it is not happening in the plots. This is because the whole distribution value is not present in the list and the integrations are done based on the values in [-10,10]"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":505},"id":"slr7pNwAQU3G","executionInfo":{"status":"ok","timestamp":1611766186800,"user_tz":-330,"elapsed":2355,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"18b3d6d1-9a12-4fbf-974d-e425a2a59d75"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","import scipy.stats as stats\n","import math\n","\n","def plot_stdNormal():\n"," mu=0\n"," sigma=1\n"," x=np.linspace(-10,10,2000)\n"," fx=[]\n"," for i in x:\n"," fx.append( (1/(math.sqrt(2*np.pi)*sigma)) * np.exp(-((i-mu)**2)/(sigma**2)) )\n"," plt.figure(figsize=(20,4))\n"," plt.rcParams.update({'font.size':14})\n"," plt.plot(x, fx,label=f'Standard normal distribution (i.e., mean={mu} and standard deviation={sigma}, Variance={f_variance(fx,x)})')\n"," plt.axvline(x=f_median(fx),ymin=min(fx),ymax=max(fx),color='c',label=f'Median={f_median(fx)}') \n"," plt.axvline(x=f_mean(fx,x),ymin=min(fx),ymax=max(fx),color='g',label=f'Mean={f_mean(fx,x)}') \n"," plt.axvline(x=f_mode(fx),ymin=min(fx),ymax=max(fx),color='r',label=f'Mode={f_mode(fx)}')\n"," plt.xlabel('X')\n"," plt.ylabel(u'f\\u2093(x)')\n"," plt.grid()\n"," plt.legend(loc='upper left')\n"," #plt.ylim([0,1])\n"," plt.show()\n","\n","print('STANDARD NORMAL DISTRIBUTION:')\n","\n","plot_stdNormal()\n","\n","mu=0\n","sigma=1\n","x=np.linspace(-10,10,2000)\n","fx=[]\n","for i in x:\n"," fx.append( (1/(math.sqrt(2*np.pi)*sigma)) * np.exp(-((i-mu)**2)/(sigma**2)) )\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(x, integ(fx,x), label=f'CDF for Standard Normal distribution (i.e., mean={mu} and standard deviation={sigma})')\n","plt.xlabel('X')\n","plt.ylabel(u'f\\u2093(x)')\n","plt.grid()\n","plt.legend(loc='upper left')\n","#plt.ylim([0,1])\n","plt.show()"],"execution_count":293,"outputs":[{"output_type":"stream","text":["STANDARD NORMAL DISTRIBUTION:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":980},"id":"gIIzWz9A96gc","executionInfo":{"status":"ok","timestamp":1611766179690,"user_tz":-330,"elapsed":4350,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"ff16fe0e-1fa5-45ad-fd68-994a5f2ad419"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","from math import gamma as gamma\n","\n","def plot_chisquare(n):\n"," df=n\n"," x=np.linspace(-10,10,2000)\n"," fx=[]\n"," for i in x:\n"," if (i<0):\n"," fx.append(0)\n"," else:\n"," fx.append(1 / (2*gamma(df/2)) * (i/2)**(df/2-1) * np.exp(-i/2))\n"," plt.figure(figsize=(20,4))\n"," plt.rcParams.update({'font.size':14})\n"," plt.plot(x, fx,label=f'Chi-square distribution with k={n} and $\\sigma$={sigma}, Variance={f_variance(fx,x)}')\n"," plt.axvline(x=f_median(fx),ymin=min(fx),ymax=max(fx),color='c',label=f'Median={f_median(fx)}') \n"," plt.axvline(x=f_mean(fx,x),ymin=min(fx),ymax=max(fx),color='g',label=f'Mean={f_mean(fx,x)}') \n"," plt.axvline(x=f_mode(fx),ymin=min(fx),ymax=max(fx),color='r',label=f'Mode={f_mode(fx)}')\n"," plt.xlabel('X')\n"," plt.ylabel(u'f\\u2093(x)')\n"," plt.grid()\n"," plt.legend(loc='upper left')\n"," #plt.ylim([0,1])\n"," plt.show()\n","\n","print('CHI-SQUARED DISTRIBUTIONS:')\n","plot_chisquare(1)\n","plot_chisquare(2)\n","plot_chisquare(4)\n","\n","df=4\n","sigma=1\n","x=np.linspace(-10,10,2000)\n","fx=[]\n","for i in x:\n"," if (i<0):\n"," fx.append(0)\n"," else:\n"," fx.append(1 / (2*gamma(df/2)) * (i/2)**(df/2-1) * np.exp(-i/2))\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(x,integ(fx,x),label=f'CDF for Chi-square distribution with k={n} and $\\sigma$={sigma}')\n","plt.xlabel('X')\n","plt.ylabel(u'f\\u2093(x)')\n","plt.grid()\n","plt.legend(loc='upper left')\n","plt.show()"],"execution_count":292,"outputs":[{"output_type":"stream","text":["CHI-SQUARED DISTRIBUTIONS:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABJkAAAEOCAYAAAAqkcZ3AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdd1gU19cH8O9QlrIgRRSlSFEi2BXsRtZobCjGNFsUI/aGMaigBkz0p7HELtGQgN1oSGI0iTUCauwIGoXEhmIDwagUabt73j8M87LUBZEF93yeZ5+wc8/ce+beWbJeZu4IRATGGGOMMcYYY4wxxl6GjqYTYIwxxhhjjDHGGGO1H08yMcYYY4wxxhhjjLGXxpNMjDHGGGOMMcYYY+yl8SQTY4wxxhhjjDHGGHtpPMnEGGOMMcYYY4wxxl6anqYTeFWsrKzI0dFR02lUiaysLEilUk2nwTSAx1578dhrLx577cTjrr147LUXj7324rHXXq/L2MfExKQRUb2Syl7bSSZHR0dcuHBB02lUiaioKMhkMk2nwTSAx1578dhrLx577cTjrr147LUXj7324rHXXq/L2AuCcKe0Mr5djjHGGGOMMcYYY4y9NJ5kYowxxhhjjDHGGGMvjSeZGGOMMcYYY4wxxthL40kmxhhjjDHGGGOMMfbSqn2SSRCEyYIgJAqCkCMIQowgCG+WESsTBIFKeLlWZ86MMcYYY4wxxhhjrGzV+nQ5QRCGAFgDYDKAk//994AgCM2IKKmMXZsD+LfQ+9SXzSU9PR2PHj1Cfn7+y1b1ypmZmSEhIUHTaTAN4LHXXjz22ovHXjtV5bjr6+ujfv36qFOnTpXUxxhjjDGmrmqdZAIwE8BmIgr97/00QRD6ApgEILCM/R4RUVpVJZGeno6UlBTY2trCyMgIgiBUVdWvREZGBkxNTTWdBtMAHnvtxWOvvXjstVNVjTsRITs7G/fv3wcAnmhijDHGWLWqttvlBEGQAHAHcLhI0WEAXcrZ/YIgCA8FQfhDEIQeL5vLo0ePYGtrC2Nj4xo/wcQYY4yx1xsRISOPoFDSS9clCAKMjY1ha2uLR48eVUF2jDHGGGPqE4he/guNWg0Jgg2A+wA8ieh4oe1BAEYQUdMS9mkKoAeA8wAkAEYCmPhfHSdKiB8PYDwAWFtbu3///fcl5mJmZobGjRvXmgkmhUIBXV1dTafBNIDHXnvx2GsvHnvtk6cg3M9Uoo5EQF2jqvn7HxHh5s2bePbsWZXUx16dzMxMmJiYaDoNpgE89tqLx157vS5j36NHjxgi8iiprLpvl6sQIvoHwD+FNp0WBMERwCwAxSaZiOgbAN8AgIeHB8lkshLrTUhIqFWXj/OtE9qLx1578dhrLx577ZOdpwAyM5CRD9hYSiHRq5qJJkNDQ7Rt27ZK6mKvTlRUFEr7zspebzz22ovHXntpw9hX59Pl0gAoAFgX2W4NILkC9ZwF4FJVSTHGGGOM1QREhEfpOZpOgzHGGGOs0qptkomI8gDEAHi7SNHbAE5VoKo2AB5WVV6MMcYYYzWBRE8HT57nISdfoelUGGOMMcYqpbpvl1sJYJsgCOcA/IkX6yvZANgIAIIgbAUAIhr13/sZAG4DuIoXazJ9BOAdAO9Vc96MMcYYY6/Ii/Ux65kY4OGzHKSk58ChrlTDOTHGGGOMVVy1TjIR0W5BEOoCmA+gIYArAPoT0Z3/QhoV2UUCYDkAOwDZeDHZ5EVEv1dTyowxxhhj1UJPVwdWJgZ4lJGD7Dw5jCQ1eulMxhhjjLFiqnNNJgAAEYUQkSMRGRCRe+EnzRGRjIhkhd4vIyIXIjIiIksiepMnmMonk8kwderUMmNGjx6NAQMGVFNGNduAAQMwevRoAK+2X4rWrc44VVVbNYG6OVWmX15lX9YEhc9R9v9q4nnO2MuqZyqBro6A5PRcTafCGGOMMVZh1T7JxF5eSkoK/Pz80LhxYxgYGMDW1hb9+vXD77+rP/+2Zs0abN++/RVmWTtVtF8qMrnxqvq8pBxq4vgWzakmTwwtWbIE7du3R506dVCvXj0MHDgQV65c0XRa1er48ePw9vaGra0tBEHA5s2bK7S/t7c3evbsWWJZQkICBEHA4cOHXyrHmnieV8aGDRvQqlUr1KlTB3Xq1EHPnj3x22+/lRqvzvmZkZGBGTNmwMHBAUZGRujSpQvOnz9fZrudO3cu1q46balzrjg6OkIQhGIvLy8vMSYkJAROTk4wNDSEu7s7TpxQfYisOu2o+9l9+PAhfHx8UK9ePRgaGqJZs2aIjo6uUFvq9F/R3ARBKPP33srlS6Gnq4uVC+YgIycfmbnyStXDGGOMMaYpPMlUy9y+fRvt2rXDoUOHsGTJEly+fBlHjx6Fl5cXJk6cqHY9ZmZmMDc3f4WZvjp5eXmvrO5X0S8F+VZnn9fE8a2JOZUmKioKkydPxqlTp3Ds2DHo6emhV69e+PfffzWdWrXJzMxEixYtsGbNGhgZGVV4f19fX0RGRuL27dvFyr777js4ODigV69elcpNE5+pV8nOzg5Lly7FxYsXceHCBXh6euKdd97B5cuXS4xX5/wcO3YsDh06hC1btuCvv/5C79690atXL9y/f7/Udt96661i7arTljrnyvnz5/Hw4UPxdfHiRQiCgA8//BAAsHv3bvj5+WHu3LmIjY1Fly5d0K9fPyQlJVWoHXXyffr0Kbp27Qoiwm+//YaEhASsW7cO9evXr1Bb6vRfgTNnzuCbb75Bq1atSqwLAC5fPI/NYd+hVatWMNTXhb6uDpKf5YCIKlQPY4wxxphGEdFr+XJ3d6fSxMfHl1pWE6Wnp4s/9+vXj2xsbCgjI6NY3JMnT4iIyNPTkyZNmkSBgYFUt25dqlevHn366aekUCjEWB8fH/Ly8iqz3ejoaOrYsSNJpVKqU6cOtW/fnv766y8iIsrKyiIfHx+SSqVUv359+t///kdeXl7k4+Mj7u/p6UlTpkxRqbNouwcOHKBu3bqRubk5WVhYUO/evYuNj6enJ02cOJE+/fRTsrKyIg8PD1IqlbR06VJydnYmQ0NDatGiBW3btq2cniw/76L5ldUHPj4+hBertYqvxMTEEvMtqW5PT0+aMGECTZ8+nczNzcnc3Jz8/f1Vxqlbt25l9mFpORRtKycnh/z8/Kh+/fpkYGBAHTt2pBMnTqjkUt45U9SBAwfIxMSE8vPziYjo+vXrBIAmTJggxsybN4969uypdt6VzaXouXb06FEyMzOjr7/+utR9KiIjI4N0dHRo3759pcaoey6Xd2zqfLZKcvbsWerVqxdZWVkV69vr16+/1PFLpVIKDw+v0D75+fnUoEEDCgoKUtmel5dH9evXp88//1zcVl7fqfuZqqoxUCqVtGLFCmrSpAlJJBKytbWlgIAAsawyv3sqIj09nSwsLGjjxo1qxRc9P58/f066urq0d+9elbh27drRvHnzyqyrvHbL+yyoe64sWrSIzMzM6Pnz50RE1KFDBxo7dqxKTJMmTcR+r2w7JeUbGBhIXbp0KXffirZFVHL/PX36lJydnenYsWMl/n+RiOjBozSya+RI+w8cFmPSMnLo0t0n9Ox5ntr1FFXbvu9oq8jISE2nwDSEx1578dhrr9dl7AFcoFLmYnhFyf98vv8q4h+kV2ubzWzqIHhgc7Xj//33Xxw8eBCLFi2CiYlJsfLCf9HfsWMH/Pz8cOrUKcTFxWH48OFwd3fHsGHD1GpLLpdj0KBB8PX1xY4dO5Cfn4+LFy9CV1cXAODv748jR47gxx9/hK2tLT7//HMcP34c7777rtrHAwBZWVmYMWMGWrVqhezsbCxatAgDBw5EfHw8JBKJGLd9+3aMHz8eJ06cABFh/vz5iIiIwIYNG9C0aVOcPn0a48aNg4WFhcrtF0VVJO/y+mDNmjW4du0aXF1dsXjxYgBAvXr1Ssy3NDt27MDo0aNx+vRpXL58GePGjUPDhg0xc+ZMtfqvrBwKmz17Nvbs2YOwsDA4Oztj5cqV6Nu3L65fv46GDRuKuVTknOnWrRtycnJw4cIFdOrUCVFRUbCyskJUVJQYExUVhb59+1Y475c5fyMiIjBmzBh8++234lUSixcvFtspzYEDB/Dmm2+WWJaRkQGlUgkLC4tS91f3XC7v2ArO0W3btsHFxUWtz9aVK1cgk8kwduxYrF69Go8ePcLw4cPRqFEjTJ8+HY0bN37pPqgoPT09+Pj4YPPmzQgODoaOzosLZ/fv34+0tDR8/PHHYqw6fafOZ6qqxmDu3Ln4+uuvsXLlSnTv3h2pqamIjY0FALV+97xMXysUCkRERCAzMxNdunRRq6+Lnp9yuRwKhQKGhoYqcUZGRjh58mSJdSgUCvzwww/ltqvOZ6E8RITvvvsOH330EYyMjJCXl4eYmBj4+/urxPXu3RunTp2qdDul5bt371707dsXQ4YMQWRkJGxsbDB27FhMmTIFgiBUqp2y+m/8+PF4//330aNHD3z++ecl7j9t8iT08vJGd08ZVnz5PwCAhVSCtMw8PHyWA1NDPbXqYYwxxhjTNJ5kqkVu3LgBIoKbm1u5sc2aNcMXX3wBAHjjjTcQGhqKP/74Q+1JpvT0dDx9+hQDBw5E48aNAQCurq4AXtxG8N133yEsLAx9+vQBAISHh8POzq7Cx/Tee++pvA8PD0edOnVw7tw5dOvWTdzu5OSEr776CsCLf0iuXLkShw8fFv+R5uTkhHPnzmHDhg2lTjJVNO+y+gB4cauORCKBsbExGjRooLJv4XzL0rBhQ6xduxaCIMDV1RXXrl3DypUr1Z5kKiuHAllZWfj666/x7bffin2zceNGHDt2DBs2bMCiRYsAVPycMTExgbu7OyIjI8VJpqlTp+LLL7/Ew4cPYWZmhvPnz+PLL7+scN6VPX+/+eYbzJo1CxEREejdu7e4feLEieKEU2lsbW1LLfPz80ObNm3QuXPnUmPUPZfLOrbC52ivXr1gamqq1mfLz88P/fr1w9q1awEAzZs3x+jRoxEREYERI0ZUSR9Uhq+vL5YuXYqjR4+K4/Hdd9+hd+/esLe3F+PU6Tt1PlNVNQarVq3C6tWrMWbMGABAkyZN0LlzZ7V/91Smr//66y907twZOTk5MDExwc8//4yWLVuWWUeBouenqakpOnfujEWLFqFFixZo0KABdu3ahdOnT6NJkyYv1a46n4XyHDlyBImJiRg3bhwAIC0tDQqFAtbW1ipx1tbWOHr0aKXbKS3fW7duISQkBJ988gkCAgIQFxeHadOmAUCF1zkqr/9CQ0Nx48aNMtcOCw0Nxc2bN/Dtsg0q23UEAQ3MDHHncRZWr/+63HoYY4wxxmoCnmT6T0WuKNKUsq6IKaroeg02NjZ49OhRibE7duzAhAkTxPcFf2EfPXo0+vTpg549e6Jnz554//330ahRI9y8eRN5eXkqX9pNTEzU/gdRYTdv3sRnn32Gs2fPIjU1FUqlEkqlUmUdDgBwd3cXf46Pj0dOTg769u2r8lfn/Px8ODo6ltlWRfK2tLQstQ/KUzjfsnTq1EnlGDp37ozPPvsM6enpqFOnjlp1lOfmzZvIz89H165dxW26urro3Lkz4uPjxW0VOWcKyGQyREVFITAwENHR0Zg+fToiIyMRFRWFevXqQU9PDx06dKhwzpXJZe/evdi0aROOHz9e7B/AlpaWsLS0rHAeADBz5kycPHkSJ0+eFK9iK4m653JZx1aZz1ZaWhqio6Nx6NAhle1SqVTl3HqZPqgsFxcXeHp6IiwsDL1798aDBw9w6NAhfP/99ypx6vSdOp+pqhiD+Ph45Obmlrhoubq/eyrT102bNkVcXByePXuGnTt3wsfHB1FRUWjRokWZ+5V2fm7btg1jxoyBnZ0ddHV10a5dOwwbNgwxMTGlthsREVFmu+p+FsoTGhqK9u3bo3Xr1pWuQx2l5atUKuHh4YElS5YAANq2bYvr169jw4YNFZ5kKqv//vnnH8ydOxcnT56Evr5+ifsXxBw5FgWdEmLqGOohJekWFi4IKrMexhhjjLGaghf+rkVcXFwgCAISEhLKjS36RVQQBCiVyhJjvb29ERcXJ748PDwAvLgK4OzZs+jevTv27duHpk2bFvuHbFl0dHSKTYzl5+ervB8wYABSU1OxadMmnD17FrGxsdDT0yu2uLdUKhV/LjiO/fv3q+R99erVl35aVVGV7YPC+b4MdfrwZRT+h3JFzpkCMpkMf/75JxISEpCeng53d3fIZDJxoqlz584qtympqzK5tG7dGg0bNsR3331XrM8WL14MExOTMl9Fn2QFAJ988gl27dqFY8eOwdnZucz21T2XK3NsZYmJiYFCoSj2D/YLFy6gffv24vvK9sHL8vX1xd69e/Hvv/9i8+bNsLS0xKBBg1Ri1Ok7dT5Tr3oM1P3dU5m+lkgkaNKkCdzd3bFgwQK0adMGq1atKjOfss7Pxo0bIzo6GpmZmbh79y7OnTuH/Pz8YnGF212yZEmp7Vbks1CWR48e4ZdffhGvYgIAKysr6OrqIiUlRSU2JSWl1Cs0y1NWvg0bNkSzZs1Utrm5uRWbjFRHWf13+vRppKWloXnz5tDT04Oenh6io6MREhICPT095ObmijEebVujnaMVLE2NVGLy8vJw62osnvz7GK1atii1HsYYY4yxmoKvZKpFLC0t0adPH6xfvx7Tp08vti7T06dPK/WkJVNTU5iampZY1rp1a7Ru3Rpz5sxBv379sGXLFnzzzTfQ19fHmTNnxC/vWVlZuHLlinhbGfBijZ2HDx+q1Hfp0iXxL/6PHz/G33//jZCQEPTo0QMAcPHiRcjlxR/ZXFizZs1gYGCAO3fu4K233lL7OBs3bqxW3ur0QcHtdhKJBAqFQu0cijp79iyISJzsOXPmDGxsbMSrmKysrMrsQ3VyaNy4MSQSCf7880/xOBUKBU6fPo3hw4dXOnfgxbpMubm5WLZsGbp16wZdXV3IZDKMGzcO1tbWJa7HpG7eFeXk5IR169ZBJpNh/Pjx+Oabb8R+rcztS35+fti9ezciIyNVbpMsSWXP5aIKn6MDBw4EUP45WtCH2dnZ4rYbN27g0KFD+Pnnn8VtmrhdDgDef/99TJs2Ddu3b0dYWBhGjRqlMslTVX1XVfW4ubnBwMAAf/zxB1xcXFTK1P3dUxV9rVQqy5w8UPf8lEqlkEqlePLkCQ4dOoRly5ZVuN2KfBbKs3nzZhgYGKjc+iqRSODu7o4jR47ggw8+ELcfOXKk2C2Q6igv365du+Kff/5R2Xbt2jU4ODhUuK2iCvffO++8I/7RpsDHH38MFxcXzJ07FxKJRIzJzpcj6fFz2JobYdqk8SoxQz54D85uLZGZq4BjXSn09XSK1cMYY4wxVlPwJFMts2HDBnTt2hUeHh5YuHAhWrVqBSJCZGQklixZUqm/xJYkMTERmzZtgre3N2xtbXHr1i1cvnwZkyZNgomJCXx9fTFnzhzUq1cPNjY2+OKLL4pNGLz11luYMWOGeAXQpk2bcPfuXXGCxMLCAlZWVggNDYW9vT3u37+PWbNmQU+v7NPS1NQU/v7+8Pf3BxGhe/fuyMzMxJkzZ6Cjo4Px48eXuJ+6eavTBwUcHR1x7tw53L59GyYmJhW+RebBgweYMWMGJk+ejL/++gvLly/H/PnzxfLu3bsjICCg1D5UJwepVIpJkyZhzpw5sLKygpOTE1atWoWUlBRMnjy5QvkWVbAu0/bt28VbTzp16oR79+4hMTGxxPWYysq7YHHoynJ2dkZkZCRkMhkmTJiATZs2QRCECt++NGXKFGzbtg179+6FhYUFkpOTxeMtadH9yp7LRRU+R6VSKZo0aVLmOQoAHTt2hLGxMWbPno2goCAkJSVh+vTpGDp0qMokX0X7IDMzEzdu3AAA8ZazuLg4WFpaqnXLaAEjIyMMHz4cCxYswJMnT+Dr66tSXlV9V1X1mJqaws/PD4GBgTAwMED37t3x+PFjxMTEYNKkSWr97qloXwcEBMDLywv29vbIyMjA5s2bERUVhd9++02MWb9+PdavX4+///5brfPz0KFDUCqVcHV1xY0bNzBr1iy4urqqLLhetN2dO3cWa1edttQ9V4gI3377LYYOHVrsczRz5kyMHDkSHTp0QNeuXbFx40Y8ePAAEydOFGPUaUedfD/55BN06dIF//vf/zBkyBDExsZi7dq1Kou1q9NWef1nbm5e7A8/UqkUlpaW4u2IBTHPc+UwSM2EY11piTGendzxT0omLIz0YWdpXCyGMcYYY6zGKO2xc7X95e7uXurj9mrbI33T09NV3j948ICmTp1KTk5OJJFIqGHDhtS3b186cOAAERV/nDtR8Ud9F31fVHJyMg0ePJhsbGxIIpGQvb09zZo1i/LyXjxKOTMzk0aOHElSqZTq1atHX3zxRbHHrOfl5dHkyZOpbt26VLduXQoKCirW7h9//EHNmzcnAwMDat68OR08eLDY46JLOh6lUklr164lNzc3kkgkZGVlRb169aLDhw+X2Zfl5V04v/L6gIjon3/+oU6dOpGRkREBoMTExFIfLV302D09PWnChAk0ZcoUMjMzI3Nzc5o5cybJ5XIx5vHjx+X2YUk5FI3JyckhPz8/ql+/PkkkEurYsSOdOHGizD4u7xwpMGfOHAJA58+fV6nP2NiYcnNzS62vpLwrm0vRfW7cuEF2dnY0btw4UiqV5R5DUQBKfAUHB5e6T2XP5aLHps5nq6jffvuNmjZtSvr6+uTo6EgLFy6k/Pz8Ch93YZGRkSX2QUEe4eHhKuNWlpiYGAJQ6mPjy+s7dT9TVTUGCoWClixZQk5OTqSvr092dnY0d+5cIqr8756y+Pj4UKNGjUgikVC9evVIJpPRwYMHVWKCg4Ppxf+y1Ts/d+/eTc7OziSRSKhBgwY0ZcoUevr0aZnt9uzZs1i76rRV3rlS4NixYwSAzp49W2I/bNiwgRwcHEgikVC7du0oOjpapVyddtT97P7666/UqlUrMjAwIBcXF1qzZo3K7wp12lKn/4oq7VzOysmnS3ef0LPsvFJjHjx5TpfuPqHnufJSY4qqbd93tNXr8jhrVnE89tqLx157vS5jD+AClTIXI1AFFpOuTTw8POjChQslliUkJKj1hLaaIiMjo9Tb2WqSAQMGwMrKCps3b9Z0Kq+N2jL2rOrV9LEPDg5GREQELl26VOGrhVjZavrYs6qXlSvHzdRMOFlJYWpY8uLecoUS/6RkwFiiBycr9db9q23fd7RVVFQUZDKZptNgGsBjr7147LXX6zL2giDEEJFHSWW88DdjjLEK+/3337FhwwaeYGKsmujp6qC+qSEycvKRkVN1D4BgjDHGGKtK/K8DxhhjFXb+/HlNp8CY1qlrIsHjrFw8eJoDF2s96BR6QihjjDHGWE3Ak0ysyvz666+aToExxhh7bekIAmzMjHD7cRb+zcyDlamBplNijDHGGFPBt8sxxhhjjNUSpoZ6MDHQQ0pGDuQKpabTYYwxxhhTwZNMjDHGGGO1hCAIsDE3glIJJKfnaDodxhhjjDEVPMnEGGOMMVaLGOrroq6JBP9m5SE7T67pdBhjjDHGRDzJxBhjjDFWy9SvYwA9HR08eJoDItJ0OowxxhhjAHiSiTHGGGOsRqjIs+L0dHRgXccAWXlyPMvOf2U5McYYY4xVBE8yMcYYY4xpUGWvQ7KUSmCkr4uHz3KgVPLVTIwxxhjTPJ5kYowxxhirhQoWAc9XKPEoI1fT6TDGGGOM8SQTY4wxxlhtJTXQg7mxBKmZucjNV2g6HcYYY4xpOZ5kYsWYmJhg8+bN4ntBEBAREaG5hBhjjLHX2Uve6dbQzBA6AO4/zeZFwBljjDGmUTzJVMuMHj0agiDA19e3WNmcOXMgCAIGDBhQpW0+fPgQAwcOrNI6K+qnn35Cnz59UK9ePQiCgKioqHL3iY6ORpcuXVC3bl0YGRnB1dUVK1asKBa3Zs0auLq6wsjICHZ2dpgyZQoyMzNVYkJCQuDk5ARDQ0O4u7vjxIkTKuW5ubmYNm0arKysIJVK4e3tjXv37qnEJCUlYeDAgZBKpbCyssL06dORl5dXLGd3d3cYGhrC2dkZ3333XbF8NZXLxo0ba3UuycnJGDlyJBo0aABjY2O0bt0aO3bsKFYPY4xVv4KJoYos/f3/9HV1YG1miMxcXgScMcYYY5rFk0y1kL29Pfbs2YOsrCxxm1wux9atW9GoUaMqb69BgwYwMDCo8norIisrC126dMHKlSvV3sfExATTp0/H8ePHER8fj/nz5yM4OBghISFizM6dOzF79mzMmzcPCQkJ2Lp1K37//Xf4+fmJMbt374afnx/mzp2L2NhYdOnSBf369UNSUpIYM2PGDPz444/YtWsXTpw4gfT0dAwYMAAKxYtbFxQKBby8vJCRkYETJ05g165diIiIwKeffirWkZiYiP79+6NLly6IjY1FYGAgZs2ahR9//LFG5DJt2rRancuoUaOQkJCAX375BVeuXMGoUaMwcuRIHD9+XK3ziTHGXrnKzTEBAOoWWgRcoVRWXU6MMcYYYxVBRK/ly93dnUoTHx9fallNlJ6eLv7s4+NDXl5e1LZtWwoLCxO37927lxwdHWnUqFHk5eUlbg8LCyM3NzcyMDAgFxcXWrlyJSkUCrH8+vXr5OnpSQYGBvTGG2/Q/v37SSqVUnh4uBgDgH744Qfx/Zw5c+iNN94gQ0NDcnBwoFmzZlF2drZYHhwcTM2bN6ddu3aRs7MzmZiY0KBBgyg1NfWl+yI1NZUAUGRkZKX2Hzx4MA0dOlR8P2XKFOrevbtKTFBQEDVv3lx836FDBxo7dqxKTJMmTSggIICIiJ4+fUr6+vq0fft2sTwpKYkEQaCDBw8SEdHvv/9OgiBQUlKSGLNt2zYyMDCgZ8+eERHR7NmzqUmTJirtjBo1ijp16lQjcvH19a21uRARSaVSlc8MEVGjRo1o+fLlVBMV/twz7cJjr30ysvPo0t0nlJGT/1L1ZOXm06W7T+j+k+dEVPu+72iryn6nYbUfj7324rHXXq/L2AO4QKXMxehpeI6rxphx/Triitwi9aq1MTHBaheXSu3r6+uLsLAwfPzxxwAg/nzr1v7VEHUAACAASURBVC0xJjQ0FEFBQVi3bh3c3d1x5coVjBs3Dvr6+pg6dSqUSiUGDx4MCwsLnD59Gs+fP4efnx9yc8t+Qo1UKkVYWBhsbW0RHx+PiRMnwsDAAAsXLhRjbt++jd27d+Pnn39GVlYWhg4dinnz5mHTpk0AgB07dmDChAlltrNp0yaMGDGiUv1TktjYWJw6dQoLFiwQt3Xr1g3btm3DmTNn0KlTJyQlJWHfvn3o378/ACAvLw8xMTHw9/dXqat37944deoUACAmJgb5+fno3bu3WG5vbw83NzecOnUKffr0wenTp+Hm5gZ7e3sxpk+fPsjNzUVMTAx69OiB06dPq9QBAD179sTOnTuRn58PItJoLn369MGWLVtqZS7Ai7Hes2cPvL29YWFhgf379yM1NRW9evUCY4y9DowleqgrleBxZi4sjPU1nQ5jjDHGtBBPMtVSw4cPh7+/P65fvw5TU1McPHgQ69atQ1BQkBizcOFCLFu2DO+//z4AwMnJCQEBAQgJCcHUqVNx9OhRxMfHIzExUbzNbvXq1XjzzTfLbPuzzz4Tf3Z0dMTcuXOxYsUKlUkmuVyOzZs3w8zMDAAwfvx4hIeHi+Xe3t7o2LFjme1YW1ur2Rtls7OzQ2pqKuRyOYKDgzFx4kSxbOjQoXj8+DG6d+8OIoJcLsfIkSOxdOlSAEBaWhoUCkWxXKytrXH06FEAL9b60dXVhZWVVbGY5ORkMaZoHVZWVtDV1VWJKTrhUb9+fcjlcqSlpYGINJqLtbV1rc0FAPbs2YOhQ4fCysoKenp6MDAwwK5du9CmTRswxlhN8BJ3y4ms6xjiWbYc95/mgNcAZ4wxxlh140mm/1T2iiJNsbCwwODBgxEWFgZzc3PIZDKV9ZhSU1Nx9+5dTJgwAZMmTRK3y+Vy8ckzCQkJsLW1VdmvY8eO0NEpe6muiIgIrF69Gjdu3EBmZiYUCoW4xk4BBwcHcYIJAGxsbPDo0SPxvampKUxNTSt38BV04sQJZGZm4syZM5gzZw6cnJwwcuRIAC8WlF64cCFCQkLQsWNH3LhxA35+fggODsYXX3xRLfmx6jF//nykpaXh6NGjsLKywt69ezFq1CgcP34crVu31nR6jDEtVpVzQXq6OmhoZoi7T54jL09ehTUzxhhjjJWv2hf+FgRhsiAIiYIg5AiCECMIQtmXzfz/ft0EQZALgnDlVedYW4wZMwZbt25FWFgYxowZo1Km/G/Rz40bNyIuLk58XblyBVevXq10m2fOnMHQoUPRp08f7N+/H7GxsVi0aBHy81WfZqOvr3qZviAIYk7Ai9vlTExMynxV1ZO/nJyc0LJlS4wbNw4zZ85UuV1u/vz5GDZsGMaOHYuWLVti8ODBWLx4MZYtWwa5XC5eVZOSkqJSZ0pKCho0aADgxcLoCoUCaWlpZcYUraPgKqmyYh49egQ9PT1YWVlpPJeUlJRam8vNmzexbt06hIaGomfPnmjdujWCg4PRvn17rFu3Dowx9joxN9aH1EAPz7Lz8Sg9R9PpMMYYY0yLVOskkyAIQwCsAbAYQFsApwAcEAShzEeiCYJgAWArgD9eeZK1SM+ePSGRSJCWloZ33nlHpcza2ho2Nja4efMmmjRpUuwFAG5ubrh//z7u3r0r7nfu3DmVyaCi/vzzT9ja2uKzzz5D+/bt4eLigjt37lQ4d29vb5XJr5Je3t7eFa63PEqlUmXNqefPn0NXV1clRldXV7zaSyKRwN3dHUeOHFGJOXLkCLp06QIAcHd3h76+vkrMvXv3kJCQIMZ07twZCQkJuHfvnkodBgYGcHd3F2OKthMZGQkPDw/o6+trPJcjR47U2lyeP38OACWOdVnnO2OM1UaCIMDO3AgEIOiXyv9hiTHGGGOswkpbEfxVvACcBRBaZNt1AEvK2e8nAMEAFgC4ok5br/vT5QqXFTyFq2h5aGgoGRoa0sqVK+nvv/+mv/76i7Zs2UKLFy8mIiKFQkHNmjUjmUxGsbGxdOrUKWrfvj3p6emV+nS5ffv2ka6uLm3fvp1u3rxJISEhZGVlRS9OpRcKni5XWHh4OEml0kr3wePHjyk2NpYiIyMJAIWGhlJsbCw9fPhQjBk5ciSNHDlSfL927Vrav38/Xbt2ja5du0bffvstmZqa0pw5c1RyNTU1pV27dtGtW7fo8OHD1LhxY3r33XfFmO+//5709fUpNDSU4uPjafr06SSVSun27dtizMSJE8nW1paOHDlCFy9eJJlMRq1btya5XE5ERHK5nFq0aEE9evSgixcv0pEjR8jGxoamTp0q1nHr1i0yNjYmPz8/io+Pp9DQUNLX16eIiAjO5SVzycvLoyZNmtCbb75JZ8+epRs3btCKFStIEATat29fGWee5vATxrQXj732Sf/v6XKZL/l0uaLOXrxEDnN+pQN/PajSelnVel2eNMQqjsdee/HYa6/XZexRE54uJwiCBIA7gBVFig4D6FLGfpMBWANYBOCz0uL+ix0PYDzw4kqeqKioEuPMzMyQkZGhbuoap1AoxHzz8/Mhl8tV8hcEocTyIUOGQEdHB2vXrkVgYCCMjIzg6uqK8ePHi/Hbtm3D9OnT0bFjR9jZ2WHx4sXw9fVFTk6OShvZ2dnIyMiATCaDn58f/Pz8kJOTg7feegtz587FzJkzxfjc3FwolUqV/XNyXlyuX9l+37Nnj8raUuPGjQMABAQEYO7cuQCAxMRElTaysrIwa9YsJCUlQU9PD05OTliwYAF8fX3FGD8/P+Tl5WHevHl48OAB6tati759+yIoKEiM6d+/P7788kssXLgQycnJaNasGSIiImBpaSnGLFy4EEqlEh9++CFycnLg6emJXbt2iVfQAMDu3bsxc+ZMdO3aFYaGhvjwww8RHBws1mFlZYWIiAgEBgbi66+/RsOGDfHll1+id+/eNSKXZcuW1epc9uzZgwULFmDAgAHIysqCs7MzQkJCIJPJauTvg8Kfe6ZdeOy1z/P8F1fPPn/+HMq8qlj++wV9KNDIVAdzfoiF4uHfkOpXXd2s6mRmZpb6nZW93njstRePvfbShrEXqJoePSIIgg2A+wA8ieh4oe1BAEYQUdMS9mkJ4CiATkSUKAjCAgDvE1GL8trz8PCgCxculFiWkJAANze3yh2IBmRkZFTbItmsZuGx11489tqLx177ZOTkIzEtC43rmUBqUHV//0tISIDc1AaDNpzEhx72+PK9VlVWN6s6UVFRkMlkmk6DaQCPvfbisdder8vYC4IQQ0QeJZVV+8Lf6hIEwQDAbgD+RJSo6XwYY4wxxmqblnZmGPemM74/fxenbqaVvwNjjDHG2EuozkmmNAAKvLj1rTBrAMklxDcE4AYg/L+nyskBBAFo/t/73q80W8YYY4yxavCqrymf0esNONQ1xtyf/kJOvuIVt8YYY4wxbVZtk0xElAcgBsDbRYrexounzBV1H0BLAG0KvTYCuPHfzyXtwxhjjDFWK72qFZOMJLpYMrglbj9+jlVHrr2iVhhjjDHGUH0Lf/9nJYBtgiCcA/AngIkAbPBi8giCIGwFACIaRUT5AK4U3lkQhEcAcolIZTtjjDHGWK1VDctjdmlihaHt7RF64hb6tGiAdo0sXn2jjDHGGNM61bomExHtBjADwHwAcQC6AehPRHf+C2n034sxxhhjTLu84oe/zfNyQ0MzI/jvuYTsPL5tjjHGGGNVr9oX/iaiECJyJCIDInIv/KQ5IpIRkayMfReo82Q5xhhjjDGmytRQH8veb4VbaVlYfugfTafDGGOMsddQjX26HGOMMcYYq1pdm1hhZCcHhJ9KxNlbjzWdDmOMMcZeMzzJxBhjjDGmRQL6ucLewhj+EZeQlSvXdDqMMcYYe43wJBNjjDHGmBaRGuhhxQetce9JNpYcSNB0Oowxxhh7jfAkE2OMMcaYlungZIkxXZ2w/UwSTl5P03Q6jDHGGHtN8CRTLTN69GgIggBfX99iZXPmzIEgCBgwYIAGMlPf8ePH4e3tDVtbWwiCgM2bN6u13549e9CmTRsYGxvDwcEBy5cvVyl/+PAhhg8fDldXV+jq6mL06NEl1rNmzRq4urrCyMgIdnZ2mDJlCjIzMyuUX2ZmJqZNmwY7OzsYGRmhadOmWLVqlVh++/ZtCIJQ4qtw3rm5uZg2bRqsrKwglUrh7e2Ne/fuieVpaWno06cPbGxsYGBgAHt7e0yZMgXPnj0rt62DBw+KMQXnTdGXVCpVOa7o6Gi4u7vD0NAQzs7O2Lhxo0q5QqHAZ599BicnJxgaGsLJyQnz58+HXK56u8W1a9fw7rvvwtzcHMbGxmjXrh0SEv7/r+UymaxYLkOHDlWpw9HRsVhMQEBAiWPKGGOvg1f8cLliZvVpCud6Uvj/cAlPn+dVc+uMMcYYex3xJFMtZG9vjz179iArK0vcJpfLsXXrVjRq1EiDmaknMzMTLVq0wJo1a2BkZKTWPgcOHMDw4cMxfvx4XLlyBSEhIVi1ahXWr18vxuTm5sLKygoBAQHo2LFjifXs3LkTs2fPxrx585CQkICtW7fi999/h5+fX4XymzlzJn777Tds27YNCQkJmDdvHgICArBt2zYAL8bo4cOHKq+QkBAIgoD3339frGfGjBn48ccfsWvXLpw4cQLp6ekYMGAAFIoXj5YWBAGDBw/G/v37ce3aNWzevBl//PEHxo0bVyyngwcPqrT31ltviWVr1qwplo+zszM+/PBDMSYxMRH9+/dHly5dEBsbi8DAQEybNg0//vijGLN06VJs2LABa9euxd9//401a9Zgw4YNWLJkiUo9Xbt2hZOTE44dO4YrV65g0aJFMDExUcn3448/Vsln06ZNxY4pKChIJWb+/PkljgdjjNVmpKF2DfV1sXpIG6Rl5mLez1dApKlMGGOMMfbaIKLX8uXu7k6liY+PL7WsJkpPTxd/9vHxIS8vL2rbti2FhYWJ2/fu3UuOjo40atQo8vLyEreHhYWRm5sbGRgYkIuLC61cuZIUCoVY/tVXX1HLli3J2NiYbGxsyNfXl548eSKWh4eHk1QqpaNHj1Lz5s3J2NiYZDIZ3bp1q0qOTSqVUnh4eLlxw4YNo3feeUdl29q1a8nOzo6USmWxeC8vL/Lx8Sm2fcqUKdS9e3eVbUFBQdS8efMK5de8eXMKCgpS2da9e3eaMmVKqcfQq1cvevvtt8X3T58+JX19fdq+fbu4LSkpiQRBoIMHDxKR6tgXWLNmDTVo0EB8n5iYSADo/PnzpbZd1MmTJwkA/fnnn+K22bNnU5MmTVTifH19qVOnTuJ7Ly8vGjVqlEpM0XNu2LBhNHz48DLb9/T0LLOviIgcHBxo+fLl5R7L66qksWfagcde+zx9nkeX7j6h57n5VVqvut93NkReJ4c5v9IPF+5WaftMPZGRkZpOgWkIj7324rHXXq/L2AO4QKXMxehpeI6rxphxcAbikuOqtc02Ddpgdd/VldrX19cXYWFh+PjjjwFA/PnWrVtiTGhoKIKCgrBu3Tq4u7vjypUrGDduHPT19TF16lQAgI6ODlavXg1nZ2fcuXMH06ZNw7Rp08QrcoAXVwgtWbIEYWFhMDQ0hI+PDyZOnIhDhw4BAE6cOIF+/fqVme/cuXMxd+7cSh1rQQ6GhoYq24yMjHDv3j3cuXMHjo6OatXTrVs3bNu2DWfOnEGnTp2QlJSEffv2oX///hXKp1u3bti/fz/Gjh0Le3t7nDp1CnFxcZg1a1aJ8bdu3cIff/yBPXv2iNtiYmKQn5+P3r17i9vs7e3h5uaGU6dOoU+fPsXqefDgAX766Sd4enoWK3v33XeRk5MDFxcXfPLJJypXTBUVGhqK5s2bo0uXLuK206dPq+QCAH369MGWLVuQn58PfX19dOvWDSEhIfj777/h6uqK+Ph4HDt2DIGBgQAApVKJ/fv3IyAgAH379kVMTAwcHR3h7++PIUOGqNT9/fff4/vvv4e1tTX69euH4OBgmJqaqsSsWLECS5Ysgb29PT744APMmjULEomk1ONijDFWcRO6N0b0P6kI/uUK2jtawKGutPydGGOMMcZKwJNMtdTw4cPh7++P69evw9TUFAcPHsS6desQFBQkxixcuBDLli0TJxucnJwQEBCAkJAQcZJpxowZYryjoyOWLVuGQYMGYcuWLdDReXE3pVwux4YNG9C0aVMAgL+/P8aMGQMigiAI8PDwQFxc2RN0lpaWL3W8ffr0wYwZM3D48GH06tULN27cwFdffQXgxVpM6k4yDR06FI8fP0b37t1BRJDL5Rg5ciSWLl1aoXzWrl2LCRMmoFGjRtDTe/ExWrduXanrYX377beoV68eBg0aJG5LTk6Grq4urKysVGKtra2RnJyssm3YsGH45ZdfkJ2djQEDBiA8PFwsMzExwYoVK9C1a1fo6elh3759GDJkCLZs2YKPPvqoWC7Pnj3Dnj17VG5xK8inV69exXKRy+VIS0tDw4YNMWfOHGRkZKBZs2bQ1dWFXC7HvHnzMHnyZADAo0ePkJmZicWLF2PhwoX48ssvcezYMYwYMQImJibw8vIC8OL8dXBwgI2NDa5evYrAwEBcvnwZhw8fFtuePn062rZti7p16+LcuXMICAhAYmIivv3225IHhTHGar3qXpXpBV0dASuHtEHf1cfh930cfpjYGfq6vKICY4wxxiqOJ5n+U9krijTFwsICgwcPRlhYGMzNzSGTyVTWY0pNTcXdu3cxYcIETJo0Sdwul8tV1lw4duwYlixZgoSEBDx79gwKhQJ5eXlITk6GjY0NAMDAwECcYAIAGxsb5OXl4cmTJ7C0tISRkRGaNGnySo933LhxuHnzJgYNGoT8/HzUqVMHfn5+WLBggTgZpo7o6GgsXLgQISEh6NixI27cuAE/Pz8EBwfjiy++ULuedevW4dSpU9i3bx8cHBxw/Phx+Pv7w9HREX379lWJlcvlCA8Ph4+PD/T19dVuo7BVq1YhODgY165dQ2BgIGbMmCGuYWRlZYVPP/1UjPXw8EBaWhqWLVtW4iTT9u3boVQqMXLkyArnsXv3bmzduhU7d+5E8+bNERcXBz8/Pzg5OcHX1xdKpRIAMGjQIMycORMA0KZNG1y4cAHr168XJ5nGjx8v1tmyZUs4OzujY8eOuHjxItq1awcA4v4A0KpVK9SpUwdDhgzB0qVLUbdu3QrnzhhjNZfm10KyNTfC4sEtMW1XLNYdu4GZb7+h6ZQYY4wxVgvxn6lqsTFjxmDr1q0ICwvDmDFjVMoK/rG/ceNGxMXFia8rV67g6tWrAIA7d+7Ay8sLbm5u+OGHHxATE4OwsDAAQF7e/z9lpuBKnQKCIKi0ceLECZiYmJT5Wrx48UsdqyAIWLp0KTIzM3Hnzh0kJyejQ4cOAABnZ2e165k/fz6GDRuGsWPHomXLlhg8eDAWL16MZcuWFXtCWmmys7MRGBiIZcuWYeDAgWjVqhWmTp2KoUOHYsWKFcXi9+/fj+TkZIwdO1Zle4MGDaBQKJCWpvro6JSUFDRo0KBYrKurK7y9vbFp0yZ88803uHv3bqk5duzYEdevXy+xLDQ0FO+9916xq8saNGiAlJSUYrno6emJV1vNmjUL/v7+GDp0KFq2bImRI0di5syZ4lVRVlZW0NPTQ7NmzVTqcXNzQ1JSUqn5enh4QFdXt9ScC44JAG7cuFFqDGOMscob2NoG77azxfpj1xFz519Np8MYY4yxWoivZKrFevbsCYlEgrS0NLzzzjsqZdbW1rCxscHNmzcxatSoEve/cOEC8vLysGrVKujq6gIAfv311wrnUR23yxXQ1dWFra0tAGDXrl3o3Lkz6tWrp/b+z58/F4+1cJ2Fr+4qT35+PvLz80usp2DirbDQ0FB4enrijTdU/yrs7u4OfX19HDlyBMOHDwcA3Lt3DwkJCSprJRVV0EZubm6pMXFxcWjYsGGx7efOncOlS5ewenXxK/c6d+6Mn3/+WWXbkSNH4OHhIV6BVVr/FeQkkUjQvn17/PPPPyox165dg4ODQ6n5/vXXX1AoFCXmXPiYAJQZwxhjtZLmL2QSfe7dHOdv/4vpu+Lw+/Q3YWZcuStwGWOMMaadeJKpFhMEAZcvXwYRwcDAoFj5559/jmnTpsHc3Bz9+/dHfn4+Ll68iPv37yMwMBAuLi5QKpVYvXo13n33XZw5c6bEyYfyVPR2uczMTPFqFKVSiaSkJMTFxcHS0lK85S8wMBDnzp3DH3/8AQBIS0vDDz/8AJlMhtzcXISHh+OHH35AdHS0St0FExHp6enQ0dFBXFwcJBKJeGXNwIEDsXLlSnh4eIi3y3322WcYMGCAeMVWefnVqVMHnp6eCAgIgImJCRwcHBAdHY2tW7di2bJlKvkkJSXh0KFD2Lp1a7F+MDMzg6+vL2bPno369eujbt26mDlzJlq1aiWujXTgwAFkZ2fD3d0dJiYmuHr1KmbNmoVOnTqJfb5lyxbo6+ujbdu20NHRwf79+7Fhw4YS15n65ptv4OLiAplMVqxs4sSJWL9+PWbMmIEJEybgzz//xObNm7Fr1y4xZuDAgfjyyy/h5OSE5s2bIzY2FitXrlSZyJw9ezY+/PBDvPnmm3jrrbcQGRmJ77//Hnv37gUA3Lx5Ezt27ED//v1hZWWF+Ph4fPrpp2jbti26du0K4MUi5GfOnEGPHj1gZmaG8+fP45NPPoG3t7fKbaGMMfZa0cySTCpMDfWxdmhbfLDxNGZFXMKmke7iFcyMMcYYY+Uq7bFztf3l7u5e6uP21H2kb01R+HHWPj4+Ko+LL6po+c6dO6lt27ZkYGBA5ubm1LVrV9q1a5dYvmbNGrKxsSFDQ0N66623aPfu3QSAEhMTiYgoPDycpFKpShuRkZEEgFJTUyt1PAX7F335+PioHIeDg4P4PjU1lTp16kRSqZSMjY2pZ8+edObMmWJ1l1Rv4Xry8/NpwYIF1KRJEzI0NCQ7OzuaNGkS/fvvvxXK7+HDhzR69Gix75o2bUrLly8npVKpkk9QUBBZWFhQdnZ2iX2Rk5NDU6dOJUtLSzIyMqIBAwZQUlKSWP7LL79Qp06dyMzMjAwNDcnFxYVmz56tku/mzZvJzc2NjI2NydTUlNzd3Wnbtm3F2kpPTyepVEpLly4tMRcioqioKGrbti1JJBJydHSkr7/+ulgdfn5+1KhRIzI0NCQnJycKDAwsdnzh4eHk4uJChoaG1LJlS9q5c6dYlpSURN27dydLS0uSSCTUuHFjmj59Oj1+/FiMiYmJoY4dO4rH3bRpUwoODqasrKxSc3/d8GPstRePvfZ5mpVLl+4+oew8eZXW+zLfd0KP3ySHOb/SdyduVWFGrCSvy+OsWcXx2GsvHnvt9bqMPYALVMpcjEAVuE2oNvHw8KALFy6UWJaQkAA3N7dqzqjyMjIyij3anWkHHnvtxWOvvXjstc/T53lI+vc53rA2haG+bvk7qOllvu8QEcZtvYDoa6mImNgFre3NqywvpioqKqrEK4zZ64/HXnvx2Guv12XsBUGIISKPksp44W/GGGOMMaZCEASs+KA16psaYsrOi3iWna/plBhjjDFWC/AkE2OMMcYYK8bcWIJ1w9si+VkO5kRcrtBDMhhjjDGmnXiSiTHGGGOMlahdIwvM6euKg1eTseXUbU2nwxhjjLEajieZGGOMMcZYqca+6YServXxv98TcOnuU02nwxhjjLEajCeZGGOMMcZYqQqvzzRpewweZ+ZqOiXGGGOM1VA8ycQYY4wxxspkIZVg40fuSMvKw7RdsZArlJpOiTHGGGM1EE8yMcYYY4yxcrW0M8P/3mmBUzcfY9mhfzSdDmOMMcZqID1NJ8AYY4wxxgBB0wmo4QMPe1y+9wzfHL+FVnZmGNDKRtMpMcYYY6wG4SuZGGOMMcY0iDSdQAV9NqAZ3B0sMDviMv5JztB0OowxxhirQXiSialIS0uDIAiIiorSdCqMMcYYq4EkejoIGdEOUgM9TNh2Ac+y8zWdEmOMMcZqCJ5kqmVGjx4NQRDg6+tbrGzOnDkQBAEDBgzQQGbqIyIsWLAANjY2MDIygkwmw9WrV8vd78cff0SzZs1gYGCAZs2a4eeff66GbBljjDFWlHUdQ3w9oh3uPcnGJ7vjoFDWtuuxGGOMMfYq8CRTLWRvb489e/YgKytL3CaXy7F161Y0atRIg5mpZ9myZfjqq6+wbt06nD9/HvXr18fbb7+NjIzSL7k/ffo0hgwZghEjRiAuLg4jRozABx98gLNnz1Zj5owxxtgrUEvnZzwcLRE8sBmO/f0Iy3khcMYYY4yBJ5lqpVatWsHFxQV79uwRt/32228wNDSETCYTtymVSixcuBD29vYwMDBAy5Yt8csvv6jUdf78ebi7u8PQ0BBt27YtcdImPj4eXl5eMDU1Rf369TFs2DAkJydXKnciwurVqxEQEID33nsPLVq0wJYtW5CRkYGdO3eWut/q1avRo0cPzJs3D25ubpg3bx5kMhlWr15dqTwYY4yxGqc2rPxdxEedHPBRp0bYGH0TETH3NJ0OY4wxxjSs2p8uJwjCZACzADQEcBXADCI6UUqsJ4AlAJoCMAZwB8C3RLSiyhObMQOIi6vyasvUpg1QyUkSX19fhIWF4eOPPwYA8edbt26JMWvWrMHy5cuxceNGeHh4YPv27Xj33XcRExODNm3aIDMzE15eXvD09MSWLVtw//59zJgxQ6Wdhw8fonv37vD19cWKFSuQn5+PefPmYdCgQTh9+jR0dHSwY8cOTJgwocx8N23ahBEjRiAxMRHJycno3bu3WGZkZITu3bvj1KlTpdZz+vRpTJs2TWVbnz59sH79+gr1G2OMMcaqjiAICB7YHIlpWQj86TIc6hqjvaOlptNijDHGmIZU6ySTIAhDAKwBMBnAyf/+e0AQhGZElFTCLpkA1gL4C8BzAF0BbBIE4TkRhVRT2jXS8OHD4e/v3uP1IwAAIABJREFUj+vXr8PU1BQHDx7EunXrEBQUJMasWLEC/v7+GD58OADgiy++wPHjx7FixQps374dO3fuRF5eHsLDw2FiYoIWLVpg3rx5GDlypFjH119/jdatW2Pp0qXitq1bt8LS0hIXLlxAhw4d4O3tjY4dO5aZr7W1NQCIV0AVvC9cfv/+/VL3T05OLnGfyl5RxRhjjNUUtfRuOZG+rg5ChrtjcMifmLAtBr9M6Qp7S2NNp8UYY4wxDajuK5lmAthMRKH/vZ8mCEJfAJMABBYNJqIYADGFNiUKgvAugDcBVO0kUy277crCwgKDBw9GWFgYzM3NIZPJVNZjSk9Px4MHD9C1a1eV/bp164bff/8dAJCQkIBWrVrBxMRELO/cubNKfExMDI4fP64SU+DmzZvo0KEDTE1NYWpqWpWHxxhjjGmdWni3nMjMWB/f+njgnQ1/YuyWC4iY1BmmhvqaTosxxhhj1aza1mQSBEECwB3A4SJFhwF0UbOOtv/FRldtdrXTmDFjsHXrVoSFhWHMmDFq7ycI6n+NVSqV8PLyQlxcnMrr+vXr4lPsduzYARMTkzJfO3bsAAA0aNAAAJCSkqLSTkpKilhWkgYNGlR4H8YYY4xVH+d6Jvj6I3fcSM2E3/f8xDnGGGNMG1XnlUxWAHQBpBTZngKgV1k7CoJwD0A9vMj3cyLaWErceADjgRe3UkVFRZVYn5mZWZlPMqtpFAqFmG9+fj7kcjkyMjLQoUMH6OvrIzU1FT179kRGRoZYLggCGjZsiGPHjqFDhw5iXdHR0XBxcUFGRgacnJywefNmJCcnQyqVAgAiIyMBAM+fP0dGRgZatGiBn376CZaWltDXL/4XyYyMDPTo0QMnT54s8xjq16+PjIwMWFlZwdraGr/++itcXV0BADk5OThx4gQWLlxY6ri0b98eBw4cwMSJE8VtBw4cQPv27WvVWFZU4bFn2oXHXnv9X3v3HSZnWS5+/Htv3+xuEtI2CSGQUEJCKIEICASCCAexoaLoDwtNDiAiNhRFRMV2PCIcFRE8StMDKqIgRaREegnSAgEChBBII6Qn2/f5/TGTsAnZlC0zuzvfz3W917zleWfuyZN39p17nmLdF576xkwyZtXq1ZQWdV17pvr6+nbvhbrTcbuWctWzizjt17fz/8aX5/z1e5tVq1blpZ6Uf9Z94bLuC1ch1H3OB/7uoClANbA/8OOImJ1SunrDQimly4DLACZPnpzazrTW1syZM3tV966VK1eui7e0tJSSkpJ1208//TQpJfr37/+242effTbnnXceEydOZJ999uGaa67hgQce4N///jc1NTWceOKJfO973+MLX/gC5513HvPmzePCCy8EoF+/ftTU1PDFL36RK6+8kpNPPpmvfe1rDB06lJdffpk//vGP/PSnP13XVW7kyJFb/H6++MUv8oMf/IA999yTXXbZhQsuuIDq6mpOPPHEde/rsMMOY9999+WHP/whAF/+8pc5+OCD+eUvf8nRRx/NDTfcwL333st9993Xq+pya7WtexUW675wWfeFp2l1I9StobqqirKS4i573rUzx+baVKDkpmf57f2z2Xfizpw8ZWzOY+hNpk2bRnv3rOrbrPvCZd0XrkKo+1wmmRYDLUDtBvtrgU2O3pxSmp1dfToiaoHzgbclmQrRpr6InHnmmaxcuZKzzz6bhQsXMm7cOK6//nr23HNPAKqrq/n73//Oaaedxt57782uu+7Kj3/8Yz7wgQ+se46RI0dy//33c84553DkkUdSX1/P6NGjOeKIIygv79ivk2effTZ1dXV87nOfY+nSpey3337cfvvt672Xl156ie22227d9gEHHMC1117Lueeey3nnnceOO+7Iddddt9kBxyVJ6j1686hM6/vme8czf3kd379lJiMGVPLePUbkOyRJkpQDkVLu+stHxMPAkymlU9rsewG4PqX0toG/23mO84BTUkqjNlVu8uTJafr06Rs9NnPmTMaPH7/lgeeZv2oXLuu+cFn3hcu6LzxLVjfw2tI6dh3en7KSrhsuM9/3O/VNLXzyNw/z1OvLueak/dh3zKC8xdKTFcKv2to4675wWfeFq6/UfUQ8llKavLFjORv4O+tC4PiIODkixkfExcBI4FKAiLgqIq5aWzgiPh8R74uInbPLScBXgGtyHLckSZK2QkVpMZd/ejKjtqnks1dN58VFjjUmSVJfl9MkU0rpOuAs4FzgCeAg4KiU0pxskdHZZa1i4MfZstOBzwFfB76Rq5glSZLUMdtUlXHlCftSWlzEZ377KItW1Oc7JEmS1I1y3ZKJlNIlKaUdUkrlKaV9Ukr3tDk2NaU0tc32RSml3VJKVSmlASmlvbPnt+Y6bkmSpO6Qu4EL8mO7Qf343fHvYOmaRk644lFW1jflOyRJktRNcp5kkiRJ0tv1nWG/3273UQO45Li9eX7BSk6+cjr1TS35DkmSJHWDrUoyRUR5RIyJiAkRMbS7gsqFXA54LkmS1K61tyRdmGXqifc5U8cN46cf25NHXlnCGX/4N00tNkyXJKmv2WySKSJqIuK0iLgHWA68CMwAFkTEqxFxeUS8o7sD7UqlpaXU1dXlOwxJkqRuUVdXR2lpab7DeJsP7rUt3/3gRO6YuYiz//wUra09LxkmSZI6bpNJpoj4EvAKcCLwT+CDwF7ALsA7gfOBEuCfEXFbROzcncF2lWHDhvH666+zZs2aHvlLnyRJUkeklFizZg2vv/46w4YNy3c4G/Wp/bfnq/8xjhsef53zb3rGezFJkvqQks0c3x84JKU0o53jjwC/jYjTyCSiDgFmdWF83aJ///4AzJs3j6amnj/4ZH19PRUVFfkOQ3lg3Rcu675wWfeFZ1VDM8vWNFG0vILios73mSstLaW2tnbd/U5PdPrUHVle18Rl97zMgMpSvnzEuHyHJEmSusAmk0wppY9tyZOklOqBS7okohzp379/j775amvatGlMmjQp32EoD6z7wmXdFy7rvvBc/dAcvnXjDB755mEMqymMBGNEcM57dmVFXRM/v+tF+leU8tmDx+Y7LEmS1Emba8m0TkTskFJ6pZ1jB6SUHuiyqCRJkgpM9On55d4uIvj+h3ZnZUMz379lJqXFwfEHjsl3WJIkqRO2Zna5JyPik213RERRRHwXuLtrw5IkSSoQ2TGJorByTAAUFwUXHbsX/7FbLeff9CxXP/hKvkOSJEmdsDVJprOBSyPiDxHRPyJ2BB4gMxbTe7slOkmSpD6u0Ie9Li0u4uef2Jt3j6/lW397ht8/PCffIUmSpA7a4iRTSunXwGRgHDADeBx4HdgjpXRH94QnSZJUGAqwIdM6ZSVF/PK4Sbxr12F884YZXPfoq/kOSZIkdcDWtGQCmA+8AtQClcBtKaUlXR2UJElSoUiF3pQpq7ykmEuO25tDdhnK1//yNH+aPjffIUmSpK20xUmmiDgYeBoYBexGppvcTyLihogY3E3xSZIk9Wlp3ZhMhdyWKaOitJhff2ofDtppCGdf/xR/NNEkSVKvsjUtme4ArgIOTCm9mFK6GpgEDCWTfJIkSdJW2vfi73LeHZcVdHe5tipKi7n805Op2K8/J894LmeDgZ9121mcddtZOXktSZL6qpKtKPvulNI9bXeklGZnWzh9o2vDkiRJKgzbzJrJhDdX5zuMHqWitJiho6tZVpH41t+eoa6phVMO3rFbX/OJBU906/NLklQItjjJtGGCqc3+VuCCLotIkiSpANlbbn1FAbvU1jBxj2p+cMtz1DW2cuZhO9mtUJKkHmyTSaaI+CTw+5Q2PyRlRGwPjE4p3dtVwUmSJBWKsMPc2wTwPx+fREVJMT+74wXqmlr42pHjTDRJktRDbW5MphOB5yPiGxGxe2zwFz0iBkXEByLij8CjwIDuClSSJEmFp7go+Mkxe3DcfqO59F8vcf6Nz9Da6pR8kiT1RJtsyZRSeldEvBc4E/geUB8Ri4B6YBsyg34vAn4HfC6l9EY3xytJktQ32TinXUVFwQVHT6SytJjf3DebZXVN/OSYPSkr2Zo5bCRJUnfb7JhMKaWbgZsjYghwELA9UAksBh4HHs+OyyRJkqQOsgfYpkUE33zveAZVl/Fftz3PktWNXPrJfagq35p5bCRJUnfamoG/FwN/7cZYJEmSpHZFBKdP3YkhVeV8/S9P8f8uf4jfHv8OBleX5zs0SZLE5sdk2qiIGLHB9riuCUeSJKkw2ZBpy33sHdvx609N5rkFK/nopQ8yd8mafIckSZLoYJIJ+EtEvB8gIk4BftV1IUmSJBWOtUNYO2Pa1jl8Qi3XnLwfi1c18JFfPcDM+SvyHZIkSQWvo0mmdwOfjIgngLHAEV0XkiRJUiFxprSOescOg/jTqQdQFMFHL32Qac8vyndIkiQVtI4mmd5BZgDwm4B9gVFdFpEkSVIBsh1Tx4wbXsMNnzuA0YP6cdKV07n6oTn5DkmSpIK1ySRTRBwcERsbHPwM4KiU0rey61d1R3CSJEl9XrYhk73lOm7EgEr+eOo7OWSXoXzrrzO44O/P0tJqCzFJknJtcy2Z7gYGAUTEyxExGCCldExKaUl2/Vky3eckSZKkvKguL+GyT+3D8QfswG/um81p1zzGmsbmfIclSVJB2VySaSkwJru+Q3vlU0qNXRiTJElSwQk7zHVaSXER539gN779/gncMXMhx/76IRatqM93WJIkFYzNJZmuB/4VEbPJNOaenm3R9Lal+0OVJEnqe96aXS6vYfQpJxw4hss+NZmX3ljFB35xP0/OXZbvkCRJKggbG2+prVOBG4GdgQuB3wEruzsoSZIkqTPePaGWP596AKdcPZ2P/vpBfvCh3TlmH+eqkSSpO20yyZRSSsDNABGxJ/DTlFKnkkwRcTrwVWAE8AxwVkrp3nbKfphMomsSUAE8C3w/pXRjZ2KQJElS3zdhZH9uPOMgPvf7f/OVPz3JM/OW882jxlNS3NEJliVJ0qZs8V/YlNIJXZBgOha4GPgBmcTRA8CtETG6nVMOAe4C3pstfwtwQ0RM6UwckiRJPY3d5brHoKoyrjppX044cAd+d/8rfPq3j7B0tcOJSpLUHXL9M86XgCtSSpenlGamlD4PzAdO21jhlNIXUko/Sik9klJ6MaX0HeAx4OgcxixJkqRerLS4iG+/fzd+csweTH9lKe//xX08O29FvsOSJKnPyVmSKSLKgH2A2zc4dDtwwFY8VQ2ZWe8kSZL6DGeX634fnbwd1/3n/jS1tPKhS+7nj9Pn5jskSZL6lMgMu5SDF4oYCbwOHJJSuqfN/vOA41JK47bgOT4H/AiYmFKas5HjpwCnANTW1u5z7bXXdlX4ebVq1Sqqq6vzHYbywLovXNZ94bLuC8+o//wCi+taWXXF/1BSZKJprbOyjxd1w3Mvb0hc+mQ9M5e0ctC2JXxqQhlfe/qLmdfbqztesX1e84XLui9c1n3h6it1f+ihhz6WUpq8sWObm12ux4iIjwA/AY7dWIIJIKV0GXAZwOTJk9PUqVNzF2A3mjZtGn3lvWjrWPeFy7ovXNZ94XmtogLq1nDIIYdQ6oDU6wx8/HEApk6a1C3P/77DExff8QI/v/tFFrdUUl5VQ2Vpcc6vP6/5wmXdFy7rvnAVQt3n8k5mMdAC1G6wvxZYsKkTI+IY4Grg0ymlm7onPEmSpPyxDVNuFRcFXzpiHL87/h0sXFHPjNeX86YDgkuS1Ck5SzKllBrJDNp9+AaHDiczy9xGRcTHyCSYjk8p/bn7IpQkScqfcHq5vJg6bhg3nzmFfmXFzFq4km/9dQb1TS35DkuSpF4p122yLwSOj4iTI2J8RFwMjAQuBYiIqyLiqrWFI+LjwO+BrwP3RMTw7DIox3FLkiSpjxo5sJIJIwYwYkAlVz80hw/84j6eW+Dsc5Ikba2cJplSSteRGcfxXOAJ4CDgqDZjLI3OLmudSmbcqIuA+W2Wv+QqZkmSpFywHVN+RcD2g/tx5Yn7smR1Ex/4xf387v7Z5GqSHEmS+oKcjy6ZUrokpbRDSqk8pbRP25nmUkpTU0pTN9iOjSxTN/bckiRJvZW95XqGQ3YZym1nTeGgnYbwnZue5cQrHmXxqoZ8hyVJUq/gFCaSJEl5ZDuZnmdIdTn/+5nJfOcDu3H/S29y5EX3cPfzi/IdliRJPZ5JJkmSpB7Agb97lojgMwfswI1nHMjgqnJO+N2jnPOXp1hZ35Tv0CRJ6rFMMkmSJEnt2HV4f/52xoH85yFjue7RuRx50b3c/+LifIclSVKPZJJJkiQpr+ww19NVlBZzznvG86dTD6CspIjjfvMw3/rrDFY3NOc7NEmSehSTTJIkSdIW2Gf7bbjlzCmceOAYrnl4Du+5+F4efvnNfIclSVKPYZJJkiRJ2kKVZcWc9/4JXPvZ/QH4+OUPcd7fZjhWkyRJmGSSJEmSttp+Ywdz21lT+Mw7d+Dqh+Zw+IX3cNuMBfkOS5KkvDLJJEmSlE8OydRr9Ssr4fwP7MYNpx/INlVlnHrNY5xy1XTmL6/Ld2iSJOWFSSZJkqQ8MsfU++213UBuPONAvv6eXbln1hscfuE9XHH/bFparV1JUmExySRJkiR1UmlxEacesiO3n3UIk0YP5PybnuXDv3qAp15blu/QJEnKGZNMkiRJUhcZPbgfV524Lxd/fC9eX1rHB395P1+//ineXNWQ79AkSep2JpkkSZKkLhQRfHCvbbnrK4dw0oFj+PNjr3Hof0/jygdeobmlNd/hSZLUbUwySZIk5VnkOwB1i/4VpZz7vgnc+oUp7D5qAN++8Rne9/P7ePjlN/MdmiRJ3cIkkyRJUr6ZZerTdq6t4ZqT9uNXx+3Nyvpmjr3sIc74w7+Zu2RNvkOTJKlLleQ7AEmSpELm/GOFISJ4z+4jmDpuGL/610tcds9L3P7MQt61XRGT9m1iQL/SfIcoSVKn2ZJJkiRJypHKsmK+dPguTPvKoRw9aST/eKWZg39yN7+592UamlvyHZ4kSZ1ikkmSJEnKseEDKvivY/bkuwdWsseoAVxw80wOv/Ae/v7UPFKyfZskqXcyySRJkiTlyXY1RVx90n5ceeK+9Csr5ow/PM77f3Efdz+/yGSTJKnXMckkSZIk5dkhuwzl5jOn8N8f3ZNla5o44XeP8tFLH+TBl5yJTpLUe5hkkiRJyicbqyiruCg4Zp9R3PXlqVxw9ETmLl3DJy5/iE/+5mEef3VpvsOTJGmzTDJJkiRJPUhZSRGf3H97/vXVQzn3veOZOX8FH7rkAU6+8lGefm15vsOTJKldJpkkSZLyLPIdgHqkitJiTp4ylnvOPpSv/sc4Hpm9hPf/4j4+89tHmP7KknyHJ0nS25hkkiRJyiN7y2lzqspL+NyhO3H/19/F2UeO4+nXl3PMpQ/y8cse5L5Zix0gXJLUY5hkkiRJknqBmopSTp+6E/d97VC+9b4JzF68mk/+78McfckD3PHsQpNNkqS8M8kkSZIk9SL9yko46aAx3HP2oXz/QxN5c1UDJ181nSN+dg/XPfoq9U0t+Q5RklSgTDJJkiTlla1P1DHlJcUct9/23P2VqVz4sT0pKS7ia9c/zUE/vov/uXMWS1Y35jtESVKBKcl3AJIkSZI6rrS4iA/vPYoPTdqWB156k8vvfZkL//kCl0x7kY/sPYqTDhrD2KHV+Q5TklQATDJJkiRJfUBEcOBOQzhwpyHMWriS/71vNn967DX+8MirHLZrLZ85YHsO3HEIRUXOZyhJ6h4mmSRJkqQ+ZufaGn70kT348hHjuPqhOfz+oTncMXMhY4dUcdz+23PMPqMYUFma7zAlSX1MzsdkiojTI2J2RNRHxGMRMWUTZUdExB8i4rmIaImIK3IYqiRJUrdzQjB1p6E15Xzp8F144Jx3cdGxezGwXynf+/uz7PeDO/j69U/xzLzl+Q5RktSH5LQlU0QcC1wMnA7cl328NSImpJRe3cgp5cBi4EfAKTkLVJIkSepDykuKOXrSthw9aVtmvL6cax6aw1+feJ1rH53L3qMHctx+23PU7iOoLCvOd6iSpF4s1y2ZvgRckVK6PKU0M6X0eWA+cNrGCqeUXkkpnZlSugJYksM4JUmScsYRcpRLE7cdwI8+sgcPn/NuvvW+CSxd08SX//Qk+37/Dr5xw9M8OXcZySZ2kqQOiFz9AYmIMmAN8ImU0p/a7P8lMDGldMhmzv87sDildPwmypxCtsVTbW3tPtdee21XhJ53q1atorraGUEKkXVfuKz7wmXdF57hp5zJiobEmit/nu9QepSzso8X5er1nsi84kV75eoVM3rCNZ9S4vmlrdzzWjPTFzTT2AqjqoMpo0o5YGQJNWWmQbtDT6h75Yd1X7j6St0feuihj6WUJm/sWC67yw0BioGFG+xfCLy7K14gpXQZcBnA5MmT09SpU7viafNu2rRp9JX3oq1j3Rcu675wWfeFZ3ZZOdFQb71vYODjjwMwddKk3LzeKwMzr5fjeugp1/yhwKnAivombnpyHn98dC7/99xy/jyricMn1PLRydsxZachlBTnfEjXPqun1L1yz7ovXIVQ984uJ0mSlG82FFEP0b+ilOP2257j9tue5xas4I+PvsYNj7/GLU8vYHBVGe/bYwQfnLQtk7YbSIT/cSVJ68tlkmkx0ALUbrC/FliQwzgkSZIkbcauw/tz3vsn8LX3jONfz7/B356Yx7WPzuXKB+ew/eB+fHDPkXxw0rbsOLT3d/2QJHWNnCWZUkqNEfEYcDjwpzaHDgeuz1UckiRJPYnDK6unKy8p5ojdhnPEbsNZWd/EbTMW8Lcn5vGLu1/kf+56kd23HcAH9xrJe3YfwbYDK/MdriQpj3LdXe5C4OqIeAS4n0zX75HApQARcRVASunTa0+IiL2yq/2B1ux2Y0rp2VwGLkmS1H3sdqTeoaailI9O3o6PTt6ORSvqufHJefztiXlccPNMLrh5JntuN5CjJg7nPRNHMHpwv3yHK0nKsZwmmVJK10XEYOBcYAQwAzgqpTQnW2T0Rk57fIPt9wNzgB26K05JkiRJmzasfwUnTxnLyVPG8sri1dw6YwG3zpjPD299jh/e+hwTt+3PeyaO4D0ThzPWLnWSVBByPvB3SukS4JJ2jk3dyD5/2pMkSZJ6sB2GVHHa1B05beqOzF2yhttmLOCWGfP5yT+e5yf/eJ5dh9dwxG7Deff4YUwcOYCiIm/xJakvcnY5SZKkPPPrtvqS7Qb147MHj+WzB49l/vI6bpuxgFufXsAv7prF/9w5i2E15Rw2fhiH7VrLgTsNobKsON8hS5K6iEkmSZIkSd1ixIBKTjhwDCccOIYlqxuZ9vwi7py5iJuenM//PTKX8pIiDtppCIeNr+Ww8cOo7V+R75AlSZ1gkkmSJCmfnF5OBWJQVRkf3nsUH957FI3NrTw8+03unLmIO2Yu5M7nFsENsOvwGg7eZSgH7zyUyTtsQ0WprZwkqTcxySRJkpRH5phUiMpKipiy81Cm7DyUb79/Ai8sXMVdzy3i3llvcMX9r3DZPS9TUVrEfmMGM2XnIRyyy1B2GlZNhJ1LJaknM8kkSZIkKW8ignHDaxg3vIbTpu7ImsZmHnr5Te55YTH3zHqDC26eyQU3z2TEgAqm7DyEA3Ycwv5jBzN8gF3rJKmnMckkSZKUV7ZlktrqV1bCu3at5V271gLw2tI13DtrMffOeoPbZizgj9NfA2DMkCr2HzuI/ccOZv+xgx3PSZJ6AJNMkiRJknqsUdv04xP7juYT+46mpTUxc/4KHnr5TR56+U3+/lRmAHGAsUOq2H/HTMJp/zGDGGbSSZJyziSTJEmSpF6huCiYuO0AJm47gJOnjKWlNfHsvLeSTjc9MY8/PPwqANsNqmTy9oPYe/tt2Gf0NowbXkNxkWM6SVJ3MskkSZIkqVcqLgp2HzWA3UcN4LMHj6W5pZVn56/gkdlLeGzOUu57cTE3PP46ANXlJUwaPZC9R2/DPttvw6TRA6mpKM3zO5CkvsUkkyRJUh45IpPUdUqKi9hj1ED2GDWQk6dASonXltbx2JylPDZnKdPnLOXnd82iNUEEjKutYc9RA9l91AD2HDWQccNrKCspyvfbkKReyySTJEmSpD4pIthuUD+2G9SPoydtC8DK+iaenLuc6XOW8O9Xl3H7swu4bnpmXKey4iLGj6hhjzaJp52GVdvNTpK2kEkmSZKkPPPrq5Q7NRWlHLTzEA7aeQjwVmunp15bzlOvLePJ15Zxw+Ovc/VDcwCoLC1m4rb9mbjtACaM6M/4Ef3Zubaa8pLifL4NSeqRTDJJkiTlk/3lpLxq29rpvXuMAKC1NfHy4tU89dqydcmnax+ZS11TCwAlRcFOw6oZP6I/40fUMGHEAMaPqGFwdXk+34ok5Z1JJkmSJElqoyibRNppWDUf3nsUAC2tiVfeXM3M+SuYOX8Fz85bwYMvvbluYHGAYTXlTBjZn12H92eX2mp2HlbDjsOq6Ffm1y5JhcFPO0mSJEnajOKiYMeh1ew4tJr37TFy3f4lqxvfSjzNX8HM+Su5/8WXaWrJNFOMgFHbVLLzsBp2ziaudqmtob7ZZoyS+h6TTJIkSZLUQYOqyjhwpyEcuNOQdfuaWlqZ8+YaXly0klkLV/HColXMWriS+2YtprGldV25baffxU7DMomrMUOrGDO4ijFDqxjRv4IiBxuX1AuZZJIkSco3v0tKfUppcdG67nZHTnxrf3NLK3OX1vHCwpXc/tBTtFRtwwsLV/HI7CXrxnsCKC8pYofBVYwZUsUOQ6oYm30cM6SKIdVlRPihIalnMskkSZKUR3aYkQpHSXERY7LJovI3nmPq1ElAZoa7hSsaeHnxKl5ZvIbZi1cxe/EaZi1ayZ3PLVzX9Q6guryE7Qf3Y7tt+jF6cD+226aSUYMy26O2qaSi1FnvJOWPSSZJkiRJyqOIYPiACoYPqOAwSp1kAAAUeElEQVSAHdc/1tzSyrxl9cx+czWz31jF7MWrmbMkk4C6+/lFNDS3rld+WE052w3qx+hBb09ADR9QQWlxUQ7fmaRCY5JJkiRJknqokuIiRg/OtFo6ZJeh6x1rbU0sXtXAq0vWMHfpGuYuqWNudv2R2Uv42xN1tLZpLhmRSUKNGFDJyIEVjBhQyYgBFYwc+NbjkOpyih0PSlIHmWSSJEnKM7/OSeqIoqJgWP8KhvWvYPIOg952vKmllXnL6pi7pI7Xlq5h3vJ65i+rY/7yep5bsJK7n3tjvbGgAEqKgtr+FW8loQZWMKymgmE15Zmlf2a9qtyvkpLezk8GSZIkSeqDSouL2H5wFdsPrtro8ZQSy+uamLesnvnL69ZLQs1bVscTc5dx24z69WbEW6uqrJhh/SsYujb5VFPBsP5vXx9QWepA5VIBMckkSZIkSQUoIhjYr4yB/cqYMLL/RsuklFi2polFKxtYtLKeRSsa3lpf2cAbKxqY8fpyFq5Y9LZWUQClxcGgqjIGVZUzpLqMQVVlDK4qZ3B1GYOrstvV5QyuKmNwdRnV5SUmpaRezCSTJElSHjm7nKSeLCLYpqqMbarKGDe8pt1yKSVWNTRnElArMkmoN1Y2sHhVI0tWN7BkdSOLVzUy5801vLmqgdWNb09IAZQVFzG4+q3k06B+pQzsV8aAylIG9ssulWXZ9TIGVpbSv7LUcaSkHsIkkyRJkiSpUyKCmopSaipK2XFo9WbL1ze1sGR1I2+uauTN1Q28uaoxk4ha3cCSdeuNzHlzNcvWNLGivom0iax8/4qSbKusUgZUlrJNdn1gZSkD+pVRU1FC/4pS+leUZOMsyS6llJU4457UVUwySZIk5ZNNmSQVoIrSYkYOrGTkwMotKt/SmlhZ38TSNU0sW9PIsromlmfXl65pYnndW/uXrWnitaV1LF3TyPK6TSenMrEUtUk8rU1ElVBTXkr/ypL1jmX2l1BVXkJVeTH9yrLrZcWUFJuskkwySZIk5ZVZJknanOKit8aPgo0PZL4xra2JlfXNrKjPtIZaWd+cXZpYUZfdbshutzk2f3n9uuMbG2tqY8pLiqgqL6FfWTHV2cdMAqqEfuVr95WwaF4jL5fMpqq8zfGyYirLiqksLaaidP11uwKqNzHJJEmSJEnqk4qKggH9ShnQr7TDz9HU0sqqbAJqRX0TqxqaWdPYzKqGFtY0NLO6sYXVDc2sbmxmdUMzaxpasmUyjwtX1LO6oYXVjZljjS2t/GXWs1v8+mUlRVSWZpJOlWXFlJcUrUtCVZYWU9FmvbIsm6QqLaaytGjddkVp5ryykiLKSzLrFaVFlBUXU15atN4xk1rqjJwnmSLidOCrwAjgGeCslNK9myh/CHAhsBswD/ivlNKluYhVkiRJklTYSouL1g1+3hXuuOtuJu9/4FvJqYZmVje0UN/UQl12aVi73thKXVP2WONbx+uzy4r6JuoaW6hvas2Wb9nillftKSmK9RNSGyShykvevt22bFlxZru0OCgpKqK0pIiy4qC0uCi7tF0voqwks11S9Nb6xsuGMw/2AjlNMkXEscDFwOnAfdnHWyNiQkrp1Y2UHwPcAvwW+CRwEHBJRLyRUro+d5FLkiR1H2+ZJalwlKzr+tc9z59SoqG5NZN8an4r8dTY3EpDdsmst9DQtHa7Zd2xhuY2ZZtaaWzZsGwrqxsa23+ultbueWPwVuKqOLKJrPWTUSXZ4yVFQXFRUFIcFBcVUbrBdklRZJbi7P6iouzj+mXe2rf+dnFR5vXabrf32sURFBVlunyubur7XeRz3ZLpS8AVKaXLs9ufj4gjgdOAczZS/lRgXkrp89ntmRGxH/AVwCSTJEmSJEltRMS6LnL5kFKiuTXR1NJKU3OisaWVppZWmlveWl+7NDYnmlvfWl/vWEuied12orG57blpvfXGlkyyq6U189otrZnXa2hqpam1Zd12S2tmaWptpaVlbdnMY3NL63rb3eHUPcp5b7c8c8+RsyRTRJQB+wD/vcGh24ED2jntndnjbf0D+ExElKaUmro2yp7nW3+dwZ0z1lD173/lOxTlweo11n2hsu4Ll3VfeL5f10SpTZkkSX1ERKxrWUTX9DDMuZQSrSkzHtdbiatMQqylNdHc0iaZteF2S5vEVWsrLa2Z2RFbU6LutZn5fmvdLpctmYYAxcDCDfYvBN7dzjnDgTs2Ur4k+3zz2x6IiFOAUwBqa2uZNm1a5yLuAeqWNFJb3kpx1OU7FOVBtXVfsKz7wmXdF56qEqgsTn3ivqUrLcs+5urfZdmyZTl9vbVWrVpl3Rco675wWfeFoyi7rB12vrVlTZ+v+z41u1xK6TLgMoDJkyenqVOn5jegLjB1auZmpy+8F209675wWfeFy7ovQH/7EcuWLWOs9b6egY8/DsDUSZNy83qvDMy8Xo7rwWu+cFn3hcu6L1yFUPdFOXytxUALULvB/lpgQTvnLGinfHP2+SRJkiRJktQD5CzJlFJqBB4DDt/g0OHAA+2c9mA75acXwnhMkiRJkiRJvUUuWzIBXAgcHxEnR8T4iLgYGAlcChARV0XEVW3KXwpsGxEXZcufDBzP2wcPlyRJkiRJUh7ldEymlNJ1ETEYOBcYAcwAjkopzckWGb1B+dkRcRTwM+A0YB5wZkrp+hyGLUmSJEmSpM3I+cDfKaVLgEvaOTZ1I/v+BezdzWFJkiRJkiSpE3LdXU6SJEmSJEl9kEkmSZIkSZIkdZpJJkmSJEmSJHVapJTyHUO3iIg3gDmbLdg7DAEW5zsI5YV1X7is+8Jl3Rcm671wWfeFy7ovXNZ94eordb99Smnoxg702SRTXxIR01NKk/Mdh3LPui9c1n3hsu4Lk/VeuKz7wmXdFy7rvnAVQt3bXU6SJEmSJEmdZpJJkiRJkiRJnWaSqXe4LN8BKG+s+8Jl3Rcu674wWe+Fy7ovXNZ94bLuC1efr3vHZJIkSZIkSVKn2ZJJkiRJkiRJnWaSSZIkSZIkSZ1mkkmSJEmSJEmdZpIpzyLilIi4OyKWRUSKiB02UmabiLg6IpZnl6sjYuBmnjci4vyImBcRdRExLSJ26673oc6JiB2y9b+x5aubOG9qO+fsmsv41TnZ63PDOrx2C877SEQ8GxEN2ccP5SJedY2IGBQRP4+I57Kf03Mj4lcRMXgz5x3fznVfkavYtfUi4vSImB0R9RHxWERM2Uz5Q7Ll6iPi5Yg4NVexqmtExDkR8WhErIiINyLipoiYuJlz2rsfODJXcavzsvfgG9bhgs2cs3tE/Cv79+D1iDgvIiJXMatrRMQr7VzDN2/inI2V9zO/h4uIgyPixuz1miLi+A2Od+j7eF+4vzfJlH/9gNuB8zdR5g/A3sCR2WVv4OrNPO/ZwJeBzwPvABYB/4yImk7Gq+4xFxixwXI6kIA/b8H5u21w7qzuCVPd6HesX4f/uanCEfFO4Drg98Be2cc/RcR+3Rynus5IYFsyn9e7A58EDgb+bwvOXcMGnxkppfpuilOdFBHHAhcDPwAmAQ8At0bE6HbKjwFuyZabBPwQ+HlEfCQ3EauLTAUuAQ4A3gU0A3dExKAtOPdI1r/G7+qmGNV9nmf9Oty9vYIR0R/4J7CQzH37F4CvAl/q/jDVxd7B+vW+N5n7+T9u5rzPbnDeld0Yo7pGNTCDzPVat5HjW/19vK/c3zu7XA8REZOBR4ExKaVX2uwfDzwLHJRSuj+77yDgXmDXlNLzG3muAOYBv0gpfT+7r5LMf+yvpJR+3c1vR10gIv4JpJTSEZsoMxW4GxiaUlqcq9jUtSJiGjAjpXTGVpxzHTAopXR4m313AG+klD7R9VEqFyLiKODvwMCU0op2yhxP5vO9OpexqeMi4mHgqZTSZ9vsmwX8OaV0zkbK/xj4cEpp5zb7fgPsllJ6Zy5iVteLiGpgOXB0SummdsrsAMwG3pFSmp676NSVIuJ84JiU0iZbrrUpfxrwY6A2pVSX3XcucBowKvmFrdeKiG+SSRiOWFu3GymTgI+mlLbkh2X1QBGxCjgjpXRFdrtD38f7yv29LZl6vncCq8j8mrnW/cBqMr+MbcwYYDiZFlIAZD/U7tnEOepBImIscBhw2RaeMj0i5kfEnRFxaDeGpu7z8YhYHBHPRMR/b0Grw3fS5hrP+gde471df6CBTEulTamMiDkR8VpE/D0iJuUgNnVARJQB+/D26/V22r9e27u+J0dEaddGqByqIXPvvXQLyv4lIhZFxP0RcUw3x6XuMTbbTWZ2RFybvbdrzzuBezdIQvyDTIvXHbozSHWfbKLhJOCa9hJMbVycvQ98NCJOjQi/p/duHf0+3ifu7/3P2/MNJ5O5XPcLRnZ9UfZYe+dApsltWws3cY56lpOBN4C/babcfDK/cn0E+DCZptl3xmbG+lCP8wfgOOBQ4Htk6vP6zZwzHK/xPiUyY+19D7g8pdS8iaLPAycCHwQ+AdQD90fEzps4R/kzBChm667X9q7vkuzzqXe6GHgCeHATZVYBXwE+BhwF3AlcFxGf7P7w1IUeBo4n0+3xs2Su6Qei/TH32rvm1x5T73Q4mWTD5Zspdx5wLPBu4Frgp8A3ujc0dbOOfh/vE/f3JfkOoC+KiAuAb26m2KEppWk5CEd51JH/CxFRApwAXJlSatrUidnukm27TD6YbWr/VTJdKpUnW1P3KaW2LdaejoiXgYcjYu+U0r+7L0p1hw5e99XATcDrZPrwtyul9CBtvqRGxANkvrh+HjizY1FL6k4RcSFwEJnhD1raK5ft+v7TNrumR8QQMp8L13RvlOoqKaVb225HxEPAy8BngAvzEpTy4bPAoymlJzdVKKX0vTabT0REMZn7iAu6Mzipu5hk6h4XsfkbgVe38LkWAEMjIta2Zso2vRyWPdbeOQC1G7xO7SbOUffoyP+F95PJVv+mg6/5MPDxDp6rrtOZz4HpQAuwM9BekmkBmWu6La/xnmGr6j6bYLolu/m+rR3AO6XUEhHTyfx/Uc+zmMz1vDXXa3vXd3P2+dSLRMTPyPxdPjSl9HIHnuJhMj8+qZdKKa2KiGdo/3O6vWt+7TH1MhExjEyL48914PSHgf4RUZtS2rBVi3qHjn4f7xP39yaZukH2V6iuugl8kMzI9e/krXGZ3glUsf44TW3NJvMf8XAyg4kTmamtp5Bp4aIc6eD/hc8C/0opvdDBl92LTDc65VEnPwd2J9O9ZlP1+CCZa/wnbfYdTvufC8qRran77NhbtwIBHJlSWrW1r5f94WEPYJO/lCo/UkqNEfEYmevzT20OHU773WIfBDacsvhwYPrmWriqZ4mIi8l0gzk0pfRcB5/Gv+u9XPY+fFcyk7VszIPAjyOios0PDYeTGTj4le6PUN3geDJjLG7JjLEb2otMV/hlXRmQcqqj38f7xP29SaY8i4jhZFqt7JLdNSE7LserKaUlKaWZEXEb8OuIOCVb5tfA39fOLBcR25Lps39OSumGlFKKiIuAb0TEc8ALwLlk+vn/IXfvTlsrO531fwCfbuf4VQAppU9nt88ic/PxDFBGZgr0o8mM6aNeICJ2JDMe0y1kEhMTyHSVeJzMIP9ry90JPNJmJqqLgXsi4uvAX8l8IT2UTHcM9QLZBNPtZAb7Phqoioiq7OElKaXGbLn16j4ivg08BMzKnnsmmSTTabl9B9oKFwJXR8QjZK7rU8kM6HspvP2zPbv/jOzf8l8DB5L5wtJrZpYRRMQvgU+Rub6XZu/5AFatTShHxA+BfVNKh2W3PwM0kfkb0EqmdfPngK/lOHx1QkT8N5ku0K+S6X3wLTI/EF+ZPb5evZO5P/82cEW2y/UuwNeB7zizXO+T/fHnZODaDX88iogzyMxCtmt2e20PhgeBOjL3ct8FLkspNeQ0cG2VbEv0nbKbRcDoiNiLzD3cq1vyfbyv3t+bZMq/U8n8UVnr5uzjCcAV2fX/B/yczMjyADcCbac6LwXGAQPa7PsvoBL4JbANmWaXR6SUVnZh7Op6J5GZ3ri9X7dHb7BdRibTPYrMH6ZngPemlG7Z8ET1WI1kZhL8AplWi3PJfA58Z4NxO3bMHgMgpfRARHycTH/97wIvAcemlB7OVeDqtH2A/bPrG7ZcPBSYll1fr+6BgWRmnhxO5vPiceDglNIj3RapOiWldF12wN9zgRHADOColNKcbJHRG5SfHRFHAT8jkzycB5yZUtrchADqWU7PPt65wf7vAOdn10eQucbbOhfYnkw3yxeAE1NKjsfUu4wi04JlCJmJXB4C9m9zza9X7yml5RFxOJn79ulkZiD8KY7f1FtNJdM1cmMD9g8h871trSYynxUXkklUvExmIPBfdm+I6gKTWb914neyy5Vkfhjaku/jffL+PkyOS5IkSZIkqbOK8h2AJEmSJEmSej+TTJIkSZIkSeo0k0ySJEmSJEnqNJNMkiRJkiRJ6jSTTJIkSZIkSeo0k0ySJEmSJEnqNJNMkiRJkiRJ6jSTTJIkSTkWEUURcU9E3LTB/n4R8XxEXJqv2CRJkjrKJJMkSVKOpZRageOBQyPixDaHfgwUA1/OR1ySJEmdESmlfMcgSZJUkCLiVDKJpT2AnYB/AFNTSvflNTBJkqQOMMkkSZKURxHxD6AS2AG4NqV0dn4jkiRJ6hiTTJIkSXkUEWOAl7LLxJRSQ55DkiRJ6hDHZJIkScqvE4E6YBQwJs+xSJIkdZgtmSRJkvIkIt4BPAB8ADgNqAUOSCm15DUwSZKkDrAlkyRJUh5ERAVwFXBFSulW4BQyg387JpMkSeqVbMkkSZKUBxHxM+BoYI+U0srsvo8DVwJ7p5SeyWd8kiRJW8skkyRJUo5FxMHAXcC7U0rTNjj2JzJjM+2fUmrOQ3iSJEkdYpJJkiRJkiRJneaYTJIkSZIkSeo0k0ySJEmSJEnqNJNMkiRJkiRJ6jSTTJIkSZIkSeo0k0ySJEmSJEnqNJNMkiRJkiRJ6jSTTJIkSZIkSeo0k0ySJEmSJEnqtP8PyncQkRU2BpkAAAAASUVORK5CYII=\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"H2kNpomFLx0l"},"source":["How many of\n","these distributions are non negative?\n","\n","**Ans:** Since these are all valid probability density functions, their f(x) values are always non-negative. However the value of x itself may be allowed to become negative like in Uniform distribution and Gaussian distribution.\n","\n","---\n","\n"]},{"cell_type":"markdown","metadata":{"id":"k25HhkezkZaN"},"source":["Consider a signal with 2 orthogonal components X, Y . Each compo-\n","nent is a random variable that is independent of the other and fol-\n","lows Gaussian distribution with 0 mean and equal variances σ 2 = 4.\n","Can you find the distribution of the power content of the signal i.e.\n","P ower = X 2 + Y 2 . Which distribution is this? Is it a non negative\n","distribution? Compute the mean median mode and variance and plot\n","with the PDF.\n","\n","**Ans** It is a chi-square distribution with degree of freedom = 2 [Ref: https://stats.stackexchange.com/questions/186775/distribution-of-sum-of-squares-of-normals-that-have-mean-zero-but-not-variance-o ].\n","\n","Although the individual Gaussian random variables can take negative values, this is a non-negative distribution (since we are taking squares ,ie. x^2 + y^2)."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":270},"id":"7ypaVnaNuPCb","executionInfo":{"status":"ok","timestamp":1611766158596,"user_tz":-330,"elapsed":1936,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"efd5c477-e75a-4554-a7c0-50d03b5a91be"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","from math import gamma as gamma\n","\n","def plot_chisquare(n):\n"," df=n\n"," x=np.linspace(-10,10,2000)\n"," fx=[]\n"," for i in x:\n"," if (i<0):\n"," fx.append(0)\n"," else:\n"," fx.append(1 / (2*gamma(df/2)) * (i/2)**(df/2-1) * np.exp(-i/2))\n"," plt.figure(figsize=(20,4))\n"," plt.rcParams.update({'font.size':14})\n"," plt.plot(x, fx,label=f'Chi-square distribution with k={n} and $\\sigma$={sigma}, Variance={round(f_variance(fx,x),5)}')\n","\n"," plt.axvline(x=f_median(fx),ymin=min(fx),ymax=max(fx),color='c',label=f'Median={f_median(fx)}') \n"," plt.axvline(x=f_mean(fx,x),ymin=min(fx),ymax=max(fx),color='g',label=f'Mean={f_mean(fx,x)}') \n"," plt.axvline(x=f_mode(fx),ymin=min(fx),ymax=max(fx),color='r',label=f'Mode={f_mode(fx)}')\n","\n"," plt.xlabel('X')\n"," plt.ylabel(u'f\\u2093(x)')\n"," plt.grid()\n"," plt.legend(loc='upper left')\n"," #plt.ylim([0,1])\n"," plt.show()\n","\n","print('CHI-SQUARED DISTRIBUTION (with degree of freedom = 2):')\n","plot_chisquare(2)\n"],"execution_count":291,"outputs":[{"output_type":"stream","text":["CHI-SQUARED DISTRIBUTION (with degree of freedom = 2):\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"jkM4jaw_kMuH"},"source":["**• Discrete distributions**\n","To plot the discreet distributions use the impulse sequence or impulse\n","train. Write the PMF in terms of linear combination of impulses and\n","then plot. You can write a function to generate a impulse train first.\n","PMF’s are of form P (X = x) =\n","0.2, 0.1, 0.5, ...] therefore PMF can\n","P [0,\n","∞\n","also be expressed as P (X) = k=−∞ P (x k )δ[x − x k ]\n","\n"]},{"cell_type":"markdown","metadata":{"id":"WPIitZaPk0s7"},"source":["Consider a transmitter that can transmit messages only in the form\n","of 2 bits 0 and 1. The receiver receives a single message sent by the\n","transmitter say X. Since, we do not know before hand which bit\n","was sent X follows a certain distribution. Which distribution is this?\n","Find the PMF and plot it. Also calculate the mean and variance of\n","X. Assume probability for receiving 0(failure) is p = 0.1, 0.5, 0.8.\n","\n","**Ans:** It follows Bernoulli's distribution."]},{"cell_type":"code","metadata":{"id":"wra7Z8eTafco","executionInfo":{"status":"ok","timestamp":1611761150244,"user_tz":-330,"elapsed":822,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["def fd_mean(fx,x):\n"," mn=0\n"," for i in range(len(fx)):\n"," mn=mn+(fx[i]*x[i])\n"," return mn\n","\n","def fd_variance(fx,x):\n"," var=0\n"," mu=fd_mean(fx,x)\n"," for i in range(len(fx)):\n"," var=var+((x[i]-mu)**2 * fx[i])\n"," return var\n"],"execution_count":266,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":886},"id":"RCpi7jJ5Y7v0","executionInfo":{"status":"ok","timestamp":1611762341329,"user_tz":-330,"elapsed":2499,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"9a616205-c3e9-43b1-b3b5-95127e45d543"},"source":["p_list=[0.1,0.5,0.8]\n","for p0 in p_list:\n"," p1=1-p0\n"," x=[0,1]\n"," fx=[p0,p1]\n"," variance=fd_variance(fx,x)\n"," plt.figure(figsize=(10,4))\n"," plt.stem(x,fx,use_line_collection=True)\n"," plt.axvline(x=fd_mean(fx,x),ymin=0,ymax=max(fx),color='c',label='Mean') \n"," plt.xlabel('X')\n"," plt.ylabel('Probability value')\n"," plt.title(f'Bernoulli distribution with p={p0} (variance={variance},mean={fd_mean(fx,x)})')\n"," plt.legend()\n"," plt.grid()\n"," plt.show()"],"execution_count":273,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"Dv7V1pIxdUNG"},"source":["Consider the same transmitter but this time the receiver receives 20\n","bits. Each bit is independent of the previous one. The probability of\n","receiving a 0 is p = 0.4. Let X be the number of 1s (successes) that\n","is received at the receiver’s end. What is the distribution followed\n","by X. How is it related to the first distribution? Calculate the mean\n","and variance and plot the PMF.\n","\n","**Ans** It is a Binomial distribution. The Bernoulli distribution represents the success or failure of a single\n","Bernoulli trial. The Binomial Distribution represents the number of\n","successes and failures in n independent Bernoulli trials for some given value\n","of n."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":306},"id":"9axadvoIdSwr","executionInfo":{"status":"ok","timestamp":1611762341332,"user_tz":-330,"elapsed":1560,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"77c6f399-30ce-4933-9a25-ccf230fd187f"},"source":["def nCr(n, r): \n"," \n"," return (fact(n) / (fact(r) * fact(n - r))) \n"," \n","# Returns factorial of n \n","def fact(n): \n"," res = 1\n"," for i in range(2, n+1): \n"," res = res * i \n"," return res \n"," \n","n=20\n","p0=p=0.4\n","p1=1-p0\n","x=np.arange(0,n+1,1)\n","fx=[]\n","for k in range(n+1):\n"," fx.append(nCr(n,k) * p**k * (1-p)**(n-k))\n","variance=fd_variance(fx,x)\n","plt.figure(figsize=(10,4))\n","plt.stem(x,fx,use_line_collection=True)\n","plt.axvline(x=fd_mean(fx,x),ymin=0,ymax=max(fx),color='c',label='Mean') \n","plt.xlabel('X')\n","plt.ylabel('Probability value')\n","plt.title(f'Binomial distribution with n={n},p={p0} (variance={variance}, mean={fd_mean(fx,x)})')\n","plt.legend()\n","plt.grid()\n","plt.show()"],"execution_count":274,"outputs":[{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAA2UAAAEiCAYAAAB5tHquAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeZhcRb3/8fc3ISHDZlgDmQskKERRkEhEQZZh+0VRNCBeRBGisgtcrhAgV9SAaJR4WVwQwYsRlE3IjZc1CDiKCIRgkIAQEZKgE8gCDhCYkGTy/f1R1cmZM90z3TPdfXq6P6/n6Wemq+vUqTp7VZ1Tx9wdERERERERycagrDMgIiIiIiLSyFQpExERERERyZAqZSIiIiIiIhlSpUxERERERCRDqpSJiIiIiIhkSJUyERERERGRDJW9UmZmbmZTyp1uOZnZxJjPUdWcttD0ZtZqZq19Sa8P859uZgtTYW5mV1Vp/qPi/CZWY37lkG+Z9RA3t34/XOFsSY0zs0+b2etmNjyj+Re93YqIiEj5mNnHzWyFmW1d7DS9VsoSF5nJzzIz+4OZTehflqWvzGxXM5vS18phpZnZaQOp4lUqM/uvgbj9m9lGZvYVM7vXzF4yszfMbK6ZnWpmg/PEH2Rm55rZC2a20syeMrNjs8h7IWbWbGY3m9m/YnluN7N39TGt35ajkSIuy28BP3H39v6kJaUrdT3G7fzkuC+8YWZLzew+MzukQNyi9olStk0z+6SZPW5mHWb2DzP7lpkNyRNvQzP7rpm1xbizzWx8gTTfY2Z3x3m/ama/MrMRBeJ+ycz+Gsv0dzM7y8wsT7zhZvbTeB3wZmxUHFcgzX3M7EEze8vMlpjZj81skzzx6m6ZmtkpMZ8L4rZ4T770pHdmtmdczy9ZuMh92szOM7NhRU4/ILaZGFf7YQ0vUyvhGsrd7wSeBybny1de7t7jB5gIOPBN4FjgC8DZwNwYfnQq/jBgg97SzfIDDI75tD5Mm1seo/o4727TA0OBoSWmc1RMp6XE6YYAG6bCHLiqzMv4KaA1T7jFZT846+2gn8tsBTC9h/X74azzXaAs7wPWAr8FJgEnAzNinq/LE39q/O0a4ETgjvj981mXJeZvE+BvwBLgXOA/gReBxcDWJaZ1ZFyv/d4fgE/FdHbKcNl0224b4dOX9Qj8d4x/Q9wnzgGeifvKx1Jxi9onStk2gY/Fef0upnkF0AlckyevNwKrge8DJwEPAWuAA1Lx/g1YRrgoOJNwYfAqMA8Ylop7cizDbcAJwC/i96+l4g2K81sBTAFOi8f6N4B3p+LuAXQQrhVOBS6O33+bp0z1uEwXAv8C7o3L556s942B+AH2BN4GniZce54M3BS3j5uKmH4gbTPaD2t8mVL6NdRpwJvAZkVt70Vs0BPJc5EZV8rrwG+y3mmr+aEClbI+plNSpQzYqIffqlYpq5cPA7dSthXw3jzh18Z8Jw8+zcAqQm9PLsyAP8SDb+aNL/GE0GV5A++OB/JLSkhnGLAA+Ho59gfgN8BjGS2Tgvt6vX/6sh6BDYC3gNtS4VvF7f/mRFjR+0Qp2ybhgnNeavqL48n/vYmwvWKa56XK/HdgdirNK4GVwI6JsEPi9KclwpqA5cDdqel/GZfLVomwfyfVGAtsTah83JKa/i7gZeAdibAT4vSH1fMyjeE7Eht+CRU0Vcr68AGujtvHlqnwGYSL+417mX5AbDPaDwfGMqWEa6gYvk1cLicUtb0XsUNMTC/8xG+L6X4ic2BKnukPAC4l1G7fBP6XPC3ZwCmEC/qVcUP6KbBFKk4r8CywG/D7uHBfyC1MYF/gEUJrwHxgfIEyjUqE7QfcDCwitMq8RGgtSM+727Q9LLv3Ag/EfPwTuAD4Up55t5KqwMQN5DFCxfcNQqvt11N5SH8mppbPHoTWiTeJFQhgOrAwzzq7Cjga+Gtc9n8BPpqKNwXwHraRUfH7wjx5Wxh/G5XMayKN9xMOHq/H/LYC+xWYT1HbUmra3eK0n06EjYlhz6XiXg8sSnzvsswKLPvW/uYxuYxj3qYD7cBrwM+p4MU2cHic7xGJsNNi2O6puMdQRINAYlkcCPyIcHB8A7gFGFGmfM8G/pwnfFZ6O+8lnW8Q9v2m3P7QjzwNIxxDvpMKv4PQmtithx64D1iQWnb3EY6BbwPPEVoDB6Wma6W0fb3UdHclHMPeAtqAc/PkfSjh2PZsTHMJoVKaPOEacAbh5LwSWAr8D4mTYpm2h5LXYyLuj1LhgwjHo5/3ZZ8odtuMy9iBM1PxRtL9fHoJ4UJ081TcyXQ/rywBZuSZ/3wS5xvgsDjtJ1Px9iZ1rCbsu8vybC8/JZznmuL3zQgt3pfm2VbeINGgVY/LNM/vCylDpSyXDtACzInL/CngoPj7BOBJwj72Z2DPPGnsEtfjKzHeXOCoVJwtgGkxrTcIjZCtdD8nj4rL6HxCL8jzhGPAY8AH+1veOI+bCfthepv7MaESMaSX6QfENoP2wwGxTHvYzrpdQyV++zNwZzHbeykDfbzDzLaKn/eY2eXAtsB1RU5/OeHC+0LgJ7EAP0pGMLML4m9LCN2CNxEqMQ+Y2Ybp/AB3Enb+cwkXDb8ys88CtxIOXOcDGwG/NrN39JK/z8Q0rwZOj2kcC9yZ797T3pjZtoSLpD2A7wGXEW79/I8ipj2EUPbXCBvkpFiefWOUPwA/iP9/J6b7hRieM5ywgzxD6Fq+q5fZfoSw7G8Bvka4sLzdzPbtcar8ziJUQp9N5O2sQpHN7D3Ag8BYwolgCmGnvc/M9s8zSa/bUh5PEVo8kuntT2iteZeZbZcI34+uyzLtC4QTz4OsL9+3y5DHpJuATQnr/xbCxfQ3kxHMLLlP9vTpbduHsC9DqDjljI3lnJeKOzvxezGuINyCchFh/5oA3GtmQxNl2ajIsmyVmGYQsDvh4iRtNrCjmW3eW+bMbAfCseI8d+8oskw92ZNw0kvn6yZge8IJITn/bQgXWTcngr9CaPS6hLD/PknY17+TZ36l7OulpPsO4O4Y52zC/vw9M/tYIu+DgNsJz889CXyVcCvgUMJyyPkJoZHiUcIx8GpCb//vLPFciJltUuR20G299nU9xriPAV80s+PMbAczey+h5dNYf6yFIveJErfN3H7UJa67LyYcR5P72VjgeXf/Vy/zbya00BaafzrNbvMHHiccH9Nx57r72jxpDiO0lkNoBNsgT5lWAU/kSbPelmkl7US4zetOwvY+HPg/M/scYVu9gdA4sRPh2mfdcy7xXPsoYf1cQtivX4nxjk3N4yjC/n8OYf/OnZN3z5OnownXYT8lNNCMAmYknxuKzwsVdYyP6zrn94Rz4c8sPEu/g5kdD3yR0CuzutCCGmDbjPbDgbFMC8l3DZWc395F1SWKaKWYSP6egbeBE/PET9d+c9PfR6KFmHCCXkPsUiV0E74d4w3OM/3pibDWGPaFRFiu12Mt8JFE+P+L4SfkSTNZ8+7WCwF8Lsbbt6dpCyy3y2K8vRJhWxLue03Pu5WutfvLCBWygs9d0cPti4nlc2ae36aTv6fMgb3z5PWPibApFNFTFsMKPVM2iu4tFDMILV47J8K2Imzcc0rdlnpYZrcTdrrc9+sIvRcrWN/Lun2cx4mJePmWWW+3L/Y1j1Pi9NemwmcAywus594+3dZDKp2hhFsMFpJodYzLZlGe+BvFdKf1km5uWTxJ4tkm1vcWn5Cn3L1+UtuIAxfmmXeu1a/bbQZ54v4aeCi1P/Snp+zLMY09UuGbEhqPriiQ1z0SYfmOR1fH7S65LHPbQLH7eqnpHpfaTl4Cbs2zjiflSTd369Y+6bRi+L4x/KRUnovZDhbmmV+f1yOh92Buah5twAdS8YraJ0rZNgkXvQ6MzhN3NonbYAnH1d/niZdrkf5K/D4ufv9inriXxN82jt9/FL/n68FdCvw68X0F8Is88XIt0h+P33PnpwPzxL0FWFbPyzTP7wspX0+Zk+ixYv01zspkeQnP5DhwSCLsXsKxvimV7r2Ei+TcPrsh3XsMNic0mP8sETYqzmM5iR4O4JMx/BOJsIkUeYyn67XEBoResdWJ39cCk4tYXgNmm0H74YBYpgW2s7zXUInfc719I3vbZjegeGcSWmIBRhAqLD8xs9fc/ZYipv8fj7mLHiS01O5IuGg7JBbsCnfvTMS7Hvgu8HG69jR0AL/KfXH3+WbWDixx94cS8R6Nf3fqKXPu/hZArMluGvPyp/jznsAfiyhj0mGEDS5Xy8fdXzGzGwit1T15DdiYcLC9u8T55qwhXGwVa467P5z7ksyrmW3u3VsvyiK24o0Hbnf35xLzX25m04GzzWyEuy9JTNbbtlTIg8BUM3uHu79G6Cn7AaEVZH9CT8V+ibj90dc85lyT+v4gcISZbebur8ewswknyt70tu5+RDjwfcK7tjo2ERpK0lYmfi/GT909mc51hN6UTwA/S4SVuo/l5t/nPJrZgcCngQ+VOO+ebBn/dlnu7v6Gmd0FfMbM/tPXt8gdDTzr7k8k4uaOR4MJt6AMJrQYn0hosftLIumi9/US0+0g3Hufm3aVmc2m67H0qFjOK/LMK7f9/zvhhHdPsqeT0PO2hHB7ay7/lyTn2YMuPWFlWI+vES4KHiPclbA5odfvDjPb393/HuMVu0+Usm32FneLxPdyz//N+Hd16niVjJvch8o1/0qkWUrcSi/TSvqbuyfPT7lrnFZ3X5AnfCcAM9uCcJ11IbCxmW2ciHsPcCihcWJ+8ngde7I3JvQaP0bXHvCc21LXCLn8JY8Vs+I8ivFy7h93X2NmzwH3s/5Wxk8C3zaz1939xz2kM5C2Ge2HA2OZ5lPoGiont29sRbhTpaBSKmWPufsjuS9mdiOhS+4HZjbTQ3doT14skMncReWO8e/8ZCR374w75KjU9G3evZvxNeAfqelfiz2GPV68mtn2hFvnDiNUypKKuf0rbUfCaC9pfyti2isJt1PeZWaLCb0utxEqLvk2rnwWu/vK3qOt81yesFxed6T3C/u+2prQEjM/z2+5RoBRhIu3nN62pUIeJDwnsq+ZPUko1x8Ig9Z8JsbZD1jq7s8Wk/ke9DWPxUz/OoC7P963rK1nZpMIF+Rf9zB8a1IHocU0bVji92J02bbiSXYBiX3a3V8gPBdaitz8+5RHM9uAUCm/3t0fK3Hexch3q8JNhMrD/kCrmY0k9Bh9K5W3fQm3FH6I0ECUlD4eFb2vl5huvmPsvwi3ruS8k3CR2NPxfxfCPrakwO/b5P5x978SnmstWn/XY5z+PuBP7n5yInwmYdudyvrjQ7H7RCnbZm9xk9twpeY/xMwG5VnflZp/NcqU5fwrqcu5IXGN849UvNfi39w5512EY9KU+MlnG2B+vEXtXEJv2+hUnAXdpuqep3+lr7vc/SVCT3tJzOx8QgPJzrExFeC22IA+zcxucfdlBSYfSNuM9sOBsUy76OUaal20+LfX6/dSKmVduPtaCy88PgvYmdB115POAuElP6/VS3olzye2Gt9LqCBMJVQG3iRcwN9DBV6y3RN3X2pmYwmtWh8DPgocR2i1/WSRFbNKnBwKzbfb+60qrK/bUu7B6P0J9+G/QbhlaVNgSmxJ3I/Se2zKmceip4/5TV9Y57PK3V/tllB4j9z3CLd4XZxnupeAQ/Ic0HLP3/XY4lMKC+9M6fbelHzcPdeK+iqhZWu7PNGKyeNxhNueT7bu7/vbNIYtzfUulSB3T/nmhNsZku4kbHdHE24R/HfC8eWmXAQz24lQSfgb64caXgl8gLC+0sejovb1PqRbrmP2IMJzK58t8Pu6Bh8Lzz8W0wPbmbgQ6+963J8wzPG5ycB4t8AfWd97DsXvE6Vsmy8lwtMXvNsRHhJPzn9HuuspzXxxX3f3N1Nxt01Mj4VnPrek6z70Ug9pljL/dJr1tkwrqa/XPrn9+zIKP3f6VPw7mTBC3i8Iz4i9EtOfTGiIKXXemFkTxTdwL0vcMXUa8LtEhSxnJuF57j0J12n5DKRtRvvhwFim6xRxDZWTa5zI97xZF/2tbOQe4izqYqoXi+LfMcnA2GKzM90vbsppN8KtO2e7+1R3n+nuv6X0lvukRYR8p+1SzMTuvsrd73L3M+I03yPc7rVPLko/8pZPT3nNrZt/QXjBXipevh2p2PwtIzxnMybPb7kHKxcWmVaPYrfyI4SLsP0ILeOdMWwN4d1Su9LzIB/rkitHnvppBuFA0ttnRnpCM/sU4dbBGRS+nfYJQsvR+1LhH0r8Xowu21bsmRhN1/V6TpFlWdfSGk8c8wj3mKd9CHixl9tudyAcwx4inDByHwi3Zy8g9JyXKtfDm25hxsOgEv8HfDo2Bh0N/CXVM/tJwnI/3N2vdPc73P0++t9bXYl0nwd2scSgLQXibA484u735fkke3yvoLhtINkj1t/1mHvhaL5Gyg1S4UXtEyVum7n9KP2S0pGE9/Ek97MngHfmGegkPf82wrE13/z3ypNmt/nH74PyxB2bGoghN/+VhFtSIVzcr8lTpqGEwa/SadbbMq1FueuZNQX2w/sSy+8zhNshJ7r7De4+Kx4rinpZcwFHU/wxfvvEdCMovG9S4DdgwG0z2g8HxjLNlaGYa6ic0YTz7Mu9xOt7pSyOqnMoYYCGZ3qJXozfxrTOTC2UzxN2yjvKMI9Cci0y6Rbgc/qR5l3AB81sr1yAmW1JuEjoUYy3TuwZmxu/5ipEuZaAYm+H6804M1s3Klwir39K7GDPx7/7J+JtDByfJ703i8lbrBTdAxxuZuta4GIv0PGEZ90K3fbUFw8SWtYOJVa+4oXyHOA81r+XozdFla/CziaUo7fP2cmJLIxoeROhnJ/P062f8xvCw9WnJqY1wmsrXqb4HsWTrevoqccRtuNkV/91RZYl/UzCrYQD6bpnicxsDHAQYeAHEuHvTG5jhGVwRJ4PhOcfjmD9c6WleJxwEM930sjNd2vC6GEfJtFLFnU7HsXld3of8lLpdG8l7AfdRpVNjDR1M+Fc8408cQanTsKXUNw28PnENCWtRzN7t4WRGnNyt053OTbHOPsT1mdOKftEUdumuz9NOOGfEBssck5NpJNMcxDhtrJcmhsStqXHU88U3QYcZmY7JuIeTGhsS+4bDxBav0+lq1MJ23Hy3Hsr4bmI3O2cxOcEP0MY8rkjluk1Qq/s58xss8T0XyA04ibnX4/LtOa4+1LiC3wtjGDXhZltnfjaSep6yMz2ITVybIlyz5QV80levM4HDjKzEXT1ecKAH7lrIyyMzJgeJW+gbDPaDwfAMo3hxV5D5exJaJTsvTHfex+9ZiKhV+CbhCHijyXc3zs7hk9NxXfyj76Yfvl0SwxvSYRdEMPuI1woXEqoqD1B95HBns2T14XkGeGI1ChcdH+v1hDCLT2vxDycRhypr4fyjOpluW1H6Kp8NS67swkHl7+kp6f76Iv/S6g8TCGM5PYNwkgxi4FNY5wRhBaQ2YSN9rPEEW4KLZ/423Tyj744L5Z/SiKvq4H9E/GGEHrNlhFu9TmbcNvqnDxl+hHhgPlNwnsuDo/ho2LciYm47yHc0vVSXP7nxPmvSs0/t+x73ZZ6WC+5Fww6XUfV/G4Me43uo07lW2Z3ECpm58Rlf1A58sj6UQi3LbAf9rjdFVH+HQnvPnuLsJ0fm/qk31GSG83oasILJ2+P39Mj6eXKl29feZJwUXwGYYCPVXF7G9qfssR5bEp4uWTuNRpnEW7Le4nUu9AIx4eFRaTZ5XjRx3z9LwVeHk3Yj14lPBvYbZ0STixvE1o5z4jb2F9YfzxqScRtpch9vRzp5klzMOF47YTK11cIx4U76Do6bm4UrHsIt06eRji+v0jqnYXl+hRajzG8NRU2K4bfEfP2NcLxdjXd381U7D5Ryrb5CcLx8gHC8wmXEy6Mr82T/1tivqYRLmD+SDgXHJiKtz3hHPQ8YaCu8wnH+KeBYam4uVHTbotl+kX8/o1UvMHAw4SBW74Zp3sqfn9PKu4HWP8erFMIz012EAZssAZYpocTzmcXEFrJ/5b4vnsi3qhY1ulFbNMLKeIaJ5Xu+YmwMTH/rxDOeScStvX/A/6eiPfNOO11Mc4lhPPGU3Td/7vNI5WnKWXYj3PvyVpEuH3yK4THTZwwiFQybiuxHXuAbjPaD2t8mVL6NVTu5dEnpcubd3svYoeYyPqL2NynI7GC0yu10IVZURepMc2nWf8S0qvp/ib3VspYKUscrO4hXJS/SnjXx4geyjMqPZ88890t5rWDEl4eTRgI4G7Wv+D1RcLF0OhU+l8kPIi+hkRFp9Dyib9Np+eXRz8T5/kkeYYAJezgj8Q4iwgXWPmW5zaElpfX4m8LY/ioZF4T8XMvj36DUNn5PYkKWV+2pQLl35iw03eQqBQQRvd04K4il9nOhIPMChIXef3NI5WvlOXyUegzJRV/EOGAtyCu86dJHaBjvE/E6U/Ok+cDCUMaL4/L61Zgu/6UIzXvfyO0jr0Wt587gF0KHB8WFpFetwucPuQp9yLJbkMBx99/Fn9/pMDvHyMcYzsID/B/m9CC3GUbovR9vV/pFkhzGOEddH8nVLhfJjzvsWsq3pcIjUhvESqkTwHfB3Yo17ZQzHokf6VsGOGCb17cRl8nVDb3zzN9UftEKdtmjPspwjMWKwnD8X+bPA0XMa+XECqNKwmNYh8rkOZ7Cee1FYSKwQ2kji2JuCew/vj/PKHxNd9Q0psTRoddHtfl70m8+iUVd1/ChVUHoTHvSmCzRlim9Px6h4mJeO8jTwN3gXkvpB+VskT4z2NZV8XlchdwTCLOUMIjE/+M6+4xwrPt06lypSymdTChErEkbh/PEO5sGZyK10qqUjaQthnth7W/TCn9GurUmFaPr0PKfXLvpBAR6TMzu4TQovkuj8Mpx4dgf054/90jPUxed+It2E8RRkw9L+v8iEhtMrPTCBei7/Ty3qovIhkzsycIjYBnFRO/qqMKikjdOhD4lnd9H1nD8nCP+deBU/MMjCMiknMg8ANVyETqi5l9nPAqiu8UPY16ykSkEhq5p0xERESkFOopExERERERyZB6ykRERERERDJU8KV7IpWw1VZb+ahRoyo6jzfffJONN964ovOoVY1cdmjs8jdy2aGxy6+yN2bZobHLX62yP/7448vdfeveY4r0jyplUlWjRo1izpw5FZ1Ha2srLS0tFZ1HrWrkskNjl7+Ryw6NXX6VvSXrbGSmkctfrbKb2aKKz0QEPVMmIiIiIiKSKVXKREREREREMqRKmYiIiIiISIZUKRMREREREcmQKmUiIiIiIiIZ0uiLIiINZubcNqbNms/i9g5GDm9i0vgxTBjbnHW2RKSA119/naVLl7J69eou4e94xzt45plnMspVtspR9iFDhrDNNtuw2WablSlXIn2nSpmISAOZObeNyTPm0bG6E4C29g4mz5gHoIqZSA16/fXXWbJkCc3NzTQ1NWFm635744032HTTTTPMXXb6W3Z3p6Ojg7a2NgBVzCRzun1RRKSBTJs1f12FLKdjdSfTZs3PKEci0pOlS5fS3NzMRhtt1KVCJv1jZmy00UY0NzezdOnSrLMjokqZiEgjWdzeUVK4iGRr9erVNDU1ZZ2NutXU1NTttlCRLKhSJiLSQEYOz39xVyhcRLKnHrLK0bKVWqFKmYhIA5k0fgxNQwZ3CWsaMphJ48dklCMRERHRQB8iIg0kN5jHubc+yarOtTRr9EUREZHMqVImItJgJoxt5sbZLwJw88l7Z5wbERER0e2LIiIiIlJWEydOxMz48pe/3O238847DzPjE5/4RAY5E6lNqpSJiIiISNltv/323HLLLbz55pvrwtasWcN1113HDjvskGHORGqPKmUiIiIiUna77747O++8M7fccsu6sDvvvJNhw4bR0tLSJe7Pf/5zdt11V4YNG8Yuu+zCZZddxtq1a9f9fumll7L77ruz8cYb09zczOmnn057e/u636dPn84mm2zC/fffz/ve9z423nhjDjzwQBYsWFDxcoqUg54pExERERkgznruOZ5YsQKAzs5OBg8e3MsU/bfHJptw+c4792naL3/5y1x77bV88YtfBFj3/wsvvLAuzjXXXMM3vvENfvjDH7Lnnnvy1FNPceKJJzJkyBBOP/10AAYNGsTll1/OTjvtxKJFi/jKV77CGWecwfXXX78unbfffpupU6dy7bXXMmzYMI4//nhOOeUUZs2a1Y/Si1SHespEREREpCI+97nPMWfOHJ577jlefvll7rnnHiZOnNglzre+9S0uueQSjjrqKEaPHs3hhx/O+eefz5VXXrkuzllnncVBBx3EqFGjOOCAA7jooou45ZZbuvSmrVmzhh//+Mfstdde7L777pxzzjm0trbi7tUqrkifqadMREREZIBI9li98cYbbLrpphnmpnebb745RxxxBNdeey3Dhw+npaWly/Nky5Yt4x//+Acnn3wyp5566rrwNWvWdKlMPfDAA0ydOpVnnnmG1157jc7OTlatWsXLL7/MyJEjAdhwww0ZM2b9OxdHjhzJqlWr+Ne//sUWW2xRhdKK9J0qZSIiIiJSMV/60pc4/vjj2WSTTbjooou6/Jbr6brqqqvYZ5998k6/aNEiPv7xj3PiiSdy0UUXseWWW/LQQw/xpS99iVWrVq2Lt8EGXS9rzazLPERqmSplIiI1YubcNqbNms/i9g5G6qXOIlInDj74YIYOHcry5cuZMGFCl99GjBjByJEjef755znuuOPyTj9nzhxWrVrFZZddtu4Zuttuu63i+RapJlXKRERqwMy5bUyeMY+O1Z0AtLV3MHnGPABVzERkQDMznnzySdydDTfcsNvvF154IWeccQbDhw/nsMMOY/Xq1fz5z3+mra2NyZMns/POO7N27Vouv/xyjjzySB555JEuz5uJ1AMN9CEiUgOmzZq/rkKW07G6k2mz5meUIxGR8tl0003ZbLPN8v52wgkncO2113L99dfz/ve/n/3224+rr76a0aNHA2Fo/SuuuIJLL72UXXfdlZ/97GdcfPHF1cy+SMWpp0xEpAYsbu8oKVxEpJZNnz69pN+POeYYjjnmmILxzzzzTM4888x139944w2OP9n+3jcAACAASURBVP74dd8nTpzYbVTHlpYWjbwoA4Z6ykREasDI4U0lhYuIiEj9UKVMRKQGTBo/hqYhXV8C2zRkMJPGjykwhYiIiNQL3b4oIlIDcoN5nHvrk6zqXEuzRl8UERFpGKqUiYjUiAljm7lx9osA3Hzy3hnnpn80vL+IiEjxVCkTEZGy0vD+IuXl7utehCzlpYFApFbomTIRESkrDe8vUj5Dhgyho0OjsFZKR0cHQ4YMyTobIqqUiYhIeWl4f5Hy2WabbWhra+Ott95Sr04ZuTtvvfUWbW1tbLPNNllnR0S3L4qISHmNHN5EW54KmIb3Fyld7oXLixcvZvXq1V1+W7lyJcOGDcsiW5krR9mHDBnCiBEjCr7UWqSaVCkTEZGymjR+TJdnykDD+4v0x2abbZa34tDa2srYsWMzyFH2GrnsUp9UKRMRkbLS8P4iIiKlUaVMRETKrp6G9xcREak0DfQhIiIiIiKSIVXKREREREREMqRKWZ0zs9PMbIGZrTSzx81svx7ibmdmN5jZs2bWaWbT88SZaGae59OYwz+JiIiIiPSTKmV1zMyOBq4AvgOMBf4E3G1mOxSYZENgOfBd4NEekn4L2C75cfeV5cq3iIiIiEgjUaWsvn0VmO7u17j7M+5+BvAScGq+yO6+0N3PdPfpwKs9pOvu/nLyU/6si4iIiIg0BlXK6pSZDQX2BO5N/XQvsE8/k28ys0Vm9k8zu8PM9KIQEREREZE+MnfPOg9SAWY2EmgDDnD3PyTCvwF83t17fIurmd0BLHf3ianwvYFdgL8AmwL/ARwGvN/dnyuQ1knASQAjRozY86abbuprsYqyYsUKNtlkk4rOo1Y1ctmhPso/9dEOACZ/qKmk6Uote1/nU4pqzCOnHtZ9X6nsjVl2aOzyV6vsBx544OPuPq7iM5KGp/eUSUnc/WHg4dx3M/sT8ARwBnBmgWmuBq4GGDdunLe0tFQ0j62trVR6HrWqkcsO9VH+n8wPu1dLS2nv9iq17H2dTymqMY+celj3faWyt2Sdjcw0cvkbuexSn3T7Yv1aDnQCI1LhI4CyPQPm7p3AHGDncqUpIiIiItJIVCmrU+6+CngcODT106GEURjLwswM2J0wgIiIiIiIiJRIty/Wt0uB681sNvAQcAowErgKwMyuA3D343ITmNke8d/NgLXx+yp3/2v8/ZvAI8BzMc6ZhEpZ3hEdRURERESkZ6qU1TF3v9nMtgQuILxP7CngMHdfFKPke1/Z3NT3w4FFwKj4fTjh+bBtgddi/P3dfXZ5cy8iIiIi0hhUKatz7n4lcGWB31ryhFkv6f0n8J9lyZyIiIiIiOiZMhERERERkSypUiYiIiIiIpIhVcpEREREREQypEpZDTOzEWZ2jpn9xMy2imEfMbPRWedNRERERETKQ5WyGmVmewLzgc8DXyYMPw/hPWPfzipfIiIiIiJSXqqU1a7vA1e4+1jg7UT4LOAj2WRJRERERETKTZWy2rUn8Is84S8BI6qcFxERERERqRBVympXB7B5nvB3A0urnBcREREREakQVcpq12+Ab5rZhvG7m9ko4HvAbVllSkREREREykuVstp1DrAFsAzYCPgj8HegHbggw3yJiIiIiEgZbZB1BiQ/d38d2NfMDgI+QKhA/9nd78s2ZyIiIiIiUk6qlNU4d38AeCDrfIiIiIiISGWoUlajzOyrPf3u7pdWKy8iIiIiIlI5qpTVrjNS34cA2xFGZVwKqFImIiIiIlIHVCmrUe4+Oh1mZiOAnwPXVD9HIiIiIiJSCRp9cQBx9yXA14BLss6LiIiIiIiUhyplA88gYETWmRARERERkfLQ7Ys1ysyOTAcRnin7CvBg9XMkIiIiIiKVoEpZ7bo19d0JL5J+ADi7+tkRaWwz57YxbdZ8Frd3MHJ4E5PGj2HC2OassyUiIiJ1QJWyGuXuurVUpEbMnNvG5Bnz6FjdCUBbeweTZ8wDUMVMRERE+k0X/iIivZg2a/66CllOx+pOps2an1GOREREpJ6op6yG9PbC6CS9PFqkeha3d5QULiIiIlIKVcpqS/qF0YU4enm0SNWMHN5EW54K2MjhTRnkRkREROqNKmU1JN8Lo0Uke5PGj+nyTBlA05DBTBo/JsNciYiISL1QpUxEpBe5wTzOvfVJVnWupVmjL4qIiEgZqVJWw8xsc+BjwA7A0ORv7n5RJpkSaVATxjZz4+wXAbj55L0zzo2IiIjUE1XKapSZfRi4E3gb2BpoI7w8+m1gIaBKmYg0vNz749raO2h+5AH1YIqIyICkIfFr1zTgV0AzsBI4iNBjNgf4Xob5EhGpCbn3x+UGYcm9P27m3LaMcyYiIlIaVcpq1+7Aj9zdgU5gQ3dfApwHTMkyYyIitUDvjxMRkXqhSlntWpX4fwmwY/x/BTCy+tkREakten+ciIjUC1XKatefgQ/G/1uBi83seOAHwJNZZUpEpFYUek+c3h8nIiIDjSpltetrwOL4/wXAMuCHwObASVllSkSkVkwaP4amIYO7hOn9cSIiMhBp9MUa5e5zEv8vIwyNLyIikd4fJyIi9UI9ZTXKzC43sz2zzoeISC2bMLaZsTsMZ8zmg3jo/INUIRMRkQFJlbLatRfwmJk9Y2ZfM7NRGedHREREREQqQJWyGuXu+wDvIryr7PPA82b2RzM7xcw2zzZ3IiIiIiJSLqqU1TB3f8HdL3b3XQkjMT5CGPRjcc9TioiIiIjIQKFK2cAxBNgQGEp4mbSIiIiIiNQBVcpqmJntYmYXmtlzwIPALsDZwIhscyYiIiIiIuWiIfFrlJnNAcYCTwBXAje6+8vZ5kpERERERMpNlbLaNQv4grs/k3VGRERERESkclQpq1Hu/rWs8yAiIiIiIpWnZ8pEREREREQypEqZiIiIiIhIhlQpawBmdpqZLTCzlWb2uJnt10Pc7czsBjN71sw6zWx6gXifNrO/mtnb8e8RFSuAiIiIiEgdU6WszpnZ0cAVwHcIozn+CbjbzHYoMMmGwHLgu8CjBdLcG7gZ+BWwR/z7azP7UHlzLyIiIiJS/1Qpq1Fm9oSZnW5mm/czqa8C0939Gnd/xt3PAF4CTs0X2d0XuvuZ7j4deLVAmmcBv3P3b8c0vw20xnARERERESmBKmW1607gXGCxmd1oZgeXmoCZDQX2BO5N/XQvsE8/8rZ3njRn9TNNEREREZGGpCHxa5S7f83MLgA+CnwRuNPMXgJ+Tuj5erGIZLYCBgNLUuFLgEP6kb1tC6S5bb7IZnYScBLAiBEjaG1t7cese7dixYqKz6NWNXLZofLlb2/vAKjJeZRa9louS1/m09nZ2bDbfiPv941cdmjs8jdy2aU+qVJWw9zdgbsJz4BtAZwMfBP4hpndD1zm7vdkmcdiuPvVwNUA48aN85aWlorOr7W1lUrPo1Y1ctmh8uX/yfyHAWhp2bvm5lFq2Wu5LH2ZT3t7e8Nu+4283zdy2aGxy9/IZZf6pNsXBwAz+zBh4I3zgcXAhcDzwK1mdnkPky4HOoERqfARwMv9yNLLFUhTRERERKQhqVJWo8xsGzM7x8yeJgyiMRw4yt13cvdvuftpwKHACYXScPdVwOMxXtKhhFEY++rhCqQpIiIiItKQdPti7fon8Hfgf4BfuPvyPHGeBh7rJZ1LgevNbDbwEHAKMBK4CsDMrgNw9+NyE5jZHvHfzYC18fsqd/9rDL8C+IOZnQ/MBI4ADgT2LbWQIiIiIiKNTpWy2nWwuz/YUwR3f51QGeopzs1mtiVwAbAd8BRwmLsvilHyva9sbur74cAiYFRM809m9lngYuAiwq2UR7t73veaiYiIiIhIYaqU1a4LzexId29PBprZZsBMdz+o2ITc/UrgygK/teQJsyLSvBW4tdg8iIiIiIhIfnqmrHYdAAzNEz4M2K/KeRERERERkQpRT1mNMbMP5P4FdjezVxM/DwbGA21Vz5iIiIiIiFSEKmW1Zw7g8XNvnt87gDOqmiMREREREakYVcpqz2hCL9kLwF7AssRvq4Cl7t6ZRcZERERERKT8VCmrMYlREfW8n4iIiIhIA1ClrIaY2ZHA7e6+Ov5fkLvPqFK2RERERESkglQpqy23AtsCS+l5uHknDPohIiIiIiIDnCplNcTdB+X7X0RERERE6pcu/EVERERERDKknrIa0ttzZEl6pkxEREREpD6oUlZbenqOLEnPlImIiIiI1AlVymqIniMTEREREWk8qgSIiIiIiIhkSD1lNUTvKRMRERERaTyqlNUWvadMRERERKTBqFJWQ/SeMhERERGRxqMLfxERERERkQypUlbDzOwDZnadmc2Jn+vN7ANZ50tERERERMpHlbIaZWafBx4DtgPuip8RwGwzOzbLvInUmplz2/jIdx9g4j1v8pHvPsDMuW1ZZ0lERESkaHqmrHZ9G/i6u38nGWhmk4GLgV9mkiuRGjNzbhuTZ8yjY3UnAG3tHUyeMQ+ACWObs8yaiIiISFHUU1a7tgZuyRP+a2CbKudFpGZNmzV/XYUsp2N1J9Nmzc8oRyIiIiKlUaWsdv0OaMkT3gL8vqo5Ealhi9s7SgoXERERqTW6fbGGpF4YfTcw1czGAY/EsA8DRwJTqpw1kZo1cngTbXkqYCOHN2WQG6lHM+e2MW3WfBa3dzByeBOTxo/RrbEiIlJWqpTVlnwvjD4pfpJ+CFxZ+eyI1L5J48d0eaYMoGnIYCaNH5NhrqRe6JlFERGpBt2+WEPcfVCRn8FZ51WkVkwY28zUI3dj6OBwOGse3sTUI3fTBbOUhZ5ZFBGRalBPmYgMeBPGNnPj7Bdpb29n1nkHZZ0dqSN6ZlFERKpBlbIaZmabAx8DdgCGJn9z94syyZSISAPRM4siIlINqpTVKDP7MHAn8DZhePw2wouk3wYWAqqUiYhUmJ5ZFBGRatAzZbVrGvAroBlYCRxE6DGbA3wvw3yJiDQMPbMoIiLVoJ6y2rU78GV3dzPrBDZ09xfM7DzgBkKFTUREKiz3zCLAzSfvnXFuRESkHqmnrHatSvy/BNgx/r8CGFn97IiIiIiISCWop6x2/Rn4IPA3oBW42MxGAMcCT2aYLxERERERKSP1lNWurwGL4/8XAMsIL43enO4vkxYRERERkQFKPWU1yt3nJP5fRhgaX0RERERE6owqZTXOzN4JvCd+/au7v5BlfkREREREpLxUKatRZrYl8D/AJ4G164PtDuBL7v5KZpkTEREREZGy0TNltetnwLuA/YBh8bM/MBq4JsN8iYiIiIhIGamnrHaNBw5294cTYQ+Z2cnAfRnlSUREREREykw9ZbVrGfBmnvC3AN26KCIiIiJSJ1Qpq10XAZebWXMuIP7/3/E3ERERERGpA7p9sYaY2TzAE0GjgYVm1ha/NwMrgW0Iz5yJiIiIiMgAp0pZbbk16wyIiIiIiEh1qVJWQ9z9wqzzICIiIiIi1aVKWY0zs4OAXQm3NT7t7q3Z5khERERERMpJA33UKDNrNrPZwG+B84DzgfvN7FEzG1liWqeZ2QIzW2lmj5vZfr3EPyDGW2lmL5jZKanfp5iZpz4vl1pGERERERFRpayW/QDoBN7l7tu7+/bAzjHsB8UmYmZHA1cA3wHGAn8C7jazHQrEHw3cFeONBaYCPzSzT6eizge2S3x2K75oIiIiIiKSo9sXa9ehQIu7L8gFuPsLZnYmcH8J6XwVmO7u18TvZ5jZR4FTgcl54p8CLHb3M+L3Z8zsQ8A5wG2JeGvcXb1jIiIiIiL9pJ6y2uZFhuVlZkOBPYF7Uz/dC+xTYLK988SfBYwzsyGJsJ3MbHG8LfImM9up2HyJiIiIiMh66imrXfcTbhs8xt3/ARBvObyc4nvKtgIGA0tS4UuAQwpMsy1wX574G8T0XgIeBSYCzxLemXYB8Ccze6+7v5JO0MxOAk4CGDFiBK2trUVmv29WrFhR8XnUqkYue3t7B52dnRUtf3t7B0BNzqPUdV/LZenLfOph3fdVI+/3jVx2aOzyN3LZpT6pUla7zgT+D3jBzBbHsJHAPOCYzHIFuPvdye9m9gjwAnA8cGme+FcDVwOMGzfOW1paKpq/1tZWKj2PWtXIZf/J/Idpb2+vaPl/Mv9hAFpa9q65eZS67mu5LH2ZTz2s+75q5P2+kcsOjV3+Ri671CdVymrXK8BeQAvw7hj2jLune7F6spwwMMiIVPgIoNDzYC8XiL8mpteNu68ws6cJA5GIiIiIiEgJVCmrQWY2GHgNeL+7/5YwLH7J3H2VmT1OGDTk14mfDqXroB1JDwNHpMIOBea4++oC+R1GqDj+ri/5FBERERFpZBroowa5eyewCBhahuQuBSaa2Qlm9h4zu4JwG+RVAGZ2nZldl4h/FdBsZpfH+CcQnh/7fi6CmX0/vstsdByZ8VZgY+AXZciviIiIiEhDUU9Z7foW8F0zO9bd8942WAx3v9nMtiQMxrEd8BRwmLsvilF2SMVfYGaHAZcRhs1fDJzp7smetX8DbiQM/LEMeAT4cCJNEREREREpkipltescYDTQZmb/BN5M/ujuuxebkLtfCVxZ4LeWPGG/Bz7QQ3qfLXbeIiIiIiLSM1XKatdtlPBOMhERERERGZhUKatR7j4l6zyIiIiIiEjlaaCPGmNmG5nZj82szcyWmtkNZrZV1vkSEREREZHKUKWs9lxIGO3wTuAmwnD0P8kyQyIiIiIiUjm6fbH2HAl82d1vAjCzXwIPmdngOFS+iIiIiIjUEfWU1Z7tgQdzX9x9NrCG8G4xERERERGpM+opqz2DgVWpsDVoXckANHNuG9NmzWdxewcjhzcxafwYJoxtzjpbIjVH+4qISGPThX7tMeCXZvZ2ImwYcI2ZvZULcPdPVj1nIiWYObeNyTPm0bE63HXb1t7B5BnzAHSxKZKgfUVERHT7Yu35BbAYeCXx+SXwj1SYSE2bNmv+uovMnI7VnUybNT+jHInUJu0rIiKinrIa4+5fzDoPIuWwuL2jpHCRRqV9RURE1FMmIhUxcnhTSeEijUr7ioiIqFImIhUxafwYmoYM7hLWNGQwk8aPyShHIrVJ+4qIiOj2RRGpiNwABefe+iSrOtfSrBHlRPLSviIiIqqUiUjFTBjbzI2zXwTg5pP3zjg3IrVL+4qISGPT7YsiIiIiIiIZUqVMREREREQkQ6qUiYiIiIiIZEiVMhERERERkQxpoA8RkQY0d+s1WWdBREREIlXKREQaUPuwtVlnQURERCLdvigiIiIiIpIhVcpEREREREQypEqZiIiIiIhIhlQpExERERERyZAqZSIiIiIiIhlSpUxERERERCRDqpSJiIiIiIhkSJUyERERERGRDKlSJiIiIiIikqENss6AiIiIVMfMuW1MmzWfxe0djBzexKTxY5gwtjnrbImINDxVykRERBrAzLltTJ4xj47VnQC0tXcwecY8AFXMREQypkqZSINSi7lIY5k2a/66CllOx+pOps2ar31fRCRjqpSJNCC1mIs0nsXtHSWFi4hI9WigD5EG1FOLuYjUp5HDm0oKFxGR6lGlTKQBqcVcpPFMGj+GpiGDu4Q1DRnMpPFjMsqRiIjkqFIm0oDUYi7SeCaMbWbqkbsxdHA49TcPb2LqkbvplmURkRqgSplIA1KLuUhjmjC2mbE7DOdDo7fgofMPUoVMRKRGaKAPkQaUuxA799YnWdW5lmaNvigiIiKSGVXKRBrUhLHN3Dj7RQBuPnnvjHMjIiIi0rh0+6KIiIiIiEiG1FMmIiIiZaMX04uIlE6VMhERESkLvZheRKRvVCkTqUFqaRaRgainF9PrGCYiUpgqZSI1Ri3NIjJQVevF9LmGq7b2DpofeUANVyIy4GmgjwZgZqeZ2QIzW2lmj5vZfr3EPyDGW2lmL5jZKf1Ns17MnNvGR777AKPPv5OPfPcBZs5tK/s8emppFhGpZdV4MX2u4aotVvRyDVeVOB6LiFSLesrqnJkdDVwBnAb8Mf6928x2dfcX88QfDdwFXAscC+wLXGlmy9z9tr6kWS2VbjmtVg9WtVqaRUTKbdL4MV2Ok1D+F9NX8xbJatxKXi/zqNZ81Esq9Uo9ZfXvq8B0d7/G3Z9x9zOAl4BTC8Q/BVjs7mfE+NcAvwDO6UeaFVeNltNq9WBVo6VZRKQSJoxtZuqRuzF0cLi8aB7exNQjdxuQDVfJ84pTmfNKvcyjWvNRL6nUM3P3rPMgFWJmQ4G3gGPc/deJ8B8D73P3A/JM8wdgnrt/JRH2GeAGYCPASk0zady4cT5nzpz+FSyPj3z3AdraOzj5yd+w02vrD84bbjCYsTsML8s8HnnhlYK/fXinLcsyD4DlK97mheVvsnbt+n1z0CBjp602ZqtNNuxx2vb2doYPL768f33pdQB23W6zvmW2RuaRm8+aNWvYffstKjoPqM3lVeq6P/yQ/wfA7ffdW9J8SqF1X515NNp+P/fFdt5e09ktvJzH+2rNp7/zKGbd1+vyeuEdzfx0908BofL/0PkHlWUeaWb2uLuPq0jiIgm6fbG+bQUMBpakwpcAhxSYZlvgvjzxN4jpWalpmtlJwEkAI0aMoLW1tbjcl6CtQAvp22s6aW9vL8s8hgwyVq/t3ogxZJCVbR4QFvSIjYzlb8Hqtc6QQcZWGxkbrOmgvZeW4M7O0so7Mna+lTP/WcwjN5/OTh/wZenrPEpd90MHeZ/mUwqt++rMo9H2+y2GOS+/Cck2ZbMQXs755atg5MLLNZ/+zqOYdV+NclRrPoXm0dbeUZFrC5FqUqVMKs7drwauhtBT1tLSUvZ5ND8SespyrWbrwsvYerZgbhtT8jwrMfXI3Xh/jdzP3traSiWW70DRyOUvteybzJ0LwPu/8IUK5ai6tO5bss5GVXV5rig+u7R/mY/Dp8U7MNLKeV7p7zyKWffVKEe15tPTPBptH5D6o2fK6ttyoBMYkQofAbxcYJqXC8RfE9PrS5oVN2n8GJqGDO4SVu6Hy3PPSjQPb8KozLMSIiLSuwljm3no/IOY/tGNeej8gypyHK7GeaVe5lGt+VSrLCJZUE9ZHXP3VWb2OHAo8OvET4cCtxWY7GHgiFTYocAcd18N4f7qEtOsuNwJOd1yWu4T9YSxzaqEiYg0gOR5pVKjCdbLPKo1n2qd60WyoEpZ/bsUuN7MZgMPEUZXHAlcBWBm1wG4+3Ex/lXA6WZ2OfBT4CPAROCYYtPMSq7C1Ii38oiISPlVoyGuXuZRrfnoXC/1SpWyOufuN5vZlsAFwHbAU8Bh7r4oRtkhFX+BmR0GXEYY4n4xcGbuHWVFpikiIiIiIkVSpawBuPuVwJUFfmvJE/Z74AN9TVNERERERIqngT5EREREREQypEqZiIiIiIhIhlQpExERERERyZC5e9Z5kAZiZsuASg8IshXhfWqNqJHLDo1d/kYuOzR2+VX2xtXI5a9W2Xd0962rMB9pcKqUSd0xsznuPi7rfGShkcsOjV3+Ri47NHb5VfbGLDs0dvkbuexSn3T7ooiIiIiISIZUKRMREREREcmQKmVSj67OOgMZauSyQ2OXv5HLDo1dfpW9cTVy+Ru57FKH9EyZiIiIiIhIhtRTJiIiIiIikiFVykRERERERDKkSpmIiIiIiEiGVCmTAcfMTjOzBWa20sweN7P9eol/QIy30sxeMLNTqpXXcjGzyWb2mJm9bmbLzOx2M3tfL9OMMjPP8/lotfJdLmY2JU85Xu5lmt3M7Pdm1mFmbWb2DTOzauW5XMxsYYH1eGcP0+SLPyC2ezPb38z+L64zN7OJqd8tbg+L47ptNbP3FpHup83sr2b2dvx7RMUK0Uc9ld3MhpjZ98zsSTN708xeMrMbzGyHXtJsKbA9vLviBSpBEet9ep4yPFJEugPi+F9E+fOtQzezH/eQ5oA4BxRzfqvn/V4kR5UyGVDM7GjgCuA7wFjgT8DdhS5MzGw0cFeMNxaYCvzQzD5dnRyXTQtwJbAPcBCwBrjPzLYoYtqPAtslPg9UKI+VNp+u5ditUEQz2wz4LbAE+CDwH8Ak4KuVz2bZfZCu5f4A4MAtvUx3Ymq6X1Qwj+W0CfAUYZ115Pn9XOBs4AzCslkK/NbMNi2UoJntDdwM/ArYI/79tZl9qLxZ77eeyr4RYd1/O/79FLA9cI+ZbVBE2u+l6/bwXJnyXC69rXeA++hahsN6SnCAHf97K/92qc/hMby34wDU/jmghd7Pb/W834sE7q6PPgPmAzwKXJMKew6YWiD+94DnUmE/Ax7Ouiz9XA6bAJ3A4T3EGUW4eB+XdX7LUN4pwFMlxD8VeB1oSoRdALQRR50dqB/ga0B7smx54jhwVNZ5LUNZVwATE98NeAn4WiKsCXgDOLmHdG4GfpsKuw+4MesyFlv2AnF2jet6tx7itMQ4W2Vdpv6UHZgO3FFiOgPy+F/kur8GmN9LnAF5Dkif3xppv9ensT/qKZMBw8yGAnsC96Z+upfQwpbP3nnizwLGmdmQ8uawqjYl9HT/q4i4M8xsqZk9ZGZHVThflbRTvHVlgZndZGY79RB3b+BBd0+2OM8CRhIuVAYkMzPgy8AvU2XL5wozWx5vCzrFzOrheD8a2JbEPh2Xwx8ofAyAwseBnqYZCDaLf4s5DsyJtzzeb2YHVjJTFbRvPJb9zcyuMbNteolfl8d/M9sE+CyhYlaMgXYOSJ/ftN9LQ6iHk7Q0jq2AwYRb0pKWEA7Y+WxbIP4GMb2B6grgCeDhHuKsAM4B/p1wm8/9wM1mdmzls1d2jwITCbfhnEhYr38ysy0LxC+03nO/DVSHEi5QersY+wZwNHAIcBPw38B/VTZrVZFbd6UcA3LTlTpNTYuNVP8N3O7u/+wh6kuEnuNPA0cSbgO+33p5FrcG3QMcBxxMuI1tL+ABM9uwh2nq9fj/OWAovd+SPFDPAenzm/Z7aQjF3IcuIjXEzC4F9gX2dffOQvHcfTnhoi1njpltRbg3xtsoqwAABZxJREFU/5eVzWV5ufvdye/xAf8XgOOBSzPJVDZOBB5z97/0FMndv5X4+oSZDSbc9nhxJTMn1RGfIfslMBz4ZE9x3X0+oSKW87CZjSI8Y/lghbJYdu5+U+LrPDN7HFgEfByYkU2uMnMi8Bt3X9ZTpIF4Dij2/CZSj9RTJgPJcsJ95iNS4SOAQiPxvVwg/pqY3oBiZpcBxwAHufsLfUjiUWDn8uaq+tx9BfA0hctSaL3nfhtw4q1an6L4W5aSHgU2M7P0MhlocuuulGNAbrpSp6lJsUJ2I7A7cLC7v9KHZAb8ccDdFwP/pOdy1NXxH8DM9gDG0bfjANTwuu/h/Nbw+700BlXKZMBw91XA44RbuJIOJYyulc/DBeLPcffV5c1hZZnZFaw/YT3bx2T2INzONKCZ2TDg3RQuy8PAfjFezqHAYmBhZXNXMROBtwkX5KXaA1hJGCBkIFtAuKBat0/HdbwfhY8BUPg40NM0NSc+B3UzoUJ2oLv39eJywB8HYo9PMz2Xo26O/wknEfaD+/o4fU2u+17Obw2930vj0O2LMtBcClxvZrOBh4BTCIM3XAVgZtcBuPtxMf5VwOlmdjnwU+AjhIvbY6qb7f6J76L5AjAB+JeZ5e6JXxF7jTCzqcBe7n5w/H48sBqYC6wlDKH8FeC8Kme/38zs+8DtwIvANsDXgY2Jz1Skyw7cAHwTmG5mFwO7AOcDF7q7Vzn7/RYH+DgBuCm3vhO/nQ6c7u7vjt8PJzwz8TBhaO0DgYuAq9397apmvA/iIAbvil8HATvE3oFX3f3FuC//l5k9C/yNMKrmCsI6z6VxPzDb3SfHoCuAP5jZ+cBM4AjCctm3GmUqVk9lJzQo/JowHPjhgCeOA6/lBn5JHwPN7CxCQ8TThOeQjiUcR2pqWPheyv4qYQTW2wgVilGE4e2XAv+bSGPAHv972+5jnI2AzwOX5DuODdRzQG/nN3f3et7vRdbJevhHffQp9QOcRrjIeJvQc7Z/4rdWoDUV/wDgzzH+AuCUrMvQhzJ7gc+URJzpwMLE9+OBvwJvEoaHnwMcm3VZ+lj+mwgXpasIw9rfBuxaqOwxbDfC6FwrCRdy32SADodPuJBwwgVX+rcp4VC+7vtHCRdhb8R1P4/w7qMNsi5HkWVtKbCtT4+/WyzzS3Hd/h54XyqNhbn4ibCjgGfjNvQMcGTWZS2l7Kwf3jzfZ2IijS7HQMLzQ88RKuivEp4jOyzrspZY9ibCqHlL4/pbFMO3T6XRpewxbEAc/3vb7mOcLxJuvRxZII0ux0EGyDmgh+16SiJO3e73+uiT+5j7gGs0FhERERERqRt6pkxERERERCRDqpSJiIiIiIhkSJUyERERERGRDKlSJiIiIiIikiFVykRERERERDKkSpmIiIiIiEiGVCkTERERERHJkCplIiIyoJjZIDP7g5ndngrfyOz/t3OHKlpFURiGv4VFr8BicMAoEwRBJoiCWYxGmSCaBe/BZDWOJmEuYJgiBvEODAavwiCCugz/BLGdvyw2PA+cck756stmn/paVW+mtgHAPkQZAEvp7j9JniS5X1XH/3x6leRSkhcTuwBgX9Xd0xsAYLOqepZdiB0muZHkPMm97v40OgwANhJlACyrqs6TXElyPcn77n45uwgAthNlACyrqg6SfLt4bnb3z+FJALCZO2UArOw4yY8k15IcDG8BgL04KQNgSVV1O8nnJA+TPE9yNclRd/8eHQYAGzkpA2A5VXU5ybskJ919luRpdj/7cKcMgOU4KQNgOVX1OsmjJIfd/f3i3eMkb5Pc6u4vk/sAYAtRBsBSqupukg9JHnT3x/++nWZ3t+xOd/8amAcAm4kyAACAQe6UAQAADBJlAAAAg0QZAADAIFEGAAAwSJQBAAAMEmUAAACDRBkAAMAgUQYAADDoL2dh6Qrb0C4gAAAAAElFTkSuQmCC\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"n-9VJ6HkhkBE"},"source":["Consider an experiment in which bits are transmitted sequentially.\n","Each bit is independent of the other. When the first bit arrives the\n","receiver checks for a 1. If it is 1 the receiver takes a decision. If\n","it is a 0 the receiver waits for the next bit. This goes on till the\n","receiver finds out that the bit was 1 and the experiment is dropped\n","once the bit is 0. Let X denote that the bit received was 1 on the\n","k th time (example, X=2 suggests that the bit sequence was 0, 1 and\n","once 1 was received the experiment was stopped). Find the PMF\n","of X. What is this distribution known as? Calculate the mean and\n","variance and plot the PMF. How is this distribution related to the\n","first distribution.\n","\n","**Ans** It is Geometric distribution. Similar to the binomial distribution, the geometric distribution is also for repeated Bernoulli trials, but the difference is that it gives the probability that the first\n","k − 1 trials are failures, while the kth trial is the first success."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":886},"id":"J1lG1F8QiIKt","executionInfo":{"status":"ok","timestamp":1611762963016,"user_tz":-330,"elapsed":1940,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"779a57dd-fdf5-49a0-d0e4-c571e3802522"},"source":["p_list=[0.1,0.5,0.8]\n","for p0 in p_list:\n"," p1=1-p0\n"," n=20\n"," x=np.arange(1,n+1,1)\n"," fx=[]\n"," for k in range(1,n+1):\n"," fx.append(p0*(1-p0)**(k-1))\n"," variance=fd_variance(fx,x)\n"," plt.figure(figsize=(10,4))\n"," plt.stem(x,fx,use_line_collection=True)\n"," plt.axvline(x=fd_mean(fx,x),ymin=0,ymax=max(fx),color='c',label='Mean') \n"," plt.xlabel('X')\n"," plt.ylabel('Probability value')\n"," plt.title(f'Geometric distribution with p={p0} (variance={variance},mean={fd_mean(fx,x)})')\n"," plt.legend()\n"," plt.grid()\n"," plt.show()"],"execution_count":277,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"4l_m_Cv5j1Su"},"source":["Consider an experiment in which the receiver receives different bits\n","randomly from many transmitters within a second’s interval. Each\n","second it was observed that each second (at any interval) the mean\n","number of bits that were received was 5. Let X be the number of bits\n","received every second (during an interval). What is the distribution\n","that is followed by X. Is there any relation between this and the\n","second distribution that we discussed? Calculate the mean, variance\n","and plot the PMF.\n","\n","**Ans:** It follow Poisson distribution.Binomial distribution describes the distribution of binary data from a finite sample. Thus it gives the probability of getting r events out of n trials. Poisson distribution describes the distribution of binary data from an infinite sample. Thus it gives the probability of getting r events in a population."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":308},"id":"zbF2kNP6kLoG","executionInfo":{"status":"ok","timestamp":1611763326766,"user_tz":-330,"elapsed":1106,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"6c813d5a-92e2-446b-ceef-5895e2449750"},"source":["a=5 # bits/second\n","n=30\n","x=np.arange(1,n+1,1)\n","fx=[]\n","for k in range(1,n+1):\n"," fx.append( (a**k * np.exp(-a))/fact(k) )\n","variance=fd_variance(fx,x)\n","plt.figure(figsize=(10,4))\n","plt.stem(x,fx,use_line_collection=True)\n","plt.axvline(x=fd_mean(fx,x),ymin=0,ymax=max(fx),color='c',label='Mean') \n","plt.xlabel('X')\n","plt.ylabel('Probability value')\n","plt.title(fr'Poisson distribution with $\\alpha$={p0} (variance={variance},mean={fd_mean(fx,x)})')\n","plt.legend()\n","plt.grid()\n","plt.show()"],"execution_count":280,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"B0oQbbsoknpG"},"source":["**2. Signal measures**\n","Plot the following sequences: x 1 [n] = [1, 2, 3, 2, 1, 2, 3, 4, 3, 2, 1] x 2 [n] =\n","[1, 2, 1, 2, 1, 2, 1, 2] x 3 [n] = [1, 2, 3, 2, 4, 6, 4, 8, 12] x 4 [n] = [2, 4, 2, 4, 2, 4, 2, 4]\n","x 5 [n] = [1, −2, 3, −2, 1, −2, 3, −4, 3, −2, 1] and x 6 [n] = 2 × x 1 [n] + 1.\n"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":413},"id":"fp8gUjqNktKT","executionInfo":{"status":"ok","timestamp":1611763404108,"user_tz":-330,"elapsed":2195,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"7e7cc40f-32c0-429d-88ff-1a6627b01614"},"source":["import numpy as np\n","from matplotlib.ticker import MaxNLocator\n","import matplotlib.pyplot as plt\n","\n","x1=[1, 2, 3, 2, 1, 2, 3, 4, 3, 2, 1]\n","x2= [1, 2, 1, 2, 1, 2, 1, 2] \n","x3=[1, 2, 3, 2, 4, 6, 4, 8, 12] \n","x4= [2, 4, 2, 4, 2, 4, 2, 4] \n","x5= [1, -2, 3, -2, 1, -2, 3, -4, 3, -2, 1] \n","x6=[]\n","for i in range(len(x1)):\n"," x6.append(2*x1[i] + 1)\n","\n","x=[x1,x2,x3,x4,x5,x6]\n","fig,ax=plt.subplots(6,1,figsize=(30,10))\n","\n","for i,xi in enumerate(x):\n"," ax[i].xaxis.set_major_locator(MaxNLocator(integer=True))\n"," ax[i].stem(np.arange(0,len(x[i]),1), x[i], use_line_collection=True)\n"," ax[i].set_title(f'x{i+1}[n]')\n"," ax[i].set_xlabel('n (Samples) ')\n"," ax[i].grid()\n","\n","plt.suptitle('Discrete signals')\n","plt.show()"],"execution_count":281,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"ZMU94dpynxoQ"},"source":["Cal-\n","culate the autocorrelation function for a delay of 2 samples."]},{"cell_type":"code","metadata":{"id":"wWtBpLVIsPsI","executionInfo":{"status":"ok","timestamp":1611755652606,"user_tz":-330,"elapsed":1556,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["def correlation(x, h): #returns the correlation array calculated using convolution ,i.e., Rxy[k]=x[k]*y[-k]\n"," x = list(x)\n"," h = list(h) #converting to lists\n"," if(len(x)"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"id":"9ahKuKrtIW_D","colab":{"base_uri":"https://localhost:8080/"},"executionInfo":{"status":"ok","timestamp":1611763533537,"user_tz":-330,"elapsed":1239,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"138d10c8-d0c2-49e9-8e7c-a751957bfade"},"source":["for i,xi in enumerate(x):\n"," orig_corr,norm_corr=correlation(xi,xi)\n"," print(f'Power of x{i+1}[n] having actual energy {orig_corr[int(len(orig_corr)/2)]} is {norm_corr[int(len(norm_corr)/2)]} (Normalized power)')"],"execution_count":284,"outputs":[{"output_type":"stream","text":["Power of x1[n] having actual energy 62 is 1.7154788195949162 (Normalized power)\n","Power of x2[n] having actual energy 20 is 1.5421605381903483 (Normalized power)\n","Power of x3[n] having actual energy 294 is 2.1039649927200887 (Normalized power)\n","Power of x4[n] having actual energy 80 is 1.5421605381903483 (Normalized power)\n","Power of x5[n] having actual energy 62 is 29.523809523809526 (Normalized power)\n","Power of x6[n] having actual energy 355 is 1.6135738361195275 (Normalized power)\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"gpgEl2rNC15k"},"source":["Also\n","find the cross correlation function for all the pairs for a delay of 2 sam-\n","ples."]},{"cell_type":"code","metadata":{"id":"CcegV9z3CZ4L","executionInfo":{"status":"ok","timestamp":1611755655880,"user_tz":-330,"elapsed":4040,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["\n","## Signature for autocorrelation.py\n","def crosscorrelation(s1, s2, delay):\n"," #sequence1, sequence2 = np.array()\n"," # Formula for ACF\n"," # Plot the ACF also with the particular delay\n","\n"," _,corr=correlation(s1,s2)\n","\n"," return corr[int(corr_len/2)+delay]"],"execution_count":213,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"IZ5Ouv1JDvrX","executionInfo":{"status":"ok","timestamp":1611755655881,"user_tz":-330,"elapsed":3971,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"412ab13c-18cb-4a0c-c6cb-ca65cb587d45"},"source":["start=time.time()\n","x1=[1, 2, 3, 2, 1, 2, 3, 4, 3, 2, 1]\n","x2= [1, 2, 1, 2, 1, 2, 1, 2] \n","x3=[1, 2, 3, 2, 4, 6, 4, 8, 12] \n","x4= [2, 4, 2, 4, 2, 4, 2, 4] \n","x5= [1, -2, 3, -2, 1, -2, 3, -4, 3, -2, 1] \n","x6=[]\n","for i in range(len(x1)):\n"," x6.append(2*x1[i] + 1)\n","\n","x=[x1,x2,x3,x4,x5,x6]\n","\n","delay=2\n","for i in range(6):\n"," for j in range(i,6):\n"," if i!=j:\n"," xi=x[i]\n"," xj=x[j]\n"," crosscorr=crosscorrelation(xi,xj,delay)\n"," print(f'Normalized crosscorrelation of x{i+1}[n] and x{j+1}[n] = {crosscorr}')\n"],"execution_count":214,"outputs":[{"output_type":"stream","text":["Normalized crosscorrelation of x1[n] and x2[n] = 0.7151786813117186\n","Normalized crosscorrelation of x1[n] and x3[n] = 0.49548539514953965\n","Normalized crosscorrelation of x1[n] and x4[n] = 0.6989838568906218\n","Normalized crosscorrelation of x1[n] and x5[n] = -0.5810492776047296\n","Normalized crosscorrelation of x1[n] and x6[n] = 1.2275480283887779\n","Normalized crosscorrelation of x2[n] and x3[n] = 1.096656991485697\n","Normalized crosscorrelation of x2[n] and x4[n] = 0.45217175054144515\n","Normalized crosscorrelation of x2[n] and x5[n] = 0.16433554953054488\n","Normalized crosscorrelation of x2[n] and x6[n] = 0.6710810528050378\n","Normalized crosscorrelation of x3[n] and x4[n] = 1.0718238023941193\n","Normalized crosscorrelation of x3[n] and x5[n] = 0.018368081609793203\n","Normalized crosscorrelation of x3[n] and x6[n] = 0.5160707046078369\n","Normalized crosscorrelation of x4[n] and x5[n] = 0.16061426219307792\n","Normalized crosscorrelation of x4[n] and x6[n] = 0.7174158223862868\n","Normalized crosscorrelation of x5[n] and x6[n] = -0.13594852909076138\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"bSEOiJfxD7CA","executionInfo":{"status":"ok","timestamp":1611755683458,"user_tz":-330,"elapsed":1082,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"b5900f20-0d8d-4715-cec7-192a5d07ff4f"},"source":["import time\n","\n","start=time.time()\n","x1=[1, 2, 3, 2, 1, 2, 3, 4, 3, 2, 1]\n","x2= [1, 2, 1, 2, 1, 2, 1, 2] \n","x3=[1, 2, 3, 2, 4, 6, 4, 8, 12] \n","x4= [2, 4, 2, 4, 2, 4, 2, 4] \n","x5= [1, -2, 3, -2, 1, -2, 3, -4, 3, -2, 1] \n","x6=[]\n","for i in range(len(x1)):\n"," x6.append(2*x1[i] + 1)\n","\n","x=[x1,x2,x3,x4,x5,x6]\n","\n","delay=2\n","for i in range(6):\n"," for j in range(i,6):\n"," if i!=j:\n"," xi=x[i]\n"," xj=x[j]\n"," crosscorr,_=correlation(xi,xj)\n"," #crosscorr=crosscorr[int(len(crosscorr)/2) + delay]\n"," print(f'Actual crosscorrelation of x{i+1}[n] and x{j+1}[n] = {crosscorr}')\n","\n","end=time.time()\n","print()\n","print(f'Time elapsed for self-written code = {(end-start)*1000} ms')"],"execution_count":217,"outputs":[{"output_type":"stream","text":["Actual crosscorrelation of x1[n] and x2[n] = [2, 5, 10, 12, 14, 17, 22, 28, 30, 30, 26, 24, 22, 19, 14, 8, 4, 1]\n","Actual crosscorrelation of x1[n] and x3[n] = [12, 32, 56, 62, 56, 68, 87, 108, 114, 106, 90, 66, 50, 40, 28, 18, 10, 4, 1]\n","Actual crosscorrelation of x1[n] and x4[n] = [4, 10, 20, 24, 28, 34, 44, 56, 60, 60, 52, 48, 44, 38, 28, 16, 8, 2]\n","Actual crosscorrelation of x1[n] and x5[n] = [1, 0, 2, -2, 1, -2, 0, 0, -3, 0, -2, 0, -3, 0, 0, 2, 1, 2, 2, 0, 1]\n","Actual crosscorrelation of x1[n] and x6[n] = [3, 11, 26, 44, 59, 71, 78, 90, 111, 135, 148, 135, 111, 90, 80, 75, 63, 46, 26, 11, 3]\n","Actual crosscorrelation of x2[n] and x3[n] = [2, 5, 10, 12, 20, 28, 34, 48, 64, 55, 56, 48, 46, 32, 32, 12]\n","Actual crosscorrelation of x2[n] and x4[n] = [4, 10, 12, 20, 20, 30, 28, 40, 28, 30, 20, 20, 12, 10, 4]\n","Actual crosscorrelation of x2[n] and x5[n] = [2, -3, 6, -4, 6, -7, 10, -12, 10, -10, 6, -8, 6, -5, 2, 0, 0, 1]\n","Actual crosscorrelation of x2[n] and x6[n] = [6, 13, 25, 30, 36, 43, 55, 68, 72, 72, 64, 58, 53, 45, 34, 20, 11, 3]\n","Actual crosscorrelation of x3[n] and x4[n] = [4, 10, 20, 24, 40, 56, 68, 96, 128, 110, 112, 96, 92, 64, 64, 24]\n","Actual crosscorrelation of x3[n] and x5[n] = [12, -16, 24, -2, 0, -12, 23, -32, 10, -6, -6, 2, 2, -4, 4, -2, 2, 0, 1]\n","Actual crosscorrelation of x3[n] and x6[n] = [36, 84, 136, 154, 146, 172, 213, 257, 270, 254, 222, 162, 122, 98, 68, 44, 26, 11, 3]\n","Actual crosscorrelation of x4[n] and x5[n] = [4, -6, 12, -8, 12, -14, 20, -24, 20, -20, 12, -16, 12, -10, 4, 0, 0, 2]\n","Actual crosscorrelation of x4[n] and x6[n] = [12, 26, 50, 60, 72, 86, 110, 136, 144, 144, 128, 116, 106, 90, 68, 40, 22, 6]\n","Actual crosscorrelation of x5[n] and x6[n] = [3, -1, 6, 4, 3, 3, 2, -2, -5, -1, -4, -1, -5, -2, 0, -5, 3, -6, 6, -1, 3]\n","\n","Time elapsed for self-written code = 5.046844482421875 ms\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"LOCaDvhwF9qQ","executionInfo":{"status":"ok","timestamp":1611755684735,"user_tz":-330,"elapsed":1122,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"64f8d05c-e815-43ee-ce7a-fb8d24ea8ef4"},"source":["import time\n","\n","start=time.time()\n","x1=[1, 2, 3, 2, 1, 2, 3, 4, 3, 2, 1]\n","x2= [1, 2, 1, 2, 1, 2, 1, 2] \n","x3=[1, 2, 3, 2, 4, 6, 4, 8, 12] \n","x4= [2, 4, 2, 4, 2, 4, 2, 4] \n","x5= [1, -2, 3, -2, 1, -2, 3, -4, 3, -2, 1] \n","x6=[]\n","for i in range(len(x1)):\n"," x6.append(2*x1[i] + 1)\n","\n","x=[x1,x2,x3,x4,x5,x6]\n","\n","delay=2\n","for i in range(6):\n"," for j in range(i,6):\n"," if i!=j:\n"," xi=x[i]\n"," xj=x[j]\n"," crosscorr=np.correlate(xi,xj,mode='full')\n"," print(f'Actual crosscorrelation of x{i+1}[n] and x{j+1}[n]={crosscorr}')\n","\n","end=time.time()\n","print()\n","print(f'Time elapsed for numpy library code = {(end-start)*1000} ms')"],"execution_count":218,"outputs":[{"output_type":"stream","text":["Actual crosscorrelation of x1[n] and x2[n]=[ 2 5 10 12 14 17 22 28 30 30 26 24 22 19 14 8 4 1]\n","Actual crosscorrelation of x1[n] and x3[n]=[ 12 32 56 62 56 68 87 108 114 106 90 66 50 40 28 18 10 4\n"," 1]\n","Actual crosscorrelation of x1[n] and x4[n]=[ 4 10 20 24 28 34 44 56 60 60 52 48 44 38 28 16 8 2]\n","Actual crosscorrelation of x1[n] and x5[n]=[ 1 0 2 -2 1 -2 0 0 -3 0 -2 0 -3 0 0 2 1 2 2 0 1]\n","Actual crosscorrelation of x1[n] and x6[n]=[ 3 11 26 44 59 71 78 90 111 135 148 135 111 90 80 75 63 46\n"," 26 11 3]\n","Actual crosscorrelation of x2[n] and x3[n]=[12 32 32 46 48 56 55 64 48 34 28 20 12 10 5 2]\n","Actual crosscorrelation of x2[n] and x4[n]=[ 4 10 12 20 20 30 28 40 28 30 20 20 12 10 4]\n","Actual crosscorrelation of x2[n] and x5[n]=[ 1 0 0 2 -5 6 -8 6 -10 10 -12 10 -7 6 -4 6 -3 2]\n","Actual crosscorrelation of x2[n] and x6[n]=[ 3 11 20 34 45 53 58 64 72 72 68 55 43 36 30 25 13 6]\n","Actual crosscorrelation of x3[n] and x4[n]=[ 4 10 20 24 40 56 68 96 128 110 112 96 92 64 64 24]\n","Actual crosscorrelation of x3[n] and x5[n]=[ 1 0 2 -2 4 -4 2 2 -6 -6 10 -32 23 -12 0 -2 24 -16\n"," 12]\n","Actual crosscorrelation of x3[n] and x6[n]=[ 3 11 26 44 68 98 122 162 222 254 270 257 213 172 146 154 136 84\n"," 36]\n","Actual crosscorrelation of x4[n] and x5[n]=[ 2 0 0 4 -10 12 -16 12 -20 20 -24 20 -14 12 -8 12 -6 4]\n","Actual crosscorrelation of x4[n] and x6[n]=[ 6 22 40 68 90 106 116 128 144 144 136 110 86 72 60 50 26 12]\n","Actual crosscorrelation of x5[n] and x6[n]=[ 3 -1 6 4 3 3 2 -2 -5 -1 -4 -1 -5 -2 0 -5 3 -6 6 -1 3]\n","\n","Time elapsed for numpy library code = 8.541584014892578 ms\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"X2ZSWHH5IDhT"},"source":["**The time of execution are very close. They vary on different runs and there is almost no fixed order between them. My code was faster in this particular run. Maybe using a list is what makes it fast enough.**"]},{"cell_type":"markdown","metadata":{"id":"zGHwb9kbKx15"},"source":["**3. Noise**\n","Generate an uncorrelated uniform random noise sequence, an uncorrelated\n","white noise sequence, and a red noise sequence. Why are they called so?\n","What are their distributions?"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"zGhQjNJRIV8l","executionInfo":{"status":"ok","timestamp":1611758804584,"user_tz":-330,"elapsed":4566,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"871adcd9-8720-490e-f7ec-f150211d03e6"},"source":["import numpy\n","import matplotlib.pyplot as plt\n","import math\n","\n","# WHITE NOISE\n","low=-1\n","high=1\n","num_samples = 1000\n","unif = numpy.random.uniform(low,high, size=num_samples)\n","t=np.linspace(0,10,num_samples)\n","\n","plt.figure(figsize=(30,10))\n","plt.plot(t,unif)\n","plt.title('Uniform random noise')\n","plt.xlabel('t (seconds)')\n","plt.grid()\n","plt.show()\n","\n","\n","# WHITE NOISE\n","mean = 0\n","std = 1 \n","num_samples = 1000\n","white = numpy.random.normal(mean, std, size=num_samples)\n","t=np.linspace(0,10,num_samples)\n","\n","plt.figure(figsize=(30,10))\n","plt.plot(t,white)\n","plt.title('White noise')\n","plt.xlabel('t (seconds)')\n","plt.grid()\n","plt.show()\n","\n","# RED NOISE #reference: https://atmos.washington.edu/~breth/classes/AM582/lect/lect8-notes.pdf\n","red=[]\n","r=0.5\n","red.append(white[0])\n","for i in range(1,num_samples):\n"," red_next=r*red[i-1] + math.sqrt(1-r**2)*white[i]\n"," red.append(red_next)\n","\n","\n","plt.figure(figsize=(30,10))\n","plt.plot(t,red)\n","plt.title('Red noise')\n","plt.xlabel('t (seconds)')\n","plt.grid()\n","plt.show()"],"execution_count":238,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"7Jyvg_F3M4He"},"source":["1. Uniform random noise is generated from a uniform distribution. Hence the name. \n","\n","2. White noise has zero mean, constant variance, and is uncorrelated in time.\n","Here it has been generated using a Standard normal distribution. Since it contains signals of every possible frequency, it is analogous to white light which contains light waves of every visible frequency. Hence the name.\n","\n","3. Red noise has zero mean, constant variance, but is serially correlated in time. It has been generating from the corresponding samples of the white noise and the previous samples of the red noise. Red noise is strong in longer wavelengths, similar to the red end of the visible spectrum. Hence the name."]},{"cell_type":"markdown","metadata":{"id":"TjsaGqXDQpwf"},"source":["Generate a random sinusoid x[n] = A cos(ω 0 n + φ) where A is uniformly\n","distributed random variable in [0,4] and φ is uniformly distributed random\n","variable in [0, 2π]."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":187},"id":"jjyaZC93LSPH","executionInfo":{"status":"ok","timestamp":1611758482401,"user_tz":-330,"elapsed":1081,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"56062993-9858-4a9d-97d0-d81a3c51d882"},"source":["A = numpy.random.uniform(0,4)\n","phi = numpy.random.uniform(0,2*np.pi)\n","w=1/3*np.pi\n","n=np.arange(-10,10,1)\n","sine=A*np.sin(w*n + phi)\n","\n","plt.figure(figsize=(30,4))\n","plt.stem(n,sine,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.ylabel('signal value')\n","plt.title(f'Cosinusoidal signal : {A}sin($\\pi$n/3 + {phi})')\n","plt.grid()\n","plt.show()"],"execution_count":237,"outputs":[{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABtMAAAEkCAYAAABdUs6PAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzde5xkV1kv/N9DbgwiRkwcMoMQUAx3GBiOhpsDEqPo4Q2gr+IV9RAEwcNBR4zwavBwNcrBAxgIR4xcDJGYE0HAAEIHDgRCQo6E24iQEJxcIEoTAxMSJuv9Y1dDTaWru6q7q6u65/v9fPanu1atvfezV9Xaq7ueWntXay0AAAAAAADArd1m2gEAAAAAAADArJJMAwAAAAAAgCEk0wAAAAAAAGAIyTQAAAAAAAAYQjINAAAAAAAAhpBMAwAAAAAAgCEk0wAAAAAAAGAIyTQAAAAAAAAYQjINAGBGVdWTq6pV1bHTjmVU48RcVadWVZv0ftZjO2tl1uKBzWANzxdPrKrrq+rItYkMWC9V9ZtVdWVVHTHtWACAjUkyDQBgRFV1dFW9pKo+WVVfq6qvV9XHe2Xbph0fLKaqbl9Vz6+qd1TVl3tJhd8bY/2HVNUr+973V1bV31TVDy5S9x5VdVZVfbHXP/65ql48mHyoqjN7cQxbHtar96CqOreqrqiqfb34L6iqn1qL46yqHVX1d1X1b714P1VVvztQ54heH9/bi+GiqjpxyPZGqjuhNt21RHv+8DjtPur2VhLnGO0+8mu/HqrqkCT/PcnprbX5ddrn3qr6g97vM9UeA3H+Qu99ceOI9Ufuq9PqKwP1l+3XY8Y58v57feXtVfXV3nYvqKpHrGLf49Qd59y3pnFOYv9JXpfkiCRPXWwbAADLOXTaAQAAbARV9aAk70zyXUnOSvLKJLckuX+S/5LkCUkW/UBoFd6Q5M1JvrHG252kjRbzRot3JY5K8gdJ/jXJpUlOGHP95yR5WJK3JPl4kjsleUaSj1XV8a21y5Kkqr4vyUVJ/iPJ6Um+nGRnkt1JdiU5vm+br0nynkX29afp/kf5aO/x3dN9+Pm6JFcl+Y4kT0zytqp6Wmvt1Ss9zqr6sSRv69V9QZIbevv7voGqZyb56SR/luSfk/xKkrdX1Y+21i5YYd1JtOmCVyX58EDZv/R+jtruo24v48Y5RruP89ovZy36+U8luVfv58RV1Y4k25L8fa9oLdtjLeO8fZI/TvK1jP75wjh9dVp9pd+ZWb5fjxTnOPuvqgcm+T9JvpTkhUluTvJrSd5TVY9urX1wBfsep+4oxz2pONd8/621fVX1V0l+u6pe2Vq7JQAA42itWSwWi8VisViWWNIl0K5Mcm2S+wx5/kXTjnOjLUlO7f4cXdG6T07Skhw77eNY4zZZ8+NK9wH8tt7vx/a2/3tjrP/QJIcPlN0jyY1Jzuor+/3etu83UPdlvfJ7LbOfe/XqvXqZeock+b9JPrvS40xyhyTXJDk3yW2W2Nd/6m3nOX1lt033gfdFq6i75m2aLmHQkvzcmO+PRdt9nO2NGueo7T7ua78eS5K/S/LRddzf89IlzWpS7ZFkLsmZq4zzJUk+k+SNSW4ccZ1x+upU+8qo/XrUOMfZf7pE6leTbO0r+44kX+x/L46571Hbc5zz2STiXPP998of3NvuY1bzvrdYLBaLxXJwLi7zCACwvKemmzXx2621Tw4+2Vr7amvt9xceV9UDqrt81fW9yw3NLXK5o9tX1Z9U1eVV9Y3qLnU1V1WP7Ktzq/v8VO8+Y1V1XHWXbJvvXdboL6vqdn31zqyqKwZjrUXuUzZKLGMc16L3Jqqqh1fVR6vqxqr6XFXd6jJLVXXXqnpVVX26ukt0zVfV31fV/Qbrjmq5Y1si3l1VdXF/vEPabtTXYxLHds+qusty9Vpr32itXbXS/bTWPtRau2mg7LNJPpnk3n3F39X7efXAJhYef32ZXf1i7+cbl4lnf7oZLUcOlI9znD+fZGuS57bWbum9Txb73+in081APaNvPzcm+YskDxl434xcd9Jt2jueUWcJLdvuI2xv1DhHbfdFDXvtV9LPR+27vbq3TfLjSd49UP7KWvqyma2qdo6zrz4/meQdrbWh95Uc1h7rparukeS/JXl2km+Out44fXUG+spI/XqMOMfZ/yOSvLe1dm3fNr+WLrG7s6p+YNx9j1F3nHPfmsc5if33yi9J8u9JHh8AgDFJpgEALO9x6b45/TfLVayqeyX5QJIdSU5LN/tqW7rLDfUnp05P8swk/zvJ05O8NN1lqR4wYkxvTvKdSU7pxfXkJH844rqDlo1ljOO6lV7C6F1Jvre33ut6sQ5+mPWQJI9Mck6S/5rkfyR5UJILquqYSR3bIvHuSPIP6S5Fdmq6D+/+YJF4+y33ekzi2D6d5PUrXHdVqqrSJUWu6yteuOzWX1Z3f6c7V9Xj011m7U2ttS8ss72fT3J5kg8u8vztq+qoqvqBqvrtLJLYGNNjklyfZHtV7Ul3abj/qKrXDiQ3diT5XGvtKwPrX9T3/Erq3soatulre8dzYy+h9J+W2efQdh9je6PGOWq798c4ymu/mvPpKOfSByc5PMnFA+XnJrkwyb8l+aW+5RNJruj9/k9j7itVdVS6mTlvX+S5te4Lq/HyJO9rrb1jPXe6zn1lxf16SJzj7P+ILJ4EXCjbuYp9L1d3nOOeRJyT3P/H0l1uEgBgLO6ZBgCwvHsn2TP4jeohXpjuUkQP7n3jOlX1l+kug/WyfPtDnZ9K8trW2rNXGNOlrbVfW3hQVd+T5NfT3ZNkXKPEMupxLeaP0n2J6xGttSt7674l3bfR+729tXZOf0FVvSHJp9Id2wtGPqJvW0k7Pz/dZaAe3lr7114cf5MueTXMcq/HJI5tmn4hyfZ0bZUkaa29o6r+MMnv5cD7Sv15ukTHUh6W7nJvLxgyE+fVvX0m3WyFc5P85ooi79wj3f9Cf5cuWXpKkocneVaSo5Oc1Kt3TG490yV9Zdv6ysapu5jVtulNSf42yTvSfTB97yS/k+T9VfWI1tpi90Nbqt1H3t4YcY7a7v1Gee1Xcz4d5Vx6z97Pz/ev2Fp7b1UdluSfWmvfmtlXVS9M8uGFsi5fMPK+kuQn0s30WixJttZ9YUWq6ieT/FhG/wLIWlrPvrKafn2rOMfc/54kx1fVoa21/pl/C19g2b6CfY9ad5zjnkSck9z/5/ueAwAYmWQaAMDy7pDu2+NLqqpDkpyY5G0LCackaa1dV1Vnprvp/dbepYi+muSHqmp7a23vCmJ67cDjDyR5fFXdobV2/ZjbWjKWMY9r2LpvXUik9db956o6P92lzBbK9vWtd7skW9LNYtmTbmbISozVzr14H9OL91/7YvuXqnpnkv88ZNUlX49JHFtrrZavtfaq6p5JXpXkw+lmGfb7fJIPpfug+Op09wZ6RpKvJfndJTa73KUGX5zkzHQfoD4p3f8xR4wd/LfdPsnt0t0n7Ld6Zef2kh7/raoe0Fr7p3Sv0zcWWf/G3s8tfWXj1D3AWrRpa+1DvXoL3lpV5yT5eLr2e8wiux7a7ivY3ihxjtru/UZ57VdzPh3lXPo9vZ8HzJLpzaq5d5L/1Vd2ZJK79JeNua+kOy++v7V2wyLbWFFf6CX9vmug+LAkR/RmwvX7amvt5iW2dXi62bWvbq19arl9r6Up9JUV9eul4hxj/69Md5nD11fVi5Pc3DueB61032PUHee4JxHnJPf/lSSHr/DvJQDgIOYyjwAAy7s+3aW5lnN0ug+K9yzy3MKspmN7P3cnuU+SK6u7N9cLquq4MWK6cuDxwoe83z3GNhYsF8s4xzXo6HQfZH12kef+uf9BVd22qv64qq5K9+Hndeku1Xb/3PpD4FGN287f24v3XxZ5brGyBUu+HhM6tnVXVXdKd+m5ryZ5Yu+eTQvP/Vy6BMJTWmunt9bOa609K8mLkvxODbk/XO+D+Z9JcnFrbbH3WFprn2ytvae19vokj02XlHlr9U35GdNCcvOsgfI39X4+rK/eYomK2w5sZ9y63zKJNl3QWvuXdLPAHtlLpvTvd9l2H3V7Y8Q5arv373OU134159NxzqWD77e7pzs3fqKvbGGm1idya8vuq7r7Z52YRS7xmKyqLzws3Tmnf3lokp9bpHy5S+D9t3SXwV3ppYVXZEp9Zex+vVSc4+y/tfbadDO2npAu0fbpJCckeW5vtVt9yWecfS9Td+TjnlCck9z/Ql8Zej9CAIDFSKYBACzv00mO6334vCZaa29J90Hs05N8IclvJbmsqn5+xE0M+4BsuQ+JDplALGvlFUl+O929hH423QfKJ6S7HOSK/m5dx2Nb7vVY82Nbb1X1XUnemeTIJD/eWrtqoMrT013u7vKB8vPStcOwD+gfm+SOGT4r7QC9yxG+Jd196H5wtOhvZSH2wdmUC48XkhtXp7vc2KCFsv42GKdukom2ab8vppuBNPiFgLHafZntjRrnqO2+qGGv/Sr7+XJ9N/n2/ZwG47tv72d/4uz+i5SNs6+Hpns/LJpM6zdmX/indOec/uXj6e5nOVg+ODvw24F279nnpZtld4eqOraqjk2X1Kve4+9dLvZxTbGvjNWvR4hzrP231k5N9yWPh6WbxXyvdF/wSW79hZSR9z1C3bGOewJxTmz/6frxTa21Za84AADQb0P84w4AMGVvTfdt6J9Zpt6X093wfrEZEQv33LlioaC1dk1r7TWttSemuyzY5zPa/U1G8ZV0H1QNuutilZeJZazjGvDldN8gv8cizw1++PszSV7fWntWa+1vWmvvaq29JyubbfctY7bzl9JdRuoHFnlusbJRTeTY1ktV3TbJ29K9Zj815NJuW7P4ZeQPHfg56BfS3R9qcLbSUhYu27XSWX2X9H4O3kvnzr2fX+79/L9Jvr+qBl+nH+p7PiuoO+k27Xf3dPdoGryc2Urafdj2Ro1z1HZfyqKv/YTPpwszcO82UL5YMu0B6c55n1vhvn4yyWf7L6m7jJH6QmvtK70Zbd9a0o0TVw+Wt9a+ssSmvjtd4ux3k1zetzwxyeG935e8tOC4ptxXRu7XI8Y57v7Tu1Twh1prH2ut3ZLuXnVfT/LBlex7xLpjnc8mEOdE9t9ztyx9D1QAgEVJpgEALO81SfYm+dOqutfgk1X1nVX1wt5liv4hyX+uqu/ve/6OSX4l3eXUrq2qQ3rfzP6W1tp8ug8hF0uArcTnknxXVS3MkkhVHZPk8QOxLxvLqMe1WBC9dc/vrXuXvnV/MN3srH77M3AZtap6Urp7A41tJe3ci/c9SR5XVQsf8KeqfiDJT6wkjp41Pbbe+vfsb9O1UFW36233qL6yQ5KcneT4JD/TWrtwyOp7kty/qu4zUL5wX65LBsoXZij8VJJ3t9a+tMjzt5rh0psh+ivpEhYrvV/T3/R+/vpA+VOS3JLkH3uPz0n3P9PJffs/IsmvJrlkYBbMyHUn0aZVdfTgylX1gCSPS/Ke1to3+8qXbPdxtzdGnKO2+8iv/TqdTy9Jl2TfOVB+3yRXDsxwuVeSz/Q+zF+Jn8wis9Im2BfG9aV048jg8r5096p6fJIX9MV4q3PKOKbdVzJivx4jznH3P1jvkUlOSvLa1rvf15j7HrXuOOe+NY9zEvvv86AceM86AICRjPLtLACAg1prbb6qTkryjiQfq6q/TvLRdB/+3jfJk5L8e7r7czwv3beh/09VvSrdB7BPSfeh7k/3NvmdSfZW1d+mu5zW9ekuTfTjSV65RmG/OclLk/zvqvqf6e7r87R0lzt6UF+9UWMZ5biG+cPe9j5QVaen+4DsGek+/L1/X723Jvnlqro+3UyPB6a7JOLnxzry8Y9t0Kn59rH2x/vJfPt+SONa62NLum/WX5Bk13IVq+oZ6V6rheTCo3r3ZkqSV7TWvtr7/T+l+1D8+enaIUn+NN2HvG9LcseqWvhwOknSWlu4TOBp6RKOF1TVK5Nck+TR6WblvWvIB6c/nW7W57BLDb65qr6R7oPPq9MlH38x3UzH326t3bCS42ytXVpVr0vya737E70vycOT/Hyv3ud69T5SVW9J8oJeMuCzSX453cyGEwbaYeS6mUybnl1V+3pt9aUk9073QfS+dLOI+i3X7uNub6Q4R233nlFf+4mfT1trN1XVP6R7HX+/76n75taXczw6yQ1VdcfW2r+Ps5+qumu6e789a5Gnx+oLk9Ja+3q6SyceoDdG3tJaG3xusXPKOOekqfaVMfr1qHGOvP9eQujUdF9IuS7duPFf0iUGn7fCfY9Ud5zz2STinND+U1UPTnd521u9hwEAltVas1gsFovFYrGMsKS7H8dL0yWBvp7ug6+PJ3lhkq199R6QLvH2H0m+li7h8ci+5w9P8sdJLk0y36vziXT31Dq0r96T09377Ni+slN7ZXcaiG2xuickuSzJN5J8Jt1l3U5N71Y748QyynENi6NX/sgkF/di+VySpy4Syx2SnJHu/kkL239Ikrkkc6PsZ6DOsse2RLyPTvKxXrz/km4mzZ8k2TdQb6TXY9RjG+W4+uq2wXZZou4VvfqLLf3vmV29slP7yuaWWLcN7OfB6T4o/dd0lyu7PMlLkmwZEtd7e++n2w15/lfTfRB/bbpZL/+W7h5Pj1vNcfbqHpbkD3rr3JTuffmcJLcZqHfb3vvoqnRJ5IuT/MSQ/Y9UdxJtmu4+YR/ptdHN6RIub0xyj3HbfdztjRnnqO0+0mufFfbzjHEu7ZX/51753XqPD013fnjpQL0/6732713BeeLpvdfl8NX2hRHPC3NJzlzp+gPbOjPJjYuU78rAOWWcvpop95VR+/WYcY60/yTfn25W+Jd677XPJvnvGei3Y+57nLqjns/WPM5J7L9X96VJrszA+cZisVgsFotllKVaawEAAJZWVecluU9rbbH7vwGbWFXdJl2S7m2ttedMaB9vT/KN1toTJrF9OJhVd7+2K5K8pLX28imHAwBsQO6ZBgAAA6pqy8DjeyR5bLpv1gMHmdbdA+3/S/K0qlqre7ENuiDdpfCAtffr6WYsnj7tQACAjcnMNAAAGFBVV6e7bNnnk9w13f3mjkiyo7X22SmGBgAAAKyzQ5evAgAAB51/SPKkJHdKdw+WC5P8vkQaAAAAHHzMTAMAAAAAAIAhzEzrOeqoo9qxxx477TA2jK997Wv5ju/4jmmHATNJ/4Cl6SMwnP4Bw+kfMJz+AUvTR2A4/QO+7ZJLLrmutXb0Ys9JpvUce+yxufjii6cdxoYxNzeXXbt2TTsMmEn6ByxNH4Hh9A8YTv+A4fQPWJo+AsPpH/BtVfWFYc/dZj0DAQAAAAAAgI1EMg0AAAAAAACGkEwDAAAAAACAISTTAAAAAAAAYAjJNAAAAAAAABji0GkHADCq8y7dm9PO35Or5vdl25FbsvvE43LSju3TDgsANj1jMABMhzEYAGaDZBqwIZx36d6ccu5l2Xfz/iTJ3vl9OeXcy5LEPxIAMEHGYACYDmMwAMwOl3kENoTTzt/zrX8gFuy7eX9OO3/PlCICgIODMRgApsMYDACzQzIN2BCumt83VjkAsDaMwQAwHcZgAJgdkmnAhrDtyC1jlQMAa8MYDADTYQwGgNkhmQZsCLtPPC5bDjvkgLIthx2S3SceN6WIAODgYAwGgOkwBgPA7Dh02gEAjGLh5sq/e87Hc9P+W7L9yC3ZfeJxbroMABNmDAaA6TAGA8DskEyDdXTepXtz2vl7ctX8vmzzR/DYTtqxPWdddGWS5OynHj/laADYSIzBq2MMBmAljL+rZwwGYCWMwWtPMg3WyXmX7s0p516WfTfvT5Lsnd+XU869LEmcyABggozBALD+jL8AMB3G4MlwzzRYJ6edv+dbJ7AF+27en9PO3zOliADg4GAMBoD1Z/wFgOkwBk+GZBqsk6vm941VDgCsDWMwAKw/4y8ATIcxeDIk02CdbDtyy1jlAMDaMAYDwPoz/gLAdBiDJ0MyDdbJ7hOPy5bDDjmgbMthh2T3icdNKSIAODgYgwFg/Rl/AWA6jMGTMdPJtKp6ZFW9tar2VlWrqiePsM79quqCqtrXW+8PqqrWIVxY0kk7tufFT7hfDj+k63bbj9ySFz/hfm76CAATZgwGgPVn/AWA6TAGT8ah0w5gGbdP8okkr+8tS6qqOyR5d5L3J3lIknsm+cskX0vyp5MLE0Zz0o7tOeuiK5MkZz/1+ClHAwAHD2MwAKw/4y8ATIcxeO3NdDKttfaOJO9Ikqo6c4RVfiHJ7ZL8SmttX5JPVNU9kzy7ql7WWmsTCxYAAAAAAIBNZ6aTaStwfJIP9BJpC85P8t+THJvk8v7KVXVykpOTZOvWrZmbm1ufKDeBG264QXut0Px89/bUfiuzEdpP/4Cl6SNMizFkdTZC+7G5zXL/gGmb5f5h/Fg9bbh6s9xHYNr0j83L+LG2Nlsy7U5J/nWg7Nq+5w5IprXWzkhyRpLs3Lmz7dq1a9LxbRpzc3PRXitz+p4LkyS7dpleuxIbof30D1iaPsK0GENWZyO0H5vbLPcPmLZZ7h/Gj9XThqs3y30Epk3/2LyMH2vrNtMOAAAAAAAAAGbVZkumXZNk60DZ1r7nAAAAAAAAYGSbLZl2YZJHVNVt+8pOSHJVkiumEhEAAAAAAAAb1kwn06rq9lX1wKp6YLpY79J7fJfe8y+uqn/sW+Wvk3w9yZlVdd+qekKS30vystZaW/cDAAAAAAAAYEOb6WRakp1JLu0tW5I8v/f7H/WePybJ9y9Ubq19Nd1MtG1JLk7yqiR/muRl6xcyAAAAAAAAm8Wh0w5gKa21uSS1xPNPXqTssiSPnFxUAAAAAAAAHCxmfWYaAAAAAAAATI1kGgAAAAAAAAwhmQYAAAAAAABDSKYBAAAAAADAEJJpAAAAAAAAMIRkGgAAAAAAAAwhmQYAAAAAAABDSKYBAAAAAADAEJJpAAAAAAAAMIRkGgAAAAAAAAwhmQYAAAAAAABDSKYBAAAAAADAEJJpAAAAAAAAMIRkGgAAAAAAAAwhmQYAAAAAAABDSKYBAAAAAADAEJJpAAAAAAAAMIRkGgAAAAAAAAwhmQYAAAAAAABDSKYBAAAAAADAEJJpAAAAAAAAMIRkGgAAAAAAAAwhmQYAAAAAAABDSKYBAAAAAADAEJJpAAAAAAAAMIRkGgAAAAAAAAwhmQYAAAAAAABDSKYBAAAAAADAEJJpAAAAAAAAMIRkGgAAAAAAAAwhmQYAAAAAAABDSKYBAAAAAADAEDOfTKuqp1fV5VV1Y1VdUlWPWKLurqpqiyz3XM+YAQAAAAAA2BxmOplWVT+b5M+SvCjJjiQfSvLOqrrLMqveJ8kxfctnJxknAAAAAAAAm9NMJ9OSPDvJma2117bWPt1ae2aSq5M8bZn1vtRau6Zv2T/5UAEAAAAAANhsDp12AMNU1eFJHpzkTwaeeleShy6z+sVVdUSSTyV5QWvtfUP2cXKSk5Nk69atmZubW1XMB5MbbrhBe63Q/Py+JNF+K7QR2k//gKXpI0yLMWR1NkL7sbnNcv+AaZvl/mH8WD1tuHqz3Edg2vSPzcv4sbZmNpmW5KgkhyS5dqD82iSPGbLOwqy1jyY5PMkvJfnHqvqR1toHBiu31s5IckaS7Ny5s+3atWttIj8IzM3NRXutzOl7LkyS7Np1/JQj2Zg2QvvpH7A0fYRpMYaszkZoPza3We4fMG2z3D+MH6unDVdvlvsITJv+sXkZP9bWLCfTxtZa25NkT1/RhVV1bJLdSW6VTAMAAAAAAICljHzPtKp6elV9sqq+XlV375X9XlX9vxOK7bok+5NsHSjfmuSaMbbzkST3WKugAAAAAAAAOHiMlEyrqmcleV66SyJW31N7kzxjAnGltXZTkkuSnDDw1AlJPjTGph6Y7vKPAAAAAAAAMJZRL/P4G0me0lp7e1W9oK/8Y0nus/ZhfcvLkryhqi5K8sFeHNuSvDpJqur1SdJa++Xe42cluSLJJ9PdM+0Xk5yU5IkTjBEAAAAAAIBNatRk2l2TfGKR8puTbFm7cA7UWju7qr4n3ay4Y3oxPLa19oVelbsMrHJ4ktOS3DnJvnRJtZ9srb1jUjECAAAAAACweY2aTPt8kgcl+cJA+WOTfGpNIxrQWvvzJH8+5LldA4//OMkfTzIeAAAAAAAADh6jJtP+JMkrq+p26e6ZdnxV/VKS303ya5MKDgAAAAAAAKZppGRaa+0vq+rQJC9Kcrskb0hyVZLfaq2dPcH4AAAAAAAAYGpGnZmW1tprk7y2qo5KcpvW2pcmFxYAAAAAAABM38jJtAWttesmEQgAAAAAAADMmpGSaVV1WZI27PnW2v3XLCIAAAAAAACYEaPOTDtn4PFhSR6Y5GFJXrWmEQEAAAAAAMCMGCmZ1lp7/mLlVbU7yV3XNCIAAAAAAACYEbdZ5frnJvmFtQgEAAAAAAAAZs1qk2mPTPL1tQgEAAAAAAAAZs1Il3msqrcOFiU5JsmOJIteAhIAAAAAAAA2upGSaUn+beDxLUk+meT3W2vvWtuQAAAAAAAAYDaMlExrrf3qpAMBAAAAAACAWbPae6YBAAAAAADApjV0ZlpVXZakjbKR1tr91ywiAAAAAAAAmBFLXebxnHWLAgAAAAAAAGbQ0GRaa+356xkIAAAAAAAAzBr3TAMAAAAAAIAhlrrM4wGq6leTPCnJXZIc3v9ca+3uaxwXAAAAAAAATN1IM9OqaneSP01ySZJjk5yX5BNJ7pjkdZMKDgAAAAAAAKZp1Ms8PiXJya21U5LcnOSVrbXHpUuw3XVSwQEAAAAAAMA0jZpMu3OSi3q/70tyh97vZyV54loHBQAAAAAAALNg1GTaNUmO6v3+hSTH937/gSRtrYMCAAAAAACAWTBqMu29SR7X+/0vkrysqt6X5Owk504iMAAAAAAAAJi2Q0esd3J6ibfW2qur6itJHpbkb5O8ZkKxAQAAAAAAwFSNmkyr1to3Fx601s5ONysNAAAAAAAANq1Rk2nXVNWbk7yxtfaRSQYEwGScd+nenHb+nlw1vy/bjtyS3Scel5N2bJ92WACw6RmDAWA6FsbgvfP7sv3D7zUGA7BioybTnpvk55N8qKo+n1NzUbsAACAASURBVOSNSd7UWvuXiUUGwJo579K9OeXcy7Lv5v1Jkr3z+3LKuZcliX8kAGCCjMEAMB3GYADW0m1GqdRaO6O1tivJsUn+V5InJPnnqvpwVf3m5MIDYC2cdv6eb/0DsWDfzftz2vl7phQRABwcjMEAMB3GYADW0kjJtAWttS+21l7aWntAkp1JjkjyPycSGQBr5qr5fWOVAwBrwxgMANNhDAZgLY2VTEuSqnp4VZ2e5F1Jvj/dJR8BmGHbjtwyVjkAsDaMwQAwHcZgANbSSMm0qrpPVb2oqi5P8t4kd0nyzCRbW2u/MskAAVi93Scely2HHXJA2ZbDDsnuE4+bUkQAcHAwBgPAdBiDAVhLo85MuyzJo5O8LMn21tpPttbOaq1NfF50VT29qi6vqhur6pKqesQy9X+kV+/Gqvp8Vf3GpGMEmHUn7dieFz/hfjn8kO60v/3ILXnxE+7npssAMGHGYACYDmMwAGvp0BHrHdda++xEI1lEVf1skj9L8vQk/6f3851Vde/W2pWL1L9bknckeV2SX0zy8CR/XlVfbq397fpFDjB7TtqxPWdd1J06z37q8VOOBgAOHsZgAJiOhTF4fn4+5z/n0dMOB4ANbKSZadNIpPU8O8mZrbXXttY+3Vp7ZpKrkzxtSP3fSHJVa+2ZvfqvTfJXSX5nneIFAAAAAABgE6nW2rRjWFRVHZ7k60me1Fp7S1/5q5Lct7X2I4us8/4kl7XWfrOv7GeS/HWS27XWbh62v507d7aLL754LQ9h07rmRS/KtRd+OEceeeS0Q9mQPnX19UmSex9zhylHsjFthPabn5+f2f6xEdqPzW+W+wib20Y4B85y/9gI7TfLtN/qzXL/gGmb5f7h/Ld62nB1PnX19fnmN7+Z+3/fHacdCsykWR5DWJ1PXX19rjn6+/KrZ71i2qFsGFV1SWtt52LPjXqZx2k4KskhSa4dKL82yWOGrHOnJO9ZpP6hve1d3f9EVZ2c5OQk2bp1a+bm5lYX8UHiMxdfkW3X708yP+1QNqRtW7qf8/PabyU2Qvvt379/ZuPbCO036678j1uSJHf5zlFvO0q/K//jlnRf5PEeXAnvv9XZCOdAY8jmpf1Wx/ixOsaP1dkI7Wf82Ny04eps25Ls39+03wpthHPgLNsI7TfLY8hGaL9Ztm1LcvR375f3WCOznEybuNbaGUnOSLqZabt27ZpuQBvE6XuO6F1r+iemHQrMpLm5uTifbF4ves2FSdzzZqVe9JoLjSGr4P23+RlDYHHGj9UxfqzORmg/4wcsTR9ZuY1wDpxlG6H9Zrl/bIT24+Axyynd65LsT7J1oHxrkmuGrHPNkPrf7G0PAAAAAAAARjZ0ZlpVPXvUjbTWXrY24RywzZuq6pIkJyR5S99TJyT52yGrXZjk8QNlJyS5eKn7pQEAAAAAAMBilrrM4zNH3EZLsubJtJ6XJXlDVV2U5INJfiPJtiSvTpKqen2StNZ+uVf/1UmeUVUvT/KaJA9L8uQkT5pQfAed8y7dm0uvnM9N+2/Jw17y3uw+8bictGP7tMMCgE3PGAwA68/4CwDTYQxm1gxNprXW7raegQyJ4eyq+p4kz0tyTJJPJHlsa+0LvSp3Gah/eVU9Nsn/SPK0JFcl+a3W2rCZbIzhvEv35pRzL8tN+7sbP+6d35dTzr0sSZzIAGCCjMEAsP6MvwAwHcZgZtEs3zMtSdJa+/PW2rGttSNaaw9urb2/77ldrbVdA/UvaK09qFf/bq21V6970JvUaefvyb6b9x9Qtu/m/Tnt/D1TiggADg7GYABYf8ZfAJgOYzCzaKnLPB6gqr47yU+kmw12eP9zrbU/WuO4mEFXze8bqxwAWBvGYABYf8ZfAJgOYzCzaKRkWlX9cJK3J/lGkqOT7E132cVvJLkiiWTaQWDbkVuyd5ET1rYjt0whGgA4eBiDAWD9GX8BYDqMwcyiUS/zeFqSNyXZnuTGJI9ON0Pt4iQvnUxozJrdJx6XLYcdckDZlsMOye4Tj5tSRABwcDAGA8D6M/4CwHQYg5lFo17m8f5Jfr211qpqf5IjWmufr6rnJPnrdIk2NrmFmzuedv6e7J3fl+1HbsnuE49z00cAmLD+Mfiq+X3ZZgwGgIkz/gLAdBiDmUWjJtNu6vv92iR3TfLpJDck2bbWQTG7TtqxPSft2J65ubns2rVr2uEAwEFjYQwGANaP8RcApsMYzKwZNZn2sSQPSfLPSeaSvKCqtib5xSQfn0xoAAAAAAAAMF2j3jPtuUmu6v3+vCRfTvKKJN+d5OQJxAUAAAAAAABTN9LMtNbaxX2/fznJT0wsIgAAAAAAAJgRo85MAwAAAAAAgIPOSDPTquqOSV6Y5EeTfG8GknCttTusfWgAAAAAAAAwXSMl05L8RZIdSc5Id++0NrGIAAAAAAAAYEaMmkz70SQntNY+MslgAAAAAAAAYJaMes+0LyW5YZKBAAAAAAAAwKwZNZn23CR/VFW3n2QwAAAAAAAAMEtGvczj85Icm+RLVfWFJDf3P9lau/8axwUAAAAAAABTN2oy7ZyJRgEAAAAAAAAzaKRkWmvt+ZMOBAAAAAAAAGbNqPdMAwAAAAAAgIPOSMm0qrqlqvYPWb5WVf9UVb816WABAAAAAABgPY16z7RnJDk1yf9O8pFe2Q8lOSnJS5N8X5KXVFVrrb1irYMEAAAAAACAaRg1mXZiklNaa3/RV/a6qrooyeNaa/9PVe1J8swkkmkAAAAAAABsCqPeM+1Hk1ywSPkFSR7T+/3dSe62FkEBAAAAAADALBg1mfZv6S7pOOikJNf1fr99kq+uRVAAAAAAAAAwC0a9zOPzk7y2qh6d5KJe2UOS/FiSp/Qen5DFZ68BAAAAAADAhjRSMq219rqq+nS6e6I9rlf8mSSPaK19uFfnTyYTIgAAAAAAAEzHqDPT0lq7MMmFE4wFAAAAAAAAZsrQZFpV3bG19u8Lvy+1kYV6AAAAAAAAsJksNTPty1V1TGvtS0muS9IWqVO98kMmERwAAAAAAABM01LJtEcnWZhx9qh1iAUAAAAAAABmytBkWmvtgsV+BwAAAAAAgIPFbUapVFX3rqrj+h6fUFVvrKpTqsolHgEAAAAAANiURkqmJXldkh1JUlXfl+TvktwxyW8mecFkQgMAAAAAAIDpGjWZds8kH+v9/tNJPtJae2ySX0rypEkEVlVHVNUrquq6qvpaVb21qu68zDqnVlUbWK6ZRHwAAAAAAABsfqMm0w5JclPv9x9N8o7e759LsnWtg+p5eZInpkvWPSLJHZL8/QiXldyT5Ji+5X4Tig8AAAAAAIBN7tAR630iydOq6u/TJdNO6ZVvT3LdWgdVVd+V5NeT/Gpr7d29sl9K8oUkj0ly/hKrf7O1ZjYaAAAAAAAAqzbqzLTnJHlKkrkkZ7XWLuuVPy7JRROI68FJDkvyroWC1toXk3w6yUOXWffuVXVVVV1eVW+uqrtPID4AAAAAAAAOAiPNTGutvb+qjk5yh9baV/qeek2Sr08grjsl2Z9bz3q7tvfcMB9J8uQkn0nyvUmel+RDVXWf1tq/DVauqpOTnJwkW7duzdzc3KoDP1jccMMN2guG0D82t/n5fUniNV6h+fl92b9/v/aDIYwhsDjjx+r4+2XzM37A0vSRlTOGrM5GaD/9A0Yz6mUe01rbn+QrA2VXjLOzqnpBkucuU+1R42xzIJ53Duzvw0k+n+RXkrxskfpnJDkjSXbu3Nl27dq10l0fdObm5qK9YHH6x+Z2+p4LkyS7dh0/5Ug2ptP3XJj5+Xl9BIYwhsDijB+r4++Xzc/4AUvTR1bOGLI6G6H99A8YzcjJtDXy8iRvXKbOlUl+OMkhSY5K8uW+57Ym+cCoO2ut3VBVn0xyjzHjBAAAAAAAgPVNprXWrsutL914K1V1SZKbk5yQ5K97ZXdOcq8kHxp1f1V12yT3TPK+lcQLAAAAAADAwe020w5gMa21ryb5iyR/XFWPqaodSd6Q5ONJ3rNQr6o+U1XP6Hv8J1X1I1V1t6r6oSTnJPmOJH+1vkcAAAAAAADAZrDel3kcx7OSfDPJ2Um2JPnHJL/cu3fbguPSXQpywZ2TnJVvXx7yw0l+uLX2hXWJGAAAAAAAgE1lZpNprbVvJHlmbxlWpwYe/9yk4wIAAAAAAODgMZOXeQQAAAAAAIBZIJkGAAAAAAAAQ0imAQAAAAAAwBCSaQAAAAAAADCEZBoAAAAAAAAMIZkGAAAAAAAAQ0imAQAAAAAAwBCSaQAAAAAAADCEZBoAAAAAAAAMIZkGAAAAAAAAQ0imAQAAAAAAwBCSaQAAAAAAADCEZBoAAAAAAAAMIZkGAAAAAAAAQ0imAQAAAAAAwBCSaQAAAAAAADCEZBoAAAAAAAAMIZkGAAAAAAAAQ0imAQAAAAAAwBCSaQAAAAAAADCEZBoAAAAAAAAMIZkGAAAAAAAAQ0imAQAAAAAAwBCSaQAAAAAAADCEZBoAAAAAAAAMIZkGAAAAAAAAQ0imAQAAAAAAwBCSaQAAAAAAADCEZBoAAAAAAAAMIZkGAAAAAAAAQ0imAQAAAAAAwBCSaQAAAAAAADDEzCbTqurkqnpfVc1XVauqY0dc74lV9amq+kbv5+MnGykAAAAAAACb1cwm05LcLsm7kpw66gpVdXySs5O8KckDez/fUlU/NIkAAQAAAAAA2NwOnXYAw7TWXp4kVbVzjNWeleR9rbUX9h6/sKoe1St/0hqHCAAAAAAAwCY3yzPTVuL4dLPZ+p2f5KFTiAUAAAAAAIANbmZnpq3QnZJcO1B2ba/8Vqrq5CQnJ8nWrVszNzc30eA2kxtuuEF7wRD6x+Y2P78vSbzGKzQ/vy/79+/XfjCEMQQWZ/xYHX+/bH7GD1iaPrJyxpDV2Qjtp3/AaNY1mVZVL0jy3GWqPaq1NrcO4aS1dkaSM5Jk586dbdeuXeux201hbm4u2gsWp39sbqfvuTBJsmvX8VOOZGM6fc+FmZ+f10dgCGMILM74sTr+ftn8jB+wNH1k5Ywhq7MR2k//gNGs98y0lyd54zJ1rlzF9q9JsnWgbGuvHAAAAAAAAMayrvdMa61d11r7zDLL11exiwuTnDBQdkKSD61imwCQJDnv0r259Mr5fOTyf8/DXvLenHfp3mmHBACb3sL4u+crtxh/AWAd+R8Y4Ntm9p5pVXWndPc6+8Fe0b2r6sgkV7bW/r1X5x+TXNRaO6VX58+SvL+qfi/JeUken+RRSR6+rsEDsOmcd+nenHLuZblp/y1Jkr3z+3LKuZclSU7asX2aoQHApmX8BYDpMAYDHGhdZ6aN6TeSXJrkTb3Hb+89flxfne9PcszCg9bah5L8XJInJ/l4kl9O8rOttY+sQ7wAbGKnnb8n+27ef0DZvpv357Tz90wpIgDY/Iy/ADAdxmCAA83szLTW2qlJTl2mzrGLlJ2T5JyJBAXAQeuq+X1jlQMAq2f8BYDpMAYDHGiWZ6YBwMzYduSWscoBgNUz/gLAdBiDAQ4kmQYAI9h94nHZctghB5RtOeyQ7D7xuClFBACbn/EXAKbDGAxwoJm9zCMAzJKFGyyfdv6eXDW/L9uO3JLdJx7nxssAMEH94+/e+X3ZbvwFgHXhf2CAA0mmAcCITtqx3T8Oq3DepXtz6ZXzuWn/LXnYS97rHzEARrIw/s7NzWXXrl3TDmfDMf4CsFL+B14dYzBsLi7zCABM3HmX7s0p516Wm/bfkiTZO78vp5x7Wc67dO+UIwOAzcv4CwDTYQyGzUcyDQCYuNPO35N9N+8/oGzfzftz2vl7phQRAGx+xl8AmA5jMGw+kmkAwMRdNb9vrHIAYPWMvwAwHcZg2Hwk0wCAidt25JaxygGA1TP+AsB0GINh85FMAwAmbveJx2XLYYccULblsEOy+8TjphQRAGx+xl8AmA5jMGw+h047AABg8ztpx/Yk3XXj987vy/Yjt2T3icd9qxwAWHv94+9V8/uyzfgLAOvCGAybj2QaALAuTtqxPSft2J65ubns2rVr2uEAwEFhYfwFANaXMRg2F5d5BAAAAAAAgCEk0wAAAAAAAGAIyTQAAAAAAAAYQjINAAAAAAAAhpBMAwAAAAAAgCGqtTbtGGZCVX05yRemHccGclSS66YdBMwo/QOWpo/AcPoHDKd/wHD6ByxNH4Hh9A/4tru21o5e7AnJNFakqi5ure2cdhwwi/QPWJo+AsPpHzCc/gHD6R+wNH0EhtM/YDQu8wgAAAAAAABDSKYBAAAAAADAEJJprNQZ0w4AZpj+AUvTR2A4/QOG0z9gOP0DlqaPwHD6B4zAPdMAAAAAAABgCDPTAAAAAAAAYAjJNAAAAAAAABhCMg0AAAAAAACGkEzjVqrq5Kp6X1XNV1WrqmMXqfPdVfWGqvpqb3lDVR25zHarqk6tqquqal9VzVXVfSZ1HLAequrYXj9ZbNm9xHq7hqxzz/WMHyatd64ffJ+/eYT1nlhVn6qqb/R+Pn494oX1UlV3rKpXVNVnen8XfbGqTq+q71lmvScPGT9uu16xw6RU1dOr6vKqurGqLqmqRyxT/0d69W6sqs9X1W+sV6ywXqrqlKr6aFVdX1Vfrqq3VdV9l1ln2P8oP75eccN66X3ONPhev2aZde5XVRf0/gbbW1V/UFW1XjHDeqmqK4aMB29fYp3F6vsbCyKZxuJul+RdSU5dos5fJ3lQkh/vLQ9K8oZltvu7SX47yTOTPCTJl5K8u6q+c5XxwjR9MckxA8vTk7Qk54yw/n0G1v3sZMKEqfrLHPg+f+pSlavq+CRnJ3lTkgf2fr6lqn5ownHCetqWZHu6v4/ul+QXkzwyyVkjrPv1DIw9rbUbJxQnrIuq+tkkf5bkRUl2JPlQkndW1V2G1L9bknf06u1I8uIkr6iqJ65PxLBudiX58yQPTfLoJN9M8p6quuMI6/54Dhwv3juhGGHa9uTA9/r9hlWsqjskeXeSa9N9NvVfk+xO8uzJhwnr7iE5sG88KN3nVX+zzHpPGVjvryYYI2wY1VqbdgzMqKrameSjSe7WWruir/xeST6V5OGttQ/2yh6e5ANJ7tla27PItirJVUle2Vp7Ya9sS7qE2u+01l4z4cOBdVNV707SWms/tkSdXUnel+To1tp16xUbrLeqmkvyidbaM8ZY5+wkd2ytndBX9p4kX26tPWnto4TZUFWPTfL3SY5srV0/pM6T0/09dfv1jA0mrao+kuTjrbWn9JV9Nsk5rbVTFqn/0iRPaK3do6/sfyW5T2vt+PWIGaahqm6f5KtJTmqtvW1InWOTXJ7kIa21i9cvOlh/VXVqkp9urS05Y7Ov/tOSvDTJ1tbavl7Z85I8Lcmdmw9K2cSq6rnpksfHLLz/F6nTkvxMa22UL4jDQcXMNFbi+CQ3pPsW6IIPJvlaum/LLeZuSe6UbsZbkqR30n7/EuvAhlNVd0/yo0nOGHGVi6vq6qr6x6p61ARDg2n6uaq6rqo+Wf9/e3cebElZ3nH8+4ORQFgSI7uIlJRIiElEhEDJNoWDESExaAWJyA5BQdCKCwiCgIJR1gJSIiQCIkJcIojoEFmUwDgwKigogcgAJgSBQiaM7OOTP96+eOYw5w73epe5d76fqql7uvt9u99zavq8p/vp93mTU17EiORt6OkvOrOxv9D0twbwNG3k2XBWSXJfkv9OcmWSzSegbdK4SbISsAUv/O6/msHf/YP6ijckecnYtlBapqxOu5fzqxdR9mtJHkpyY5J3jHO7pMn0qrQpReYnubS7Lh9kG+CGvkDCbFrWgI3Gs5HSZOoGOhwAXDwokNbjzO4a/pYkhyQxhiBhME2jsy5tdMDzT+t0rx/qtg2qA20Yfa9fDlNHmooOBB4GLl9Kuf+lPfn2dmB3WlqKa5Y2N4g0BV0CvAuYCZxI+z//1aXUWRf7Cy1n0uaePRE4r6qeG6bofwL7A38N7Ak8BdyY5NXD1JGWdWsCKzKy7/5BfcWMbn/SdHUmcCswZ5gyC4EPAn8L7AJcA1yWZK/xb5404eYC+9LSmh5E6x9uGmYe2kH9x9A2abqaRRvscN5Syh0L7AG8CbgUOBX46Pg2TZoaZkx2AzQxknwCOHopxWZW1fUT0BxpmTeacybJDGA/4MKqena4il061N6UqHO6dCwfoqVMlZZZIzk/qqp3lOZPktwDzE3y+qr64fi1Upoco+w/VgO+AfwPbQ61gapqDj03UJPcRLup+j7g8NG1WpI0FSQ5DdiWNuXCokHlujTyp/asmpdkTVofc/H4tlKaWFX1rd7lJN8H7gH2AU6blEZJy6aDgFuq6rbhClXViT2LtyZZkXZ984nxbJw0FRhMW36cwdJ/NN//Ivf1ILBWkgyNTuuGCq/dbRtUB2CdvuOsM0wdaTKN5pzZjfYk2/mjPOZc4J2jrCtNpN+lT5kHLAJeDQwKpj1I6x962V9oqhjR+dEF0q7qFnetqqdGcrCqWpRkHu2ckqaqR2h9w0i++wf1Fc91+5OmlSSn064VZlbVPaPYxVzag3/StFZVC5PcweDfRoP6j6Ft0rSTZG1aZotDR1F9LrBGknWqqn9Up7RcMZi2nOieTBuri8o5wGq0PNND86ZtA6zK4vOo9ZpP+1EyC7gFIMnKwHa0kTjSMmWU58xBwHer6q5RHvZ1tPSP0jLtd+xT/pSWymu4/+tzaP3FZ3rWzWJwHyMtM0ZyfnTzB34LCPCXVbVwpMfrHmj6M2DYJ0ylZVlVPZPkB7Tv+i/3bJrF4NTAc4C/6Vs3C5i3tAwB0lST5Exayq2ZVXXnKHfjtYaWC929pk2B6wYUmQP8Y5KVex5imgU8ANw7/i2UJsW+tLmZvzSKuq+jpZZ/bCwbJE1FBtP0AknWpY2u2aRbtVk3j8f9VfVoVf0sybeBc5Mc3JU5F7iyS11HkpfT8rIfVVX/VlWV5Azgo0nuBO4CjqHlcr9k4t6dND6SbAi8Gdh7wPaLAKpq7275/bQf6ncAKwF7AW+jzSclTQtJNqbNl3YVLbiwGS3l0I+AG3vKXQPcXFVHdavOBL6X5Ejg67SbpTNpaY2kaaELpF0NrEH7/l81yard5ker6pmu3GLnR5LjgO8Dd3d1D6cF094zse9AGnOnAV9IcjOtjzgEWB/4LLzwt1S3/rDuGuNc4I20G0V7TmyzpfGV5Bzg3bS+4lfd9TrAwqGHMJKcDGxVVTt1y/sAz9J+c/2GlkHjUOAjE9x8adwlOYWWLvt+Wsakj9Ee9r6w277Y+UG7B3UccEGXnnsT4Ejg+KHsS9J00j18dyBwaf/De0kOAw6rqk275aGMS3OAJ2nX4ScAn6uqpye04dIyyGCaluQQ2g+LId/s/u4HXNC9/jvgLGB2t3wFcFhPnZcArwH+oGfdp4FVgHOAl9KGCe9cVY+PYdulyXIAsIDBT09v2Le8Em3UzQa0Hyh3AG+tqqv6K0pT2DPATsARtBHNv6D1Kcf3zfOxcbcNgKq6Kck7aTnZTwB+DuxRVXMnquHSBNgC2Lp73T+ieSZwffd6sfMD+EPgc7SL3AW0G6XbV9XN49ZSaQJU1WVJXkZ74G494HZgl6q6ryuyYV/5+Ul2AU6nBZMfAA6vqkG/xaSp6r3d32v61h8PfLx7vR6tv+h1DPBKWgrVu4D9q8r50jQdbUAbbbMm8DDtoaOte/qPxc6PqlqQZBbt3tQ84Fe0B/6cX03T1Y60tKd7LWHbmrT7t0OepfU7pwEr0OYfPJZ2vkjLvfjQhSRJkiRJkiRJkrRkK0x2AyRJkiRJkiRJkqRllcE0SZIkSZIkSZIkaQCDaZIkSZIkSZIkSdIABtMkSZIkSZIkSZKkAQymSZIkSZIkSZIkSQMYTJMkSZIkSZIkSZIGMJgmSZIkSWMkyUZJKskbJrEN1yXZe7KO/2Il2TfJwjHc36FJvjFW+5MkSZKkIQbTJEmSJGkUklyf5Oy+1b8A1gNunYQmkeStwCuAL07G8SfZ+cAWSbab7IZIkiRJml4MpkmSJEnSGKmqRVX1YFU9N0lNOAK4oKoWTdLxJ01VPQ1cAhw+2W2RJEmSNL0YTJMkSZKkEUpyAbADcGiX1rG6FI+LpXlMsmO3/JYkP0jyZJIbkmyQZIcktyVZmOTKJC/rO8Z+SX6a5KkkdyX5QJKB13BJ1gLeBHyjb/3fd/WfSvJIktlJZnTbtkxydbf+/5L8R5Jt+upXkvckuTzJE92+ZnbvYXaSXye5Ncnre+rs272v3XqOfV2SVy3lc92t+5yeSjI/ySeTrNSzffckP+4+x0eTfDfJOj27uAL4qyS/P9xxJEmSJGkkDKZJkiRJ0sgdAcwBPk9L67geLcXjIMcD7wf+AngpcBlwLHAwsCPwJ8DHhwonOQg4qSvzx8A/AB8B3jvMMbYFngZu79nPG4BzuuO/BtgJ+HZPndWBLwDbAVvR0lNe1R/YA44BLgX+HJjXvf5n4J+AzYEHgAv66vwecBywH7ANsCLwtSRZUuOTvJmWnvLs7vPYH3hH9zmQZN3uuBd2n8n2Xdt7zQNmdMeTJEmSpDExY7IbIEmSJElTTVUtSPIM8ERVPTi0fkCcCOBjVXVDV+azwFnAFlX1w27dhbTA0fPlgQ9X1Ve65flJPkULpvXP0zbklcBDfSkeNwR+DVxRVY8D9wG39byPa3t3kOR9wNuBtwAX92y6qKq+1JU5CdgTmF1Vl3frPg1cl2TNqnqkqzMDOKKqbuzKvBu4hxbQ+84S2n808Jmq+ny3/PMkHwEuTvIhYH3gJcBXquq+rsztvTuoqieSLAA2GvAZSZIkSdKIOTJNkiRJksbfj3te/7L7+5O+dWvD8+kaXwGc26VKXJhkIfApYONhjrEK8FTfun+nBdDmJ/likn2SrD60McnaSc7tUjEuAB7v2rHhKNrP0Hvo/Aa4eWihC4A9AGw2oP1bAEf3vedLgFWBdWlBwO8Atyf5apd6cq0l9J/d1gAAAtJJREFU7OdJ2mchSZIkSWPCkWmSJEmSNP6e7XldAFXVv27oYcehv4cAN43gGI/QUkj+dqdVj3dzmW0PzAKOAk5KsmVVPUBLmbgO8AHgXlqayGuAlVjcC9o/YF3/A5vFi7cCLR3ll5ew7eGqWpRkZ2BrYGfgAODkJDtU1W09Zf8IeHgEx5UkSZKkYRlMkyRJkqTReYY2D9iYqqpfJnkA2LiqLhpB1R8Ba/WlWqSqngOuBa5NchzwELAr8DnaPGuHV9U3AZKsQ5v/bSysQJuH7aZu3xvSUjX+bED5HwKbVtV/DdphVRVtrro5SU4A7gD2oEtdmWRjYOVuX5IkSZI0JgymSZIkSdLo3AtslWQjYCHw6Bju+zjgrCSPAVfR5gp7PfDyqjp5QJ0f0QJl2wJfB0iyKy015Pe69s0EVue3Aa27gL2SzKWlU/w0LUg4Fp4DzkhyBC314um04NeS5ksDOAG4Msl9wL929V8LbFVVH06yNfAmYDYtreTmtHSYP+3Zx3bAPVV19xi9B0mSJElyzjRJkiRJGqVTaIGnn9LSCvbPMzZqVXU+sD/wbtqoqxuAg4H5w9RZBPwL8K6e1Y8Bb6MFsO4EPggcWFU3dNv3B1YDfgBc2tW/d4zextPAJ4GLgLm068/du9FlS2r/bOCttIDfzd2/I4H7uyILgDcCVwJ3A6cCJ1bVxT272RM4b4zaL0mSJEkAZMB1jCRJkiRpikmyNi24t2VVDQy8TUA79gXOrqrVJvCYr6XN97ZJVS2YqONKkiRJmv4cmSZJkiRJ00RVPUQbbTZmo+SmkPWBvQ2kSZIkSRprzpkmSZIkSdNIVV0x2W2YDFV19WS3QZIkSdL0ZJpHSZIkSZIkSZIkaQDTPEqSJEmSJEmSJEkDGEyTJEmSJEmSJEmSBjCYJkmSJEmSJEmSJA1gME2SJEmSJEmSJEkawGCaJEmSJEmSJEmSNMD/A3B0SuUPvb65AAAAAElFTkSuQmCC\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"ANioXgrwTfYM"},"source":["Generate a noisy sinusoid with amplitude 5 and frequence ω = 2π. Use\n","the gaussian noise of mean 0 and variance 1 to be used as an envelope\n","(Envelope is a signal which decides the outer structure whereas sinusoid\n","will be embedded in some way to the gaussian noise)."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":383},"id":"xlLtLeSURNCI","executionInfo":{"status":"ok","timestamp":1611765339309,"user_tz":-330,"elapsed":3461,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"6a8eaddd-92f7-44ea-b36e-cba9df8cf1f1"},"source":["t=np.linspace(-10,10,1000)\n","\n","# SINUSOID\n","sine=5*np.sin(2*np.pi*t)\n","\n","# GAUSSIAN NOISE\n","mean = 0\n","std = 1 \n","num_samples = 1000\n","white = numpy.random.normal(mean, std, size=num_samples)\n","env_sine=white*sine\n","\n","plt.figure(figsize=(30,10))\n","plt.plot(t,env_sine)\n","plt.title('Sine enveloped in white noise')\n","plt.xlabel('t (seconds)')\n","plt.grid()\n","plt.show()"],"execution_count":285,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"PAsHtygKsywA"},"source":["Bonus(Ungraded): Try visualizing the effects of noise addition to im-\n","ages and a recorded wavfile. Use the speckle, salt and pepper, gaussian\n","noises in images and just gaussian noise in wavfile. Is there any way to\n","remove this noise? Also visualize any waveforms before and after adding\n","noise to it and how it behaves."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":941},"id":"gsGVTBldVZEJ","executionInfo":{"status":"ok","timestamp":1611767517799,"user_tz":-330,"elapsed":2384,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"15052201-1d19-4b66-b6df-4d46357ecdfb"},"source":["'''\n","Parameters\n","----------\n","image : ndarray\n"," Input image data. Will be converted to float.\n","mode : str\n"," One of the following strings, selecting the type of noise to add:\n","\n"," 'gauss' Gaussian-distributed additive noise.\n"," 's&p' Replaces random pixels with 0 or 1.\n"," 'speckle' Multiplicative noise using out = image + n*image,where\n"," n is uniform noise with specified mean & variance.\n","'''\n","\n","import numpy as np\n","import os\n","import cv2\n","from google.colab.patches import cv2_imshow\n","\n","def noisy(noise_typ,image):\n","\n"," if noise_typ == \"gauss\":\n"," row,col,ch= image.shape\n"," mean = 0\n"," var = 100\n"," sigma = var**0.5\n"," gauss = np.random.normal(mean,sigma,(row,col,ch))\n"," gauss = gauss.reshape(row,col,ch)\n"," noisy = image + gauss\n"," return noisy\n","\n"," elif noise_typ == \"s&p\":\n"," row,col,ch = image.shape\n"," s_vs_p = 0.5\n"," amount = 0.1\n"," out = np.copy(image)\n"," # Salt mode\n"," num_salt = np.ceil(amount * image.size * s_vs_p)\n"," coords = [np.random.randint(0, i - 1, int(num_salt)) for i in image.shape]\n"," out[tuple(coords)] = 1\n","\n"," # Pepper mode\n"," num_pepper = np.ceil(amount* image.size * (1. - s_vs_p))\n"," coords = [np.random.randint(0, i - 1, int(num_pepper)) for i in image.shape]\n"," out[tuple(coords)] = 0\n"," return out\n","\n"," elif noise_typ ==\"speckle\":\n"," \n"," row,col,ch = image.shape\n"," gauss = np.random.randn(row,col,ch)\n"," gauss = gauss.reshape(row,col,ch) \n"," noisy = image + image * gauss\n"," return noisy\n","\n","img=cv2.imread('/content/drive/MyDrive/IIT Guwahati/IITG_DigitalSignalProcessorsLab/lena.jpg') \n","#cv2_imshow(img)\n","img = cv2.resize(img, (300, 200)) \n","print('Original image:')\n","cv2_imshow(img)\n","print()\n","print('After adding Gaussian noise:')\n","cv2_imshow(noisy(\"gauss\",img))\n","print()\n","print('After adding salt and pepper noise:')\n","cv2_imshow(noisy(\"s&p\",img))\n","print()\n","print('After adding Speckle noise:')\n","cv2_imshow(noisy(\"speckle\",img))\n"],"execution_count":310,"outputs":[{"output_type":"stream","text":["Original image:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":[""]},"metadata":{"tags":[]}},{"output_type":"stream","text":["\n","After adding Gaussian noise:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":[""]},"metadata":{"tags":[]}},{"output_type":"stream","text":["\n","After adding salt and pepper noise:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":[""]},"metadata":{"tags":[]}},{"output_type":"stream","text":["\n","After adding Speckle noise:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":[""]},"metadata":{"tags":[]}}]},{"cell_type":"markdown","metadata":{"id":"WBG8NVKBCHzI"},"source":["These can be removed using time domain filters like median filters or using frequency-domain low / high / bandpass/bandreject filters.\n","\n","Bilateral filtering may also be used in some cases. "]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"Gum2kdGS7SpA","executionInfo":{"status":"ok","timestamp":1611769337120,"user_tz":-330,"elapsed":3420,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"b2ab1ed9-9fe3-4251-d531-5f3b55a56ec5"},"source":["!pip install wavio\n","import numpy as np\n","import wavio\n","\n","rate = 22050 # samples per second\n","T = 3 # sample duration (seconds)\n","f = 440.0 # sound frequency (Hz)\n","t = np.linspace(0, T, T*rate, endpoint=False)\n","x = np.sin(2*np.pi * f * t)\n","#wavio.write(\"/content/drive/MyDrive/IIT Guwahati/IITG_DigitalSignalProcessorsLab/birds.wav\", x, rate, sampwidth=3)"],"execution_count":337,"outputs":[{"output_type":"stream","text":["Requirement already satisfied: wavio in /usr/local/lib/python3.6/dist-packages (0.0.4)\n","Requirement already satisfied: numpy>=1.6.0 in /usr/local/lib/python3.6/dist-packages (from wavio) (1.19.5)\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"id":"DnVM5Byy7mI8","executionInfo":{"status":"ok","timestamp":1611769702304,"user_tz":-330,"elapsed":1545,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["bird=wavio.read(\"/content/drive/MyDrive/IIT Guwahati/IITG_DigitalSignalProcessorsLab/birds.wav\" ).data"],"execution_count":353,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"0f-Q0q4V790r","executionInfo":{"status":"ok","timestamp":1611769705281,"user_tz":-330,"elapsed":851,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"62cb915f-1a00-4c0f-d22f-21e579125ed6"},"source":["np.shape(bird)"],"execution_count":354,"outputs":[{"output_type":"execute_result","data":{"text/plain":["(232705, 2)"]},"metadata":{"tags":[]},"execution_count":354}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":554},"id":"-_Aa3Eqr8J_P","executionInfo":{"status":"ok","timestamp":1611769973481,"user_tz":-330,"elapsed":1948,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"8e465547-b5f9-4b1f-ffa7-f32fbdc9b107"},"source":["channel1=bird[:,0]\n","channel2=bird[:,1]\n","duration=5\n","num_samples=np.shape(bird)[0]\n","sampling_rate=num_samples/duration\n","\n","t=np.linspace(0,5,num_samples)\n","\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(t,channel1, label='Channel 1')\n","plt.xlabel('t (sec)')\n","plt.grid()\n","plt.legend(loc='upper left')\n","#plt.ylim([0,1])\n","plt.show()\n","\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(t,channel2, label='Channel 2')\n","plt.xlabel('t (sec)')\n","plt.grid()\n","plt.legend(loc='upper left')\n","#plt.ylim([0,1])\n","plt.show()"],"execution_count":359,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":944},"id":"VmarYRby9n3m","executionInfo":{"status":"ok","timestamp":1611770718552,"user_tz":-330,"elapsed":14605,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"65824531-efae-41ea-c3d7-62c90f4332e0"},"source":["\n","# GAUSSIAN NOISE\n","mean = 0\n","std = 2\n","num_samples = num_samples\n","white = numpy.random.normal(mean, std, size=num_samples)\n","t=np.linspace(0,5,num_samples)\n","\n","plt.figure(figsize=(30,10))\n","plt.plot(t,white)\n","plt.title('White noise')\n","plt.xlabel('t (seconds)')\n","plt.grid()\n","plt.show()\n","\n","channel1_noisy=channel1+white\n","channel2_noisy=channel2+white\n","\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(t,channel1_noisy, label='Channel 1 after adding gaussian noise')\n","plt.xlabel('t (sec)')\n","plt.grid()\n","plt.legend(loc='upper left')\n","#plt.ylim([0,1])\n","plt.show()\n","\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(t,channel2_noisy, label='Channel 2 after adding gaussian noise')\n","plt.xlabel('t (sec)')\n","plt.grid()\n","plt.legend(loc='upper left')\n","#plt.ylim([0,1])\n","plt.show()"],"execution_count":368,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABIYAAAEcCAYAAACyKr+CAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdd3wT9f8H8Nd1D8reZZQiLRvZyLKgLBH060QFBFQERQRFLFPWT1CRpQgqMhRBFESFskfZUFaZZbTQAgVaZvfO/f5omyZt0lySS+7Svp6PBw+a3OXukyaX5t73fr8/giiKICIiIiIiIiKi0sdJ6QEQEREREREREZEyGBgiIiIiIiIiIiqlGBgiIiIiIiIiIiqlGBgiIiIiIiIiIiqlGBgiIiIiIiIiIiqlGBgiIiIiIiIiIiqlGBgiIiIiIiIiIiqlVBcYEgShqyAI/wmCECsIgigIwhALtzNQEIRwQRDSBUG4LwjCrzIPlYiIiIiIiIjIobkoPQADygA4D+DXvH9mEwRhNIAJAD4DcBSAJ4AAuQZIRERERERERFQSCKIoKj0GowRBSAYwShTFlTr3uQGYCeAtABUBXAAwWRTF7XnLywOIBfCiKIo77T5oIiIiIiIiIiIHobpSMglWAHgawJsAmgJYBWCTIAgt8pb3BOAMoJogCBfzStI2CoLgr8xwiYiIiIiIiIjUyaECQ4Ig1AfwBoDXRFHcL4riNVEUvwewBcD7eav5I/d5TQbwCYD/AXAFsFcQBC8Fhk1EREREREREpEpq7DFUnFYABAAXBUHQvd8dwJ68n52QGwgaLYriDgAQBOEtAHcB9AOwzm6jJSIiIiIiIiJSMUcLDDkBEAG0BZBVaFla3v938v6/mL9AFMUEQRBuA6hj8xESERERERERETkIRwsMnUZuxlB1URT3GlnnUN7/gQBuAYAgCGUA1AAQY/MREhERERERERE5CNUFhvKCOE/k3XQCUEcQhCcBPBRF8YogCL8DWCkIwqcATiF3ZrIgANdEUfw7b51/ASwUBOF9AI8ATAcQD2CznZ8OEREREREREZFqqW66ekEQggAYygZaJYriEEEQXAFMAjAYQC0ADwGEAZguiuLJvG34AJgH4GXkZhgdBDBGFMUo2z8DIiIiIiIiIiLHoLrAEBERERERERER2YdDTVdPRERERERERETyUVWPocqVK4t+fn5KD0MWKSkp8Pb2VnoYRKrG44RIGh4rRNLwWCGShscKkTQl6Vg5efLkfVEUqxhapqrAkJ+fH06cOKH0MGQRGhqKoKAgpYdBpGo8Toik4bFCJA2PFSJpeKwQSVOSjhVBEIzO0s5SMiIiIiIiIiKiUoqBISIiIiIiIiKiUoqBISIiIiIiIiKiUoqBISIiIiIiIiKiUoqBISIiIiIiIiKiUkpVs5KZkpiYiPj4eGRlZSk9FJPKlSuHiIgIpYdBpGo8TkxzdXVF1apVUbZsWaWHQkREREREJZDDBIYSExMRFxcHX19feHp6QhAEpYdUrKSkJPj4+Cg9DCJV43FSPFEUkZaWhtjYWABgcIiIiIiIiGTnMKVk8fHx8PX1hZeXl+qDQkRU+mTnaPA4NVPWbQqCAC8vL/j6+iI+Pl7WbRMREREREQEOlDGUlZUFT09PpYdBRGTQ9fspSMvKgbebC1xd5I25e3p6OkQJLREREREROR6HyRgCwEwhIlKttKwcAIAGouzb5mcfERERERHZikMFhoiI1I4hHCIiIiIiciQMDBERERERERERlVIMDKmEIAhYv3690sOQTMnxTps2DdWqVYMgCFi5cqUiY5CiadOmmDZtWrHrlClTRu85ONr7wJamTZuGpk2bKj0MSaKjo9GidgVcOHNa6aEQERERERGZhYEhO4iLi8PHH3+M+vXrw93dHb6+vujTpw+2bNmi9NBs5ueff0aXLl1QoUIFlC9fHt26dcPBgwet3u758+cxffp0LF26FHfu3MHrr78OPz8/zJ07V4ZRK+/OnTvo16+f0sNQhXHjxmHfvn1KD0OS2rVrY/fJSwhs0kzpoRAREREREZnFYWYlc1TR0dHo1KkTfHx8MHv2bLRo0QIajQa7d+/GiBEjcOPGDaWHaBOhoaF4/fXXsWjRInh5eWH+/Pno1asXwsPD0aBBA4u3GxkZCQB48cUXZW/Im5mZCTc3N1m3aa7q1asrun81KVOmDMqUKaP0MCRxdnZG5arVAACi/L2niYiIiIiIbIYZQzb2wQcfAABOnDiB1157DYGBgWjUqBFGjRqFs2fP6q378OFDvPrqq/D29oa/vz9Wr16ttzw4OBiBgYHw9PSEn58fxo8fj/T0dO3y/NKbP/74A/Xr14ePjw9efPFF3L9/X7vOkCFD8Pzzz2PhwoXw9fVFhQoVMHToUKSmpmrXEUURX3/9NerXrw9PT080a9asyFhM+f333zFq1Ci0bNkSgYGBWLJkCXx8fLBt2zajj8nJycE777yDevXqwdPTEw0aNMDXX38NjUajfX7/+9//AABOTk4QBAFBQUGIiYnBZ599BkEQ9IJFhw8fxtNPPw0vLy/4+vpi5MiRSExM1C4PCgrCyJEjMW7cOFSpUgWdOnUyOK6oqCi88MILqF69Ory9vdGqVSts3rxZb534+Hi88MIL8PT0RN26dbF8+fIi24mMjERQUBA8PDwQGBhYZBuAfilZdHQ0BEHAhg0b0KNHD3h5eaFx48bYuXOn3mNCQkIQGBgIDw8PdO3aFX/88QcEQUB0dLTR33VcXBz69++vHe+KFSuKlL7NmzcPzZs3h7e3N3x9ffHuu+/i8ePH2uUrV64sErgJDQ2FIAja91xCQgIGDRqEqlWrwsPDA/7+/liwYIF2/eXLlyMgIAAeHh6oXLkyevXqhezsbABFS8mOHz+Onj17onLlyihbtiw6d+6MI0eOFPn9/fTTT8UeR4VJOSYyMjIwZswYVKtWDR4eHujQoYNeBpxuKdnluCRkZWVh9OjRqFmzJtzd3VG7dm0EBwdr18/MzMTnn3+OWrVqwcvLC23btsX27duLHScREREREZEtOGzG0PRNF3DxdqLpFWXUuGZZfNGvieT1Hz58iG3btmHWrFkGMx/Kly+vd3vGjBmYM2cOZs+ejV9++QXDhg1D165dUadOHQCAt7c3li9fDl9fX1y8eBEjRoyAu7s7Zs6cqd1GdHQ01q1bh40bNyIlJQUDBgzApEmT8OOPP2rXOXDgAGrUqIFdu3bh5s2beO211xAQEIAJEyYAACZPnoz169dj8eLFCAwMxJEjR/Dee++hQoUK6Nu3r1m/s3yZmZlIT09HhQoVjK6j0Wjg6+uLP//8E1WqVEFYWBiGDx+OSpUq4Z133sG4ceNQq1YtvPfee7hz5w4AwM3NDS1atMCwYcMwcuRI7bbOnTuHnj17Yvr06Vi2bBkePnyIMWPGYNiwYXo9fFavXo3hw4fjwIEDEI2keiQnJ6NPnz6YNWsWPD09sW7dOrz00ks4e/YsGjZsCCA3uBATE4Ndu3bBy8sLY8eO1QvMaDQa/O9//0OFChVw5MgRpKam4uOPP0ZGRobJ392kSZPwzTff4IcffsCsWbMwYMAAxMTEoEyZMrhx4wZeeuklfPjhh3j//fdx7tw5fPLJJya3+fbbb+POnTvYs2cPPD098emnnyImJkZvHScnJyxYsAD+/v6IiYnBRx99hI8++gi//fabye3nmzx5Ms6dO4fNmzejWrVquH79Ou7duwcgN1j66aefYtWqVejcuTMeP36MPXv2GN1WUlISBg0ahIULF0IQBHz//fd47rnnEBkZiUqVKmnXM3UcGWLqmBg/fjz+/PNPLF++HP7+/pg3bx569+6Nq1evokaNGkW2t2jRImzcuBF//PEH/Pz8cOvWLVy+fFm7fOjQoYiKisKaNWtQq1YtbNmyBf369cPx48fRokULyb9fIiIiIiIiazlsYMgRREZGQhRFNGrUSNL6gwYNwsCBAwEAM2fOxMKFC7F//37tfVOmTNGu6+fnh4kTJ2Lu3Ll6gaHs7GysXLkS5cqVAwAMHz4cK1as0NtP2bJlsXTpUjg7O6NRo0Z49dVXsXv3bkyYMAEpKSmYN28eduzYgS5dugAA6tWrh7CwMCxevNjiwNDkyZNRpkwZ9O/f3+g6rq6umDFjht5zPHXqFNauXYt33nkHZcqU0QbTdEuunJ2d4ePjo3ffN998g9dffx2ffvqp9r4lS5agZcuWiI+PR9WqVbXP7dtvvy127C1atNA7WZ80aRI2bdqE9evXY/Lkybhy5Qq2bt2KgwcParOOVq1aBX9/f+1jdu3ahYsXL+L69evaAMWCBQu0v+PijB07Vtt36Msvv8Svv/6K8PBwdO7cGUuWLNEGKgAgMDAQV65cwaRJk4xu7/Lly9i+fTuOHDmCDh06AMjN/vHz89Nbb8yYMdqf/fz88PXXX+OFF17AqlWr4OQkLdkwJiYGrVq1Qrt27QAAdevW1S67ceMGvL290b9/f/j4+KBu3brFBkW6d++ud/u7777Dhg0bsHXrVu0xApg+jgwxdUwsWbIEy5Yt077/ly5dij179mDx4sWYNWuWwecdEBCALl26QBAE1KlTBx07dgSQm4G2du1aREdHa98Lo0aNwq5du/Djjz/ihx9+KPZ3SkREREREJCeHDQyZk7mjFGMZKMY0b95c+7OLiwuqVKmC+Ph47X3r16/HggULEBkZieTkZOTk5CAnJ0dvG3Xr1tUGhQCgZs2aetsAgMaNG8PZ2VlvnWPHjgEALl68iPT0dPTu3VuvLCsrK6tI4ECqhQsX4scff8SuXbtQtmzZYtddunQpli1bhpiYGKSlpSErK0svmCDVyZMnERkZiXXr1mnvy389oqKitIGh1q1bm9xWSkoKpk+fjs2bN+POnTvIyspCenq69vWKiIiAk5OTNvgB5L4ONWvW1N6OiIiAr6+vXtZK+/btJQVYdN8X+dvMf00vXbqEtm3b6q3fvn37Yrd36dIlODk5oU2bNtr7ateurTdeANizZw9mz56NiIgIJCQkICcnB5mZmbh7926RdY0ZOXIkXnnlFZw8eRI9evRAv3798PTTTwMAevTogdq1a6NevXro1asXevbsiZdeegk+Pj4GtxUfH48pU6Zg7969iIuLQ05ODtLS0or06TJ1HBlS3DERFRWFrKwsvVJDZ2dnPPXUU7h48aLB7Q0ZMgQ9evRAQEAAevbsieeeew59+vSBk5MTTp06BVEU0bhxY73HZGRkFAl+ERERERER2ZrDBoYcQYMGDSAIAiIiIrS9cYrj6uqqd1sQBG1/naNHj2LAgAH44osvMH/+fJQvXx7//fcfxo0bJ3kbUtbJ/3/Tpk1FSm8KP06KBQsWYMqUKdi6date4MSQdevWYcyYMZg7dy46duyIsmXLYvHixdi4caPZ+9VoNHj33XcxduzYIst8fX21P3t7e5vc1rhx47Bt2zbMnTsXDRo0gJeXFwYPHozMzEy99eRuhp1P9/eev4/Cr6ncYmJi0LdvX7z33nuYMWMGKlWqhFOnTuGNN97QPm8nJ6ciwc+srCy923369EFMTAy2bt2K3bt3o2/fvnj11VexYsUK+Pj44MCBAzh9+jR27tyJ2bNnY+LEiTh+/LjBwNPbb7+NuLg4zJ8/H35+fnB3d8czzzxT5HWQcgwUZslj8tczpFWrVoiOjsb27duxe/duvP3222jRogV27twJjUYDQRBw/PjxIvv19PQ0uU8iIiIiIiI5MTBkQxUrVkSvXr3w/fffY/To0UX6DD1+/LhInyFjDh06BF9fX71yssI9YeTQuHFjuLu7IyYmxurshXnz5uGLL75ASEgIOnfubHL9gwcPon379hg1apT2vqioKJOPc3NzK5I51apVK1y4cAFPPPGE+QM3MK7Bgwfj5ZdfBgCkp6cjKioKAQEBAICGDRtCo9EgLCxMWy5048YN3L59W7uNRo0aITY2Fjdv3kTt2rUBAGFhYVYHeBo2bIh///1X776wsDCTj9FoNDh58qQ2u+jWrVt64z1x4gQyMzMxf/58bSZN4WbZVapUQWpqKhITE7WZYOHh4UX2V7lyZQwaNAiDBg1Cnz598MYbb2Dp0qVwd3eHi4sLunfvju7du2P69OmoWrUqNm/ejOHDhxfZzsGDB7Fo0SJtOVdcXJy215Qt1a9fH25ubjh06BDq168PURQRcTsBhw4fwcC33jT6OB8fH7zyyit45ZVXMGTIEHTo0AGRkZFo2bIlRFHE3bt30a1bN5uPn4iIiIiIqDiclczGFi9eDFEU0aZNG/z111+4fPkyLl26hCVLluiVvJgSEBCA2NhY/P7777h27RqWLFmCtWvXyj5eHx8fjBs3DuPGjcPy5csRGRmJ8PBwLF26FD/99JPk7XzzzTcIDg7GL7/8goCAANy9exd3795FQkKC0ccEBATg1KlT2Lp1K65evYqZM2di3759Jvfl5+eHAwcOIDY2Vjsb1ueff46wsDCMGDECp0+fRmRkJDZv3oz3339f8nPQHdfGjRtx6tQpnDt3DgMHDtSbDS4wMBC9e/fG+++/jyNHjiA8PBxDhgzRy/549tln0bBhQwwePBjh4eE4cuQIxo4dCxcX62KzI0aMQFRUFMaNG4fLly/j77//1jYaN5bNEhgYiF69emHEiBE4evQowsPDMXToUHh5eWkf06BBA2g0GixYsADXr1/H2rVr9WYTA3JL1ry9vTFhwgRERkZiw4YNRfrjTJ06Ff/88w+uXr2KiIgI/P333/D394e7uzs2b96MH374AadPn0ZMTAzWrFmDpKQkoz25AgICsHr1aly8eBHHjx/HgAED4ObmZtXvTwpvb2+MHDkSn3/+ObZs2YJzFy5i6vgxiIuL0846WNi8efOwdu1aREREIDIyEmvWrEHZsmVRq1YtBAQE4K233sKQIUOwfv16XLt2DSdOnMDcuXPx999/2/z5EBERERER6WJgyMb8/f1x6tQp9OjRA59//jmaN2+O7t2747///jMr0NKvXz989tlnGDNmDJo3b46dO3fqNWqW08yZMzFt2jTMnTsXTZo0QY8ePbBhwwbUq1dP8jYWL16MrKwsvP7666hRo4b238cff2z0Me+//z5ee+01vPnmm2jbti2io6P1mkcbM2PGDNy8eRP169dHlSpVAOT2mdm/fz+io6Px9NNPo0WLFpgwYQKqVasm+TnkmzdvHqpWrYouXbqgT58+6NChQ5Gm0StXrkS9evXQvXt39OvXD2+++aZeTyYnJyds3LgRGo0G7du3x+DBgzF58mS4u7ubPR5ddevWxYYNG/Dff/+hRYsWmD9/Pr744gsAgIeHh9HHrVy5ErVq1UJQUBD69++Pt956SzulPJD7+1u4cCHmzZuHxo0bY9myZZg7d67eNipWrIjff/8dO3fuRLNmzfDTTz/pNUIHAHd3d0yaNAktWrRAp06dkJSUhE2bNgHInZUvJCREGzSbO3culi1bZrQh9/Lly5GcnIzWrVtjwIABGDZsmMV9r8z11Vdf4fXXX8fQoUPRtnUrXIm4gB9++8vgjGRAboD1m2++Qbt27dCqVSuEh4dj69at8PLyAgCsWLECQ4cOxfjx49GwYUM8//zz2L9/v0X9tIiIiIiIiKwhmNsg2ZbatGkjnjhxwuCyiIgIybN7qUFSUpLRJrpEtrRw4UJMnToVjx8/ltz36P79+6hZsybWrl2rLZmzB0c8TrJyNIi4kwgAaF6roBT07K3H2p9175eLo30GkrxCQ0MRFBSk9DCIVI/HCpE0PFaIpClJx4ogCCdFUWxjaBl7DBE5uMWLF6Nt27aoUqUKjh49ipkzZ2LIkCHFBoX27NmDpKQkNGvWDPHx8Zg0aRIqV66M3r1723HkREREREREpDQGhogcXGRkJL788ks8ePAAtWrVwogRIzB16tRiH5OVlYXJkyfj2rVr8PLyQocOHbB//35Js7SVeupJsiQiIiIiIrIaA0NEDm7+/PmYP3++WY/p1asXevXqZaMRERERERERkaNg82kiIiIiIiIiolLKoQJDamqUTUSlkxKfQvzsIyIiIiIiW3GYwJCrqyvS0tKUHgYRkd2lpaXB1dVV6WEQkQpk52gwfdMF3EvKUHooREREVEI4TGCoatWqiI2NRWpqKq+eE1GpIIoiUlNTERsbi6pVqyo9HCJSgdDL97DiUDQmbTyn9FCIiIiohHCY5tNly5YFANy+fRtZWVkKj8a09PR0eHh4KD0MIlVzxOMkRyMiLiEdABCR5Km9P+5RQUaj7v3WcnV1RbVq1bSfgURUuuVfGtPwIplq7bhwF238KqKit5vSQyEiIpLEYQJDQG5wyFFOjkJDQ9GyZUulh0Gkao54nNx+nIbnf9sDAIie01d7f5/gEO3PuvcTUengFxwC/8re2DMuSOmhkIISUrMw/LeTAPi3gIiIHIfDlJIREakBr9ETkTHX7qcoPQRSWGaORukhEBERmY2BISIiIiKiEuS73VdxMuaR0sMgIiIHwcAQEZEZ2PyeiJSUo8n9DLoan6zwSEjNvt15BS8vOaz0MIiIyEEwMERERETkIK7EJQEAYh6kKjwSIiIiKikYGCIiMkNGNvtHEJFyzsUmKD0EKoYgKD0CIiIi8zEwRERkhr9P3VJ6CESkYtfuJeNBcobNtr/zYpzNtk3WOxH9UOkhYMeFu0oPgYiIHAwDQ0REZtCdcCYhLUu5gRCRVa7dS8Z/Z27Lvt3u3+5D16/3yr5dcgyj1pzW/vzGT0ex7MA1u4/h2HXlg1NERORYGBgiIjKDbpnAw5RM5QZCRFZ5dt4+jF572vSKFkjJzLHJdsmxHLn2ALNCIpQeBhERkUkMDBERWejaPc4KROSoNJxgkGxADT2GHqXyogUREZmHgSEiIjPofue/dDdJsXEQEREZEn7zsdJDICIiB8PAEBGRhYxdGc7kzGV6HqdmouPs3TjP2ZSIqITLyimaihYZb/vs0u0X7sIvOASPUjJx7V6KzfdHREQlCwNDREQyG/tnuNJDUJW1YTdxOyEdP4RGKj0UIiK7e3bePpvvI7/J9VU7BKGIiKjkkT0wJAjCBEEQjguCkCgIwj1BEDYJgtBU7v0QESlBSv+I7ec5VbCur7ZdUnoIRCVCehabWlPxXvvxiN7t3RFxWLT7qkKjISIiR2GLjKEgAD8A6AigO4BsALsEQahog30REalONrvaEpUaoihi/s4rdtmXRuRnC5nnnVUnMM9O708iInJcLnJvUBTFXrq3BUEYBCABQCcAm+TeHxGRPQlQwZQzDkSjEyTLNtB7g8jRXbidiIXMyCAiIiIHZo8eQz55+3lkh30REdlNYlp2sct3XozD5rO37TQadTp/u6Dh9I6LcQqOhMg2cuyYIcjANBEREdmC7BlDBiwEEA7giKGFgiAMBzAcAKpVq4bQ0FA7DMn2kpOTS8xzIbIVRzxOYmIytT8v3ReFDp6G+wmFhobivW25M8OUeVh60/ivPdbvieJor7daOOKx4iis/b1eSzDc98cWr1dmoaw7vieKUuuxkj+mxxkaZOUAVbzkvTb76HGapP0T5VPrsUKkNqXlWLFpYEgQhHkAOgPoLIqiwW9Ooij+BOAnAGjTpo0YFBRkyyHZTWhoKErKcyGyFUc8ToZsC9G7rR2/ofvz7nO05yincjceAUcPa2+X5t+FNRzpWFmw6wqu30/BwgEtlR5K8WQ6PivcfAwcOVTkflu8XulZOcDObTbdh6NT/Fgp9LcgX/6Y/IJzl0fP6Svrbgv/bTK2f3tLz8pB9IMUNKxeVpH9k3GKHytEDqK0HCs2KyUTBGE+gDcAdBdF8Zqt9kNEpLQp/5xXeghEqrFg11X8G166SyiJKNenf51B7wUHkJCapfRQiIioGDYJDAmCsBAFQSHOU0xEJdpvR2OUHoJqpWVyem1Hk56VY9e+OWQ5kbOUEfSb/KtNyNk7AID0bP4tICJSM9kDQ4IgLAYwFMCbAB4JglA9718ZufdFRKTrXlIG/jkdq/QwSMcnf55ReghkpoZTtuHD308pPQybOh+bYHolFRIK9Z5ezaA0ARi68rjSQzDpMTOGiIhUzRYZQx8gdyay3QDu6PwbZ4N9ERFpvfvrCYxZF457SRlKD4Xy3E1MV3oIZIFtFww3VS8pnv/uoNJDkMWJGE746ihsmYW378o9m21bLtfvpyg9BCIiKobsgSFRFAUj/6bJvS8iIl3xeUGIrByNTba/4eQtm2yXqCQasiJM6SGUOJyu3nHVn7gF8UmlN1BeONuNiIjUxWbNp4mI7C3/e6fGRn03Pv2raFkUG2oSGRZ6Wf1ZDHJ4YXHRGcls5cZDZl04ssi4ZKWHQEREZBADQ0RUYgh5lyTt2Y/107/C7bczIirVEtKylR4CWeHNZccU2zcblRMRUXEYGCIiskJcIvsZEZEyWJ1DUs3feUXR/fO9SkSkbgwMEVGJkd/DwJ4XRs856OxGRLaQmsmMFtti1gdZZtGeSPgFhyg9DCIiUikGhoioxNAGhlRw8qSx4Qw0RGr18/7rSg+hRGM1EDmqFYeilR4CEREVg4EhIiox8mfsUcPJ06kbBdNIs0E1lRYZ2Tl6t/NnCiTbEDjVEzmII9ceKD0EIiIqBgNDRFRiOGkzhpR3P7mg91CLGTuQnpVTzNqlR1aORukhkBEJqVloNm279vbF24lmb+OH0Ci92+2+3I31J29ZPbarcUnwCw7BeRuUbjpSU17HGSmp2cSN57DswDWlh0FERCrCwBARlRj5V88LZy0oIbtQKVlapvJjUoNLd5KUHgIVIooixq4Lxy+HriMpvaBH0O6IOMnb6DRnD+ZsvWRwWdh16zMFtp2/CwAIOXfH6m0VFhlv+RTi2cUEOnNsUE5qbgzr1qNUXLprfoCPSrY1x25gVkiE0sMgIiIVYWCIiBxSvQkhmLv9st59Nx+mAgB6LziAw5H3lRiWVuETOF7pJ7VKTMvGxtOxWLT7qsXbiH2chqX7ogwuS86wviH1j/tzsxv2Xoq3eltj14Xr3c6xImPoiUlbjS57csYOi7crl85f7UXvBQeUHgaR1oaTt+AXHIKHKZlKD4WIiHQwMEREDkkUge/3RgmY43gAACAASURBVOrdp5ulk38iqZTCp5qOVK5iS9achJNj2nLurtXbyA8uxcnQs2jj6VirtyGFbvaVXAp/jtxLysCeS9Izu8g2bj9OQ2Y2y2Sl+PVINAAg+kGKouMgIiJ9DAwRUYmkdPih8KxkHWbvVmgk6lLO01XpIZCF/IJD7D7ddXaOhjP8FeNg5H0MW3kCaZk5mPbfhWID0I9SMjHh77PsdyazlIxsdJyzBwGTjWePqcnby8MU3f+ZW7l9ws7efKzoOIiISB8DQ0TkcKRk3xy//lDRE8rxG87q3c7K4cktwF5LavRPuOEMGjVMePXEpK3ov/ig9nZpP4qMPf9GU7dh5eFozNlmuM8TAHy9/TLWht20W8ZUaZGq85lmTl8pv+AQpGbKn1Vmyr4r9+y+T0NuJ3DGQiIiNWFgiIgcjqEgy4Xb+rMVpWXlFAnO2BPLCgw7HKVs7ycq6ov/Lig9hGKdjy1onmyLSsRHKVnyb9RGTD3/qGIaabOc1TZEnXBdmpnZWHdKWXDkZMxD7c98PxIRqQsDQ0SkaqIowi84BEtCDTe2zWcoE0WOabLJcveSMpQeApFJb/x8VOkhSCZakTOVH1Bn1p7MrIhvlMTYSHaOBidjHhlc9vKSI9qfS+JzJyJyZAwMEZGq5afmz91RMAOZoZMjlmqpz5pjN4rcV/hk4E5CGh6ncnYakqbUZxmYfPrG6/82nMoNlC/aY/nsc1TU/quWZ0GWxCDd2yvC8PKSwwY//4mISL0YGCIihxP7KE3v9q6LcQ5x1b+0ndTO33XF5DpPzd6DjnP22GE0ZK7VR6Wd2BWe/t2WEm0w05cjMf0JYnqNkhiMUNIjTruu51DkAwDAxI3nFB4JERGZg4EhInIIORpRewXypk5g6M/jN/HuryeUGpZZbjxMVXoIqpTKE1XFFBckuGtkavikdP2ePGxmTESGxCcZ76FUui6TEBGpHwNDRKRqul8e869A6s429u8Z+5yUytFMupQlDBlkTY8Ukp8l2T4aCw6FcAumpv6qmBm25HbzYSoystUfoDT9GVJQSmbP319plq7TcJplsfriE433mePfQyIidWFgiIhULdLALDtR9wruy09bN+baPeOz9Jjj0t1E0yuZwO/BpDbHox+aXqmQbAsiQ6PXnjb7MaYazsupy9d78fl65WYxlMqcwKqx359gvA0RWWDJvoLfc1IpL3UsLKqYv7+8SEBEpC4MDBGRquVo9L88iqKIWSERkh/fa8F+q8dw40EqEtOs/8J/8bb1wSVHZ6urxAmpWeg2NxQpGTwxM8cjCzIcou6l2GAk+kIvx9t8H0X2eeWe3fdpLjmOH2ZqyEu3FLbPwgMKjoSIiMhyDAwRkaokpmfpTXOuKXQW8/X2y4UfUiw5Zivr+s1eDPzlmNXbGf2H+VkTjspYo21bnZO2mrUT1++n4J1Vx220h5JJY8ELYkkT9WQzA3aL90YaXXbhdoLZ+3ckoiji3K2izzElIxuDl4dZtM0rcUnanzNkKIslstZDNu0mIlIVBoaISFU6zdmDtv+3S3u78ImrPctL5FY4+6mk+vP4TVyJM13Cp1vmd+uRdY2583+3ccX0tCB5JKZna0/qCjeiNkbOk8C+iw7Kti01+v3YDfT7/mCRrKmw66bL/o5dN1xaywbhZEjI2TvYfPa2zbb/54mbRpf9G267/RIRkfkYGCIiVSnco6FwxpC9lbYp5q11NyEd4zecxStLDxtcvulMwclA92/3aX/u/NVem4+N5PHeryfQauZOAECzaTsUHo18HqdmYdv5O0oPA5fv5mb3WDKLobEeN44cUCfb+XDNKYxaY7tMVlM9AImISD0YGCIiVZMjMLPrYpzFj93nAH1H1CR/9jZjJ6gX8vosSX1dt5y7Y7SBacSdRPgFh/CktwQ6Hv3IJts1lbU3YvUppGaqo08VY9Iln9SMu5Lq2r1k9Ji3D7cfpyk9FCKiUo+BISJSNTmqr9799YTFj01IK91f3M2VJWHGqsT0LIO9ovyCQ4pMGf7B76fwjE5mka7//XAIgP603HGJ6eYMt1TLzil9vWbuJ5suNZT6mWOrbMLQK7klZLrToAPAmVuPZduHppSUtardm8us713nyA33u3+7D1fjk7HqSLTV28rRiOiz8AB2WnEhiIioNGNgiIhUjScwJU/zaTuMZvlE3y8on0nL1D8xztGIeidB6VlFAxuphR5Dxq0/ecvkOokyZjTcfJiKo9eULS1ZuPuqyXWm/3ehSIDSUgOXHYNfcIikdSPjk/DkjB24+TA3e+LItQe4k1CQSfHjvmuyjAkAVh6Olm1bpKyIO5ztEgBSMrMRcScR71lxIYiIqDRjYIiIVE3puJAgCMoOoJTR7Sml26fo+v0UjF0XjiZfbLeqNJAKFM5IMUSjEbHpzG1Zsou6fL0XA346avV2AGmNmA1JlxA4/OvkLWw4abpZc6aE38nByPuSxgUAq4/ewOPUgkBc6OV7eGr2HpyPzZ2hTM5+a9fum24OT/bxiLNz4cd916z+jHHi32oiIqswMEREqqDRiAavrJ++aZteI1JdiC3ZU2Orje65b34/IgDoNjcU/+U1rramNJAKbJQwK9DPB67ho7Wn8eN++bJVJm48hywrTwJPxlj2ufC3xNm5pJTnhN+Qr7QLMF4G+fx3ubOwyRkj54xQ6tEyr5G7pdSUU2tNf65dEfGmVyrGuVv8W01EZA0GhohIFXZcvKt3e9mBazgS9QBfbyvai8Ze7iaky3pCTKaJeac5B69Kz7Qgy5y5aTqwEXEnb4asB0VnyLJ0Cvo1x25gv8qbuv/flgiT68h5Qp6amY2t5+8Wv5KMOzTWHJ6UMWvzRYvLph8kqyfjKD7RdA8vY6zNiMvW6W+XPwkCERFJx8AQEalCRqEvcrNCIvDGz/KUnQDAITNKOvLF2mCmFL/gEJs1rVUDa5P59+RdNR74i/VNWcl6ey7lvh57Lhe9mt/KikyHEnwIWCQlw3SJmyhzboiUUkKyj2UHr+NKfJJFj918Vj3ZX9ZkAlpaHpq/X90S47O3HvP9TURkJgaGiEgVbH2i+JYFs7+cvmGbMjal+ybZkrU9mb7deQW3HhXNTilMakNfkse9JMszAQyJfpBi1eNzJMx+J4eztx4bzeSQs+ePlKBPVo68HxwbJZbViaKIHI2IOVsv4ckZO2QdA1lPjneFXBcrLlrRCHvNsRsWP3b+zitYdSRGe/uz9WfRcMo2bX8uIiIyjYEhIlKFY9eVna3IkFuP5M8YAmw3zbXSNBoRE/8+Z/V2On+1V4bRFC87R4PeC/Zj7yXr+lqQZW4/NtxPR6q5O67INBLjTkQ/RP/vD2HJPsMz6C3YaXqGs3wmMxYV+EiQkt3RaMo21JuwBfUnbsHSfVF6zbFJXp9vsOyzU46/JwdkKt29ds+6gK+lfig0y+X1+7nj2GaqPJOIiLQYGCIiVVgbdlPpIdhNRrYGfsEh+O1ItNJDkdXuS/E4ovB05FI9SMnEpbtJGLryuGxTk5P5ElKzkJSuzmBDfimpsSyIsGjppS+TNhZ/0m/q1D7eSGNqQ/47c1tSE2ApPW3SWI5jN1J6fhkiR/Lc4OVhSJThOJQzi04Ol+5ansFERFTaMDBERKWGWq4eNvliOwBgyr8XFB6JvN5zoNnCdMsE911WdyNkW1C6OWt+6VSLGTvQbNoOi7IeIqwoW5FCWxYpw7lutIHm3bpMzbK224zMttFrT2PyP+dNrjdt00XJ2yTDLG0YLesYZArGyPGZsNdALzLJbDDbfHJGttFeQ+PXn2FJMhGRDgaGiEhWLy85jCkSTkqUMGL1SaWHUER2Tm72kD2/oJ6PTcCDZHl7xjiaEatPaX+OUqj8QSnpWTkImLxV0TEUPpeds/WS2dsYuy7crPX/O2Nek97Ra08DkK/p87rjN4xmRx2OKr6Ux9yT9lgblcFaq82sXej6te1LRU1JSMtCggxlcYdMvG7m8p9g/t8BuUJTprLapDgfa0Ww1gYxtqPXHqLt/+0yuOzPE7cAAH+EWd7biIioJGFgiIhkIYoiHqVk4mTMI/x2NMb0AxRiTgBm5eFo2w0kzxOTCk7QzSkXscbz3x1E61m7ZOlN4Rccgmn/OVbmU+GT7MV7IxUaiTJ6zt+v9BCw8nC0XinlcZ2yLKnvy0wzZ0DKD/RY42FKJtIyLSuv+nzDOTSbtgOzt0QUWbb6aPEnp1+YeYxJPbKllJzJ6X5yBm48NN1c3pb+OR2LFtN3oMWMHVY3VT8SJW/prCUJSHI1ht9+Ic4hZ/My9fyT0ot/jwf/fQ4JaeosZyUisicGhojIaqIoot6ELWhpxfTVBLxroBTr4u1EvPD9QZucwA1beVyW7dgjgGaObefvFLv8YUqm3u3kjILfrb1PlJWg9Il5Pt1SSt0T4lCJpX0PUzJxLykDx2zc10o3TtVq5k70//6gVdv7cf81K0dkmtSpv7/49wJyzIxGWBqIkKt88attl7Bot/TG34XplhRbG1Qp3PRYCeEW9iYypP/3h9BwyjbZtmcO3UBvg0lbJF/EkaOP0CUbl6USETkCBoaIyGrvrHKc3jJqdvZW0al1v9wSgTO3Eoz2IIlPSsflu0mS96HbaHmvlb114pMKMpyu3Uu2alty0i0TM+TINcPlH9fuJaPx1O3484R+I/T0rBwMXHbM7BNokk43Syj477OSHvM4NQsvLj6E1386aqthAQC2FupNdjVePe91a/118hZCjfSFMVZqZWmD+TidjEhrevMsCY3CvJ1XkG1mxli+bRcKXk9zs87sQaMRLX5uJUVWjrT3R3xiOgb9EmZyvcK9jwq//74vZVmjRESGMDBERFbbwym/ZSeKYm5PkrxsFmPVNe3+bzd6LZBeGhQ4Wf9q8MXbiRYHPBLTCrJr+i6yLovCnuISDWcJ/Bue24NmeqGynYZTtuFg5H28YeMAhD2oKYCn68ytBO2U7sZeH0PyZw7TzfoyxNqZ5/ZcipOtZEdtYh+nGQxE/HLQcGbT6LWnsdfKz/xlRrZtjiUyZOtM/Vd9/fD8J27RKzF2BDkaUZEStBiJ2Y9DVxzHu6uO415SBmZtvgj/iVv0lh+4eh+nbhTfAJ6IqKRjYIiIrCLHFLe3Htm3tOVKnPQMG6WcjHmEzzec005hPHh5GPZduafNrJi/8wr2XSnI+DE2Q5OpL+vPLTqAhbuuWDRG3YCS2qa17jRnD5IzsnHhdtEsrIwsw1fjj13PzYRIMdJDJiz6IZYduIZNZjYxVovsHA26f7tP6WEY9dayYxY/Nvp+8Q3E5++0vPQIAIatPGG0ia2jm/rvBQz/rWhj/kV7jGdRDLWgDFU3s/HLLeY3Gwf0A4DXTLzmUhjK0iTg1yPRZjVrf/Pno4qUoJkz0cWuiHi0/b9dWHbwusHlL/1wWK5hERE5JAaGiMhi52MT8LuRpql+wSG4LvGLu71nheo5fz+yVJqqv2DXFfx6JBq3E4o2on57eRjqTdiCL7dEYOHuq3h7eUEK/cd/nMbKQ9cx5o+CBrt/nriJhlO2ITKv9MVYEG/RnkiLGlGvOabeJuOxj9PQ9Ivt6LvoYJHeJj+EFj3hFUWxSONXjUbEvB2X9e6bFRKBj9aedrgGrQDwT7hjBrSkMBXsXbpP3l4wg36xPIgF5DYt3nMpDh/8ro6ZEm2Z9Xn7cRr8gkOK9FAzt5/XmZuP0fSL7drbG0/Hmj2WwmWiAPCoUM8xtVDyM2bqvxfMatZ+TGJPK1MOXr1vsDm7MZfMKKOWQq3fC4iI7IGBISIyy58nbmozfJ7/7iC+2mb8yu9yI1fmCrOm34SlTkSrM218wa6rJr+U/2Sgee2VuGRM23RR7+R//PrcXi1v/HwU95MzMLCYjIzRf5g39TcARMj8pdxWCs84k2GgCe7SfdeQqLOeX3AI/CduMZo1oVSDVms4QimUscw3Uz7584xZMw5a68BV66Ypf+Pnoxi28gS2nLtremU7Mbek9Jvtl/ClhJP4X48YDiB3/TpU8r4SUrPwwuJDktc35NSNR9rPRF2Fe0ipRcMp22SZOdIaQ1eY7t8jp4G/HLNLc3ZjNp8tucFzIiJTGBgiIslSM7Mxfv1ZdP5qL248MF3+dThK2smTJWUJ1nrj56P4+9Qto1eLjTVedQT7r+g3lb6XlIE2s3YVWzax6cxtxDwwL3NL6sxHSnMSTK/z1bZLZl993nDyloUjUkZyhvrf030WHlB6CHZnbb8eudSfuAXnY6WXVi3eG2UwSF2YsWyt+8nSA5VHrxtueP3svH2SZzszVio0c/NFyeMQRRGbzty2umeVVPUmbCl2ua3Lovdevmfyws2bP9uu99qhyPt4nJpp8L1ii+ye8BvyzfBGRORoGBgiIsmS0gtS/7t+s9fk+lH3UvDbUfWWG33y5xm0nLnT4LLtF9V5FVmKwcstu8q738osCLUSBAmRIQt8+tcZJOmU560+GoN/w80vb7GXtWFFy2hKGr/gEPgFh+DTP89o71P7bHJKBMaNef67gzgR/dBuJTVSAyzvG+iBBACR8ckG+4iZQ2p/tGn/XcB7v57AR2tPY4wFGZaW8gsOMfoe7jlf+sQDlgq9Em+0DPlOQhoOR1k2S50Uby07hidn7ESbWbuK9DxqYIMG3auOxCBHI+LcrQRE3EnE7og4k4/JyM7B+pO3TDbAJyJSOwaGiEiSjOwctP9yt9mPm/LPebOuyCrBLzgEV/OuvOan7hsqOXAklpTVmNPI05GcuZV7FXjj6VuSvuibo9m0HQCAGw9SMfmf8/j4j3CDPYzUoDRNgb3h1C1t0GHyP+cUHo1jeWXpEbNOuh+YkflTWOFZEi0xao30XjjG+AWHYNfFuGL7+qw8HI1dEbnZXVvP37XqeZvrTkIa/g2PxcJd1jVRt8SwlSfQPO9zrrB+39lvNsrRa0/jQXIGRFG0adnol1si0O/7g+iz8ADeWXUCY9cVDQKKooi/T91CjkZE4ORtGPfXGTT9YjsS0rIQMHmrIuXxRETWcrHVhgVB+ADAZwBqALgAYIwoiqUvR5yoBFiw6woWWPGF9JeD1/HLwetYOrA12teriDVhNzDy6foAlOkvZEgPO1x5dQT5X7hXDm2LoMCqCo9GHkNX2DYjY8u5O/jg91Pa219vu4yvt13GS6188WnPQPiW97Rou5vP3kZz3/KoU8lLlnEmppeuK9qBk7chbNIzpSJTSkmtZ+3Chem94O1e9CullB45Kw5dx9BO9Szef+zjNIsfq+vdX0+gZjkPHJ7wjKT1W8+y3yx1nb8qyNA9FHkff454ym77zjdj00VcjU9CRW83dGlQBa3rVsD9ZPs27n5q9h5k2jjA/Uuh3ogbT8diV0Qczk3rBQAYteYUNp+9AyA361hXi+m5ATT/iVtwffZzNstWJSKyBZsEhgRBeB3AQgAfADiY9/9WQRAai6JoeAojIlIta4JCukasLigHyMjKQa1sDfwnFt9DgZQxJC+Y8u2rLXAuNgFTn28MJ51mPebOKFSS6QaFdP19KhZ/n4pFpycq4VBkbrlFeS9X7PrkaYz76wxCL9/D4eDuKOfpirSsHFQu446f91/D/xVq6PvbO+1s/hxKqnb/Z36WI5mvyRfbcXFGL3i6OiM5Ixs+Hq4ATPfIAYDpmy5i+qaLiJ7T1+Dyl5eYnkbcLzgEl2b2hoers8HlxU2SoOt2QjrSs3IQn5iB6uU84OaivsT6sOiH8AsOQZOaZe263+WHCgIm/yo0w6Gtg0LGJKVno8X0HTg+6VltUMiUehO2YFS3JzCuV6CNR0dEJA/BFjMeCIJwDMBZURTf07nvKoD1oihOMPa4Nm3aiCdOnDC22KGEhoYiKChI6WE4PFEUIYqAIOT2Ccl/v+ZfhRFFscgVmfz7Cq+bvyxHI8LZSdD+n7+OoW3naEQ4CQIEAdpx6P6fpcn9kuLq5AQRwOPUTHi6OeNKXDIqebsh4k4iOj5RGY9TM5GdI+JOQjqa+pZFUno2BAHIyNKgjIcLNHn7cnfJ/VKblaOBu4sTPN2ckZ6pgeCUu7+M7BxU8HJD/jPK1ohwd3GCRsxtsJv/PwCkZuZABODiJMDFSYAgCNrHJWdmwyVvRSdBQGaOBm7OuV+AE9OzkJaZg9hHadh+4S5WGZlRhoiU8fZTddG8Vnk0rlkWfpW84eGa+xmQf3zniLmfW046n5uCINh11i4iOYx4ur7R5tVStahVDmeKabwvxep32mPgL8ZndSQy11cvN0PruhWx/uQtfNitPnw8XPW+v9oj24jnKkTSlKRjRRCEk6IotjG4TO7AkCAIbgBSAbwhiuJfOvcvBtBUFMWnjT22JASGzscm4I2fjiI7JxsuzvImZOW/UsX9qdB9NQu/toVfad3FYqGlhd8WujelzgBiiI9H7u/ESRCKTCFtrUrebnhgYIYpT1dnlPV0QVyi+qdqJiIiIiLK5+Phoi27T8nM7YPl4+6CJJ2G14IAeOVlzOWvAwBl3F0kN8b2dnPWe6ynqzPcXZ0gAMjKyb2Amd+svYyB0lHAcPmosTNNp7wLlrrnNxox94xEd1n+Np0kBsuM7a/wo0Ujy0Sd28WdJQu66xrYuKizjrExkGOo6K7Bvol9lB6GLIoLDNmilKwyAGcAhTt8xgF4tvDKgiAMBzAcAKpVq4bQ0FAbDMl+HqRp8FR1ICtLhKurbfah+wFa+MNLP+lZKPIJVPQDqeCewp+3xj68biQKOP/A9Cwe7s5Ahs5q9co54YnyeWMVRWSLLgi9KV85SvOKGuw1MNt2u2oCROQgLlG2XRERERER2VSTSk6o4Q0AApwF4OhdAdW8BFTzAgTBBcfuZCMjB+he2wWuTgJEiDh8G0jKu07avhrwKN0Zp+JzUNFDwMP03LMITxeguqcId1dn3EsV0aSyMzxdgHupuevWK+eEgPIC0nJEOAuAixOQnAUkZDjB3VlAZU9jMxgVPffIu7cIjWjoordOln/erfx8dympDLrnRflbk5oCUficKv9nYwGl/PMuY5fLDW3L2M+GxkDq4Y4ch49RSGGz5tNSiaL4E4CfgNyMoZKQpvUySlbKGZVuNx6kSpqankq++lW88UmPQPRtXgO7I+LwzirHzvB0JN9190K3p7vA283Z4hIDlpKRvTzXrDq+6NfErJks80u861X2xue9A9G7aQ0Alr1vA6v5YMGAJ1G5jDuq+Lhb/N6f+nxjDOtcz+JxEOmK+vI5JKdno4yHi7aVgZJ4rkIkTWk5VmwRGLoPIAdAtUL3VwNw1wb7IyIbkmtGpMJ2ju2K2IiTyKjSEO//dtL0A8ju/hrxFNr6VTS4rHvDkjFjmT0cnfAMNp+9jVkhEdg0qjOa1SqH7BwNYh6mon6VMnrrJqVn4dsdV7DycLT2voszeiHs8EGjqftEamCoefTfH3TESz+Ybh794pM1sWBAS4PLNo3qjH7fFz8t+vnpvbTHR+4X+K56y49M6I6nZu8xOQ7A8PNQmxVD2+L0jcdYtNv+09fna+dXEWHRDxXbv72dm9YTPh6ukgOElcu44cTkHkXuL+dlo3ICIiIryf4tUxTFTEEQTgLoAeAvnUU9AGyQe39EZHuXZ/VG4ORtVm2je8OqWDDgSfi4u+BBSiYql3FHbATQq0l1mUZpPTdnJ2TmaBA6LghBc0OVHo4i2tStgFZ1K+Dz3g2LvaLpSNPwNqlZFhdu266WNHxqDzw5Y2eR+8MmPoOqZT0AAO928ce7Xfy1y1ycnYoEhQDAx8MV0/o3wbT+TWw23tIiuE9DvNfFH/U586FNta9XEeveNzx9eqs6FUw+/vikZ1HFx93o8ma1ypnchqmgaY1ynia3AQCrhkmfAdC/sjeu3TdQv24D73Sup51G/ciE7qhRzhPdAqvaNTAUPacv7iVlwM3ZSRvcsHcW1eS+jRB1Lxlrw27adb+Hg7trZ9rT/T5UwcsVj1KL9sss7pggIlIrW11+nAfgN0EQwgAcAjACQE0AS220PyKyIXcXZ0TP6Ytxf53B+pO3zHqsj7sLDn7eXe8qWeUyxk8ClFDVxx1hk/RboLWsUx6nbzxWaETWMxasKE63wCpYMbTkTY0+rX8TtPWriNTMbAgQ0GiqdUFOXR8/0wDlvdwQPaev9iRp3fAOaO9fSbZ9yKWqjzvik0pPE/4RT9cHkJud2GP+foVH41hGd38Ci/ZESlr3j+EdLN7PlOcbFxsUkuKNdrWtejwADO/qj4nPNTLrMXvGBdktMDK+dyBuPExFVHyy5CCXnHzyAm+FX6v29Sri2HX7ZQ31f7Imqvp4YPQzDSRngJlrxZC2GLryuPZ24Qyy/O9D+fLfA24uTrgyq2Q0pyWi0skmgSFRFNcJglAJwGQANQCcB/CcKIqc95rIgc14oYk2MFTR2w0PDcwCV9g/ozqpPnW6cFAIAKb3b4L+3x9SYDTWe6NdHZT3cjP7cfkn0iWNh0vuTC1ebvL/yRvbI0D787UvnwMAOKmgd4QhQzr54ettl5Uehk1980pzRN1LQbt6BZkqDar5KDgi04Z09NMrHVTasYnPoFpZD8mBIWuyB9/J699jDXMDOpZuY/GbrVDR2w1DVoThuzcMl73ZwuHg7nB3ccbPg4tOIjPrxaaY/M95m+4/dFwQ/Cp7G1z22zvtETB5q033X8nbDY9SM7F9TFdU9cnNwKxRzhNLB7bCiNWnZN9ft4ZVzSondITSQyIiKWzWsEAUxR8A/GCr7ROR/XnmTYXaum4FbBjZ0eTV0iEd/QyWyxQ2Mqg+loRGyTJGcxT3ha5ORdv0VrKH2S81s+hxT9YpL/NI1KG8xMBk14Aq2H/lnuTt7vssSO+2WgNC+cp5qjtAK4dX21ifPWJvagkMTe/fBIM61FXl+3jL6C54btGBIvfvHRekLfGxVOMaZSWt17d5bjPsy3bMCmlXryJqljeeITSwQ12bB4aMBYWA3CyZlUPbYsiKG5XLdwAAIABJREFU40bXsUZxf6Pzm5PLaenAVrJvk4jIURieaZCIyABBEBA9py82jOwoaX1vd2dJ67WvZ7jBsS2FjgsqdrklGTdKOzC+m15ZRwf/gt/r5Vm9sebd9kYfu2JoW7i7SHu98nWsr75yKUNqFwryGSpdWT/iKVTVuX/wU3Ux44Xi+/zUrWT8hEmNnB2gL9TiNy0/MXutTS0ZR2J7Xm65x1v1ch4KjyTXi0/6mhUUip7TV1K2xMIBTxq8f+JzDSXv64mqhi8w1CsmaFFY5P/1wUutfIvcP0yGrCVbGd29gaL795HQ8D4osORMRPBEVXVnFxIR2RIDQ0Rkseg5fTHrxaZGl495NsDoMl0BCpR6FHcVVEmjn2mA2S81w5xCWT9vP1UXfZpWR/jUHphp5Hdeu6IXOuj0tmnmm9u0denAVnB3cUbHJyobfNyB8d3QzYIv924u6v4T0tbPcOPbugaywdr4VYRGFLW3Z7zQFIOf8jN64ptfNuZI1DA9sin5WRnmWj/iKXz9Sgujy12d5X3uwX2kBzUMuTKrD7Z+3AUzX2gCD1fzArK2YquS3z5Na2DwU3WL9JYb3lV66aqbixOi5/RFi9oFWY1DO/mZNQ4XZyfMe61okOqllkWDRWoQPacvOjcw/Jlta95uzmhdtwLOTe9l1/2e+aInLs6w7z51GQtAEhGVBur+Vk9Eqvdso2oG74+e0xeuztI+YqqVte8VczX3BPikRwDeaFdH7wQIAIIaVsWSga1R3ssNgzrUxYohbbHrk674rFcg6lT0wvXZRQMVn/YMxLevttCb+a3wFfbQcUFFMmqk6tlYPTPKFfbbO+2w+t32CJv0TJFlb3WoY/AxOnEho8Kn9sDFGb1UWW5jyosqPQHOV62s5U2I83uPGGOoP4s1rO3H5ebihLqVvDHoKT95BmQlQ5k0cnFzccKMF5ri29eMB+6k+vfDTtqfnWTKgHPEY9nWLszoLTkzGAC+fbWF5JK84pTzdDWrF1xvM2c1NSfDjIiotGFgiIisIkcZhCNkMthboxplsW1MF2wf0xXt/CoWKbfr1rAqnqjqgw+7PYH947sZbADr4eqMl1vX0lv2SQ/9LC5rMqcCqxdcXd00qrPF27GFLg2qwN3F2WDAwNgJZZOahk9sXniyJoDc5uvlvdxs0sTaHqQGapUyrJPlJT11KhUf3DQVODLl4OfdsPY9y2ffUrPV77THt68WDdr8/YHxwMDHz5hf4qSbtWWqlFeK5y3MLtP136hOpleys5VD2+L3Ysp+1ejl1rWw5eMudt/vq2aUj24f0xXbxnTByclFJ5sA1H3BiIjIHhzz2y0RqUrD6j64dDdJ6WGUOA2r5wYq/hzxlGzbrFRGvt5JuiWAzWqVk227tpaRpTF4/7td/JGamYPhXf317v/21Rbo9ERlvNLKsXrYGBLcpyHmbL2k9DAMGmJmaRAAzHyxKVYfMT3hqTVTorepWwG1KnihVgXHbUhviqHA8pO1DDejn/daC/zPguwz3WwNOUp5W9YxXCpqSv0q3oi6lwJAWpagvXVtUIVZTBJJ7W+kG/RxL+OMSzN7o+GUbdr71rznWIE4IiJbUPflQyJyCFs/7oJK3o7XrFltCvcVsoWn/OVrGJ0/G5BvMbPmKOHIhO7FLm9Yw3hPq9HPNCjS88XF2QmvtaldIk7WRjxdH+FTeyg9DADAW+0LSvr8KnmZ3fwcAAZ1qIvtY7uaXM/Nimypwr1xSpLnm9fQa1Kvy9j7vYN/JYumqK9RTp7PiQvTe1nVh6Ze5YJMR40KI0MO0CPeJnSDtxtGdsRfEi6IODsJODqhaLmwKYU/49WeTUlEZA/8JCQiqwmCgJNTejhEKraxxs1K6dusoBxiQDvDvW/kpHtCN753oNXb2/9ZN0kn5vZk6gS0UaFeGGOeVXbmH3tTw7T1o59pgE97Frz/dN+XH3V/QtI2pMyYlE+uxsrfvNIcmz+yvGzyi36NETGjt9mPWzGkrcX7LM7sl5rBxcyTYqVDKd7uLlaVc858sWC2QY2VT2bwU3Wt24DKBFQrgy2j7V8SBuj3GGtdtwLa+kmbrVSOcvaWtQ1nxxERlSYMDBGRrF5pXcusaYjtbVAH5b/I5/esAYDFb1k+Pbel1rzbHscmPoMPgqSdgBenTiUvlDHjBF0NXJ2d8FmvgqBEfuZTaWFJtoct6Aao6ur0CCocuDOmRxPDje/lJuqEQl5tUxtNfS0rm/znw04Y2qkePN2KZkZ9+b/iswVbmVk2ZayPSmGWvPdrWnEivmlUZ8UCD/lqlPPEgfHdMPipunjSyoBAj8byvwfNPT7lGkOdil7YMfZpNDbSa62k2vdZkNnBUSKiksixvs0TkerNNdDEVC3MbR7rJFh/RdmQ19rUxr/ht7UnJQfGd0NKZrb8OzLC2LT11qpZzgO3E9Jtsm25PR1QBd9svwwA6FhfvvI6R1HFxx33kjIUHYNu0/lf3i7IiPGvIq3/jLkzg3UNqIL9V+6Z9RgAKCtT4LC4IES3hlWKfaw5GU9DO/mhkoTyN93gqDmsCSyqpRdZ7YpemPGC9dmjzSwMEspJt6m3NeSYQMCav5kCbBOw3vdZkMH7//2wE87fTkDdSpypjIgIYMYQEanEO50tn5FIqnb1pKWm53u9rW1Ku9xccj96K+b1Zapd0UvbaNqRhSicCWCOmjp9kWpVUFePJHvopHAwLP8UcM177fHToNZ6QaLAasZ7QOkyt+XTr8PambX+ycnP4uNnGmBqv8bm7ciAZxsVn9XhYUF/JWM+7y0tY1NUYX8dR1PeS97eepaUY8sVUJGj3HKgFRm5XgYy6azVsLqP0cBPi9rl8VZ75TOIiYjUgoEhIlKF8jbue/JE1TJ6J59S2Kripnmtcpj0XCNVZ1dZooK3myxXneW6Al6cit5uuDSzN67Pfq7UlZIBsLgcSm4d61dGzybV9e6TmpFSv0oZ0ytZoVIZd4ztESDL++O7N1oWu1zOJsiFG+vKYcNI41PXE+VrI7EvkCGDbNCvqWF1aUFmIiJiYIiIVKJ2RdtOBb3TggbJtugfke+9rv7ajKGSxNpgWlkPF1z9v+fkGYwJHq7Oqum3Y28vtapl9Ta+f7P4YIctlfdydajXzlBfIV05CmTvSMlS3DsuCJtGdUbruhWwYWRHLBzwpB1GRvbWpYE85cUB1SwP1jpZcTyvG65fJv7L223wUitfzHuN71ciIqkYGCIiVTA3m8dclpxEetrgyjsAlOQKDmvP1d/r4g8AeK5Z9WLXOxRc/JT0VDypQcmlA1sZzXZ5vnlNg/dLYe37xMdD+RaJrerIN5ORRiPbpiST8hrUq+yt7QvUum4FvPCkr41HVfr882EnHBjfDb+/296ix3cNsD6oY26ZpTHWBHeyciw/CNr7V8IPOhM5NKpRFvNeexJONv5eQURUkjAwRESqULjPywdB5jWWdSTWfHlWO2ufW59mNQAAP7zVWu/+pr762Q2+5UtfXyAllPV0Rb8WlgeAjLH2fTI8L4CopOn9rW9enK98Mf1dfnm7jWz70VWCP4YcRp2KXniydnnUruiFThZOChAoQ386ubLvrLmY4u1mXbDX3aXglKYm/z4QEZmNgSEiUoWWdSpgVLeC6dPH9gjAiKfrY1gn2zelNsYWPUw2jOyobT5dElmbyVHByAny5o8KGls/26iqVfsg6Z7IOwbKydwDrHfT4jPCTFHD9NJyZjl6uDob/ayzNGBgiq17NJF9qCm+Z2lJuIerE56x8nO9dd0KVj2eiKi0U/6bFRFRnnE60ye7OjshuE9DvNm+tmLjqeLjjkUmmsaaq6R/eXWV8YR9VaHyhv9GdcKzjapi4QDletuUOnlnnTs/Mb9HV2G9muT27Nr1ydMIkDjzmDHGAoiObGRQfXRvWPTkOL+ZtLuMAeWwSc9wmm4VYNZWrpdb1bI6a8kWTdeJiEoT5Yv0iYiKoXQ/Hn5vN4+U31fdSl6IeZBqcJnul/unA6roLWteqzyWvd1We7tyGXfcT86waJwkTf5U2NaWeQDAj4PkK4nq1cS6jCM5SDmP3TamC67EJUvaXhUfdywf0hZ+wSEGl3d6ojL2XIo3Z4hGVfXxkGU7RHKQY6IHl7wMPluUvhIRlQbMGCIiItlIuer734ed8V6XomUzh4K7w9u9aADi9TaGs8ZGluA+VGqRX/aotswGS7MLqpeVLyDSoKrpUqyG1cuiv0wnqm38Sna2IVnG2926TJm+eX3dlFKtrDuCAq0vD3ZxdkLYxGfw7astZBgVEVHpw4whIlI1pSfwkvOE2NYzr6mBqd9X3+Y1UM7LFZP6NsbPB67rLTPUUDp6Tl+j2xrUoS4i45MxpKMfei3Yb9F4qXj5vYWEEpI7V97LFXcT02XZlq2O53Z+FREW/RBdA6qgdZ2CYFDtCpb1b6GSzb+ydb2iFgxQbkr3s9N6wtVJvmvUVWUM/BIRlTYMDBGRqslRSnZ0wjPWb0QGJePUunj5PYYCq/ngclxSkeXddK4Mv9K6FtafvGXxvtxcnDD7pWYWP76086/sjWv3U5Qehl293fH/27vzKLnKMo/jv6er13R3ek2ns3U3SZPNJGTphJDNzr55nIhxSEAFlEUSFvUQNYIK43IywkFR0RH1AOqoMwcHDiibM5JxAQVxhEQPoDgRkAGByBISExLf+aOqQ6XSS1X3vfXeqvv9nNMnVbdu3/fpTt+quk+97/N0aPt/7Op3n5VTR+rMUzryE1AvvnPuyXph3yG11h17kRt0AXBACrYuXC7mdTRqeCV/0wAQFSwlAxApD398lR64rO9EzvJeirMOJPMCKxcrpgy99kGPqC3HCUNdVZm+csZsfeu98wbc97Nvn3H09oOXrQhkfNrYZ++bWfwf9SiWv923zx474D7XnT5bi04cuBNYWPXPShMlvT5nZZMY6inwnSmzXhei4bS5/porSME97/Zl3gmNfT7W1sQMOACIEhJDACKlbljZgIVRP/aWqUdv14fcnainGPL0MXWhjlNM1k4f1eeUfpd2NV2SthRnRG3FkMY8/83jJVF3KBdjI7w0Kai6PJnKB+jstWfH+gH38eWkcfWD/t7mmqGdXwjHxiwSlWFqrikP9fgXLzsx1OMDAIITzXc/AJDiMqoMNVSXa+a4N5I0JzSH33J51xWrdPMFpwz5OF3tfX96Ghdh1Yx6//KJ2rZ6kjZ5/gS+WJXnebmJj9pi1eW5FfH1EeNgZ/6MGsKsSfSvIqKJxGwMtUX8QCaOHFr9IwBA/hTuqxmAWEhkvHFdN/3YNtUDtdEO4oK2trJMFaVD6/wiScsGsQwO2akqT2jr0k6VeqqXUexKMgotd6Y6cm2YGc7MnroqSiD2Jpd61+nPfVuWvjGTrjQGRfDzacGEpqO3ty7N/4zFzHMzSsJOPAEAgsM7aACRNq7x2OUu5YncEjR3XLI4yHCGZEJL+LObIs93mzkEoifJeXWWraFvu3BhTsffvnaKaipIDmXK5UL7nMUnHL09LC2BPpSaazje2mlvtHufOLLWYyTRQ14IAAoHiSEAkZb5xnJOe4MSWba3HV5ZenRmQxR0NJEYQuFbO61VH1gxUZKynqE1Y2xu9XGqK0qPmeWSD+97c27jubCqT/djaQ6zDi9ZQX2XfOupSYekkn4yQx5OHwBAP0gMAYi0itKEvvquOUfvlyZMU0Yd/6nsP/SypOW2CxeFGluuxjTQMSvTDWfP1S1bFvgOAzm4oHuCqnKsxzMY71l4Qq/b9+xYH/hYe3as10XLo59IGTFAseD0i+0glr8iC2m5jyC7WBaDQq6/BABxwzM2gMhb/aZW3bp1ob58xmyVJUqOufi5aFmnqssTvXYN68hDYepcxO1C7e73Lxlwn6WTWjSrrSEP0SAok1rzs1yG2RcoNBEu95M321ZPkpR8/q9mOSgAFAwSQwAKwsxx9Vo3PVnLIf2C8eTxTfrtP63Rxjl+2/5mmtdBB7K2xuPboWd2mYNfg6njk5ng/Mm2pUGFc5wfXLSIGWU5yKalPUt4glVfVXb0NsWWpa1LO7Vnx/q8JZABAMEgMQSgKNQP63+JRb59873zfIcADKi6Yuizctqajk8ABmXamDpmlOVgVltutZwwdAs6mwf/zTHLIz3xmXVHb08dPdxjJACATCSGABSlUZ4777AMBghOFeeTpIFn+4xr6DtJNzk1g2Pd9NYgQ4q9mopSzWqr19gca8h9eM1ktdTGq0OcSUqk1ttNYUYRAEQKiSEARam3ZUzIr95WVbCMJVq2r53iO4Ss9CxLmTIqOrMMorRs6NFPrtGuK1ZpXD/Pe9edMVvTx9QVRJHtQnPLloX62YeX5fQ9F3Tnt+tej6s2zvAyriSVlBjLrAEgokgMAShKEbpmi61EL5VYp/VSJBz+bJg1ps/H/ntbd/4CGUBXe3I52an9xJtvvf19p6sfVtbv40EqLTHVVvY/3oQRNbr9okUaPsB+KG7v6Brndfy3pjqIRq05BADEHYkhAAXr4n4++Z7cGp2ZBXFVljj+JYbEUOFob8r9wm1D6qIvLIVUvHzSyOCXymQmvGe31euhy1eotJdzDYiiTXPH6fefXqvR9bktvQMAhIs+kgAK0p4d6/t87HOnnaT108O9QAXi5o6LF+ul/Yf63WfNtFbd+ptnjtk2Y+zQk4FV5ckaQ70lG33aMHP0cT9vj+vf3RX6+C21lWqqqQh9HCAoZqayBFN6ASBqSAwBKDpd7Y0qL43WBSQQVZ/cME0fu3X3gPtl00VozbRRx20LohbPlu5OOSedfnLbkI8VpM9vmtVnYqiuyv+Srd1XrtbhI3/3HQYi5r6PLOM1EgBwDF4VABSNltrkJ+dRK3B8zT+epMvWFUaRX8TPu+a3+w5hQFXlCV26epIqSuPdnaxleG5drGoqSlU/rDykaFCoRtdXqTnPM83GsHQMACKNGUMAikZFWTRz3afOHus7BCArTdXlevG1/peL5WrV1JGBHi/Ocm2JDkTF9nWTfYcAAOhHNK+iAKBAdDT13R4aKDR3XrJ4UN83Y2ydzl8y/rjtD398lbZ4astdjEzUZsHgfePM8Ote9YW/XQCINhJDADAEd16yxHcIQGByXarU47YLF2l7L8sl64aVBVJjCCgGl6/3t6T4gcuWa/kUf7P3eBoAgGhjKRkAZKmxulx7M5bZVJUnNLahSk//9YCnqAAMxVtPooMhwvfYp9aoojShpZNbdPD1/BcEb6kdXNIXABAPJIYAIEvDyhPa+9rx2yvL4l0QFyhknz9tpu8QEAM9hdMnjKjxHIkflRGtAQgASOJZGkDRWPOmVknS8Kpwct4r+yiie+aCjlDGA4B0LMdBb94xJ/oNDro6Gn2HAADoB4khAEXjI2un6KHLV4TWnrmv4pmF0O4b6M/I4RU6obk60GM+9qk1gR4vKN859+RAj3fTe+YFejwgV6110V8mRk4TAKKNxBCAopEoMTXVVIR2/OVTWkI7NuDTLz+6Qvde2h3oMXuWzkTNggnNuvHsuUfvD3UWjs8L3uoKKgJAamukOyYAYGgCTQyZWaOZfdHMHjWzA2b2lJl9xcyaghwHAHxY2NnsO4SC8/V3+2uPDPSle1JxJHk/8dapvkNABGwsgKVkJayDBIBIC3rG0GhJYyR9SNJ0Se+UtETSdwMeBwBQACjMDYRneGWZ7xAQARbhpMstWxZow8zRzG4DgIgL9FnaObdb0qlpm/5gZtsk/cDMhjvnXglyPAAAgLiI7uU/fOtoGqY9L+73HcZxZrU1aFZbg+8wAAADyEeNoeGSDkqK3qsVAAzBqj66lAFx1jCssGaxDHW2RYQnawAAAGQl1HmdZlYv6ZOSvuacO9zHPudJOk+SRo4cqZ07d4YZUt7s27evaH4WICyFfp5UHNzbZ/zp2wv5ZxyqQ0fc0dsTG0pi/bsYCh/nymDH27GwTK8fKSuY/+uhxrn7hSOhHLc3rxxyx9wvlN9xPkX1dSXsmA4cONDr9rbaEj356t8j+TuBX1E9V4Coicu5klViyMw+JemyAXZb6pzbmfY9NZJul/RnJWsO9co5d72k6yWpq6vLdXd3ZxNS5O3cuVPF8rMAYSnI8+SuHx692dHeru7uScdtl6Tu7m7d0PoX/f4vr6p7yYR8RhgpBw8fkX50lyRpZHOjuruDbRUeF/k8Vyb/5ic6Y367uue352U8b1Ln7FB/ry3PvKKrf/XT47aH8f+197VD0o9/FOoYhc7760rGa0GPsGOqevBeaf/xk/Pv3rZK+w4e1oja8Dp2ojB5P1eAAhGXcyXbGUOfl/TtAfZ5sudGKil0R+ruW5xzfxtEbABQ0JZObtHSycXR/WiwotqyHH276/1LfIdQUKaOHq7vX3CK3v6V+0Mfq9CW6SF/Jo6s7bXGUFV5QlXlPA8DAPqXVY0h59wLzrlHB/jaL0lmVivpLkkJSeucc/tCjB8AvOnrE9jJrbV5jqQwdLbU+A4BCMWc9kaVJ0rUPWlEqONEufsU/PrcaTN9hwAAKGCB1hhKJYXuUbLg9AZJ1WZWnXp4r3PuUJDjAYBPYxuqfIdQEPbsWK/7n3hRc9rpTIPi9fin10qSOj7S+1IixNcNZ80NfYzqilLtvnK1PnTzw7pj17OhjwcAKC5BF5+eI2l+6vbjGY8tlbQz4PEAwJulk+K9TCwXp0xo8h0CUFSYgVc48rWkuKaiVE3V1BICAOQu0MRQqvg085wBxEJfyzoo8gkgbDUVoTaWBQAAMZJVjSEAQPa+uHmW7xAAeFReGv7bKzfwLvCgxPPHo5ShAgAMBh83AUAOZoyt0yNPv9zvPvXDyvMUDYCo+f4FCzS6vtJ3GPDEzCRH2g4AUFiYMQQAOfj6mV2+QwAQgJaQlnzOaW/QqLo8FKYn+RB53znnZN17abfvMAAAGBAzhgAgB2Ul5NOBYnDL1oV6+KmXfIeBIrags9l3CAAAZIUrHAAAEDtj6qu0bvoo32GgyFx3OjXmAACFh8QQAABAgdi2epIkKeG7yjF6tfjEEb5DAAAgZySGAAAACsT88Y2+Q0A/KvLQkQ4AgKBRYwgAclBVnvAdAgDQrj6iShN+E0NnLujQXbufVWN1uU4+gSQiACA7JIYAIAeVZSSGAPjEEjL0bcKIGj1w2QrfYQAACgzzXQEAAAAAAGKKGUMAAAAFxrGWLLJOGd+kM+a3+Q4DAICskRgCAAAoEMZKssj77nnzfYcAAEBOWEoGAABQIEpSmaFS2tUDAICAMGMIAACgQMwYU6fzl4zXuxd0+A4FAAAUCRJDAAAABaKkxLR93RTfYQAAgCLCUjIAAAAAAICYIjEEAAAAAAAQUySGAAAAAAAAYorEEAAAAAAAQEyRGAIAAAAAAIgpEkMAAAAAAAAxRWIIAAAAAAAgpkp9BwAAheaDKydqeCVPnwAAAAAKH1c2AJCji5ef6DsEAAAAAAgES8kAAAAAAABiisQQAAAAAABATJEYAgAAAAAAiCkSQwAAAAAAADFFYggAAAAAACCmSAwBAAAAAADEFIkhAAAAAACAmCIxBAABqh9W5jsEAAAAAMgaiSEACMAXNs+SJI1vrvYcCQAAAABkj8QQAARgTH2l7xAAAAAAIGckhgAAAAAAAGKKxBAAAAAAAEBMkRgCAAAAAACIKRJDABCAEjNJUkVpwnMkAAAAAJC9Ut8BAEAxmDmuXhcv69QZ89t9hwIAAAAAWSMxBAABMDN9cNUk32EAAAAAQE5YSgYAAAAAABBTJIYAAAAAAABiisQQAAAAAABATJEYAgAAAAAAiCkSQwAAAAAAADFFYggAAAAAACCmSAwBAAAAAADEFIkhAAAAAACAmDLnnO8YjjKz5yX9yXccAWmW9ILvIICI4zwBssO5AmSHcwXIDucKkJ1iOlfanXMjensgUomhYmJmv3LOdfmOA4gyzhMgO5wrQHY4V4DscK4A2YnLucJSMgAAAAAAgJgiMQQAAAAAABBTJIbCc73vAIACwHkCZIdzBcgO5wqQHc4VIDuxOFeoMQQAAAAAABBTzBgCAAAAAACIKRJDAAAAAAAAMUViCAAAAAAAIKZIDAXMzLaY2f+a2d/M7CEzW+w7JiBqzGyJmd1mZn82M2dmZ/mOCYgaM9tuZg+a2Stm9ryZ3W5m03zHBUSNmW01s0dS58orZna/ma33HRcQZanXGGdmX/IdCxA1ZnZF6vxI/3rWd1xhIjEUIDM7TdK1kj4jaZak+yTdaWZtXgMDoqdG0m5Jl0g64DkWIKq6JX1Z0gJJyyQdlvSfZtboMygggp6W9GFJsyV1SfqxpFvNbIbXqICIMrP5ks6T9IjvWIAIe0zSqLSv6X7DCRddyQJkZr+U9Ihz7ty0bb+XdLNzbru/yIDoMrN9ki50zt3oOxYgysysRtLLkjY45273HQ8QZWa2V9J259xXfccCRImZ1Un6taRzJH1C0m7n3IV+owKixcyukLTRORebmdrMGAqImZVLmiPpnoyH7lHy014AAIaiVsnX7b/6DgSIKjNLmNkmJWem3uc7HiCCrlfyQ+t7fQcCRNx4M3smVSbme2Y23ndAYSr1HUARaZaUkPRcxvbnJK3IfzgAgCJzraTfSLrfdyBA1JjZdCXPjUpJ+yS9zTm3y29UQLSY2bmSOiW903csQMT9UtJZkh6V1CLpckn3mdmbnHMv+gwsLCSGAACIODO7RtIiSYucc0d8xwNE0GOSZkqqk7RR0k1m1u2c2+03LCAazGySknVQFznnXvcdDxBlzrk70++b2S8k/VHSmZKu8RJUyEgMBecFSUckjczYPlJSUVcwBwCEx8w+J2mTpKXOuT/6jgeIIufcIUl/SN19yMzmSvqApPf6iwqIlFOUXOHwWzPr2ZaQtMTM3iep2jl30FdwQJQ55/aZ2W8lneg7lrBQYyggqTckD0lamfHQSrHGHQAwCGZ2raTNkpY55x71HQ9Zdb6eAAAEvUlEQVRQQEokVfgOAoiQW5XsqjQz7etXkr6Xun3IX2hAtJlZpaTJkv7PdyxhYcZQsK6R9C0ze0DSzyW9T9JoSf/iNSogYlLdlTpTd0sktZnZTEl7nXNP+osMiA4zu07SuyRtkPRXM2tNPbTPObfPX2RAtJjZDkk/lPSUkkXaT5fULWm9x7CASHHOvSTppfRtZvaaku+9WHIJpDGzqyXdLulJJWsMfUxStaSbfMYVJhJDAXLO/ZuZNSlZnGqUpN2S1jnn/uQ3MiByuiSld8O4MvV1k5KF3gBIW1L//lfG9islXZHfUIBIa5X07dS/L0t6RNJa59zdXqMCABSqsZK+q+Tyy+cl/ULS/GK+rjfnnO8YAAAAAAAA4AE1hgAAAAAAAGKKxBAAAAAAAEBMkRgCAAAAAACIKRJDAAAAAAAAMUViCAAAAAAAIKZIDAEAAAAAAMQUiSEAAIA0ZtZgZs+Z2YQ8jXeVmX0xH2MBAABkMuec7xgAAABCZWY7Je12zl2Yxb5XSWp2zp0demDJ8UZIekLSTOfcH/MxJgAAQA9mDAEAAKSY2TBJ50j6Rr7GdM49L+keSRfka0wAAIAeJIYAAEBRM7MbJb1Z0lYzc6mvjj52XyfJSfp52veXmdkXzOwZMztoZk+Z2Y60x8vN7J/N7Gkz229mD5rZ6owYJpvZbWb2spntM7P7zWx62i63SdoczE8MAACQvVLfAQAAAITsEkkTJT0q6aOpbc/3se9iSQ+5Y9faXyzpbZI2SdojaaykSWmP3yBpgqTTJT2tZHLpdjOb65x72MxGS/qZksmmlZJekjRPUiLtGA9IGmNmE5xzTwzy5wQAAMgZiSEAAFDUnHMvm9khSfudc88OsHu7pGd62fa4pJ+mEkZPSrpPklIFqjdL6nDOPZna/0tmtkLS+ZK2SNoq6TVJ73DOHUrt83jGGD1jdihZbwgAACAvSAwBAAC8oUrScxnbbpT0I0mPm9k9ku6QdKdz7u+SZksySb8zs/TvqZD049TtWZJ+lpYU6s2BtPEBAADyhsQQAADAG16Q1JC+wTn361RNotWSlku6SdLDZrZSyXqNTtJcSa9nHOuAsteY+revJW4AAAChIDEEAADi4JCOrenTl/+RdFbmRufcq5JulnRzqpj1LyR1pvY3Sa3OuXv7OeY7zay8n1lD05RMLO3KIkYAAIDA0JUMAADEwR5J88ysw8yazayv90B3S5piZk09G8zsg2a22cymmFmnkkWmX5H0tHPucUn/KulGM9toZuPNrMvMLjWzU1OH+LKkGkn/bmZzzawzdbyZaeMuVrKG0f5gf2wAAID+kRgCAABxcLWSs4Z+p+RyrbbednLO7VKyQ9imtM2vStqW2v5rSTMlrU1L4pytZGeyzyrZ+ewHkpZI+lPqmH9O3S+XdK+SM4guknQ4bYzNkr42xJ8RAAAgZ3ZsN1YAAIB4M7M1kq6VNNU5dyQP462XdJWkGc65wwPtDwAAECRmDAEAAKRxzt0l6TpJY/M0ZLWks0kKAQAAH5gxBAAAAAAAEFPMGAIAAAAAAIgpEkMAAAAAAAAxRWIIAAAAAAAgpkgMAQAAAAAAxBSJIQAAAAAAgJgiMQQAAAAAABBT/w+3bPNYBkvDxQAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":93},"id":"lql8x4DZ_dA7","executionInfo":{"status":"ok","timestamp":1611770718554,"user_tz":-330,"elapsed":14174,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"9bd08296-00c4-4958-b689-9dbd80100614"},"source":["import IPython.display as ipd\n","\n","\n","#wavio.write(\"/content/drive/MyDrive/IIT Guwahati/IITG_DigitalSignalProcessorsLab/birds_noisy.wav\", channel1_noisy, rate, sampwidth=3)\n","print('noisy sound')\n","#wavio.write(\"/content/drive/MyDrive/IIT Guwahati/IITG_DigitalSignalProcessorsLab/birds_noisy.wav\", channel1_noisy, sampling_rate, sampwidth=3)\n","ipd.Audio('/content/drive/MyDrive/IIT Guwahati/IITG_DigitalSignalProcessorsLab/birds_noisy.wav') # load a local WAV file\n"],"execution_count":369,"outputs":[{"output_type":"stream","text":["noisy sound\n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/html":["\n"," \n"," "],"text/plain":[""]},"metadata":{"tags":[]},"execution_count":369}]},{"cell_type":"markdown","metadata":{"id":"OtWoGS68BFoC"},"source":["Link to cell: \n","\n","https://colab.research.google.com/drive/1-iMRe1AgmgRPz35gQaXWnmRwg63yFiUr#scrollTo=OtWoGS68BFoC&line=1&uniqifier=1\n","\n","https://colab.research.google.com/drive/1-iMRe1AgmgRPz35gQaXWnmRwg63yFiUr#scrollTo=7N8YdPSZ_5zn&line=1&uniqifier=1"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":93},"id":"7N8YdPSZ_5zn","executionInfo":{"status":"ok","timestamp":1611770718556,"user_tz":-330,"elapsed":12885,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"5e84aca0-e83e-4783-c111-eeeafea4f024"},"source":["print('original sound')\n","ipd.Audio('/content/drive/MyDrive/IIT Guwahati/IITG_DigitalSignalProcessorsLab/birds.wav') # load a local WAV file\n"],"execution_count":370,"outputs":[{"output_type":"stream","text":["original sound\n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/html":["\n"," \n"," "],"text/plain":[""]},"metadata":{"tags":[]},"execution_count":370}]},{"cell_type":"markdown","metadata":{"id":"s78Tj_ffB6Ip"},"source":["The noisy chirps appear more shrill. These can be removed using moving average filters, synchronous averaging, etc"]},{"cell_type":"code","metadata":{"id":"c8zHR1NlAet1"},"source":[""],"execution_count":null,"outputs":[]}]} \ No newline at end of file diff --git a/Assignment3/204102311_SatyakiGhosh/Assignment_3.ipynb b/Assignment3/204102311_SatyakiGhosh/Assignment_3.ipynb new file mode 100644 index 0000000..9d3da69 --- /dev/null +++ b/Assignment3/204102311_SatyakiGhosh/Assignment_3.ipynb @@ -0,0 +1 @@ +{"nbformat":4,"nbformat_minor":0,"metadata":{"colab":{"name":"Assignment_3.ipynb","provenance":[],"collapsed_sections":[],"authorship_tag":"ABX9TyNoonGlN3jQxjSBPgmjfEhL"},"kernelspec":{"name":"python3","display_name":"Python 3"}},"cells":[{"cell_type":"markdown","metadata":{"id":"D3nHpGSG92MY"},"source":["This assignment will be on transforms. Why do we use transforms? Some\n","operations in the time domain are complicated like convolution sum. If we use\n","the transform domain the same complicated operations become easy. We will\n","focus mostly on discrete time signals and their transforms.\n","\n","\n","**• Z Transform:**\n","Consider the discrete time sequence x[n] = z n with −∞ < n < ∞ where\n","z ∈ C.. Let z = 0.95, z = 1.05, z = −1.05, z = −0.97, z = 1, z = −1.\n","Now plot the sequences for different values of z. For each plot what is the\n","nature of the plot?"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"D-WMHyLs9utj","executionInfo":{"status":"ok","timestamp":1612244443836,"user_tz":-330,"elapsed":3050,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"1b059b65-9f70-40f6-d6f2-80797ab2423c"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","\n","z_list=[0.95,1.05,-1.05,-0.97,1,-1]\n","rnge=20\n","n=np.arange(-rnge,rnge+1,1,dtype=float)\n","for z in z_list:\n","\n"," xn=z**n\n"," plt.rcParams.update({'font.size':15})\n"," plt.figure(figsize=(25,6))\n"," plt.stem(n,xn,use_line_collection=True,label=f'z={z}')\n"," plt.xlabel('n (Samples)')\n"," #plt.ylabel('Probability value')\n"," plt.title('x[n]='+f'({z})'+u'\\u207F')\n"," plt.legend()\n"," plt.grid()\n"," plt.show()"],"execution_count":18,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"2bFy9rH6EgLg"},"source":["When z<0, the output samples are oscillating because of the repeated multiplication by the the '-' sign. \n","\n","When |z|>0, the amplitude gradually rises, while for |z|<0, it decreases. For |z|=1, it remains constant. "]},{"cell_type":"markdown","metadata":{"id":"YhjKdsk8IzT-"},"source":["Now consider the same sequence with complex values of z. Consider z =\n","−2 + 3j, z = 2 − 3j, z = e jπ/10 , z = 0.95e jπ/10 , z = 1.05e jπ/10 . For these\n","values of z create 3D plot with both real and imaginary parts. Try using\n","different markers for real and imaginary parts."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"SysfyQYEDRuo","executionInfo":{"status":"ok","timestamp":1612246293421,"user_tz":-330,"elapsed":3739,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"9ac20fec-f5f2-424b-8c5a-a5b65822c5a0"},"source":["import cmath\n","import numpy as np\n","import matplotlib.pyplot as plt\n","\n","\n","z1=complex(-2,3)\n","z2=complex(2,-3)\n","r=np.cos(np.pi/10)\n","i=np.sin(np.pi/10)\n","z3=complex(r,i)\n","z4=0.95*z3\n","z5=1.05*z3\n","\n","z_list=[z1,z2,z3,z4,z5]\n","rnge=20\n","n=np.arange(-rnge,rnge+1,1,dtype=float)\n","\n","for z in z_list:\n"," rl=(z**n).real\n"," img=(z**n).imag\n"," fig,ax=plt.subplots(1,2,figsize=(25,6))\n"," plt.rcParams.update({'font.size':15})\n"," ax[0].stem(n,rl,use_line_collection=True,label=f'Re(z={z})'+u'\\u207F)')\n"," ax[0].set_xlabel('n (Samples)')\n"," ax[0].legend()\n"," ax[0].grid()\n"," ax[1].stem(n,img,use_line_collection=True,label=f'Im(z={z}'+u'\\u207F)')\n"," ax[1].set_xlabel('n (Samples)')\n"," ax[1].legend()\n"," ax[1].grid()\n"," plt.suptitle('x[n]='+f'{z}'+u'\\u207F')\n","\n"," plt.show()\n"],"execution_count":37,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABaAAAAGoCAYAAACwvEK2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzde5iWVbn48e/NQRhQAQ+gkIGmQmopSVuw2qKk/EwTNdmm6c7MKE3t8qekVm5H7aSk7Kzf1rRdHnZpaYjFVlDQoVI6QJbkAQ+FB8g8wAjIqDCs3x/zzjgzzDzzzuGZmXfm+7mu93rnXc9az7rfG67Lxe2a9URKCUmSJEmSJEmSOlqfrg5AkiRJkiRJktQzWYCWJEmSJEmSJOXCArQkSZIkSZIkKRcWoCVJkiRJkiRJubAALUmSJEmSJEnKhQVoSZIkSZIkSVIuLEBLkiSp00TEyohIhdcz7bjPxHr3SRFR3oFhEhH7RcTmiDi8I+/bxlgqImJlo7a7IuLBLgpJkiRJKpoFaEmSJHW2J4FTgfPbcY9nCvc4r0Mi2to1wEMppftrGyJi74i4PCJ+FxGvRMT6iPhzRHw1IgYXe+OIGBsRP4mIJyLi9YjYGBFPRsQ1EbFrkbcpBw6JiGNa97UkSZKkzhUppa6OQZIkSb1EYSfvypTS5A663xjg78BlKaXyDrrnJOBh4NiU0t312r8NfBH4JfA7YBNwKPBvwKPAxJRSVRH3nwJ8tXCPF4HNwPuAzwDrgANSSi/X678NNev2txrd5wFgu5TSB+u1nQZ8GnihcE+Ak1NKT7QiBZIkSVKH6dfVAUiSJEndzFnAq8A9jdrvBL6VUnq9Xtv1EfE0NQXlzwLfb+nmKaVFwKLG7RHxa+DnwGnAVfX6v93MrW4FfhQRH0gp/ale+wTgjJTSsxHxNeByYHpLcUmSJEl58AgOSZIktUtE9IuIhyLijYgY1+jajMIZzZcXcZ+KwhnRIyPitohYWzieYkFE7J3fN2gQQz/gWGBhSmlT/WsppaWNis+1flZ436+d0z9XeB/WKKatzoAuuLfw/m+N2n+fUnq28PMSYK92xiVJkiS1mTugJUmS1C4ppc0RcTLwZ+D2iDgopfRWROwL/CfwW+CyIm83GPg1NcdTfAXYHfgScHdE7JdSqm7pBhHRB9ihFV9hTUppS+HnA4FtgT+0Yvy7Cu//bMUYImJgYa6BwD7AlYVLjXdeNyml9FKhMD250aU36/1cjWt+SZIkdSEXo5IkSWq3lNJzEfFZ4BfA1RExk5qdwW8CnyqmcFywEzArpVR3BEVEvELNkRQfBRYUcY93U3MudLF2B1YWft6n8P5s010bioi+wCXUnOP801bMCXAG8L16n1cCp6SUftOKezwLHNTKeSVJkqROYwFakiRJHSKlNCcirqPmQX3jgX2BT6SUnm/FbbYA1zZqe6DwvhfFFaBfAg5vxZwv1ft558L7miLH/icwCfhKSmlFK+YEmAs8Sc0u6PHAMdQU4FvjNWDbiCgr5gGIkiRJUmezAC1JkqSO9H+BI4CDgRtTSnNaOX51SunNRm2vFd53LOYGhfELWzlv3fDCe7TUMSKuAM4GbkgpfavRtSFAWaMhr9TfCZ5SehF4sfBxbkT8AvhjRAxqfL+sMOrHnVK6Cbip3hwVtP9sakmSJKnNLEBLkiSpI+1PzREYAPtFRL+U0uZWjM86qqPFojDUHYuxc4sd31G/MPxK4T3zDOmIKAe+BvwY+EITXb4LfLpRW/2jPraSUno0Ih4BzgKKLUDvAGxoomgvSZIkdQsWoCVJktQhImJ74DbgVeD7wDeoefjgVzs5lN1o+xnQfy2879Vc50Lx+VLgZuCMlFJqottVwP80anupiX6NldG6ByjuyTsxS5IkSd2OBWhJkiR1lBuA0cDhKaUHImI8cFFELEwpPdiJcbTnDOhHgHXAxKY6RsR/UFN8vhU4PaW0pal+KaXHgcebuccuKaWtitERcSg1x2VUFBN0ROxCTb5vL6a/JEmS1BUsQEuSJKndIuKzwInAN1NKtQ8N/BzwQeB/IuL9KaXXmr1BB2rPGdAppeqImAMcGxEDUkpv1V6LiC9Ss6P7+cL9T45ocCrIP1NK9xcxzXURsSs1D1d8DhgIHAh8ElgPnF9kuB8rvN9RZH9JkiSp01mAliRJUrtExDjgWuBhanYHA5BSqoyIk4BfU3NW8jFdE2GrXQecBhwN/KJe+wcL7++m5viNxhYDxRSgbwP+HTiVmrOqEzWF6B8As1JKzxcZ56nA0pTSsiL7S5IkSZ0umj6yTpIkSep4EbESWAVMA6pTSmvbeJ9+wFBqznv+E3BZSqm8g8IkIuYDg1NKH+moe7Yjlt8Au6aU9qzXdgA13/vYlNIvuyw4SZIkqQV9ujoASZIk9ToHA68Af2zHPSYU7vGnDoloa+cDkyLiiJzu3xojgZcbtZUDiy0+S5IkqbvzCA5JkiR1pk8BZYWfN7bjPo/T8EGDf2vHvbaSUnqMLl4rF4rfRwF7ALfUv5ZSOrZLgpIkSZJaySM4JEmSpG4oIh4ExgFzgAtSSlVdHJIkSZLUahagJUmSJEmSJEm58AxoSZIkSZIkSVIuLEBLkiRJkiRJknJhAVqSJEmSJEmSlAsL0JIkSZIkSZKkXFiAliRJkiRJkiTlwgK0JEmSJEmSJCkXFqAlSZIkSZIkSbmwAC1JkiRJkiRJyoUFaEmSJEmSJElSLrp9AToi9oyIH0TEoxFRHREVbbzP4RFxW0SsjIgUEeVN9NkmImZFxG8ioioiUnvjlyRJktSQa3xJkqTeo9sXoIF9gY8BK4Cn2nGf/wO8H1gEbGymzyDgjML1h9sxlyRJkqTmucaXJEnqJSKl7r0BICL6pJS2FH6+E9gppTS5nfd5Ffh+Sqm8iX6RUkoRcTbwvZRStOsLSJIkSWrANb4kSVLv0e13QNcuKLNExMCIuCoiXoiItyLiLxHxsdbep9Cve1fkJUmSpBLnGl+SJKn36PYF6CLdCZwGfBP4OPBH4JcRcUBXBiVJkiSpzVzjS5Ik9QD9ujqA9oqIKcBRwOSU0uJC830RsTfwVWB6lwUnSZIkqdVc40uSJPUcPWEH9EeBl4CHIqJf7YuaB5FM6NrQJEmSJLWBa3xJkqQeouR3QAM7AbsAm5q4Vt3JsUiSJElqP9f4kiRJPURPKECvAVYBx3Z1IJIkSZI6hGt8SZKkHqInFKAXAecDG1JKT3Z1MJIkSZLazTW+JElSD1FUAToi9gRmApOAfYHfpJQmFzFuCPCf1Oxc6APMA85NKb1WbIARMQj4WOHjKGD7iDih8Pke4H5gAXB/RFwJPAZsDxwADEwpXVy4z2jgg4Vx2wD7FO7zRkrp3nrzHQkMLoyn3lx/TCk9V2zckiRJkprmGl+SJKn3iJRSy50ipgHfB34H7Af8s8gC9AJgb+ACYAtwZWHsR4oOMGIM8PdmLu+eUloZEQOArwCfAt5Nza/s/Rn4Xkrpfwv3OQ34cRP3eC6lNKbefCuB0U30+0xK6aZi45YkSZLUNNf4kiRJvUexBeg+KaUthZ/vBHZqqQAdEZOAh4FDUkq/LrT9C/B74PCU0sJ2xi5JkiRJkiRJ6sb6FNOptvjcSkdSs9v51/Xu8wdqdjoc2Yb7SZIkSZIkSZJKSFEF6DYaBzT1wJAnCtckSZIkSZIkST1YUQ8hbKNhQGUT7WuBPZoaEBEzgBkAZWVlB+622275RdeELVu20KdPnjX50mZ+spmfbOYnm/nJZn6ymZ9s5idbV+TnqaeeejWltHOnTqpuYaeddkpjxozp1DnfeOMNBg8e3KlzlhLzk838ZDM/2cxPNvOTzfxkMz/ZuiI/y5Yta3aNn2cButVSSjcANwBMmDAhLV26tFPnr6ioYPLkyZ06ZykxP9nMTzbzk838ZDM/2cxPNvOTrSvyExHPdeqE6jbGjBmDa/zuxfxkMz/ZzE8285PN/GQzP9nMT7butsbPc7vLWmBIE+3DCtckSZIkSZIkST1YngXoJ2n6rOfmzoaWJEmSJEmSJPUgeRag7wV2iYgP1zZExARqzn++N8d5JUmSJEmSJEndQFFnQEfEIOBjhY+jgO0j4oTC53tSShsj4hlgcUrpswAppSURcR9wS0RcAGwBrgR+m1Ja2KHfQpIkSZIkSZLU7RT7EMLhwB2N2mo/7w6sLNyrb6M+JwKzgR9Rs9t6HnBuWwKVJEmSJEmSJJWWogrQKaWVQLTQZ0wTbZXAZwqvDrdu3TpefvllNm3a1CH3GzJkCE888USH3Ksn6q356d+/P8OHD2f77bfv6lAkSZJ6tI5e30PvXcMWq6fnx7W8JEldr9gd0N3OunXr+Oc//8moUaMoKysjIrM+XpT169ez3XbbdUB0PVNvzE9KiaqqKlatWgXgwlWSJCkneazvoXeuYVujJ+fHtbwkSd1Dng8hzNXLL7/MqFGjGDRoUIctTqXGIoJBgwYxatQoXn755a4OR5Ikqcdyfa+O5lpekqTuoWQL0Js2baKsrKyrw1AvUVZW1qG/CipJkqSGXN8rL67lJUnqWiV7BAfgzgh1Gv+uSZJ6mrmPrGLWghWsqqxi1O8eYObUsRw7flRXh6VezjWX8uDfK0lSV6hdb6+urGLk0LJevd4u6QK0JEmSWm/uI6u4eM5yqjZVA7CqsoqL5ywH6LWLYkmSJKmjuN5uqGSP4JAkSVLbzFqwom4xXKtqUzWzFqzooogkSZKknsP1dkMWoLtQeXk5EVH32mWXXTj66KN59NFHW32vlBIHHHAAN998cw6RNnTuuefymc98pu7zHXfcwTHHHMOoUaPYdtttOfDAA7ntttuKutfixYs59NBDGT58OAMGDGCPPfbg/PPPZ926dQ36RQTf//736z4fffTRXHHFFQ36pJR43/vex6233lrU3FVVVQwfPpzf/OY3RfWXJKmnWF1Z1ap2ScUrLy9np5126pB7ucZvXmvX/pIkdSbX2w15BAddeybLkCFDmD9/PgArV67kP/7jPzj88MN54okn2GGHHYq+z89//nPWrFnDySefnFeoALzwwgvceOONPPLII3Vt11xzDbvvvjuzZ89mp5124p577uHkk0/m1Vdf5Zxzzsm835o1axg/fjxnnXUWO++8M4899hiXXnopK1asYN68eXX9lixZwu677173+cILL+SYY47hnHPOYejQoUDNAvbLX/4yl112GSeddBL9+mX/9S4rK+Occ87hkksuoaKiog3ZkCSpNI0cWsaqJha/I4f6ADj1DPXX97tsP4ALj3xvSf66q2v85rV27S9JUmdyvd1Qr/+vdFefydKvXz8mTpwIwMSJExkzZgyTJk1i/vz5rVpoXnvttZx66qn0798/r1ABuP766/nABz7AuHHj6tp+9atfNdjlcdhhh7F69WquueaaFhenxx13HMcdd1zd58mTJ7PNNtswY8YM1qxZU1eEr81RrY985CPsuOOO3HrrrQ3mmD59OmeddRb33nsvH//4x4GaXShPP/00b7zxBk8//TRf//rX6+Y87bTTuPTSS1m+fDnve9/72pgVSZJKy8ypYxusfwDK+vdl5tSxXRiV1DEar+//se6tkj1z0TU+3HTTTdx1113069ePp556ii984Qt88YtfBJpe+0uS1B243m6o1x/B0d3OZNl///2Bml0ItX74wx+y7777MmDAAEaPHs1VV13VYMwzzzzDww8/zAknnFDXdtNNNzU43qP+qz1uueWWBvMATf6K4fjx41m9enWb5thxxx0BePvtt+vaGv96HsAnPvEJbrnllgZtAwcO5GMf+9hW7QMGDGDu3LncfffdfOMb36hr32233fjgBz+4VX9JknqyY8eP4lvHv49t+tYsBUcNLeNbx7+v5IpzUlO60/q+oqKCiGDRokVMmzaNwYMHs9dee3HfffdRXV3NzJkz2WmnnRg1ahTXXHNNg7Gu8d/xxhtvcMcdd/Dwww/z7W9/u669ubW/JEldzfV2Q72+AN3dzmR5/vnnAep+FW3WrFmceeaZHHvsscybN48zzzyTSy65pMFCbdGiRQwePLiueA1w1FFHsWTJkrrXb3/7W/baay/22muvuj7V1dVs3rw587Vly5a6/k8//TQvvvgiBx98cIvfY8mSJey9995Ff+/q6mreeust/vznP/P1r3+d448/nl122SVzzMEHH8yyZctYu3btVu2LFi0ipVTXNmnSJADGjBnDa6+9tlX/hQsXFh2rJEk9wbHjRzH+3UMZO6wPD110WK9dDKvn6W7re4DPf/7zfPjDH+auu+5i9OjRnHDCCZx99tmsX7+en/70p5xwwgmcf/75/P73v68b4xr/nTX+QQcdRJ8+fdhuu+0arPFr+zde+0uS1B3UrrcP2n2HXr/e7vUF6ObOXunMM1lqF4LPPvssZ599NgcccADTpk1j3bp1XHbZZXzta1/jG9/4BocffjgXXXQRF154IV//+teprq7Z2bFs2TLe+9730qfPO3+cO++8MxMnTqx73X333fzjH//grrvuquszZcoU+vfvn/k6/fTT6/rXngm33377ZX6fRYsWMXfuXM4///yic7DvvvsycOBAxo8fz/Dhw4t6mMj+++9PSomlS5du1b527VqeeeaZurb6u0IaL073339/li9fzptvvll0vJIkSeqeusP6vrFTTz2VmTNncsQRR3Dttdeyfv16VqxYwfXXX88RRxzB7NmzGTFiBHPmzKkb4xr/nTV+1g7vptb+kiSpe+n1Z0B39Zksr732WoMz3XbccUf++Mc/MmDAACoqKnjjjTeYPn06mzdvrutz2GGHccUVV/Diiy8yevRoXnrppcwnbf/sZz/jO9/5Dj/72c/Yd99969p/8IMfsH79+sz46t/35ZdfZuDAgQwePLjZ/itXruTkk09m2rRpnHbaaXXtW7ZsabDTom/fvg0Wkr/4xS94/fXXWb58OZdffjnTp09n3rx5mYvN2theeumlZtvr7wbJuk91dTWvvPIKu+22W4v9JUmS1H119fq+KVOmTKn7ec899wRq1vS1+vTpwx577MGqVavq2lzjv9Rsn+b6F7P2lySpFNR/oPLIoWXMnDq2pHdQ9/oCdO0f3pfvfJS3q7cwqpP/UIcMGcLChQuprq7mL3/5CxdccAEnn3wyDz30EK+++ipAgwVlfS+88AKjR4/mzTffZNCgQU32efTRRzn99NM5//zzmT59eoNre+65Z4u/qlZ/x8Wbb77JgAEDmu27Zs0ajjzySEaPHs1PfvKTBtdOP/10br755rrPP/7xjxssXmu/48EHH8x73/teDjnkEB588MEGC/PGamNpvHO5cXt5eXndtX79+rFy5cqi7iNJkqTS03h9v+v2A7jwyPd26T/ahg4dWvfzNttss1VbbXv99ahr/Jpc1L8fwIsvvpjZX5KkUtf4gcqrKqtK9oHKtXp9ARpq/vBu+8PzVFdXc+dZH+7Uufv168eECROAmrPNysrK+Pd//3fuuOOOuqdDz5s3jxEjRmw1duzYml0cO+ywQ5M7BNasWcNxxx3HxIkTGzyso9aUKVNYvHhxZnyf/vSnuemmmwAYNmwY69atY8uWLQ0WrQAbN27k6KOP5u2332bevHlbLZbLy8s5++yz6z7XnnHdlA984AMA/O1vf8tcnFZWVgLU5aml9tbeR5IkSaWpdn0P8MOT92O77bbr4ohazzW+a3lJUu+U9UBlC9DqEKeccgpXXnklV155JQ888ABlZWWsXr2ao446qtkxY8eOZcmSJQ3aqqur+eQnP8nmzZu5/fbb6du371bjWvvreXvttRcpJZ577rkGi8vNmzczffp0nn76aR5++GGGDx++1X3GjBnDmDFjMueq9dBDDwHZC1igbidz4wehrFy5kj59+tT9emNLVq5cyY477lj3ZG5JkiSpq7nGL+5hh61d+0uS1N11xwcqt1ePKkC/9M1v8tYTT7Zp7Gn/WFez8FpyQ5vGD3jvOHb5ylfaNLa+iOArX/kKn/rUp1i2bBnl5eV86Utf4rnnnuNf//Vf2bJlC0899RQPPvhg3cNGPvShD3H55ZfzyiuvsPPOOwNw5ZVXcv/99/O9732PZ599lmeffbZujokTJwLv7KAu1oEHHki/fv1YtmxZg4XjWWedxT333MN3v/tdXnvtNV577bW6a+PHj8/8lb5TTz2VvffemwMOOIBBgwbxpz/9iauuuopJkyZx6KGHZsazdOlShgwZstURJUuXLmXfffdlyJAhRX2vpUuXFvXUb0mSJHWu9q7vAV5ePJg1TRRqW9JR6/u2co3f9DGETfVvzdpfkqTubuTQMlY1UWzuygcqt1ePKkC3xz67bs/m6uqWO3aCE088kfLycq666ioWLFjAyJEjmT17NldffTUDBw5k77335sQTT6zrP3nyZHbYYQfmz5/PqaeeCsBTTz0FwDnnnLPV/Vs6E645gwcPZurUqdx7772ccMIJde333XcfAF/60pe2GvP3v/89c1fEv/zLv3DTTTfxne98h+rqanbffXfOPfdczjvvvK1+BbDxw0rmz5/Pcccdt1W/+fPn84lPfKKo77R582YWLVrE1VdfXVR/SZIklYZ9dt0eoNus8VvLNX7Dfs1pzdpfkqRS0B0fqNxePaoA3d4dCuvXr+/U8+HKy8sbPCCvVt++fesWl1BzLMcpp5zS7H222WYbTjnlFG6//fa6xelNN91Ud65bR/rsZz/LGWecwX/913/V7Xpo/FC/1jjnnHOaXEDXV/srhPXPdXv99ddZsGABCxcubNB3xYoVPPbYY9x9991Fzb9o0SI2bdrUYLEtSZKk7qEjdiB35Rp/8uTJTRaGm2qrqKho8Nk1fstau/aXJKkUNH6g8qihZcycOrZkz38GKO5/K6vbmzlzJg8++GCDwnUepk2bxsiRI7n11ltznafWk08+ybe+9S0iou7BJQDXXXcdEydO5MMfbvjQyNmzZ3PKKacUfRbd7NmzOe+88xg8eHBHhi1JkiS1m2v8bK1d+0uSVCqOHT+K8e8eykG778BDFx1W0sVn6GE7oHuzd73rXfzoRz/iH//4R9EP7GiLPn36cOONN7JixYrc5qjv4osv5g9/+AOzZs1qcJ7dkCFDuPbaaxv0TSmx++67c9JJJxV176qqKiZNmsR5553XoTFLkiRJHcE1fvNau/aXJEldxwJ0D/LJT36yU+aZOHFi3UNO8lb7oMXGzjzzzK3aIoILL7yw6HuXlZVx6aWXtjk2SZIkKW+9fY3fnNau/SVJUtfxCA5JkiRJkiRJUi5Kegd0SmmrpyZLeWjrU8UlSZJUPNf3yoNreUlSe8x9ZBWzFqxgdWUVI3vAAwG7QsnugO7fvz9VVVVdHYZ6iaqqKvr379/VYUiSJPVYru+VF9fykqS2mvvIKi6es5xVlVUkYFVlFRfPWc7cR1Z1dWglpWQL0MOHD2fVqlVs3LjR/6Ot3KSU2LhxI6tWrWL48OFdHY4kSVKP5fpeHc21vCSpvWYtWEHVpuoGbVWbqpm1oHMe3NtTlOwRHNtvvz0Aq1evZtOmTR1yzzfffJOBAwd2yL16ot6an/79+zNixIi6v3OSJEnqeHms76H3rmGL1dPz41pektQeqyub/u2s5trVtJItQEPNIrUjFxIVFRWMHz++w+7X05gfSZIk5amj1/fgGrYl5keSpOaNHFrGqiaKzSOHlnVBNKWrZI/gkCRJktR2EbFPRCyKiI0RsToiLo+IvkWMGxIRP46ItRHxekT8JCJ27IyYJUmSOtPMqWMp699weVTWvy8zp47toohKU0nvgJYkSZLUehExDFgIPA5MA94DXE3NBpWvtTD858DewBnAFuBKYC7wkbzilSRJ6grHjh8FwJfvfJS3q7cwamgZM6eOrWtXcSxAS5IkSb3PF4Ay4PiU0jrg/ojYHiiPiKsKbVuJiEnAEcAhKaVfF9pWAb+PiI+mlBZ2UvwtmvvIKmYtWMGqyipG/e6Bov+xWDtudWUVI1v5j8y2ju3scfXH9uT8dMSc5id7nPnJHmd+sseZn+xxrc1PR8xpfpp27PhR3PaH5wH42ecnFTWXGrIALUmSJPU+RwILGhWab6dmN/MhwK8yxv2ztvgMkFL6Q0T8vXCtWxSg5z6yiovnLK97av2qyiounrMcIPMfmm0d1xVzllKsvWXOUoq1K+YspVi7Ys5SirUr5iylWHvLnKUUa3vHqv0sQEuSJEm9zzjggfoNKaXnI2Jj4VpzBehxwJNNtD9RuNYtzFqwgqpN1Xz+0bvZ4/VV71z4dV+ee/fQ5gc+X0n55uqt21sa156xnT3OOf0z6ao5SynWrpizlGLtijlLKdbeMmcpxdrescBp/6j5f/bP/bZ1D0tu67j2jt12u21h8uRWj8uLBWhJkiSp9xkGVDbRvrZwrS3j9mhqQETMAGYAjBgxgoqKilYF2hZNPa0e4K3N1VRWNhX+O9fbMq49Yzt7nHP6Z9JVc5ZSrF0xZynF2hVzllKsvWXOUoq1vWMBRpbVvBfTtyPGtWfs8+u38MKwAWzohDVXsSxAS5IkScpNSukG4AaACRMmpMmdsBtn1O8eYFVlFT94/7SG7UPLeOiiw5odd9a3H2iyeN3SuPaM7exxzumfSVfNWUqxdsWcpRRrV8xZSrH2ljlLKdb2ji013/zBEiorKzmrG+2A7tPVAUiSJEnqdGuBIU20Dytc6+hxnWrm1LGU9e/boK2sf19mTh2by7iumLOUYu0tc5ZSrF0xZynF2hVzllKsXTFnKcXaW+YspVjbO1bt5w5oSZIkqfd5kkZnNkfEbsAgmj7juf64jzTRPg6Y22HRtVPtw4RmLVjBqsoqRhX5pPv641ZXVjGyyHHtGdvZ4xqP7an56ag5zU/2OPOTPc78ZI8zP9njWpOfrvievSU/6hiRUurqGJo0YcKEtHTp0k6ds6Kigs74lcBSZX6ymZ9s5ieb+clmfrKZn2zmp3knFn49b8GFR3bqvBGxLKU0oVMnVQMRcTEwExidUlpfaLsAuBzYJaW0rplxk4CHgY+klH5baJsA/BE4PKW0MGte1/jdj/nJZn6ymZ9s5ieb+clmfrKZn+Z1xzW+R3BIkpMt9UIAACAASURBVCRJvc/1wFvAnIj4aOFBgeXANfWLzxHxTET8d+3nlNIS4D7glog4PiKOBX4C/Lal4rMkSZJ6JwvQkiRJUi+TUloLTAH6Ar8CLgNmA5c26tqv0Ke+E4HFwI+AW4BlwHF5xitJkqTS5RnQkiRJUi+UUnocyHzse0ppTBNtlcBnCi9JkiQpkzugJUmSJEmSJEm5sAAtSZIkSZIkScqFBWhJkiRJkiRJUi4sQEuSJEmSJEmScmEBWpIkSZIkSZKUCwvQkiRJkiRJkqRcWICWJEmSJEmSJOWiqAJ0ROwTEYsiYmNErI6IyyOibxHjJkTEfRGxpvBaGBEHtT9sSZIkSZIkSVJ312IBOiKGAQuBBEwDLgfOBy5rYdxuhXH9gFMLr37A/RExun1hS5IkSZIkSZK6u35F9PkCUAYcn1JaR00BeXugPCKuKrQ15ShgO+C4lNLrABHxMPAq8DHgunZHL0mSJEmSJEnqtoo5guNIYEGjQvPt1BSlD8kY1x/YDLxRr21DoS1aGackSZIkSZIkqcQUU4AeBzxZvyGl9DywsXCtOb8o9Lk6IoZHxHBgNrAWuKNt4UqSJEmSJEmSSkUxBehhQGUT7WsL15qUUloNHAp8Avhn4XU8MDWl9ErrQ5UkSZIkSZIklZJizoBuk4jYlZqdzsuAMwrNXwT+NyIOLuyibjxmBjADYMSIEVRUVOQVXpM2bNjQ6XOWEvOTzfxkMz/ZzE8285PN/GQzP82rrKyiurra/EiSJEnKTTEF6LXAkCbahxWuNWcmNedAn5BS2gQQEQ8ATwMXAOc2HpBSugG4AWDChAlp8uTJRYTXcSoqKujsOUuJ+clmfrKZn2zmJ5v5yWZ+spmf5l23YgmVlZXmR5IkSVJuijmC40kanfUcEbsBg2h0NnQj44DHaovPACmlt4HHgPe0PlRJkiRJkiRJUikppgB9LzA1Irar13YiUAUszhj3HLBfRGxT2xARA4D9gJWtD1WSJEmSJEmSVEqKKUBfD7wFzImIjxbOaS4HrkkpravtFBHPRMR/1xv3Q2AkcFdEHBURRwNzgV0pHLMhSZIkSZIkSeq5WixAp5TWAlOAvsCvgMuA2cCljbr2K/SpHbcM+D/AdsCtwC3UHNtxeErpLx0RvCRJkiRJkiSp+yrmIYSklB4HDmuhz5gm2hYBi9oUmSRJkiRJkiSppBVzBIckSZIkSZIkSa1mAVqSJEmSJEmSlAsL0JIkSZIkSZKkXFiAliRJkiRJkiTlwgK0JEmSJEmSJCkXFqAlSZIkSZIkSbmwAC1JkiRJkiRJyoUFaEmSJEmSJElSLixAS5IkSZIkSZJyYQFakiRJkiRJkpQLC9CSJEmSJEmSpFxYgJYkSZIkSZIk5cICtCRJkiRJkiQpFxagJUmSJEmSJEm5sAAtSZIkSZIkScqFBWhJkiRJkiRJUi4sQEuSJEmSJEmScmEBWpIkSZIkSZKUCwvQkiRJkiRJkqRcWICWJEmSJEmSJOXCArQkSZIkSZIkKRcWoCVJkqReKCI+FxFPR8SbEbEsIqYUMebzEXF/RPwzIl6PiIci4ojOiFeSJEmlyQK0JEmS1MtExEnA9cAtwJHAY8C8iNivhaFfBf4OfB44AXgGmB8Rx+QYriRJkkpYv64OQJIkSVKnKwduTildARARi4HxwEXAKRnjPpBSerXe5/sjYi/gPOCXOcUqSZKkEuYOaEmSJKkXiYg9gL2Bn9e2pZS2AHdQsxu6WY2Kz7UeAUZ2ZIySJEnqOSxAS5IkSb3LuML7k43anwB2iIidW3m/ScBT7Y5KkiRJPZJHcEiSJEm9y7DCe2Wj9rX1rr9SzI0i4nRqju44P6PPDGAGwIgRI6ioqGhNrO22YcOGTp+zlJifbOYnm/nJZn6ymZ9s5ieb+WleZWUV1dXV3So/FqAlSZKkEhcRQ4BdW+qXUmq867k9cx4IfA/4bkrpwYw5bwBuAJgwYUKaPHlyR4VQlIqKCjp7zlJifrKZn2zmJ5v5yWZ+spmfbOanedetWEJlZWW3yo8FaEmSJKn0TQduLKJf8M5O5yE03AVduzN6LS0onCP9v8AiMnY/S5IkSZ4BLUmSJJW4lNIPU0rR0qvQvXYX9LhGtxkHrEkpZR6/ERHDgQXAc8AnU0rVHfplJEmS1KNYgJYkSZJ6kZTS36h5aOD02raI6FP4fG/W2IjYFrin8PHolNLGvOKUJElSz+ARHJIkSVLvUw78T0SsBB4CPg3sBZxc2yEiDqHmiI0pKaXFheY5wPuB04D3RMR7avunlH7XGYFLkiSptFiAliRJknqZlNJthd3MFwKXAI9Rs6P5r/W6BdC38F7r8ML7T5q4bTTRJkmSpF7OArQkSZLUC6WUbiTjwYUppQoaFZXrnSMtSZIkFcUzoCVJkiRJkiRJubAALUmSJEmSJEnKhQVoSZIkSZIkSVIuLEBLkiRJkiRJknJhAVqSJEmSJEmSlAsL0JIkSZIkSZKkXFiAliRJkiRJkiTlwgK0JEmSJEmSJCkXFqAlSZIkSZIkSbmwAC1JkiRJkiRJyoUFaEmSJEmSJElSLixAS5IkSZIkSZJyYQFakiRJkiRJkpQLC9CSJEmSJEmSpFwUVYCOiH0iYlFEbIyI1RFxeUT0LXLs8RHxx4ioiojXImJ+RAxuX9iSJEmSJEmSpO6uxQJ0RAwDFgIJmAZcDpwPXFbE2DOAnwL3AkcCZwBPA/3aHrIkSZIkSZIkqRQUUwj+AlAGHJ9SWgfcHxHbA+URcVWhbSsRsRMwGzgnpXRjvUt3tTdoSZIkSZIkSVL3V8wRHEcCCxoVmm+npih9SMa4fyu839zG2CRJkiRJkiRJJayYAvQ44Mn6DSml54GNhWvNOQhYAXw2Il6MiE0R8fuIOLjN0UqSJEmSJEmSSkYxBehhQGUT7WsL15qzCzAW+BpwIfBx4A1gfkSMaGWckiRJkiRJkqQSk+fDAAPYFpieUpoPEBEPA88BZwOXbDUgYgYwA2DEiBFUVFTkGN7WNmzY0OlzlhLzk838ZDM/2cxPNvOTzfxkMz/Nq6ysorq62vxIkiRJyk0xBei1wJAm2ocVrmWNS0BFbUNKaV1ELAP2aWpASukG4AaACRMmpMmTJxcRXsepqKigs+csJeYnm/nJZn6ymZ9s5ieb+clmfpp33YolVFZWmh9JkiRJuSnmCI4naXTWc0TsBgyi0dnQjTxBzS7oaNQewJZWxChJkiRJkiRJKkHFFKDvBaZGxHb12k4EqoDFGePmFd4PrW2IiCHAgcBfWhmnJEmSJEmSJKnEFFOAvh54C5gTER8tnNNcDlyTUlpX2ykinomI/679nFJaCtwN/HdEfDoijgJ+CWwC/l8HfgdJkiRJkiRJUjfUYgE6pbQWmAL0BX4FXAbMBi5t1LVfoU99pwBzgWuAO6kpPh9WuKckSZIkSZIkqQcr5iGEpJQeBw5roc+YJto2AGcWXpIkSZIkSZKkXqSYIzgkSZIkSZIkSWo1C9CSJEmSJEmSpFxYgJYkSZIkSZIk5cICtCRJkiRJkiQpFxagJUmSJEmSJEm5sAAtSZIkSZIkScqFBWhJkiRJkiRJUi4sQEuSJEmSJEmScmEBWpIkSZIkSZKUCwvQkiRJkiRJkqRcWICWJEmSJEmSJOXCArQkSZIkSZIkKRcWoCVJkiRJkiRJubAALUmSJEmSJEnKhQVoSZIkqReKiM9FxNMR8WZELIuIKa0cPz4iqiPi1bxilCRJUumzAC1JkiT1MhFxEnA9cAtwJPAYMC8i9ityfADfB17JLUhJkiT1CBagJUmSpN6nHLg5pXRFSulB4DTgGeCiIsefAowAfpRLdJIkSeoxLEBLkiRJvUhE7AHsDfy8ti2ltAW4g5rd0C2N3w64ErgAeDunMCVJktRDWICWJEmSepdxhfcnG7U/AewQETu3MP4/gCdSSnM7PDJJkiT1OP26OgBJkiRJnWpY4b2yUfvaetebPNs5IsYCXwQOKnayiJgBzAAYMWIEFRUVrYm13TZs2NDpc5YS85PN/GQzP9nMTzbzk838ZDM/zausrKK6urpb5ccCtCRJklTiImIIsGtL/VJKjXc9t9Z3gZtSSsuLHZBSugG4AWDChAlp8uTJ7QyhdSoqKujsOUuJ+clmfrKZn2zmJ5v5yWZ+spmf5l23YgmVlZXdKj8WoCVJkqTSNx24sYh+wTs7nYfQcBd07c7otTQhIo4EPgScHRFDC80Day7FUKAqpfRWawOXJElSz+YZ0JIkSVKJSyn9MKUULb0K3Wt3QY9rdJtxwJqUUpPHbwBjgW2Bp6kpUq8FLgR2KPw8s0O/lCRJknoEd0BLkiRJvUhK6W8R8RQ1u6YXAEREn8LnezOG3gn8uVHbacBxwDTg7x0erCRJkkqeBWhJkiSp9ykH/iciVgIPAZ8G9gJOru0QEYcAi4ApKaXFKaUXgRfr3yQiJgObUkoVnRK1JEmSSo4FaEmSJKmXSSndFhHbUnOExiXAY8DRKaW/1usWQN/CuyRJktQmFqAlSZKkXiildCMZDy4s7GrOLD6nlMqp2U0tSZIkNcmHEEqSJEmSJEmScmEBWpIkSZIkSZKUCwvQkiRJkiRJkqRcWICWJEmSJEmSJOXCArQkSZIkSZIkKRcWoCVJkiRJkiRJubAALUmSJEmSJEnKhQVoSZIkSZIkSVIuLEBLkiRJkiRJknJhAVqSJEmSJEmSlAsL0JIkSZIkSZKkXFiAliRJkiRJkiTlwgK0JEmSJEmSJCkXFqAlSZIkSZIkSbmwAC1JkiRJkiRJyoUFaEmSJEmSJElSLixAS5IkSZIkSZJyYQFakiRJkiRJkpQLC9CSJEmSJEmSpFxYgJYkSZIkSZIk5cICtCRJkiRJkiQpFxagJUmSJEmSJEm5KKoAHRH7RMSiiNgYEasj4vKI6FvsJBHRJyKWRkSKiKPbHq4kSZIkSZIkqVT0a6lDRAwDFgKPA9OA9wBXU1O8/lqR85wBvKuNMUqSJEmSJEmSSlAxO6C/AJQBx6eU7k8pXQ9cBvzfiNi+pcGFAvY3gK+2K1JJkiRJkiRJUkkppgB9JLAgpbSuXtvt1BSlDyli/BXAQ8Ci1ocnSZIkSZIkSSpVxRSgxwFP1m9IKT0PbCxca1ZEvB84HbigrQFKkiRJkiRJkkpTi2dAA8OAyiba1xauZfke8P2U0jMRMaaliSJiBjADYMSIEVRUVBQRXsfZsGFDp89ZSsxPNvOTzfxkMz/ZzE8285PN/DSvsrKK6upq8yNJkiQpN8UUoNskIj4JjAU+XuyYlNINwA0AEyZMSJMnT84nuGZUVFTQ2XOWEvOTzfxkMz/ZzE8285PN/GQzP827bsUSKisrzY8kSZKk3BRzBMdaYEgT7cMK17YSEf2BWcCVQJ+IGArUPrBwcERs14ZYJUmSJEmSJEklpJgC9JM0Ous5InYDBtHobOh6BgPvAq6hpki9FvhL4drtwCNtCVaSJEmSJEmSVDqKOYLjXmBmRGyXUlpfaDsRqAIWNzNmA3Boo7ZdgNuArwAPtCFWSZIkNTL3kVXMWrCC1ZVVjBxaxsypYzl2/KiuDkuSJEmSgOIK0NcD5wJzIuJKYA+gHLgmpbSutlNEPAMsTil9NqW0Gaiof5N6DyFcnlL6fbsjlyRJ6uXmPrKKi+csp2pTNQCrKqu4eM5yAIvQkiRJkrqFFo/gSCmtBaYAfYFfAZcBs4FLG3XtV+gjSZKkTjBrwYq64nOtqk3VzFqwoosikiRJkqSGitkBTUrpceCwFvqMaeH6SiCKDUySJEnZVldWtapdkiRJkjpbMQ8hlCRJUjc0cmhZq9olSZIkqbNZgJYkSSpRM6eOpax/wxPQyvr3ZebUsV0UkSRJkiQ1VNQRHJIkSep+ah80+OU7H+Xt6i2MGlrGzKljfQChJEmSpG7DArQkSVIJO3b8KG77w/MA/Ozzk7o4GkmSJElqyCM4JEmSJEmSJEm5sAAtSZIkSZIkScqFBWhJkiRJkiRJUi4sQEuSJEm9UER8LiKejog3I2JZREwpctygiLgyIp4vjP1bRHw573glSZJUmnwIoSRJktTLRMRJwPVAOfBb4DPAvIj4YErprxnj+gL3ALsAXwVeAN4D7Jh3zJIkSSpNFqAlSZKk3qccuDmldAVARCwGxgMXAadkjPscsD8wNqX0cqGtIr8wJUmSVOo8gkOSJEnqRSJiD2Bv4Oe1bSmlLcAdwJEtDD8d+Hm94rMkSZKUyQK0JEmS1LuMK7w/2aj9CWCHiNi5qUERsQ01u6RfjIifRERVRLweET+OiO1zjFeSJEklzCM4JEmSpN5lWOG9slH72nrXX2li3I7U/Pvhy8Ai4Bjg3cAsYDDwb01NFhEzgBkAI0aMoKKioh2ht96GDRs6fc5SYn6ymZ9s5ieb+clmfrKZn2zmp3mVlVVUV1d3q/xYgJYkSZJKXEQMAXZtqV9KqfGu51ZNU3hfC0xPKW0qzL0JuDki3pNSeraJOW8AbgCYMGFCmjx5cjtCaL2Kigo6e85SYn6ymZ9s5ieb+clmfrKZn2zmp3nXrVhCZWVlt8qPBWhJkiSp9E0HbiyiX/DOTuchNNwFXbszei1Nq+37UG3xueCBwvs+wFYFaEmSJPVungEtSZIklbiU0g9TStHSq9C9dhf0uEa3GQesSSk1dfwGKaWNwHO8sxO6Vu3nLR3xXSRJktSzWICWJEmSepGU0t+Ap6jZNQ1ARPQpfL63heHzgA8VHkhYawo1xeflHRyqJEmSegAL0JIkSVLvUw58JiK+FhGHAj8C9gK+XdshIg6JiM0RcUi9cbOA7YBfRMSRhQcMzgZ+lFJ6vvPClyRJUqnwDGhJkiSpl0kp3RYR2wIXApcAjwFHp5T+Wq9bAH2pd+RGSum5iPgoNUXnOcA64Gbgos6KXZIkSaXFArQkSZLUC6WUbiTjwYUppQq2Pu+ZlNJS4CP5RSZJkqSexCM4JEmSJEmSJEm5sAAtSZIkSZIkScqFBWhJkiRJkiRJUi4sQEuSJEmSJEmScmEBWpIkSZIkSZKUCwvQkiRJkiRJkqRcWICWJEmSJEmSJOXCArQkSZIkSZIkKRcWoCVJkiRJkiRJubAALUmSJEmSJEnKhQVoSZIkSZIkSVIuLEBLkiRJkiRJknJhAVqSJEmSJEmSlAsL0JIkSZIkSZKkXFiAliRJkiRJkiTlwgK0JEmSJEmSJCkXFqAlSZIkSZIkSbmwAC1JkiRJkiRJyoUFaEmSJEmSJElSLixAS5IkSZIkSZJyYQFakiRJkiRJkpQLC9CSJEmSJEmSpFxYgJYkSZIkSZIk5cICtCRJkiRJkiQpFxagJUmSJEmSJEm5sAAtSZIkSZIkScqFBWhJkiRJkiRJUi4sQEuSJEmSJEmSclFUAToi9omIRRGxMSJWR8TlEdG3hTEfjIgfR8QzhXErIuLSiBjYMaFLkiRJkiRJkrqzfi11iIhhwELgcWAa8B7gamqK11/7/+3debxcVZXo8d8ygCQgSQAJJoo0DuSBw6ONAw+VtBPi0NA0SoP0E1oBfU3rc8AGG5uoT0VpQUUfk7bg1GpjjEI/OhrwogyKYBQEiaJMBmiJ5AIhkSFZ749zblKp1D237lB1qu79fT+f+lRq19m1V61Ppe6qXbv2qeh6WHnsx4HfAM8BPlxe//W4opYkSZIkSZIk9bwRJ6CBtwHTgUMy8wHg+xGxA7AoIj5RtrVyamauarg9EBF/As6JiKdm5u3jC12SJEmSJEmS1Mva2YLjQGBp00Tz1ykmpfcfrlPT5POQ5eX13LYjlCRJkiRJkiT1pXYmoOcDNzc2ZOYdwNryvtHYF9gA/HaU/SRJkiRJkiRJfaadLThmA4Mt2leX97UlInal2DP6y5n5h2GOORY4FmDOnDkMDAy0+/ATYs2aNV0fs5+Yn2rmp5r5qWZ+qpmfauan2lTIz+DgOoBRP8/BwXWsX79+0udHkiRJUn3amYAet4jYBvgmsAZ413DHZea5wLkACxYsyIULF3YjvI0GBgbo9pj9xPxUMz/VzE8181PN/FQzP9WmQn7OWnE1AAsX7jvqfoODg5M+P5IkSZLq084E9GpgZov22eV9lSIigC8BewP7ZeaIfSRJkiRJkiRJ/a+dCeibadrrOSKeAsygaW/oYXwKOAh4ZWa2c7wkSZIkSZIkaRJo5ySElwAHRMQTGtoOA9YBl1d1jIiTgOOBIzPzijFHKUmSJEmSJEnqO+1MQJ8NPAwsjohXlCcKXAScnpkPDB0UEbdExBcabh8BfJRi+42VEfGihssTJ/RZSJIkSZIkSZJ6zohbcGTm6oh4OfBZ4CJgEDiDYhK6+bGmNdx+VXl9VHlpdDRw/miDlSRJkiRJkiT1j3b2gCYzbwJeNsIxuzfdPootJ54lSZIkSZIkSVNEO1twSJIkSZpkIuKYiPhNRPwpIq4rf/U4Up+IiOMj4saIWBsRt0fEmRExqxsxS5Ikqf84AS1JkiRNMRFxOMW5Xr4EHAjcCFwcEc8aoes/AJ8BLgReS3HOlyOACzoXrSRJkvpZW1twSJIkSZpUFgEXZOaHASLicmAf4ETgyIp+RwDfzsxTyts/iIjHA2dExHaZ+VAHY5YkSVIfcgW0JEmSNIVExB7AM4FvDrVl5gbg3ylWQ1fZGri/qW0QiPIiSZIkbcYJaEmSJGlqmV9e39zU/itgx4h4YkXfzwNvjIjXRMQTImJo1fT5mbmmA7FKkiSpz7kFhyRJkjS1zC6vB5vaVzfcf2+rjpl5VkQ8AbiITYtZlgDHDTdYRBwLHAswZ84cBgYGxhb1GK1Zs6brY/YT81PN/FQzP9XMTzXzU838VDM/wxscXMf69et7Kj9OQEuSJEl9LiJmAk8a6bjMbF71PNpxDgc+AJwMXAk8Dfgw8AXgfw4z5rnAuQALFizIhQsXjieEURsYGKDbY/YT81PN/FQzP9XMTzXzU838VDM/wztrxdUMDg72VH6cgJYkSZL63xuA89o4Lti00nkmm6+CHloZvZoWIuJxwJnAZzLzY2XzDyPiLuA/I+JTmfmzUUcuSZKkSc09oCVJkqQ+l5mfz8wY6VIePrQKen7Tw8wH7svMlttvADsDOwE/b2pfXl4/bdxPRJIkSZOOE9CSJEnSFJKZvwN+TbFqGti4uvkNwCUVXe8F1gJ/3tT+vPL6tomLUpIkSZOFW3BIkiRJU88i4CsRcRvFXs5vBp4BHDF0QETsD1wKvDwzL8/MjIhzgXdFxFo27QH9QeDHwHVdfQaSJEnqC05AS5IkSVNMZv5bRGwP/CPFSQVvBF6Xmb9sOCyAaeX1kBOBVcDfAidRrIq+GDg5Mzd0I3ZJkiT1FyegJUmSpCkoM8+j4sSFmTnA5pPPZObDwEfKiyRJkjQi94CWJEmSJEmSJHWEE9CSJEmSJEmSpI5wAlqSJEmSJEmS1BFOQEuSJEmSJEmSOsIJaEmSJEmSJElSRzgBLUmSJEmSJEnqCCegJUmSJEmSJEkd4QS0JEmSJEmSJKkjnICWJEmSJEmSJHWEE9CSJEmSJEmSpI5wAlqSJEmSJEmS1BFOQEuSJEmSJEmSOsIJaEmSJEmSJElSRzgBLUmSJEmSJEnqCCegJUmSJEmSJEkd4QS0JEmSJEmSJKkjnICWJEmSJEmSJHWEE9CSJEmSJEmSpI5wAlqSJEmSJEmS1BFOQEuSJEmSJEmSOsIJaEmSJEmSJElSRzgBLUmSJEmSJEnqCCegJUmSJEmSJEkd4QS0JEmSJEmSJKkjtqo7AEmSJMGS5Ss5bekK7hpcx9xZ0znhgD05eJ95dYclSZIkSePiBLQkSVLNlixfyUmLb2Ddo+sBWDm4jpMW3wDgJLQkSZKkvuYWHJIkSTU7bemKjZPPQ9Y9up7Tlq6oKSJJkiRJmhhOQEuSJNXsrsF1o2qXJEmSpH7hBLQkSVLN5s6aPqp2SZIkSeoXTkBLkiTV7IQD9mT61tM2a5u+9TROOGDPmiKSJEmSpInhSQglSZJqNnSiwfddeD2PrN/AvFnTOeGAPT0BoSRJkqS+5wS0JElSDzh4n3n82zV3APCN4/atORpJkiRJmhhuwSFJkiRJkiRJ6ggnoCVJkiRJkiRJHeEEtCRJkiRJkiSpI9qagI6IvSLi0ohYGxF3RcSHImJaG/1mRsQXI2J1RNwfEV+NiJ3GH7YkSZIkSZIkqdeNeBLCiJgNLANuAg4CngZ8kmLy+uQRun8TeCbwVmAD8HFgCfCSsYcsSZIkSZIkSeoHI05AA28DpgOHZOYDwPcjYgdgUUR8omzbQkTsC7wK2D8zf1i2rQR+EhGvyMxlE/MUxm/J8pWctnQFKwfXMe/Hl3HCAXty8D7z2u531+A65s6a3na/8fStc0zzU93P/FT3Mz/V/Uabn4kY0/z0Rr+JGNP8SJIkSZJ6VTsT0AcCS5smmr9OsZp5f+Ciin7/NTT5DJCZ10TEreV9PTEBvWT5Sk5afAPrHl0PwMrBdZy0+AaAyg+3Y+03Vcbsp1jrGLOfYq1jzH6KdaqM2U+x1jFmP8Vax5h1xDrUd6wT9JIkSZKkidHOBPR84LLGhsy8IyLWlvcNNwE9H7i5Rfuvyvt6wmlLV7Du0fUcd/132OP+lZvu+OE0bt9t1vAd7xhk0WPrt2wfqd94+vbTmP0Uax1j9lOsdYzZT7FOlTH7KdY6xuynWOsYs4ZYV615mEdXPcQ7NuTGtkf/I7hu5+3YefvHV49Zo6PuLr7vv/2KHbrW7/YddqFYGyBJkiRJE6+dCejZwGCL9tXlfWPpt0erDhFxLHAswJw5cxgYGGgjvPFZObiuZfvDj61ncLBV+JvuH0u/8fTtpzH7KdY6xuynWOsYs59inSpj9lOsdYzZT7HWMWYdsd4+uIENDZPPABs2JLeveoitHmv9t7/R/Y8k9zy0gUzY+nHBzjOCmdvEiP0A7nhwAwC7PaGtDUkdVAAAFhNJREFUcz1vZu704nqkvExkv1k7ZVdqLkmSJElTUzsT0F2TmecC5wIsWLAgFy5c2PEx5/34MlYOruOc5xy0efus6Vx54suG7fe/Tr2s5eT1SP3G07efxuynWOsYs59irWPMfop1qozZT7HWMWY/xVrHmHXEevCJ/0G2aA/g1lNfWzlm87YfANO3nsbHDnl2W1t4fPScqwH4xnH7jnhsLxgYGKAbNZd6S0QcBhwG7AvsChydmee32Xc/4HTgucDdwBmZ+ZkOhSpJkqQ+187SnNXAzBbts8v7JrpfV51wwJ5M33raZm3Tt57GCQfs2ZF+U2XMfoq1jjH7KdY6xuynWKfKmP0Uax1j9lOsdYxZR6xzZ00fVXujoe25Gq17dD2nLV0xYt8ly1ey/I5BfnLrfex36mUsWb5yxD5STQ4FdgcuHk2niHg6sBS4FXgNcA5wekS8daIDlCRJ0ugMfR5ZsXpDT30eaWcF9M007dkcEU8BZtB6j+fGfi9p0T4fWNJugJ02tJJp40mKZk1v6yRFjf3uGlzH3Db7jadv3WOan+p+5qe6n/mp7jea/NTxPM2P+amj33j6nnDAni1XMbcz6X3XMNtzDdc+ZGjl9CPriy04RnPCRKkGh2XmhojYHhjN5PEJwF3AkZn5GHBZROwGnBIRX8jMVj8+kCRJUof18ueRGKlGjIiTKArNp2bmg2Xbe4EPAbtm5gPD9NsXuAp4SWZeUbYtAH4KvDIzl1WNu2DBgrz22mtH+XTGx5+gVjM/1cxPNfNTzfxUMz/VzE9rS5avHNME/X5j3PZjrP3qVsfrJyKuy8wFXR1ULZUT0A/S5hYcEXEH8LXMPLGh7aXA5cBzMvOGqv7W+L3H/FQzP9XMTzXzU838VDM/1czPlur+PFJV47ezBcfZwMPA4oh4RXmiwEXA6Y2TzxFxS0R8Yeh2Zl4NfA/4UkQcEhEHA18Frhhp8lmSJGm8Dt5nHlee+DLOf/V2XHniy9r+1n+s236MdeW01C8iYjvgKWz5K8hfldfzkSRJUi16+fPIiFtwZObqiHg58FngImAQOINiErr5saY1tR1WHvuvFJPdFwPvGF/IkiRJnTPWbT/mzprecsVBO/tOS31iVnk92NQ+dH6X2a06lQtYjgWYM2cOAwMDHQluOGvWrOn6mP3E/FQzP9XMTzXzU838VDM/1czPlnbcNvjjn7bc6WLHbaP2XLWzBzSZeRNQuVY7M3dv0TYIHF1eJEmS+sLB+8wb9T5p49l3WhqviJgJPGmk4zKz6hwuHZGZ5wLnQrEFR7d/LutPdKuZn2rmp5r5qWZ+qpmfauanmvnZ0gdmrmz5eeQDBz2bhTXvAd3WBLQkSZKqjedki9IEeANwXhvHxTjGGFr5PLOpfWjl82okSZJUi/GcqL7TnICWJEmaIGNZOS1NhMz8PPD5Do/xUETcyZZ7PQ/d7vrqakmSJG0y9Hmk11aIt3MSQkmSJEkCuAT4q4hoPPfLYcCdwC/rCUmSJEm9zBXQkiRJ0hQTEXsBewHblk0LImINcG9mXl4esz9wKfDyoTbgNOBNwJcj4jzg+cBxwNszc8uz3kiSJGnKcwJakiRJmnreCJzScPvvy8vlwMKyLYBpNOwbnZm3RMSrgdMpVkPfA7yn3AJEkiRJ2oIT0JIkSdIUk5mLgEUjHDNAi5MWZuYVwAs6EZckSZImH/eAliRJkiRJkiR1hBPQkiRJkiRJkqSOcAJakiRJkiRJktQRTkBLkiRJkiRJkjrCCWhJkiRJkiRJUkc4AS1JkiRJkiRJ6ojIzLpjaCki7gVu7/KwOwOrujxmPzE/1cxPNfNTzfxUMz/VzE8181Otjvw8NTOf2OUx1QOs8XuS+almfqqZn2rmp5r5qWZ+qpmfaj1V4/fsBHQdIuLazFxQdxy9yvxUMz/VzE8181PN/FQzP9XMTzXzo8nO13g181PN/FQzP9XMTzXzU838VDM/1XotP27BIUmSJEmSJEnqCCegJUmSJEmSJEkd4QT05s6tO4AeZ36qmZ9q5qea+almfqqZn2rmp5r50WTna7ya+almfqqZn2rmp5r5qWZ+qpmfaj2VH/eAliRJkiRJkiR1hCugJUmSJEmSJEkd4QS0JEmSJEmSJKkjpvwEdETsEBEfjIhrIuL+iLgnIr4dEc9scezMiPhiRKwuj/1qROxUR9zdFBGHRcTiiLg7IjIijmpxzMLyvubLqTWE3FXt5Kc8bl752nowIlZFxGcjYkaXw+0JETEwzOtl27pj66aI2CsiLo2ItRFxV0R8KCKm1R1Xr4iIo4Z5nbyt7ti6LSKeHhHnRMT1EbE+IgZaHBMR8f6IuDMi1kXEDyPiv9cQbte1mZ/bWryW7qkh3K6LiDdExHcjYmVErImI6yLi8BbHHRMRv4mIP5XHvLyOeKWJYI0/Mmv8atb4o2eNX7DGr2aNv4k1fjVr/Gr9VuNvVcegPWY34BjgC8A/ATOAk4CfRMRzMvPOhmO/CTwTeCuwAfg4sAR4SVcj7r5Dgd2Biymee5U3Ab9ruL2yQzH1khHzExFbA0uBR4C/AWYBp5fXR3Ylyt7zA+D9TW0P1xFIHSJiNrAMuAk4CHga8EmKLwZPrjG0XvQyYF3D7d8Nd+AktjfwGuDHwNbDHHMi8AHgBOBm4N3Asoh4VmZO9iKsnfwAfA04s+H2I50Mqoe8G7gVeBewiiJXX4uInTPzTICyWD0bWARcARwNXBwRz8/MX9YStTQ+1vgjs8avZo0/Ntb41vjtssa3xh+JNX61/qrxM3NKX4DtgOlNbTsCa4BTGtr2BRJ4aUPbC8q2V9T9PDqco8eV19uXz/eoFscsLO97Vt3x9mh+DgfWA3/W0PZGig85z6j7OdSQswHgwrrjqDkHJwGrgR0a2t4HrG1sm8oX4Kjy/9T2dcdS92Xofab894XAQNP92wL3A//c0LYdcC/wf+qOv+78lO23Af9Sd6w15WfnFm1fA25tuL0C+NfGnAI3AF+pO34vXsZyscZvK0fW+OPPjzX+5vmwxrfGbydH1vibcmGNP478lO3W+Ju39WyNP+W34MjMhzJzXVPbfcDtwNyG5gOB/8rMHzYcdw3Ftw0HdiPWumTmhrpj6GVt5udA4KeZeWtD2xKKb+Ze3ZHA1OsOBJZm5gMNbV8HpgP71xOSelUb7zP/A9iBYhXfUJ+HgIuY5H+jwL9TI8nMVS2al1PWORGxB8Xqz8bXzwbg35kCrx9NTtb4I/O9s5o1vsbIGl9ts8av5t+pav1W40/5CehWIuKJwNOBXzc0z6f4uUOzX5X3qXBZuTfPbRFxsntdbbTF6yczHwF+y9R9/byq3BdtbUQsjYjn1B1Ql7V6TdxBsTpiqr4mhvPbiHgsIlZExHF1B9Oj5lOswPpNU7t/ozb3loh4pNzj9cKIeGrdAdVoXzbVOUOvkeY651fAjmVdJPU9a/xxscZvzRp/S9b41vjtssYfmTV+e6zxN+nZGt89oFv7JMXP885vaJsNDLY4djWwRxdi6nX3A6cCP6L4xv91wAeBJwLvrDGuXlH1+pnd5Vh6weXABcAtwFMp9mb8UUQ8NzNvqzOwLvI1MbK7KfY7uwaYRrG34tkRMSMzz6g1st4zG1iTmeub2lcDMyJim/ID8VT2HYr9434P/DfgFIr3nWdn5v21RtZl5YlHDgb+rmwaes9pfk9a3XD/vV0ITeo0a/zRs8avZj23OWt8XxPtsMZvnzX+yKzxS71e40/KCeiImAk8aaTjMnOL1Q4R8XaKE0b8dWb+sQPh1W48+ak4djnFUv8hyyLiYeDdEfHhYX4a0JM6kZ/JbrQ5y8xTGpp/FBHLKL6V+9/lRSIzl1Kc2GfIJeVZ1E+OiE/7kyyNRmY2TpT8KCKuAn5OcSKOT9UTVfdFxO4Ue8N9JzPPrzUYaZSs8atZ41ezxh89a3x1gjW+JpI1fqEfavxJOQENvAE4r43jYrMbEX9JcebMf8zMbzcdu5rim/5ms9n07UG/GFN+xuBCihMuPAe4bJyP1U2dyM9qYGaL9tnAL0bxOL1qXDnLzHsi4krgzyc0qt5W9Zrot/eUbrqQ4uQ+uzM1z5Q9nNXA9hExrWmFxGxgrSsjtpSZv4yIFUyh952I2BG4hGIP3Dc13DX0njOTzVdIzG66X6qbNX41a/xq1vijZ40/etb4Y2ON35o1/ihZ4/dujT8p94DOzM9nZox0aewTEftRnBzg7Mw8rcXD3kzrPXaG2zeuZ40lP2Mdqum6L3QoP1u8fiJiG4qfdvbV66eVCcpZ0mevlXFq9Zp4CjCDSfCa6KC+fF/pgpspfsL49Kb2vvsb1WVT5n0nImYAFwPbAK/LzLUNdw+9RprrnPnAfZnp9hvqCdb41azxq1njj541/phY449NX76vdIE1/thMmfedfqrxJ+UE9GhFxN4UZxH9T+Adwxx2CbBrRLy4od8CiuLiko4H2Z8OBR4Drq87kB5wCfD8ps3w/xJ4PMXrbkqLiF2BFwPX1R1LF10CHBART2hoOwxYR7F/nlo7FFhF8e2uNrkKeIBipRKwsRh5Pf6NaikinkVRfE36952I2IribNfPAF6dmX9ovD8zf0dxspLG18/jytu+ftS3rPE7xhp/E2v8Ctb4G1njj8wavzVr/FGyxt+k12r8yboFR9siYheK4mAN8BngBREbv8R9IDNvAsjMqyPie8CXIuK9wAbg48AVmbms+5F3T0TsBewFbFs2LYiINcC9mXl5ecxZFJuX/5TiBCWvAY4HPjVZ99kb0k5+KH5S9E/A4oj4AMVPIM4AvpaZzWe0ndTKM2F/jOKN8nZgN+Akiv9TU2aPJuBsig/DiyPi4xQfdBcBp2fmA3UG1isi4lsUJye5nuKb/8PKyzum2t5wZaH5mvLmPGCHiDi0vP3/MnNtRJwKfCAiVlN82/1uii+az+x6wF02Un6Av6DY+/Vi4C6KovRk4A42PxnZZPV/KfLzTmCniNip4b7lmfkwxfvPVyLiNuBK4M0UxewR3Q1VmhjW+COzxq9mjT861vgbWeOPwBp/E2v8atb4I+qvGj8zp/QFWMim5fnNl4GmY2cBX6TYO+UBig2+d677OXQhR4tGyg/FH9nrgQeBh4EbKU40EXXH3wv5KY97MrCE4oPQH4HPATPqjr+GfM2j+GNxN8UHmT8C3wLm1x1bDbnYi2LvxHVlPj4MTKs7rl65AB8FVgBryxxdB/xt3XHVlIvdK/5W7V4eExQfgn9f5utHwD51x94L+aHYp/RSikmUR4F7KIrSuXXH3qX83DbS66c87hjglvLv+M+Al9cduxcvY71Y47eVI2v8ceanPM4aP63xm3JhjV+dH2v8Tbmwxh9Hfqzx+6vGjzIYSZIkSZIkSZImlHtAS5IkSZIkSZI6wgloSZIkSZIkSVJHOAEtSZIkSZIkSeoIJ6AlSZIkSZIkSR3hBLQkSZIkSZIkqSOcgJYkSZIkSZIkdYQT0JLUQkS8OyJ+0NT2+oi4MiIGI+KBiLgxIs6OiO3rirOViFgUEasm+DHnRcSaiNhjIh9XkiRJ6hZr/C0e0xpfUlc4AS1JTcpi80Tg1Ia2w4HvAjcAhwNvBC4AXgLMqiHMrsrMlcA3gH+uOxZJkiRptKzxt2SNL6lbIjPrjkGSekpEHENRhO2W5ZtkRFwJDGbma1scH9lDb6YRsQg4PjN3nuDHfTFwKTA3M/84kY8tSZIkdZI1/rCPa40vqeNcAS1pUoqI8yPi2oh4ZURcHxEPRcQVEbF3G93fDCxuKjhnAfe0OrjxuIh4T0T8NCLuj4j/ioiLIuLpTbENRMSFEXF0RNxa/uztyxHx+Ih4QURcU7YNRMRuDf12j4iMiCPK4x+MiD9ExClt5GPHiDi3jOlPEXFVRLyw6Zi3RMRNEbEuIlZFxOVN+boSuA/4m5HGkyRJkiaaNf4W+bDGl9QXnICWNJntBpwGfITiJ3W7AN+IiBiuQ0RsB7wQuKrprp8Bh0fE8RExt2LMJwOfBQ4CjgGmAVdFxMym415EUQT/A/A+ip/7nQmcB3waOBLYAzi3xRinAWuBQ8vjT4mIv694To8HlgGvAE4ADgbuBZZFxK7lMS8Fzga+DBwI/F2Zg41xl0X4j8vHkSRJkupgjY81vqT+slXdAUhSB+0I7JeZvwGIiMcB3wb2BG4eps9zKd4bf9nU/n7g2RQF5JkRcSuwBPhEZm5cNZGZ7xr6d0RMA74P/IGiWP1Sw+NtDxyUmfeXxy6kKGb3z8wflm1zgc9FxIzMXNvQ98bMPK7899KI2AV4f0SclZkbWjynI4FnAXs35GIZsAJ4D0XB+gLg+sz8WEO/77Z4rF+UcUqSJEl1sMYvWONL6huugJY0md02VIyVbiqvn1zRZ9fyerMzTGfmncDzKFYGfJLiZ2rvAq6PiI2PFxEviojvR8QfgccoVjFsDzyzaZxrhwrT0i3AI8AVTW0Azasxvt10e3F5zHDP6xXAdcCtEbFVRAx9+Xg5sKD898+BfSLijIh4aURsM8xjrQJ2qVphIkmSJHWQNX7BGl9S33ACWtJkNth0+5HyetuKPkP3Pdx8R2auz8xLM/O9mbkAOIBiBcZ7AMq93L4HBHAcsB/wfIrVEc1jtortwabVDcPF+4dhbj9pmOe0M8XPAR9tuhwNPKV8bsvK2y8FBoBVEfG58ueKjR6mWD3iL2gkSZJUB2v8gjW+pL7hm4skbe6+8noWWxaQm8nM70XEL4D5ZdOrgRkUP7t7CKBcibDjBMe4yzC37x7m+PuAa4G3t7hvYxGemRcAF0TEE4FDgDOAB4ETG46fBazJzEfHELckSZJUB2t8a3xJNXICWpI2t6K8/jPgtqHGiNglMzdblRAR21L8JO6Gsmk6sIHiZ3lD3sjEv9f+FXBWw+1DKArT3w9z/KXAq4A7mp9DK5l5L3BORBwC7NV09+7Ar0cbsCRJklQja3xrfEk1cgJakhpk5q0RcTfFXnA/aLhraUTcDFwE3Emxj9zxwGzgnPKYyyjOiP3FiPgCsDfwXkZYZTEGe0fEOcC3KH5O9xbgncOcnASKE6O8DRiIiH8BfgfsRHFSknsy84yI+CDFKo4Bij3g9gH2Z/OVEVDsJ3flxD4dSZIkqXOs8a3xJdXLPaAlaUuLgQOb2j5B8dO7jwPLKH66dj/w4sy8GiAzbwCOAl4IXAwcAbyhPG4ivQ/YgaI4PQ74MPDZ4Q7OzD8Bf0Fxtu4PUuxh92ngGcA15WE/pVgJcTawlOKnfIvK4wAof7b3vHJcSZIkqZ9Y41vjS6pJZGbdMUhST4mIfSiKtSdn5j11xzMkInYHbgVen5kX1zD+cRSrPZ6Z/vGQJElSH7HGH3Z8a3xJHecKaElqkpnLKVYIHF93LL0iIgJ4J/ARC1NJkiT1G2v8LVnjS+oWJ6AlqbX3APfWHUQP2RX4KvDlugORJEmSxsgaf3PW+JK6wi04JEmSJEmSJEkd4QpoSZIkSZIkSVJHOAEtSZIkSZIkSeoIJ6AlSZIkSZIkSR3hBLQkSZIkSZIkqSOcgJYkSZIkSZIkdcT/B1KkFpCsAwphAAAAAElFTkSuQmCC\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"FCME-bxvMYF7","executionInfo":{"status":"ok","timestamp":1612256493219,"user_tz":-330,"elapsed":5588,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"a499503b-0935-4454-cf76-e1e72c80a321"},"source":["# Import libraries\n","from mpl_toolkits import mplot3d\n","import numpy as np\n","import matplotlib.pyplot as plt\n"," \n","def plt_cmplx_3d(c,n):\n"," # Creating dataset\n"," x=n\n"," rl=(z**n).real\n"," img=(z**n).imag\n"," # Creating figure\n"," plt.rcParams.update({'font.size':15})\n"," fig = plt.figure(figsize = (30,10))\n"," #fig = plt.figure(figsize=plt.figaspect(0.5))\n"," #ax = plt.axes(projection =\"3d\")\n","\n"," ax = fig.add_subplot(1, 2, 1, projection='3d')\n"," # Creating plot\n"," ax.plot3D(x, rl, img, color = \"green\")\n"," ax.set_xlabel('n (Samples)')\n"," ax.set_ylabel(f'Real part')\n"," ax.set_zlabel(f'Imaginary part')\n"," plt.title(f'3d plot of {z}'+u'\\u207F')\n","\n"," ax = fig.add_subplot(1, 2, 2, projection='3d')\n"," ax.plot3D(x, [0]*np.shape(n)[0], img, color = \"red\",label='Imaginary part')\n"," ax.plot3D(x, rl,0, color = \"blue\",label='Real part')\n"," ax.set_xlabel('n (Samples)')\n"," ax.set_ylabel(f'Real part')\n"," ax.set_zlabel(f'Imaginary part')\n"," ax.legend()\n"," \n"," # show plot\n"," plt.show()\n","\n","rnge=100\n","n=np.arange(-rnge,rnge+1,1,dtype=float)\n","\n","for z in z_list:\n"," plt_cmplx_3d(z,n)\n"],"execution_count":68,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"qrEKgWot0bYc"},"source":["**Conclusion:**\n","\n"," For plot-4 where r=0.95, we see that the magnitude of both the real and imaginary parts decrease sinusoidally. Similarly for plot-5, r=1.05 and the magnitudes increase sinusoidally. For plot-3, the the amplitude remains constant. For the first 2 plots, the ampitudes increase exponentially with n."]},{"cell_type":"markdown","metadata":{"id":"Imq_-W1af5_g"},"source":["Consider the system y[n] = 2x[n − 3], the input to this system is the\n","sequence z n where z belong to the sequences in the previous section (all\n","complex). Find the response of the system. Also find the corresponding\n","eigen values of the response."]},{"cell_type":"code","metadata":{"id":"RYVo9C_Cmpla","colab":{"base_uri":"https://localhost:8080/","height":1000},"executionInfo":{"status":"ok","timestamp":1612255673649,"user_tz":-330,"elapsed":5914,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"4d2a17a2-92bb-4d6b-986e-d15960f5bcb7"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","import cmath\n","\n","# Signature of Convolution.py\n","## Function for computing convolution of 2 sequences\n","def convolution(x, h):\n"," x = list(x)\n"," h = list(h) #converting to lists\n"," if(len(x)"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"krJust9S7dfI"},"source":["**Conclusion:**\n","\n","The real and complex parts of all the inputs are shifted to the right by 3 samples. The eigen value of the system is 2z^-3."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"GgcnuQ8OcpTl","executionInfo":{"status":"ok","timestamp":1612256115155,"user_tz":-330,"elapsed":1035,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"9cbed802-9b39-4c49-9dd1-b4eba8020578"},"source":["for z in z_list:\n"," print(f'The eigen-value of the system y[n]=2x[n-3] for z={z} = ',end=' ')\n"," print(2*(z**-3))"],"execution_count":65,"outputs":[{"output_type":"stream","text":["The eigen-value of the system y[n]=2x[n-3] for z=(-2+3j) = (0.041875284478834776-0.008192990441511151j)\n","The eigen-value of the system y[n]=2x[n-3] for z=(2-3j) = (-0.041875284478834776+0.008192990441511151j)\n","The eigen-value of the system y[n]=2x[n-3] for z=(0.9510565162951535+0.3090169943749474j) = (1.1755705045849467-1.618033988749895j)\n","The eigen-value of the system y[n]=2x[n-3] for z=(0.9035036904803958+0.2935661446562j) = (1.3711275749642184-1.8871952048402338j)\n","The eigen-value of the system y[n]=2x[n-3] for z=(0.9986093421099113+0.3244678440936948j) = (1.0155020015850955-1.3977185951840143j)\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"ssRZBohe7omB"},"source":["The eigen values of the response is the Z Transform of the impulse re-\n","sponse. This is also known as the transfer function denoted by H(z). Com-\n","pute the magnitude spectrum |H(z)| and the phase spectrum arg[H(z)]\n","and plot them in separate plots. Plot for the previous section by consid-\n","ering complex z values from last part."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":404},"id":"EYF9kki59Zlh","executionInfo":{"status":"ok","timestamp":1612258227122,"user_tz":-330,"elapsed":1833,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"0dc843e7-3ab8-4c91-a308-5c39ab8e5c55"},"source":["import numpy as np\n","import cmath\n","rnge=int(2*np.pi)+1\n","w=np.linspace(0,rnge,rnge*100)\n","c=[]\n","for i in w:\n"," c.append(complex(0,i))\n","c=np.array(c)\n","z=np.exp(c)\n","Hz=2/(z**3)\n","mag=abs(Hz)\n","ph=[]\n","for i in list(Hz):\n"," ph.append(cmath.phase(i))\n","ph=np.array(ph)\n","\n","\n","fig,ax=plt.subplots(1,2,figsize=(25,6))\n","plt.rcParams.update({'font.size':15})\n","ax[0].plot(w,mag,label='Magnitude spectrum')\n","ax[0].set_xlabel('$\\omega$ (rad/s)')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(w,ph,label='Phase spectrum')\n","ax[1].set_xlabel('$\\omega$ (rad/s)')\n","ax[1].legend()\n","ax[1].grid()\n","plt.suptitle('H(z)=2/z'+u'\\u00B3')\n","\n","plt.show()"],"execution_count":80,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"tGFWfD-i0EEP"},"source":["Magnitude is constant at 2. Phase is -3w. Since we are including muliple periods, we have multiple such straight lines."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"U1z4__6LCGXn","executionInfo":{"status":"ok","timestamp":1612260203156,"user_tz":-330,"elapsed":1311,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"18fb12aa-b175-4019-9d3c-d7dc3e54dac0"},"source":["for z in z_list:\n"," print(f'For z={z} (Polar form:{cmath.polar(z)}')\n"," print(f'Magnitude of H(z) ={2/(abs(z))**3}')\n"," print(f'Phase of H(z) ={cmath.phase(2/(z**3))} radians')\n"," print()"],"execution_count":87,"outputs":[{"output_type":"stream","text":["For z=(-2+3j) (Polar form:(3.605551275463989, 2.158798930342464)\n","Magnitude of H(z) =0.042669245863479165\n","Phase of H(z) =-0.193211483847806 radians\n","\n","For z=(2-3j) (Polar form:(3.605551275463989, -0.982793723247329)\n","Magnitude of H(z) =0.042669245863479165\n","Phase of H(z) =2.948381169741987 radians\n","\n","For z=(0.9510565162951535+0.3090169943749474j) (Polar form:(0.9999999999999999, 0.3141592653589793)\n","Magnitude of H(z) =2.000000000000001\n","Phase of H(z) =-0.9424777960769378 radians\n","\n","For z=(0.9035036904803958+0.2935661446562j) (Polar form:(0.95, 0.3141592653589793)\n","Magnitude of H(z) =2.3327015599941685\n","Phase of H(z) =-0.9424777960769379 radians\n","\n","For z=(0.9986093421099113+0.3244678440936948j) (Polar form:(1.05, 0.3141592653589793)\n","Magnitude of H(z) =1.727675197062952\n","Phase of H(z) =-0.9424777960769379 radians\n","\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"S7aVmZ6VKf6X"},"source":["Compute the Z Transform for the basic signals: δ[n], u[n], r[n], α n u[n],\n","nα n u[n], r n cos ω 0 nu[n]. Choose specific values for each and visualize the\n","magnitude and phase spectrums. Also plot the poles and zeros with the\n","ROC. For which values if any the Z Transform doesnot exist? Try finding\n","out the Z Transform outside the ROC."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"wDJCzIJMCfYI","executionInfo":{"status":"ok","timestamp":1612284943136,"user_tz":-330,"elapsed":2066,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"97313113-a0de-450e-cfb9-9d321419cf7f"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","import cmath\n","\n","\n","def spectrum(x):\n"," rge=int(np.pi)+1\n"," w=np.linspace(0,rge,rge*100)\n"," c=[]\n"," for i in w:\n"," c.append(complex(0,i))\n"," c=np.array(c)\n"," z=np.exp(c)\n"," ZT=[]\n"," for i in list(z):\n"," sum=0\n"," rnge=100\n"," n=np.arange(-rnge,rnge+1,1)\n"," ZT.append(np.sum(np.multiply(np.array(x),(i**n))))\n","\n"," Hz=np.array(ZT) \n"," mag=abs(Hz)\n"," ph=[]\n"," for i in list(Hz):\n"," ph.append(cmath.phase(i))\n"," ph=np.array(ph)\n","\n","\n"," fig,ax=plt.subplots(1,2,figsize=(25,6))\n"," plt.rcParams.update({'font.size':15})\n"," ax[0].plot(w,mag,label='Magnitude spectrum')\n"," ax[0].set_xlabel('$\\omega$ (rad/s)')\n"," ax[0].legend()\n"," ax[0].grid()\n"," ax[1].plot(w,ph,label='Phase spectrum')\n"," ax[1].set_xlabel('$\\omega$ (rad/s)')\n"," ax[1].legend()\n"," ax[1].grid()\n"," plt.suptitle('H(z)')\n","\n"," plt.show()\n","\n","\n","rnge=100\n","n=np.arange(-rnge,rnge+1,1)\n","plt.rcParams.update({'font.size':12})\n","\n","# delta [n]\n","x1=[0]*rnge + [1] + [0]*rnge\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x1,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title('Discrete Unit Impulse Function = $\\delta$[n] ')\n","plt.show()\n","spectrum(x1)\n","\n","plt.figure(figsize=(5,5))\n","theta = np.linspace(0,np.pi*2,100)\n","plt.plot(np.cos(theta), np.sin(theta), linewidth=1,label='ROC is entire z-plane')\n","plt.legend()\n","plt.title('No poles or zeros')\n","plt.show()"],"execution_count":226,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"6bKDptJLrLVi"},"source":["**The Z-Transform for the following functions do not exist at the poles and \n","outside the ROC. The value diverges. For the ROC, the unit circles are plotted.**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"fdUjR9n0bhyW","executionInfo":{"status":"ok","timestamp":1612285434445,"user_tz":-330,"elapsed":2671,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"0551fd07-2d84-4159-a8db-3614e04cdf1f"},"source":["import matplotlib.pyplot as plt\n","import numpy as np\n","import math\n","\n","zeroSamples=10\n","numSamples=10\n","rnge=100\n","n=np.arange(-rnge,rnge+1,1)\n","\n","\n","# UNIT STEP \n","#t=np.linspace(-10,10,zeroSamples+numSamples)\n","x1=[]\n","for i in n:\n"," if(i<0):\n"," x1.append(0)\n"," else:\n"," x1.append(1)\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x1,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title('Discrete Unit Step Function = u[n] ')\n","plt.show()\n","spectrum(x1)\n","\n","plt.figure(figsize=(5,5))\n","theta = np.linspace(0,np.pi*2,100)\n","plt.plot(np.cos(theta), np.sin(theta), linewidth=1)\n","plt.scatter(1,0,marker=\"x\")\n","plt.scatter(0,0,marker=\"o\")\n","plt.title('Pole at z=1, Zero at z=0, ROC: |z|>1')\n","plt.show()"],"execution_count":229,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABaQAAAGrCAYAAAA/w5BiAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeZyT1b0/8M9JMsnsoFRWb6V1wbrdq6J1Q0cqXq0LWqEqvfXan627VbG1dUGxVqFYinW5lbbX2lu1oK0WFOtCcVzRAi4VRBCQXUDWIclMMknO748nz5MnyXPOc4YJmczweb9eviozhzwnz0BNPvOdzxFSShARERERERERERER7W6Brt4AEREREREREREREe0ZGEgTERERERERERERUVkwkCYiIiIiIiIiIiKismAgTURERERERERERERlwUCaiIiIiIiIiIiIiMqCgTQRERERERERERERlQUDaSIiIiIiDSHEdCHEW7vw+64XQmwRQuy1O/ZFRERERNQdMZAmIiIioh5PCNEkhJBCiB9p1kghxPMFHzsRwLcB3L4Ll50KIAFg3C78XiIiIiKiHomBNBERERGR2h0APpBSvtrR3yilbAPwCICrhRB9Sr4zIiIiIqJuiIE0EREREZEHIcQBAEYA+L9OPMzjACIALi3FnoiIiIiIujsG0kRERERE3kYBEABecH9QCDE+W++h+mewvVZKuQLAEgCjy7lxIiIiIqJKFerqDRARERERlVGtEOJLhmtPAbAdwNKCjz8DYFnBx6oBTIb1+npnwefmAvgvIUS9lDLawf0SEREREfUoDKSJiIiIaE9yV/YfE4cA+ExKKd0flFL+C8C/7F8LIQSAPwNoAHCBlHJLweMsh/W6ewiABbu4byIiIiKiHoGBNBERERHtSX4L4GnF514p+PU+AD41eMy7AVwI4CdSymc9Pm8H1H2NdkhERERE1IMxkCYiIiKiPcmnUsrZXp+wBp3zSFgd0kpCiP8GcBuA/5VSTlItcz0eEREREdEejYcaEhERERF5+wLA3qpPCiGaAPwOwBwAV2kex36ML0q2MyIiIiKiboqBNBERERGRt4UA9hdCFL1mFkIMgXW44QoAo6SU7ZrHOQBACsCS3bJLIiIiIqJuhIE0EREREZG3ZlgHFR7i/qAQog+AWQAyAM6SUm7zeZzjACyQUkZ3xyaJiIiIiLoTdkgTEREREXn7K4BfAPgmrGlp2/8A2B/AIwCOF0IcX/D7npVSxgBACLE/gCEAfrT7t0tEREREVPkYSBMREREReZBSfiaEeAnAdwG4Dyzsl/3fK7P/FPoKgFj23/8LQALAY7tpm0RERERE3YqQkod9ExERERF5yU4/vw1ghJRydgd/bzWsjulpUsqxu2N/RERERETdDQNpIiIiIiINIcQ0AF+WUp7Qwd93A4A7AOxv0DNNRERERLRHYCBNRERERERERERERGUR6OoNEBEREREREREREdGegYE0EREREREREREREZUFA2kiIiIiIiIiIiIiKgsG0kRERERERERERERUFgykiYiIiIiIiIiIiKgsGEgTERERERERERERUVkwkCYiIiIiIiIiIiKismAgTURERERERERERERlwUCaiIiIiIiIiIiIiMqCgTQRERERERERERERlQUDaSIiIiIiIiIiIiIqCwbSRERERERERERERFQWDKSJiIiIiIiIiIiIqCwYSBMRERERERERERFRWTCQJiIiIiIiIiIiIqKyYCBNRERERERERERERGXBQJqIiIiIiIiIiIiIyoKBNBERERERERERERGVBQNpIiIiIiIiIiIiIioLBtJEREREREREREREVBYMpImIiIiIiIiIiIioLBhIExEREREREREREVFZMJAmIiIiIiIiIiIiorJgIE1EREREREREREREZcFAmoiIiIiIiIiIiIjKgoE0EREREREREREREZVFqKs30BFf+tKX5ODBg8t6zVgshrq6urJeszvh/dHj/dHj/dHj/dHj/dHj/dHj/dHrivuzYMGCzVLKfcp6UaoI5X6Nz7//erw//niP9Hh/9Hh/9Hh/9Hh/9Hh/9CrpNX63CqQHDx6M+fPnl/Wazc3NaGpqKus1uxPeHz3eHz3eHz3eHz3eHz3eHz3eH72uuD9CiFVlvSBVjHK/xufffz3eH3+8R3q8P3q8P3q8P3q8P3q8P3qV9BqflR1EREREREREREREVBYMpImIiIiIiIiIiIioLBhIExEREREREREREVFZMJAmIiIiIiIiIiIiorJgIE1EREREREREREREZRHq6g0QERF1By0tLdi0aRPa29u7eitGevXqhcWLF3f1NioW749eKe9PVVUV+vbti8bGxpI8HhEREVFPZPJ+g69h9Xh/9CrpNT4DaSIiIh8tLS3YuHEjBg0ahJqaGgghunpLvnbu3ImGhoau3kbF4v3RK9X9kVKitbUV69atAwCG0kREREQeTN9v8DWsHu+PXiW9xmdlBxERkY9NmzZh0KBBqK2t7RZhNFGlEEKgtrYWgwYNwqZNm7p6O0REREQVie83qDspxWt8BtJEREQ+2tvbUVNT09XbIOq2ampquk3dDXWcEGKUEOJtIcQWIUSbEGKJEOJ2IUS4q/dGRETUHfD9BnVHnXmNz8oOIiIiA5xUINp1/PvT4/UBMAfAfQC2AzgWwHgA/QFc23XbIiIi6j74eom6m878mTWakBZCHCCEmCqE+JcQIi2EaPZYI4QQtwoh1gghWoUQrwsh/sNj3SFCiH8IIeJCiPVCiJ8JIYK7/AyIiIiIiKjLSCmnSilvl1I+K6V8VUr5CwC/AvBfgu+uiYiIiKiAaWXHoQC+CWAJgKWKNT8FMA7ALwCcAyAKYLYQor+9QAixF4DZACSAkQB+BuAmAHftyuZ3px3xdsz5ZCOiSdnVWyEiIiIi6m62AOiWlR07WtuxsaWtq7dBRERE1GOZBtLPSSn/TUo5GsCiwk8KIaphBdITpJQPSSlnAxgNK3h2/5jelQBqAHxLSvmKlPIRWGH0WCFERR27/ummnfh/j83HZzvSXb0VIiKiThs/fjyEEDjwwAM9P3/ggQdCCIHx48eXd2MuK1euhBACzz//vPOxSZMmobm5uWTXiEajEELgiSeeKNljVoJS3yeiXSGECAohaoUQJwH4IYDfSCm73XTHxL8vxg/+b35Xb4OIiKhbsd9v2P8MHDgQF1xwAZYvX+6sufTSSzF06NAu3GX30pNf4xt1SEspMz5LTgDQCOAp1++JCSGeA3AmgNuzHz4TwEtSyhbX750Ga6r6FADPGe57txvQ2yqT39LW7V5DExEReaqursZnn32G+fPn570QnDdvHlauXInq6uou3B0wYMAAzJ07FwcffLDzsUmTJuHaa69FU1NT122sG+B9ogoRAxDJ/vv/AfixaqEQ4nIAlwNAv379yvpmKxqNaq/38Wdt2BDLVMwbwHRGIpkBakLlaT/xuz/Ee+SH90eP90dvT70/vXr1ws6dO33XpdNpo3VdIZFIoFevXvjrX/8KwBo2+fnPf47hw4fj3XffRV1dHdrb25HJZHbbc6jk+7MrfvGLX+Dyyy/H0UcfXZLH2x33p62tbZf+zpbqUMODAaQBfFrw8cUALixYN8e9QEq5WggRz36uYgLpfg0RBAQDaSIi6jnq6upw1FFHYdq0aXmB9LRp0zB8+HAsWLCgC3cHRCIRHHfccV26h56utbWVJ7jT7nQCgFpYhxreAeAhAFd7LZRS/hbAbwFg6NChspzfTGlubtZ+8+Y3S+Yikm6tmG/w/O71FXjs7ZV466enluV6fveHeI/88P7o8f7o7an3Z/HixWhoaPBdt3PnTqN1XSESiSAUCuEb3/iG87EhQ4Zg2LBheOONNzB69GhUVVUhEAjstudQyfdnVwghEIlEfJ+T6Wv83XF/qqurceSRR3b495UqkN4LQFRKWdhvsQ1ArRAiLKVMZtdt9/j927KfK9KV0xO9IwKbdib3yO/OmdpTv3tpivdHj/dHj/dHr5z3x3RioZIUfvc7kUhASonzzjsPEyZMwB133AEhBKSUmD59Om677TbMnz8fiUTC+X3vvvsufvWrX+G9997Dzp07sf/+++OHP/whLrzwwrxrvfHGG7j55puxbNkyHHLIIZg8eTJGjRqFyy+/HLfeeisA4Jvf/Cb69OmDc845B/fccw82b96Mr3/963jwwQcxaNAgAMCqVatw+OGHY/r06TjzzDNx2GGHYcuWLbjrrrtw113WcROzZs3Cl7/85bx1tiuvvBKLFy/Ga6+95nxsxowZGD9+PNatW4ejjjoK9957LwAUTWb88Y9/xMMPP4wVK1agX79++MEPfoAbbrhBe49feOEFTJw4EUuXLkU4HMb++++Pu+++GyeddBIAoLGxEffeey9Wr16NadOmQUqJCy+8EPfeey/C4Vy17po1azBu3DjMmTMHiUQCxx9/PO677768epXW1lbce++9eOaZZ7Bx40YMGDAAF1xwAcaPH6+8T8OGDXP2sGbNGjz11FNobGzEhx9+iMMOOwwjR47EPffc41zjiSeewFVXXYX169ejpqYGL7zwAs466yzMnDkTjzzyCJqbm9G/f39MnjwZTU1NuPPOO/H4448jEonguuuuw7XXulvaiu3q9AR1H1LK97L/+qYQYjOAPwohJkspl+t+X6WJJ9PI+P18aBmt3hrHpp3stCYiou7HnuxduXJl3sdfeeUV3HTTTVi+fDmOPPJITJ06FYceeqjz+cmTJ2PatGlYunQpqqurceyxx2LKlCk44IADnDVvvvkmbrnlFnz44YcAgK9+9asYO3YsLrnkEmfN73//e0yZMgXLli1D//79cc011+Dmm2/W7nnmzJm466678MknnyAcDuOggw7CpEmTcMoppwCwQuLJkydj1apV+NOf/oRMJoPvfve7mDx5ct5r/NWrV+Pmm2/Gyy+/jLa2NgwbNgwPPPAAhgwZ4qxpbW3FnXfeienTp2PDhg0YOHAgLrroIkyYMAGDBw8ueo3/6quvoqmpydnD6tWr8cQTT6BXr15YtmwZBg8ejFGjRuGXv/ylc43HHnsM3/ve95z3Ps3NzTj11FMxe/ZsPPDAA5g9ezYGDhyIhx9+GN/4xjfw05/+FH/4wx8QiURw0003YezYsf5f6F1QqkB6t+nK6YmvLH4bLTt37JHfnTO1p3730hTvjx7vjx7vj14574/pxEIlKfzudyQSgRACY8aMwY033ogPP/wQw4YNw+uvv47NmzdjzJgxGDduXN534Ddv3oxTTjkF1157Laqrq/HWW2/h6quvRl1dHS6++GIAwLp16zBq1CiccMIJmDhxIjZs2IDLL78cbW1teY8VDAaxYMECbNq0CVOmTEFrayuuv/56jB07Fi+88AIAoL6+HgBQW1uLhoYG/O1vf8Opp56KUaNG4fvf/z4A4JBDDsHWrVvz1tkKJy7ee+89XHrppTj//PPx4IMPYuHChfje974HAHnr7rvvPtx66624+eab0dTUhAULFuDOO+/EXnvtpQxZly9fju9+97u4/vrrMXnyZLS1tWHBggVoa2vL29NDDz2E4447Dk8++SQWLVqE2267DY2NjbjvvvsAAFu3bsUZZ5yBPn36YOrUqaitrcXEiRMxcuRILF26FDU1NZBS4oILLsDcuXMxbtw4HH300Vi3bh3eeOMN7X2y9/HAAw/g5JNPxuOPP45MJoOGhgYIIRAOh/P2ale2NDQ0QEqJ2tpaAMCNN96IK664Atdffz0mTZqESy65BN/5zncgpcSf//xnzJo1C7feeiuGDx+Or3/968o/k7s6PUHdlh1OfwVAtwqkY4kUMhVUfR1LppCpnO0QEREZs4Po/v37Ox9bvXo1fvzjH+O2225DTU0NfvSjH+HCCy/ERx99BCGseqq1a9fi2muvxX777YeWlhY88sgjOOGEE/Dpp5+iV69eaGlpwdlnn42RI0fijjvugJQSH330EXbs2OFcx+s1/rhx41BbW6t9jT9q1Chcf/31uO+++5zX+Pb7D9vkyZNx3HHH4YknnnBe41dXV+e9xj/ppJPQp08fPPLII85r/NNOOy3vNf7IkSM9X+MDwLPPPuv5Gt/9/E4++WQnFO+oK664AldccQWuueYaTJo0CaNGjXJe4z/55JOYNWsWbrrpJpx44ona1/i7qlSB9DYA9UKIYMGU9F4A4tnpaHtdL4/fv1f2cxVlYO8a/PMLr4FuIiLa09313CJ8vL7Ff+FucMjARtx5zqH+Cz307t0bZ5xxBqZNm4Zhw4Zh2rRpOOOMM9CrV/F/ni+66CLn36WUOPnkk7F27Vr87ne/cwLp+++/H7W1tXjuueecHxNrbGwsmqIGgJaWFsyaNQt77WX9UNSGDRtw4403Kn/E7Mgjj0QoFMK+++6bV+VR+IJQZeLEiTjooIPw1FNPQQiBM888E8lkErfffruzpqWlBXfddRduv/123HnnnQCAESNGIB6P4+c//zmuuuoqBIPBosd+//330dDQ4LzoBKwp8EINDQ14+umnEQgEcOaZZyKRSOCee+7BLbfcgr333htTpkxBLBbDBx98gL333hsAcOKJJ2Lw4MF49NFHcc011+Dll1/GK6+8ghkzZuDcc891Htue/lDdJ9uAAQMwffp0o3vm5bvf/S5+/GOrCnjffffFoYceiiVLlmDOHKuF7bTTTsP06dPxzDPP7JYXq9RtnZj938+6dBe7IJZMdfUW8sQTaaSZSBMR7XG83m+k02nP16al1pn3G6mU9d/RFStW4Oqrr0ZDQwNOO+005/Nbt27FW2+95fw0YCaTwfnnn48lS5Y4Z8lMmTLFWZ9OpzFixAj07dsXM2bMwCWXXIKlS5dix44deOihh5wBi9NPP92ZAuZrfH9d/Ro/UKLH+QRAEMABBR8/OPs597qD3QuEEP8Gq2vOva4iDOxVjS1tEhm+ACQioh7koosuwl/+8hckEgn85S9/yQue3bZt24Yf/vCH2G+//VBVVYWqqir89re/xdKlS5018+bNw4gRI/ICZfcLKrdjjjnGCaOB3Hf4161bV4qnVeSf//wnzj33XGfSAgC+9a1v5a2ZO3cuYrEYRo8ejVQq5fwzfPhwbNy4EWvXrvV87MMPPxw7duzAf//3f+Pll19GLBbzXDdy5EgEArmXW9/61rfQ2tqKhQsXAgBmz56NESNGoLGx0bl2Q0MDjj76aMyfPx8AMGfOHOy9997K++rH60V0R7h7AO0fkxw+fLjzsUAggK9+9au77etIlU8I8aIQ4kdCiDOFEKcLIe4CMBnA9O5W1wHYAXBX7yLHDshlBU1tExERedmyZYvzvmHIkCFYsWIFpk+fjgEDBjhrBg8enFdNZ78ncL/ufueddzBixAj06dMHoVAItbW1iEajzvuQ/fffH/X19RgzZgxmzJiB7dvzh0n5Gt9fV7/GL9WE9NsAWgCMBvBzABBC1AI4B9m6jay/A/ixEKJBSmkXN14IoBXAa6gwA3vXIJUBtsSS2Kch4v8biIhoj7GrEwOV4Nxzz8X3v/993HbbbYjFYjjnnHM811166aV45513MG7cOBxyyCFobGzEb37zG8yYMcNZs2HDBhxxxBF5v6+6utqp33Dr3bt33q/tjrW2tt3Tjbphwwb07ds372OFv968eTMA5HXWua1Zswb77bdf0ceHDBmCGTNmYOLEifjmN7+JqqoqnH/++fj1r3+NffbZR3k9+9eff/65c/133nnHc7rBfpG4ZcuWvBfxHdWvX79d/r1A/tfN/pp5fS1319eRuoV5AC4FMBhACsAKALcAeKTrtrRrpJSIJVMIh/RzO1/sTKCxJoRIaPdPqcUSViCdkUBQ+CwmIqIew+v9RqUf2terVy/Mnj0bQgj0798fAwcOzBsOAfzfE6xevRqnn346jj32WEydOhUDBw5EOBzGWWed5azZa6+98Morr2D8+PH49re/jUwmg9NPPx0TJkzAEUccwdf4Brr6Nb5RIJ0Nl+3ofRCARiHEqOyvX5BSxoUQEwGME0JsgzXtPBbWBPaDrod6BMAPATwjhPgFgK8CGA/gV1LKrvm5Z40Bvawuxc93tDKQJiKiHqOurg5nn302pkyZgtGjR6Ourq5oTVtbG55//nk8/PDDuPLKK52PF/aT9e/fH1988UXR741Go7tn88h1HSeTybyPb9uW3/7Vv39/bNq0Ke9jhb+2f4Tu+eef93xR5z50pNBZZ52Fs846Czt27MCsWbNwww034LrrrsO0adOU17N/bb/4tKcixo0bV/T49puNPn36OC9ud0XhmwDAuod+94/IlJRyHIDiP8TdUFt7BhkJbYe0lBL/ef/ruLppf3x/2Fd3+57iSasRMSMlgmAiTURElSsUCmHo0KGdeowXX3wR8XgcM2bMcN6npFKpotq+4447Di+++CJaW1sxe/ZsjB07FpdddhnmzZvH1/gulfoa33RCui+Apws+Zv/6KwBWApgIK4C+BUAfAPMBjJBSbrR/g5RymxDiGwAeAvAcgO0ApsAKpSvOwN7Wjx+v396KI/bt7bOaiIio+7jqqquQSCTywma3RCKBTCaDSCT3DdmdO3di5syZeS9+jjnmGPzhD3/I64GeOXNmyfbp9V35vn37oqqqCosXL3Y+Fo1G8fbbb+dNOhxzzDGYOXMmJkyY4Oz5mWeeyXus448/HjU1NVi/fj3OOuusXdpjr169MGbMGLz22muYO3du3udmzJiBCRMmOD/S98wzz6CmpgaHHXYYAGtC4qmnnsKhhx7q2aNtr5k0aRKef/55nH322Z5rOjq9sO++++bdPwB4+eWXjX8/UU9l12PoOpsTqQy2xpLYEksq1+yuPVUpBrLb0xkEhUAgwMCaiIi6t9bWVgQCAYRCucjyqaeecrqpC9XU1OCcc87BwoULMWHCBAB8je9Wqa/xjQJpKeVKQP/teGmVmt2T/Ue37mMAw3VrKsUgJ5Dmj6ASEVHP0tTUhKamJuXne/XqhWOOOQY/+9nP0NjYiEAggIkTJzqnWttuuOEGPPzwwzjnnHNw4403YsOGDZg4cSJqa2vzetV21cEHH4xZs2bhjDPOQH19PYYMGYKGhgaMHDkSU6ZMwX777YfevXtj8uTJRS/2fvKTn+DrX/86vv3tb+Oyyy7DwoUL8b//+795a3r37o3x48fj+uuvx6pVq3DyyScjk8lg6dKlePXVV/Hss8967mvq1KmYO3cuzjjjDAwcOBCffvopnn76aecQEtvOnTsxevRo/OAHP8CiRYtw991345prrnGmNsaOHYvHH38cw4cPx3XXXYdBgwZh48aNeO2113DSSSfh4osvxogRI/Cf//mfGDNmDO644w4cddRR+Pzzz/H6669j6tSp2vukcv755+O6667Dvffei2OOOQZ//etfsWjRog5/fYh6mnjCmkbW1TW7J5bLIWawpzG/ewdH77c3fnrmwepFRERE3cDw4cORTqfxve99D5dddhkWLVqEX/7yl3l1ErNmzcKjjz6K8847D1/+8pexbt06TJ06FSeffDIAvsbvDq/xS9Uh3SP1rq1COGBNSBMREe1pnnzySVxxxRW45JJL0KdPH1x77bWIx+N46KGHnDWDBg3CrFmzcP311+Nb3/oWvva1r+HRRx91DvHorPvuuw/XXHMNzjrrLMTjcbz66qtoamrCQw89hMsvvxxXX3019tprL9x22214++23nYNEAGDo0KGYNm0abrnlFpx33nkYOnQopk+fjmOPPTbvGjfffDMGDhyIKVOmYPLkyaiursZBBx2ECy+8ULmvI444AjNnzsTYsWOxdetWDBgwAD/4wQ/ws5/9LG/dTTfdhBUrVuDiiy9GJpPBZZddhnvvvdf5/Je+9CW88847uO2223DjjTdi+/btGDBgAE466SSnm1sIgWeffRbjxo3D/fffjy+++AIDBw7EmDFjfO+TyuWXX47ly5fjgQceQCKRwCWXXILbb78dV1xxhdHXhainijp9zer01+l0LtPB5zGDPX22Oeb8dCcREVF3dvjhh+Oxxx7D+PHj8eyzz+Lf//3f8fTTT+e9Nj/ggAMghMCtt96KTZs2YZ999sHZZ5+NW265xVnD1/iV/RpfdKfTmocOHSrt0yjL5bi7X8BRX+2H//nO0WW9bnfR3Nys/cuwp+P90eP90eP90Svn/Vm8eDG+9rWvleVapdKVB568+eabGDZsGObMmYNTTz21S/bgpxz3RwiBBx98ENdee+1uvc7usDvuj9/fIyHEAill50oHqVsq92t83X8/5q/cilGPzEV1VQCf3H2m55pPNrTgjPvfwGUnfQXjzj5kN+4USKUzOOC2vwMA/jX+dDRWV3mu+9q4F3HaIf3w4MVHdvqapfjv6x0zFkJK4O7zDuv0fioRX6Pp8f7o8f7o7an3x/T9RqUfatjV+Bpfr5Je43NC2kdDlcD2eHtXb4OIiKhi/eQnP8GRRx6J/v37Y8mSJbj77rtxxBFH4JRTTunqrRERdUjMqePQrEmUr7Ij3p52/l1mvNekMxKt7emyVYiY+HDNdkRCisJrIiIi2uMxkPYRCQq0ul4IEhERUb5EIoEf//jH2LhxIxoaGnD66afjV7/6VUk6pImIysmux9D9FGk5KzvsawHqADyeLG+FiIlYMo1QkP8NICIiIm8MpH2Eg7mDS4iIiKjY/fffj/vvv7+rt1FxulMtGhFZ7AA4rQl3nQDY56/49ngSvWvDndxP7n1IWhlIl/eQRRPxRAoN1XyrSUREPQ9f45cGv23tIxwEJ6SJiIiIiPYA8RJVdsxfuRVH/3w21nXycHQ7/NZdL3foYacuVVKxZLqiJraJiIiosjCQ9hEJCrRyQpqIaI/H74QT7Tr+/aHuIuYKgFV/bnMT0uo/16u3xpHOSGyLJTu1n2jCvR/vNU5AXiEBsJQSsUSqogJyIqLugK+XqLvpzJ9ZBtI+wkEwkCYi2sNVVVWhtbVzU25Ee7LW1lZUVVV19TaIfLk7m1W1HVEnANY8TolqNOLuyg7FfmIGAfmGHW049I4X8fH6lk7tx0QynUEqIyuqQoSIqNLx/QZ1R515jc9A2gcPNSQior59+2LdukggpmEAACAASURBVHWIx+OcXCDqACkl4vE41q1bh759+3b1doh8uTubVRO+JhPScYMuaqP9GFR2mHRar94aRyyZxppt8U7tx4Qdonf2uRMR7Un4foO6k1K8xudJEz7CQSCVkWhPZ1DFk6KJiPZIjY2NAID169ejvb29i3djpq2tDdXV1V29jYrF+6NXyvtTVVWFfv36OX+PiCqZWWdzNnDVBAYxgy5qs/3kAnLfyg7dfrIBeTlCjqhzLfWatvY0LvjN27j9rENw/P59dvueiIgqnen7Db6G1eP90auk1/gMpH1EggKA9WKwVw0DaSKiPVVjY2O3CtSam5tx5JFHdvU2Khbvjx7vD+2p8iek9YcI6gLXUgXAJhUiuUMNdQF5+Q4+jBvUlWyJJbFofQuWbGhhIE1ElGXyfoOv0fR4f/Qq6f4wYfURCVr/28baDiIiIiKiHi2/IkO/RlvZUaIA2CggT/p3WpezRsO+P7oJcqfSpIJ+Kv2mpz7E7I83dvU2iIiI9ggMpH2EXRPSRERERETUc8UNAmD7fYEu3I0ZBMDpbC2gdj8GAXku3DWZkN79CbB9D3WXipaxQsSElBLPvr8W7362pau3QkREtEdgIO3DnpBuZSBNRERERNSjRV0VGRlFAmzSkWxS2fGLFz/Bf/3+XfP9KB4rmvS/lknNSKlEDSpETGo9yqmtPYOMLE+lCRERETGQ9hXO3qFWVnYQEREREfVoRhPJBtPGJp3NK76I4fMdbT77MZjYTvgfoBgzmOouFfv+6CfI7TW7fTtGYgZ7JiIiotJhIO3DruzghDQRERERUc8W60AArAsvnVoPn55pvwnhWN7EtmKNQZgaN5hafnr+Gox8+C3tfkzY91D31CptQrpUh1ASERGRGQbSPpzKDk5IExERERH1aPFECjVV1hsAVWWHyfRzzCAAjiVSvhUaJhPSJmFq1KDXedH6Fixat0O/IQMmz73SOqRjBlPmREREVDoMpH04E9IMpImIiIiIeqxMRiKWTKO+OmT9WhFOxpxw1/9QQ+2aZNq3IsKkQzo3bax+HKdGwycgL8XEsnPIonaC3L+y473V2zD6kbeRTO3+Xg+T+0NERESlw0DaR+5Qw5R+IRERERERdVv2AEqDE0gXh5NSylxFhkGHtC5wjRsEwPFkCpFQILsfxbUMAuCYQUVGPJkuyYRwzCAgz00kqxe9t2ob5q3chu2tyc5vykeuZoSBNBERUTkwkPYRYYc0EREREVGPZ4fIDRErkPYKeNvaM07thSpwlVIadSTHDALgWCKNhuoq5X4Asz7mXIe05loGhzWasKeN9RPkJmuyz6sMBx86NSOaa21sacP3/zgPLW3tu39DREREPRwDaR/h7IR0nJUdREREREQ9lh2A2gGwV1bqrtBQhamJVMYJj1VrpJRGFRmxZAqN2Ylt1WPl+pjVjxN1AleTkFi7JV92X7V+gtzs0EegPAcfOlPmmmu9v3obZi/ehOWbort9P0RERD0dA2kfVQFACKCNE9JERERERD2WHUrqKjvirho/1cRyLOFe432tZDqDVEb6V3Yk/Dut40bhrsHEtt17rd2Rv7hB+B03ORiyjIF0R+5PpRx8mEilMe5vC7E5mujqrRAREXUYA2kfQgjUVAV5qCERERERUQ9mh5L1dmWHRzhph5KA/yGD2jVOHYU63UymMkimM05A7heA6/uh/QNgkzUmYkn/SWuTDulyVnaYTJmXMyA3sXRDFH96ZxXeXbG1q7dCRETUYQykDdSGg3kvLImIiIiIqGdxOqSdyg79hLTykMG8NfqaDZOAuCGi3k8qnUEilcl+Xv1YJpUddtWG7nFumPY+fv/GCvUC5IJkbWVHBypEyjMhbXAwpME3Ecqp0gJyIiKijgh19Qa6g2pOSBMRERER9WjFlR3Fa+xgt7oqoAwm3ZUdflPUfoceAtBWdsRcQzOqMDWdkWhrz/hez5mQVq4A3l6+xbfSwyQoNZvY9r9HpWIysV2qCfJSKWfHNhERUalxQtpATVUQbQykiYiIiIh6rLhzqKG6IsMOSRuqq5RBYF6thyLdNQptCwJyr4njuME0tslUdyYjXQGwckuIJ9PaKWJr3/6PEzMK5P3v0dTXluN/mpdp92MiblAzEi3jxLaJqEGITkREVKkYSBtgZQcRERERUc+Wq+xQH2ronqJWHzJoEBIb9CPHXOG3ej/WmkgooAxTTTqt3T8NqnocKSViyZQ2tLX2bXCoYYcqO9TXemnRBrz6ySb9hgw4NSPaPVdWAJy7h128ESIiol3AQNpAdVUQrQykiYiIiIh6rFzYbHc2a9ZEQpp+aP8A2GTa1n0taz/6gFwVpkbdFSIGNSOqHbW2pyGlfs9SSqPuZ5MObbMajXRJKjRMprFjBpUdsz/eWJKJbRMmU+ZERESVioG0gZowO6SJiIiIiHqyWDKNqqBAJGS9RfIKeN29zp2pyDDp/y3stE57TMK6D2L0m8bW7SeWNFhjMEWcSGWQkUA4GFA+jpTSqB/a6KDBZMq3QsSESc2Is2fNopkfrsfjc1d1ej8mynnoIxERUakxkDZQG+aENBERERFRTxZPpFAbDiEgBADvoC+eTCEYEKipCqr7oQ0mpGOuvmavyWfrWv6VHe7ea3XYnAvIvXqorT27JqR9gnb9VHNB7YnH4mQ6g1T24/pAPp3dj+56aeV+O8JkYt10qr1chx6aTGwv3bgTv3t9RXk2RERE1AEMpA1UV3FCmoiIiIioJ4sl06iPhBAI2IG0x5pEGnXhIAJCaA417GBFhiJQtAPQ+mpNZUc2lKzXVIiYhM0mlR32fnQBsB0i12t7uP0PfUymMkhmR8LLEQCbTKwbBfLJlDL0LzWTTutn31+He15YXJLQnoiIqJQYSBvghDQRERERUc8WS6RQGw4im0crDzWsi4T0gbRJZYc7tPaZSK6PaCo77ABYF0gbHGoYN6jssNfoAtfigyG99uz/3N3vvVSVHKl0BolUpiSVHe6JdZW4ycGHydJMbJvoyOGRlZJHb48n8fg7qxiQExERA2kTNZyQJiIiIiLq0WLJNGojrsoOj6AvnkyjNhxEMCA0YXPa6aE2CYnVNRq5Og7VY8XdHdKKDbnDb69Q29qPf4geMziI0NlPRFMzYvLcTUL99tId6uc8N82TM5kQj5azssPg6xE1mKIupxc+2oDb/7YQG1sSXb0VIiLqYgykDdiBNL+TS0RERETUM8UTKdRHgq4O6eI1sWQK9ZEQhNCFzSmn91k1Tes++FD1FiOeTDnht7Ufdf2FvkM6FwAr+6FdNRqqdzy5TudOVnYYPPeO1Izo3qKl0hn88M/vY+G6Hco1mYzpIYtmU9SlmNg20aGDISvkfWw00Q4AaFd9Z4SIiPYYDKQN1IRDkNI6NZqIiIiIiHqeqHOoofVrVWWHffChrh+60el9Vl3Lv0Yjmkj7HrIYS6ZQXRVAKODfaR0OBjTXMpiQtsNNTeBadKjhLlZ2xAwqO+zwWxe2boklMfPD9fjnZ1uVa9w/Cavaj5Qy9/x9KkvKNY1s30ft1yPpfzBkOZkcVElERHsGBtIGaqqs28QeaSIiIiKinimezB5Y6DORXBfRV3bEkmnthDBQWKOhnqKuiwQRdCpEPK6VSKEuHMruRz2xHQ4FEA4FlJUdRhPbCf+D/+wAtMHpvdYfaqh87gYBuclBhDGjPbsPofRe09aece6LamJbSpntkFZeCgDw8KvL8N7qbfpFBkzCXZPnX06Vth8iIuo6DKQN1IatF1Rx9kgTEREREfVI8WQqv0PaIzOzajT0lR3xZMqZEO5MR3IskUZd9lrWOu8+5rpICEIIZZgaT6QNakYMKjtMKitcndaAd3hrr6kKCs0EuX9lR9SgsiNm0KEcM5pW95/qtg9Y1E0sSykx5ZWleOFfn6s3bSjWgUC+XDUifmIGB2MSEdGegYG0gepwEAAnpImIiIiIeqpowuqHdio7vKZ7swGwvrIj7Rzqp+5I9u91jiWsCWndxLZVMxJEQBc2J3Jd1H59zNZ1VHs2P/jPpLKjobpKE+r7H3xo917rKyv8D/4zqRBxT5ArD4Y0mP5NpjNIZWRJDj6MG4S7Jr3XLy7cgAWr1JUmpWTfR57NREREDKQNhIPWbUqyQ5qIiIiIqMdJZyTa2jPZcFdX2ZFCXdiq0dAFt05lhyq0TppVdtSGQ7nKDsXEdl3Ev7KjLttFrZzYNjjU0OgQvUQaAQHUZAd6PCs7so9THwmp+6FNJsgNJoRNaj3s5xUKCKQNvoHgF6Lrsta4wcS2KZNDHU0C4Il/X4xH31zZ6f2YyIX2ZbkcERFVMAbSBiKhbCDN04CJiIiIiHocO7iz+5iB4tAsk7E6gmsjIQQC+mDSr7Ijnhdweu/JmsYO+hyymEZtOGhVdkhVRUYatRF7itr7WvGk/5SwSZgYywbkdqjvuZ9ECkIAdZGQej8GAbBTx6Gd2PZfYz+v+uqQeoI8aV4hogubTdaYSKUzSGSHpUwm1nVT5NFEumyVHjGDqfaF63aUpGObiIgqGwNpA+EQJ6SJiIiIiHoqe7q1LpLrbC4MzVrb7cleOwAuDtWklIglU86hfrrpXnvoRRVwxrMHFgrnUEPvPmZ3zYjXQ+WqSDSVHe4O6c5MJGd7r1Whvn2t2qogQpoKkbzOZs0Eueoauf2YheiAVTPiF8YD6soOez9mFRqdC4BjBpUm9tS/dT31Y8WTqbIdMmgysT7ppSW4Z9bisuyHiIi6DgNpAwykiYiIiIh6LjsAtSaSvad77VDSrtHwCvla29OQEtnDEb3DZiklYgn/gw+jiVwdB6DqY04X1Hp4TSSnnSoSZUWGOwD2XGF2QGA0mXKmsQHv5xZLpJz7o5wgNzz00W8/McOaEQCoj1RpwmbziW2pmFS39lOaygqT+xM3mOq2p/7LFUjHDGpNWlrb0V5BP5m8qaUNC9ft6OptEBH1OAykDTgd0mkeakhERERE1NPYoWStZro35kxRqw8RtEPJOk0AnEhlkJHWoX5e1wGsADGeTDsHFlrrvPqY8w8+9AyAnQ5p/YGF9nseVVjoTLdqskKTqe5YMo36SMipGfHcj0EAbNQPbXAQo3tC2uTQR7M1imsZ7MeE2X4MDoZs9z/0sJRiBhUi5ZzYNvHgnGW48vEFXb0NIqIeh4G0AU5IExERERH1XDGnQ1o93WuHaXbg6hWqOV3UkRACAe/A1X6cBs3Bh8l0BqmMzFaIZMNmr+sl0nk1I145XjyZdu3Hv/e6sxPJdZGgM7HtuZ9ECrXhIIKayo6YQWVH1KCOI2owkWxfq9G4ssO/9sTv8EjdPdzZ1o57X1iMREo9DJUXNnfiYMh4iTqtTcUMrhdLpLXf9Ci3bfEk2to5mEZEVGoMpA3YgXSCgTQRERERUY/jhM2uAFhV2WHXaKj6mgFr0lpV2RFzKiLsAFg/aW1PbBcuS6YySKYz1hpNZUc0YddoCGW4G0ukUJ8NpFVRoUmHdCw7IR3Ivsv0CmajidzEtrpCJI2QpqoEMOtjjhuG6FVBgUgoaBQ2d+ZgSJPe67nLt+C3r6/AovUtmj2bhM2uPfs8r3IcamhP/QOV02ltIpZIle3QRyKiPQkDaQNOZQcDaSIiIiKiPEKI0UKImUKIdUKIqBBigRDi4q7eV0e46zhU4a4dptk1Gp59zXm1Ht5T1HaYWK85+NDpq3YdWFi8H3f47T1F3Z7OIJnK+Fd2JHOd1uq6CZMwMZ3ds6bTOplGreZgSPu55Sa29VPLurAwZnLQYLarWwj/uhL9fkwOhszeQ4M9q6bHrT27r2VwMKTPPdTlv5mMxGNvfZY3Jb4rEilr6l+3H2tP5eu0NhFLpstWaUJEtCcpaSAthLhICPFe9oXoOiHE/wkhBhasEUKIW4UQa4QQrUKI14UQ/1HKfZSaU9lRQYcrEBERERFViLEAogBuBHAugFcBPCmEuK5Ld9UB8URxuFtYGxBz13GowmaDgw/jTmex3SGtCbbdYXPhxLYr/BZOaO39OLXhoLKyI52RaGvPoCGi7rR271sbpiZSqNccDGntO3tYo0+HtO7+2I9jXUO5HaPANZpIoy7bHa4Lm3Pd4p2o9TCsrLDWqPfsnpBWXcvsYEj//SzZuBPjn/sYry/9Qr0hA3kHQyo2ZE/9V1IAXGkT20REPUXJAmkhxLkA/gzgbQAjAfwEwMkAZgkh3Nf5KYBxAH4B4BxYL15nCyH6l2ovpcYJaSIiIiIipXOklGOklE9JKedIKX8E633B2K7emKlcuJvrYy4MgO2pVLuPWdXXDCB7aJ++jkPXIZ0Lv93hbsG1CipErDXeNSP12RDdO/zOrtFUdmQy0qwiI5kumNj2WJNIW13dAU2VRCKlrTSx1pjtx96/ek0qO/UuNN3PKe1EO1BYo6EIiQ16r+2vh3byO+FfIWJ2MKR/ZYc9ad1ewoMYVQ/VavD1AoBXl2zCjnh7p/ZjKp5Id/oQSiIiKlbKCekxAN6TUl4rpfyHlPJxAD8E8B8AhgCAEKIaViA9QUr5kJRyNoDRsF73XFvCvZQUDzUkIiIiIvImpdzs8eH3AQz0+HhFyk02B5Xhrh3M1WkqO6Kux1FNJBcdaqip7KhzV3ZkVPtxV2TkP45T65F9HK/D4ooCcq+gvd0/AJVSWtPProMhVc/fDq11wW0usPe+nllw61/ZEcvWjAjF/bGulfatEIl3ICTW7scoaLeel+rPobUf/4MhOzZl3rlQNm4QkEcNesp3trXj/z02D8+8v7ZT+zEVTaQqamKbiKinKGUgXQVgR8HHtmf/N/uSBCcAaATwlL1AShkD8ByAM0u4l5JiIE1ERERE1CHHA1ja1ZswFUumEA4FUBUM+Ie72TDVK1TMm1pWBK52KKkLOO1Q0p7cBTwmtgs6rYHicNZ9OKIqALZDyQbNRHLcoI6itT0NKbPht6Lewg6tcxPbng+VV9mhnlpOZx/T+zGA3D3SrsnWjASFUIauVsd2tkJEselo0iAANgh3zWpGcn/OlOGuwURyxypEOpfKmnRam0yQRxMpSGl1UpdDPOnfaf3pxp3YHk+WZT9ERD1FqISP9SiAvwkhLgHwNwD9AfwcwBwp5cfZNQcDSAP4tOD3LgZwYQn3UlKhgIAQ7JAmIiIiIvIjhPgGgPMA/D/NmssBXA4A/fr1Q3Nzc3k2ByAajRZd79PPEgiLDJqbm7G9zXrNv/iTJWiOr3DWLP40iZAA3n7zdaxelYSUwKuvvgphd3wAWLjcCqUWvPsW2tvbsXbdejQ3b8m71gerrKqB9ausx/7nvHnY2BjMW7NgnbVm0QcL8EWtNRzz2Wcr0dy83lnz3kYrvPtk4QdYsd3a81tvvYXe1bmZo8VbrDDx08ULEY8lsSkdL3ruK3dYa7ZutB67tbWtaM2GmPX4QQG0thV/HgB2JKTzvFo3Wvdk3rz5+KJX7rkl0xIZCWxYtwrbtmWwMyGLHktKiWhbO2I7rMH7xZ98gubo8qLrbY+1AgDSmYzyz8/mHXEAwJq1a9Hc7N2BvHFrK75UI7CxbQfibWnPx1q/qdX59+UrPsPg/smidWs+b3P+/Y233kJjWKDQZ2usNRs3faHc87KVCQDA+x98gPa13m/XP1mWRDgAIJ3C2rXr0Nxc/EMKi5blAtJ/zpuHzxuKZ9E+XGn9Odu2fYdyP++tzf5Z/Hgx9tqxzHNNIa+/Yws35yakP/jgQ6TXFT+3FdutNbF4q3I/66PWn8Vly5ejWa4x2s+uklIilkghIKD9/6jrX43jpIEhjB4SNnpcr/tDObw/erw/erw/epV0f0oWSEspZwkhLgXwvwD+mP3w27AONrHtBSAqpUwX/PZtAGqFEGEpZd63FrvyxSpgfbFee+01hASw/LNVaG7+vKzXr3SV9Ie5EvH+6PH+6PH+6PH+6PH+6PH+6PH+0K4SQgwG8CSAGVLKx1TrpJS/BfBbABg6dKhsamoqw+4szc3NKLzezI0foPfOrWhqasLmaAJono0DDzwQTccPdtbM2bEQ9RvXo6mpCR+mPgWWL8UppzQ508AA8M+2TxBavgKnndqE6rn/QP/+fdHUdETetT5uXgYsXoKjjzgUjy16H0cdNRSH79srb82auSuBjxbh1GEnYp+GCAIvzcKX99sPTU1DnDXb3l8LvP8hTj7hOIRXbAE+/gjHHX8C+veqdta0f7wRmDcfJx47FM+v+xf2bqhGU9Mxedd6Z8UWYO47OGzI/nhuxSeIVFcX3Z+F63YAb7yJXrVhhIOBos8DwMrNMeDVZvzHYV9Dr5oq4L35OPKoo/Hv/9bbWbM5mgBemY3DDz4I25Z+gczONjQ1Dct7nEQqjfRLL+LA/fbF3PWrcNBBQ9B07JeLrtf+yt8BZJABPPcDAJk3XgGQRP8BA9HUdLjnGvHPV7HfwN6oCQexeMcmz8ea9OEbGNCrGku3bcJ+gwejPrS+aN3/LJkLfLEVAHD88Sdgn4ZI0eP8aeU8YMMm7N3nS2hqGuq5n2c3vA+sXY/DDj8CTUP6eq55ZdtHaPhiA4QQ6D+wn+dzm9u6GFhmfdPjyKOOxmGDehWtWTjnU+CTpahvbERT04me11r51mfAwo9x0JCD0TT03zzXFPL6O9a2cAMwfwEAWM/t4OLnFl62GXjnXUQiEeXX9MM124E338JXvvJVNDUdYLSfXRVPpiBfegkQQrkfKSV2vvQC+vQfiKamw4we1+v+lNK2WBKhoHCm+rub3X1/ujveHz3eH71Kuj8lC6SFEKcCeATArwH8HUA/AOMBPCuEOM0jhDbSlS9WgdwXq7r5JfQbOAhNTYeW9fqVrpL+MFci3h893h893h893h893h893h893h/aFUKIvWG9D1gF4DtdvJ0OsbqPrbdGqsqOWCLtWmN9LC0lAsgF0tahfkEIIRBUdEjHE2kEhFW1YV1HXetRFwk6e9LXceT2k3ctp0M6qK4QsQ8+NOi0ro+E0Nru/bYu5q40UVR2xN1VJAHh2dkcL+i09qoISaYySKYzCAUEUhkJKWXepHrhvv0OEazL1pWoqjTiyVTu/igeLG5wqGGus7lzlR3xZBp1kRDa2tUH7rkPEVQ9VsypNNF3bPutMREzqOyIGny9Ygbd4aViUleSSGWQkeXZj6krHl+AL+9di1+O/veu3goRkVIpO6QnA5gppfyJlLJZSjkd1o/qNQEYmV2zDUC9ECJY8Hv3AhAvnI6uJJFQgB3SREREREQehBC1AJ4HEAZwtpQy3sVb6pB4Mo1aJ/y1PlYYMMWTKSdEVgWusUQu3FR1JMeyAaj9GKouaiGAmqrc9VSd1nUR16GGytA6BOERalv7sQNga5rSK1aLu3qv1aGttabevZ/C+5NMudboD4asj2T343V/kvkhuteWUumM0zOsC1Oj2a+ZV+ifW5POdofr+pj9D+3LBZzK7ThrdAFnNJFy+sXNDlnUh9ZGB0Nq3gpvbGnDS4s2qBegMLBXrfE/9DFeok5rE3HXoY/KfnGDEL3cNuxoq6hO6/Z0hlkKERUpZSB9MIAP3B+QUi4B0Apg/+yHPgEQBFD4szUHZz9XscJBBtJERERERIWEECEATwM4EMAZUspNXbylDoslrIP2AE3YnJ1KBXJT1IUZVdy1RgjvadpYwprGzj2GVyibdkJk63rF66LZYK6mKqjcT8w5/C6YfYzi524fJNegm5BO5tb4HZBXG8lNbCsPhnQONfQKmwsPffTaT8EUtWbKHFAHrumMRFt7xjkYUh2UplAXDiqn3gHr+QcD3tP1uT35B8D2PdIFrvZUd9DjGxWF1wL8D4bUT5D7B8BPvLsaVz/xntGkNaB+/kYT5MnyBcD532TQr+nsBHkpxRKpigrI75ixEFc+vqCrt0FEFaaUgfQqAEe5PyCE+BqAGgArsx96G0ALgNGuNbUAzoH1430VKxwK8FBDIiIiIqJi/wPgmwDuBtBHCHGc65/iIt0KFEukc9PPmnC3zmeKOpqwgksAyvAylp3GDiqqQYD8aWx7T0UT2/aUbEAgkH1XVxg8ums0VPuxJ5IbIupp45hralkZJrqmsYOKie2ou2ZEEaa6w2/AO7yMF0xRewfbJhO5KWfPAcX9yWSk840GIYQy3I0n0843NZS1HgbTvbGk2RS1tR9dhUguTNVVkeg+b13Lf01LazvSGakPtvMqRHZ92tgJ0TWL2trTeGtZ8UGPHRXrQA1LJVV2xJKpitrPys1xfL6jzX8hEe1RShlIPwLgQiHEZCHEaUKI7wD4G6ww+gUAkFK2AZgI4FYhxDXZE7ifzu7jwRLupeSqOCFNREREROTl9Oz//hrA3IJ/BnTVpjoiv0Pa+lhRuJtIoTa7JqjqSE7m1qgqO+LOhHT2OooaDXvSGkC2/7l4TW1R73XhftKorgogGBDKyg6nasOeSC7eshMCNlaHfIM5u0Mb8Oi0dia2sxPSnmFz4YS0rmZEF6KbBLJ2V7dmP+25Pm/VlLmUErFkSrtna0/+/dC5UFYfEtf5VHZEs7Uv1mN5P07UpLKjRFPd0Q5MG3f2Wi989Dm+8/t3samlcyGou/datad4GSe2TdhT/+WoNDEVT6YqaoKciCpDyQ41BPAAgCSAqwBcCWA7gDcB3CKljLnWTYQVQN8CoA+A+QBGSCk3lnAvJRdmhzQRERERUREp5eCu3kNn5XdIq8PdeqeOQ33w4cDe4ewadZVEXSToegzvCWB7Gtt+LK/wuz5SONVdHKI7VSQCnocIxpIpREIBhIOB7GMUr4m7ppZVuVJuajkXthdNmSdzU9R+HdJOp7VmYrtRM0WdFyb6HKJnh82qbyAA2SlzRWjd2p6GlMhNSGtCa9V+C/etr+xIu6beFWsS1p/XnW3qaVmTOg6j3muDx4kbVIiYhM0m+9kWbwcAp0N8V+VPmev3owtcpZT4ZMNOfG1AY6f2Y8Lde10poq46GyIiW8kmpKXlN1LKI6SUqv/mcAAAIABJREFUdVLKQVLKC6WUKzzW3SOl3FdKWSOlHCalfL9U+9hdWNlBRERERNQz2QfbAfrKjlyth/WxokMEk7kgOSiEZ0hld0jbAY1XcBR1TWMD8KyTcE9s23sufLtSONXtHX6n8w9GVHRaVwUFIlVBTf+vFczVRoLaCXJnjaL+wjmwMKLph07kr/Geojao7MiGibWayg4nRI8ElVPvuQlyK0T3ukeJVMb5varAVUrpCom992ztKZX9poamHzqZcvbTmYlto05rO0TXvF2OJdPOxLYqvI064a7uccwmyIHO12hEE+4/Q/p7qDuI8f0123Hmr9/Ax+tbOrUfEyZT5uUWT6Yraj9EVBlKWdnRo4WDgU5/h5WIiIiIiCpLezqDZCpTXNnhGTbrKzusLmpXZYfH2wdrGltf2RFPpp0uasCu7Ci+lhN+Z9/VeR3E6O7GVoW7tWHXwYjFW3aqSLwmtd2PEwoIhIOBXGWHR8c2AOfARu+Jbf/KjtzBh3bg6rHnvIoIfc1ILmxWh991mufvniAHVAdVujuUPbeDRCqDVPbJ6DqSY9lvoAQU3/Sw1+gqTax9Z8NLg6oNs6ll/Z7rw/pKk3hHJsgNakY6W1sRN5iyN+n83tSSAAC0tLV3aj8mTJ/7lmiibDUasUSqoia2iagyMJA2xMoOIiIiIqKexw7lal2HEQL5gY7dy2qvUVV2xJO5Qw2VlR0Jq2pDV9nhDr/t63leq6hCpHgi2b1GdYhgfSTkHIyo6mOuj4SyU9/Fn7eulTv4T1XZEU+kERBAdVUg28esDoB1lR25Wg/1IYJ2MBcMeNdsuK+VqxDRrIn4Hwzp9HD7BOS6gxFtqkAxmcqgPS1RFw5mK0Q8lyHmqphRH0RpUg9icNCg4dRyvVOxolpjUv3hHwDHDWo9TMTclR2KPRtNkJcoIDcRN5gy37SzDcdN+Afe+LTzBz/6sapq0hXVaU1ElYGBtKEIA2kiIiIioh6nsP7BK2wurJFwKjtcIUsmI51QFrCCUFXgWutT2WHXetis/ufiaeO6wkMNC96uRBOu/SgC4Hh2ijqgCNnt52+vUU6KJnJhvHKCPHt4pBACwYCisiN7GJ8d/ntPkBcGwF73OTdprZ6QztVxeE2hW9fKfcNCNUUdL5jq9j6o0rz+wVrjuSRXe5Kd2Pa6h/bUv+8hi0mDqo2OhMSax4kn0777Mek/Njr0sUQBcMygsiNqMrFtUGlSKlGDCpFNLQm0pyU2RxO7fT+JVAbpjNTuB9B3cBNRz8RA2hA7pImIiIiIep5cr7E6AI65uoYBq0IDyA+p4u25cNN6jOKJ5ExGIt5u1XFoKzsSuUMWAe/+57irjkNV2RF3hcQBITyvFXXVPwDelR3RRMqpGdGFm7WRwk5rj97rSG7K3HtiO43aqiBCilDbehzrXusOEXTXaKg7pN3hrjX9XXQwpOubEUIIz+newqluXa2HahLbWuOakPbp6q7XVHYUVpp4fcnsqX/Vfgv3rQtco4YhcW4/u97H3JEO6U5XdiQNptpN6koMDn0sFbODIf2n3ku3H/9vVqTSGRw34R+Y8cG63b8hIqoYDKQNhYOckCYiIiIi6mnscDOvs7kgAHZ3DQPwnCZ2h5vWmuJAti2VhpTIhrvegav1I+6FE9LF4a3dIwzoKjtyndaqADieyE5IO6G2x5pkGvWRIAIB79DW2k86r67E67FirglyVWWHXTOiqkWx19SGgwhlN62r0agLh5RhatRVx6GaELfDu9pICMGA+rkDuYDcu2Ykt8ZvP6rnlL+fYPbPqXpNfScntu2pf2uN5xIArkMN/So7Ij6VHQbBbakmtj/f0Yo1W+PqBTCbkI4ZVJqY3J9SMem0zt2fMuzHOThT/zXd2JLw/XoQUc/CQNpQOBRAOyekiYiIiIh6lFgyP0gGisPbuBNa2+Gu9fG8KepkfigZ8JhqdsJvTQCaSGWQkSjokM4Ps6S060EKA/Li6d5618GHnmFqMqXdj7Vvq2bEr9aj8NDHwuvFXTUjwYBqYju/9sQrNItmD4/0qk7J7SeN6qoAqoIBTUVEro5DeTCk6xsWyoMPCw41VFWRWGuqfCsrrH14LinqvdZVmmgPhsw+L6Gb2G7377S2p/4B/WRzPGFQ2eGEl+oAM3fwofJSRhPSd85YhB89/aH6QZA/Ia3sTk/4h7tlnZA2qBAxmWgvFfvvhvbgTINQv9wue2we/vTOqq7eBlGPxkDaEA81JCIiIiLqeQqnWwEUVVNEXVOpgHdHsjO56qrIKMxgcmFi0HWIYP6i3NRuwcS2K61JpDJIZaRHhUjx9dw1Gt7hZTobbqorO2LZwxp1AXAskXZNh2crO4oC8nTe/fGe2E651qjrL+oi+t5ru4c7oJgitp9XOGSF1kJRM+LubA4oKjtyYbOm09rVM60Om80PPqwNB7PfOFFPbDc6FSIe13JVkajCy7h7Qlixxp76B9ShrT3171fZkT8h7v1YMefQvs5NUW+JJfMCZ7/9qA6GjHakIkPbsZ3CFzs73+lsEjabHFRZKjGDAybLOUFu6t3PtuLj9S1dvQ2iHo2BtKFwMMhAmoiIiIioh8l1SLsCYJEfANtrig4RdOUnMVf9g7XGo0O5INwEioPHeEFftX29/EMW82tGAh4T28lUBu1pmdchraq2qM0e6gd4h4pWp7UV7lrPWzVpXbAfj4A8d3ik7nH0NSNWPYhrP6qg3af3Op5Iuw6q9H7+sWQa4WAA4VAAAeWUeTZsjqgD15grtFb2Q7sCUL/Qti4Syh6cqX6cXMe2ekK6IaI+9NEkIDapGWlrt6b+dYc+2lP/fo9l1CFtELjGEillyGyLG9Sa5MJUzbUMJqR//Y9PcdFv52r3Y8KkYsUOif0OGiyF3EGVBhPkFTIibX8DpVL2Q9RTMZA2VBUSSLCyg4iIiIioR4kV1HEAxQGwHZjURfI7ktN5oXWujiP3GIXTtvkH0gFePct2mJgLyAvD26LwO1AcbscLqkgCHuFlezqDZCqDunAIQtMhbVV/uPbsebBfOu+5Ax6VHcm0M7FdGPrnPY59WKNyAtiekLb3rAjaNTUb9uP4HQzpPohRWdmRSCEUEKiustZ5Hnzonkg2qexQTS0nc197VWVHYX2MrmPbqhDxvJRRQBx3T3UrD2LMXktzCGUybU396/bsvp7urbm9b13gHE+mfSdy3RPrqqUmYbPJBPCGHW3YHm/X7seEE8Zrn7t/SJzJSLS16yfIjfZjH1SpqzQp4yGLJlrbran/SprYJuqJGEgbimQPNdT9nzYREREREXUvMY+KjMIAOF4QAHt1JOcO0VNXdrirP+wwtfD9Ra76IxeQFwazsWThNHbxdG+0YEo2IDymsV0huqqyI52RaGvPZMNd62PKGo1wfqd10YR4wrVGdSBf0l0zopp+Nu+0toLv4s/b1yqcei+qGclOY9tr0p7XKjwY0nsiOSCsr6t6+tdd2aHYs6vTWlXZUdwh7bXn3MGHJhPbqmla9+GIfj3LJp3WzhqPr1kylUEym0Tr3pfbf/b1tR4p5X6dNa7npgzbDcLmqMkUdSJVkgDUbD/+E8l/WbAWJ06c4ztF7r8fk4ntyqrsMNkzEXUeA2lD4ZB1q9pVrwyIiIiIiKjb8TrUMFhwIGG0ICT2CkJz1R/2RLK6jsPd2Vxc65E/jW1fzx3QxZxaj8KKjOKJbd10r7vTOqiYao656kpUoW0mI7OhrPr+2NerDesrO+KuCWlVz3Qse6CjMxmumrSOhCA8gnjnWq6DIe0OaZkpXJNfRaKakM6feldXkeg6rd2dzX6H+tlhu3dlhx3uZjukNVPUVqe1+v7k9uO9Z/cUsV/NRr3Taa2e2NbWjBhUaNhT/9Ya7z3be/KrrLB60YPa6zkVGQYT2/qe6XSnw1/AdciiQYe0LtpYuSWGLbEk2jv5U+K5vmr9tLq1n8rIWkz2TESdx0DakB1IJ1nbQURERETUY8STaVRXBZypZ6A4vFV1NrsDJPfkqtdjAO7wO+g6GLFgPx4T0kUT24UT0h7BbC5sdlWIeIStgBWiCyfULtyPxxR14eO054foXpPCmYxEvD3tVJEo6zhcHdKBgGIC2D6wUDFlbj//umxlh66P2Zl6V0x/R10huuqx7KlufaVJbs/qzua06+ugXgMANVVBz2962NcCfA5ZNKjsyOuH9qnjAPyniPUT2/5T1CYT5O4QXbUfe+rfL3CMJ1PaPQNm0732PdJXiPhPbJsw68/2PxjSvo+d3ZNJP3Ruol39OAtWbcMx98zGjtbO15r4MTkYkog6j4G0oXC2VIwHGxIRERER9Rzug/ZsQoi8jtpYMoVIKIBQ9j2B1yRsUWezx3Rv3DUFqgoeC/t/geKJ7cLea6/KDq8p6uIJaftawdxzyt+yqx5EXdlRWGmSC2Zz69pSVi9rbUR9f6SUTnCr2jOQC5L1lR3WxHZQO5Gcm4D16uG2H6fONWWunMYOB5U91ID1dbUPj9R1SNtfd9UcVNzuxg4Idad1snDauPhx3AcfqifI/Ss74nkT0t57jhWEzd6917mAHPAO9d0T5CY93H5T5rq80Z76b9BMdVuPZTL97H+9aIkqOzqyH7Oakc5WdvgH5M5EsmbRkg078cXOBLZEE53ajwmTgyGJqPMYSBsKh6wXIQykiYiIiIh6DneNhC0g8sOsmGuS1vq8V2dzGuFgwPnJSq/+45irRsMrtLWvBeSCZPt6eYcsFvReewXFXj3T6ontkKsXG/lrEsVrinqWk94Bef6ei6fMC/eTSGWQkfqaESntepDcPVQFnHWRoLIaxFnjVIh41224/3yo6jbsTmvVYwBWmGp9I0LXaZ1Go08AGiuoRlGF8TVVQYSC3n/G7DUA0FgdUga39tdMCN2hfgYBsD0hHalSrnMCcs2EtHtiW71nd2it2LNzMKJmQrjd/2BIKaXRRLJZjUa6JJUVJkFybmJb/Ti5Wo/OBtJmdSV+14ob3OdScUJ0zcU2trThjPtfx/rtrbt/Q0Q9FANpQ05lBwNpIiIiIqIeI5ZM54W/QPFEsnuSFshVUrgDlHgy5QSpXo8BWEFHKCAQDgaUlR2FQTJQHN56TWMD+QGbV61Hcaezu9Ma3vspOETPWqMP0b0qO2KFU9QBq/+4MPgHXAcxBkRRaJZMZ5DKyOyEdPF1nOef7ZC2qkrU0625yg7vQD6eTOcdDOkVhEZd11Ltx+4j1lV2xBK5ighd4Frv7rT2eG7WBHlQv5/s1H9VMOBbR1GnO4jR4ODDaFHY7PU4uYBctWeTOg53p7XfQYzagLiwZsQjBmhtTzt/XlSP5Q6tdQG4daih8tMAgB2t7Uik0to1Tvhdgk5roLie5/+z96YxtmXXfd//nFPDe1XVTVIcLYqjSFqkSImkKE6iyLJiG4kBI/kQIwnyxQgSf0lgxEaCyAacfEjs2EESGY7j2LITG0YcO5KlQLAsmmMXyW6O3c2e57lfd7/m6/nde6vurXvvyYd91tlrr73WPqf4im/i+gNE9WPt3nvtc3fV6/vf//tbJ9U4hvRwgnwMZuS0NMZEf+D8RTxw/iIeuzD9idfjcl2vckN6pCJDuvwXgMvlcrlcLpfL5bp2NFuk6WeA0AzxzzxJS98HpOG6SsZUGrJjQY32KhPZMZuv0NQVtjfiW7VgzPL0c5reHJ1IFgVFhnQ0m6UFw41tLRke1jKQHUpiu9T4MGJGbAOY78uqZ7FcY7FadxgNG9kxmS9ZGhtZzbS3na0ysoMaHzZ96j1fi86QdlHB1xpEdiyWIiGtp7F5ot1CdpCpb5q7i5D6396ozTHcJLZMUMmHtp4hEM90iY0NlPAgI7AevbmpzxHmIYPcTqwnDR2Ngij1X1qPUv9DZuu/97/fgr9/8FhxzBizPSJELg3rMUbTEfiLyZgU9SkltsfoJBcWV0sjxvlyhb/wT2/FIz+6eKVLcblGyw3pkSKG9NwT0i6Xy+VyuVwu13UjSrdyVcII5RxhgCM7JNaDj8lNqknXaI/PoTfRiwYxjU350EtUFXBms8ODdO/qtLRxEdkxT43tpq5ys5lwHNuRkSwNxb7pY4EhPWPzhDHI9t+nw0uNISmNvW0jRHiC3EokH6/WWCzXGWZE7i1vsphN1aWfbS447X+nu4ywzeZVEVlBa8XmkUY9Xeqf6tFMM0r9V8pZjvUQ9sQ29VOTuJxIJna63hiSTGvCeuTzzNhFzBBmJMxRTmwPJZZpLaDcGDJ8X59nMuL5zJch9V+qBwDOvTzDCwMM5ZM1WSzMsxjGaIxJK4+pZzYyQQ6Uk9+npd5sHvF8rpbGh+dePsSX73setz/5ypUuxeUaLTekR2rbkR0ul8vlcrlcLtd1pxkziUkyxUpN9EjRvIz/znSRsqi1JGzAeqSICC21vKswrbU0NpmJNNcqMXeDYXJ2s2H1pHvPUBuVhuyI5q6F7CDTjZ5jo6SfJ3ORkK5zAzgmtqNJLE0hbkqOaQxZmalmYaIrDO3VusXR8XqwyWJoRjiAyJjTmAKOY7Esmra01i5DiOh86DCPdnEi1yqnqKkxZKke3tTQTiTXVXhPbXGv6ZzdMIIhvbe9UUhsM4RIIWVeqlevRxlzgjQ2UGgMOSJFvFiucbxqBw3Q3kguITtGMa2Hn9F/9k9vxX/3B/eU62ENHYcuEcqNDy+fAUzPsGS4R8zI1WFIn1ai3eW6nHJDeqScIe1yuVwul8vlcl1/mrEmcaS6qrBi7+sthnTKdU5T1JWRSM4T0mk908UqYVH39QjjltdTKXPNuqQ1Ga2V2mQxNYmrqsqQHTxpbSEypJFcac9nrmNG0uQ3jYksamn4TJiJbhmuMUUdMBqaRzMVJnq5MaSd2F6v2/4MDSEydkYgOwLPu4wZIYPcmmsyD2ls69IjrBWZ1oDRGJKxqC0DeDIyJUwXKE1VGYntkPqnvRWxHmc2BhsWhnpsFEmp3rDWql/LrocQM00BezKmyeKIxpAjDGJK/Zfm4TWVkR3DWJNHL0xx7uVyU7/0wsJaa7ieMc0aT0tjzN3JiBT15dSYxpAu19UmN6RHarP7fNrxyn/AXS6Xy+VyuVyu60XTRWwSR6pkInmhJ6Rl0z7Jmc4Z0hz/gGwOIBhze9u5QZ4Yt6zRXvh++NqKmneyenLUxtnNpjdSG4N7DQTTzUJ29EZyxofOzd2dkgEsU9RqzdEg75EdwhTizfjq2k41A2kaW+5tJpjWTVVlyIDZcUSRWBzq9brF7HjVc6/NdO88XEbUVTlNS89Qu/QI8ywTxrb2FpbOtJV6pzE7Awb5bExKmJ17+bMV11p1r5d+6QGkiJnSWiTT3D0B1iIiRGxkxw1nNkzjdozZPE1S3WXjf0yzQsDe23K1xtFxmKRkXk57w7Vsyg4mtufDexuD7IiGvL3Wb33lIfzm791VrGeMZj2upDBmRMr8cmrM83G5rja5IT1S3tTQ5XK5XC6Xy+W6/jSdR4wGKaRqy2YzkJpD0rRuFONtMl8pTf1yc3dHIESkqTplzfgAMGM2xXrsiTEajkNyr7O08WKJrY0am01t1kxmyNkCsmPGktZ8/xpmZJcZwNIUio0PG7sx5IKP0RO5WdNHJd0sE9Kamcr3VSmmNgAcLVdoWxqjm61t2/YYDatZYah7mTxDi7W8u73RJ9VVPnSHfWkKBvCsu/iwTP1Qz3BjP576t2qm5pGNcVHDx2wUUuaTxABVh4xK245CdowwyKfMJDZT1PPhFPEYZAXVfGaz0ITyeHit1brF4fEIrMd8OWiAjsGajEmsT0cYwLc/9TLuPPdquaARGtX08WpDdozAjLhcV5vckB4pamroyA6Xy+VyuVwul+v6EHFZJUNaplglRkMzzTKsh5Hu5fiHMEda01RlSKemKuEf+PflXDORkK6U1K02j3y3M2WJbQ0NAgSjfaup+xBP30xPPEMA2f5btuBsjAHMGuTZpj5LUVsG6EIa5OH/b8VrCiBpfGjzs21zt8eMdPVoptrR8RrrFn0i2TatIxqmMZLU1DyzMZ4P7X+Hpbp1037Zo1FKBjmphGTgmBEVD9KZ6FZzSRrTJ7YLjSFjPXbKHLAN9FBz12Rx20Z28BS1mWgf8XzGpMz7xPIIrvENZzbNxPZsBNLkkJnW1jyU+h9KCPP17JqGzd0+ATzQ+LD0/bGaLsZfWJSQHX9417P4r3/3zkuuZ4zGJNpdrqtNbkiPFP3H1dwNaZfL5XK5XC6X67pQj20QBnDFmvsRl3UvMXd1JAXHaFSKaTadrzJkhzQQKN3KJZEJM4Hs0JLCYa3UIM/Tz5KNnRuh3Gi3DM7ZIk1sa2zn6XyJjbrqgz4a3mKipKhNPjRnNsvnzMxmqxHhVDGbgdTwi0ay3RiSp7EtZMeMpbHr2khsMzO+rnRjab5cY7Vui0iTUFNI/WvNN+P+I69aq5lq2t0uIzs4PqbU2C9iRgyESmd+W5cetK+97cacg/ZFshO5w3gDbu4CVsp8BLJjwQ3ZMQnp8piS/9ub6GfsxHbC/DbRMcOYjcPjkPovmbaU+t/uvBTLTJ+MMNvHND6czocN8jHqecwl83tEYv3mh1/Al+49f8n1jFHkXl+W5VyuU5Eb0iO17U0NXS6Xy+VyuVyu60pkhEhmc1NHI7TnCBcY0sRl5cZ2o2AOpl1ylc+hNe3bzZoa5uYuN5KjMZsa5ClCRGdaSxZ1lpBmiW3LcJ12+IesHmGic8OREBnchJrNV6ir+N5LS9PypHWpHgBFZnNkSIvEupbY7ps+ltPYVrqXN4/klx1JPcwgrw0kxWwR9xXqyS89KPW/1+FBAJvZvLPdqEl1XhM9Z9O8XCwj1qLQ2G9vADNC57Uxfi6A8Y0hSUNjyk3rQup/e7Puxmo1DxvAYxjKo1LmJ0jt3rC9UWCQj2tCGccMmPoFe4RS/xF7ks/Vti3Dkdhzjdr/YphpPUZjeMzjOOSr4gXCaWo2AtlxzzOv4q/8/t2nkiJ3uU5DbkiPVGRIuyHtcrlcLpfL5XJdD+rTrZkBHE3MPrnKDWBhXvLGdnwOblYQlzVnSIuaBEYDyI1ZifXQ5sq513ozQmm0Sz9jOo+4kkoxbfu1BIua9kyazEc0huz2RetUmok+X6KqgLObjdmQjzc+1FLftC8AzCiFUg+9riyRrCTa+VpAnmKlMXs9QkTHbIR5miJnmddjXXoA1IRSN3d56r+I7FjEFHUpuRsT0uqQcBnR12wgO+bU9LFQT2+QlxPbdFljYj1G8Igp9T+ENNmoK5zZaGyzeYQBPLYxJDAutXvDmc0CPmU80qRYM6WIR3CW+5S58nosVmssu0XKvOphzMpssTqVhHBMo19aQno6Xxafz2lqDLLjpgd+hH/+/acSjrjLdSXlhvRIOUPa5XK5XC6Xy+W6vtQbfBmzORoxMUlrIzL6FLXAenBv4FCY1pppS1xWybSWc83mKdZDS8LOBI6jUpKnPLEN6MgOnm6Nyec8ASz3LteaLXRDui3sS2uyOOnS2FVVmQiRSfd6nN1sCsiOaNzyemTzSCB9zXJ+drywsBLbHP0xmNjuTOISszgxyJXXItRjIzviRcwGa+aYzsNT/0MM6VICFohM61BziWm9YV56hLqXLGU+IrF9CciOKTtn1lyE17EuPYBxzGaO9TBT5iOQHREzsmGaqYn5PSqxXX6GRe4zQ4gA9iXDUD2L5boPBpZes8n8dBLS0zHm/3w4IT49pXrGaNSZHmGiX069OJnjr//r+7D00OdPrdyQHqktR3a4XC6Xy+VyuVzXlXq0g2Q2MyRATNLmjOR+jGjGB3TpVeYOzES6taoqVMJwJS5rzpCO44jLmqylmGYykWxhNKQBLN/tcP6vyUgWTGtaL01sp0a7mqIWLGotTRtMSRuzEWrumvHVlWkAc4wGrRX2xmvOx1hp7ITZbDKkN1RTO9QTLywsJMW0v/hgyA4jjb1TRJpwE91ImbMLlLquVA41pf4pAVtq7MfPvYUs2eNccAMzsrfdITsKxi3VcylNDakBaQkhQka7ZbLTmLOblNgeYwDr9YzBjPDUv2WmTsasNarJ4jBmQyKRShdDpbXGJMgp9X+aDOkyY3xMyv50EttjNDb1D5TP/eXUNx++gH/4rcfx2AvTK12K6wrJDemRckPa5XK5XC6Xy+W6vhRNyRzZEQ3pvPGhNC+1pLVMlU7MMUo9irlLBhNxWXc0ZMc6mtbBbC4bwAHJIBsfJksLhrSOGZFMa1ov4UOLFLWWzJ0JzIhmXk6ZiW4xkgO2gZpHGgboYoUzm3X/WlopcyBlNmvYEwAd/sJ4PrxhoYHR4BcWpmkrEtLy0iPUk84jLz3kPLapz1AkyhxATP3vdQlYbYxM/TcK9oTqLpno/ZitAWTHfDmIEJmMMHcnHTrHej5A2jzSMoBni2X/fC4Fo0EXFmNSu3tnNor4lMG1ktSysdaIFDFPbFvrjcGD8AS5zcamSwazHKzXLf6j3/4ubnrwR/YgVncR2TGKIb28bObvdITZHM/9ZSlpUJMRJrrr+pYb0iO1UYe/zJ0h7XK5XC6Xy+VyXR+SBh8pNHEL/9wnVwWPGWAJ6XlMpcYxArOxSNOtQDDnZFO/sJaG7LDT2NIInS/XWK1bFaMhkRSSIa2ZzT0ighoRKk375DOsMkNeprFzZMdUMdGz5C7jVVtp2tCsMaa6rQZ5e0o9sjHk9kaNjQ7fGObK5wGAswzZIdfjFxZW48NJMkY3luTFh4rsmK+yMdLEi58MKKSo2WWNheygem7smxpmQ/rU/06PGcnX6lP/BVOf1iODvJR+HkJ2zEYmYHe3m/6iwmRad0n8kpk6WM8IjMZshJnYM5u37QsCes3ObNaXlEie9QiRkiEbmdaAfj6mJ9h7ab1RjSoXS3znsRdxz7lXzTHrdWyyWORjj3k9LieyY8yZvsoM4P5Mu8X2Uys3pEeqqipsNbUnpF0ul8vlcrlcrutEU8MA5gbcTDEdhiO+AAAgAElEQVSAK2Eq0hhpcCbm5jwfI1m4khHM56Jhs7likHfv6mLN+b6kebtYrnG8agVDGlhDSRsL7nWe7l1lCelGpK0JgRD3FL6uxDPi+2oUI5SSq2GOUmLbNmTlGMBGdkjsiZbG3mpqbG3UptnMMRraOsmYrUbdd6hHcsi1BLlkY+vPJ4yxGdKJiV5XWBkGMRDPtNUYMcxjY0bmyy71zxLkmmlNJnEpkTxdLHFjb4DaY0gljMYuQ4hoRihx0a1LDyCYbnvbZe71dFRKeASzebHC2c2mv0CxmkcCwN72pp1+HpPYHmHIzsT5+HGRHQlmxGpUuRg2pMc0YuQN/6y1KPU/Zr2hNPJ//4f34Yt3P1ceNELTEfsfk6K+nLramNauyy83pE+grabG3A1pl8vlcrlcLpfruhDHG3BxQ3qijIkmFZIxOyJFrZnNMpGcJIQVsxkAGoa/iPUUmNZKzTEJG75q6XBZD3FZB5Edi2XGkJaN+abzlWEAs4T4YpUntpWGfLsDTOvpfJWatipDWjZ9zOeSRnulmNszxr2uDbN5Ol9iow4BJxszMh7Zwc12uTd5OaI3PuRMax1JMR2B7JiJBKw6RnDaVVOfGZf9ayr2NV+usexS/xpahs81hOwY27QvQZoowybdmbYuPcJaq2LKfHQ9I8zUSffpAfmzzjXrUv9bzbh6SsZ/6ftUD1BGdtC+tjbqUZiR0nMO9ZjljGr8NxvxWhwtV/35s8xdSv2XxgDA7976NL758AW7oJEax5AeTlFfTsXU/1VSkOuyyw3pE2hro3Zkh8vlcrlcLpfLdZ2IjDlqOkaqa2bcikZyQG6E9olkbhIrTf2APLUsmc5Aiv4I6+WJbZUh3abzaIiMiP7Ize8s1Sz2riWAl6s1jo7XST2yZqp7dytPmctE8t52ahJrxu0QQmTGxxjm5WwxDtkhx+TIjhUz7MP/lzObV73RbDURnM6XaOoK2xt1d/7yoid9utXGX9CYnYIhz1P/dqNKPqaM7LihwEiWzSO1mmOzRtsA5ql/6/lQ6n8IkTEmkTzrjeTwZ814pdS/dekR1uqS1gPca1KJRV2qN9S8LKbe+3q6s2iau2Oez8imfgA7HwaHGwjYlzEGuYnsGJMgPwFmBBjJ2DamItZ/aZ5gWq9OBVkxhos+BmvyOz94Gn9417OXXtAIjbkgcF3fckP6BNraqHHsCWmXy+VyuVwul+u60IyapJHr1ClpalgwgMk80hofytSklkiWhutMGI5xXJUzrblR2r2ri/VoJnpquPY1SwOYrTvN0rbh/+eGDn10fVea6HWVpAjJlI31dN+TTGuRotaMW5nYlv7KJBmjpyon8zSNrSM7VoL5rRvJPI0N6Hxo3tRPrsPXqqrKRHbMFktUVeD/AnrDxr45YmIA52sB4QxZHG6e+m8MRIY8HyVExBjMyG6XJNbqiefVTiT36fAzdj2U+qfXdTAhXTJ3Oy56KbEdLyMKjGRmgtrJ73FmKk91qxcx3acH6tpeizOkh5jNpXBrz7QmhErhUmNvu2BIJ0xrY60RCWE602MaQ2424y4QLqVmYv2XUu9jNebCYkyq/R9/+wn8zq3nLrmeMYqpdnekf1rlhvQJtNnUWPoPi8vlcrlcLpfLdV1Ia8YHpKlS4rI2zLQmk6rnOivMZok5mMzzRHKO7Ej5v6SGJUIjjiM3m9tsjI3s0Mfk3GeANaRTTFuJZODr9YxtxbRuhPFIXNZdYaLnhmvOtM7TvdFI1kztMM8ye73kXPJ8aOzi6SKa6DYfOjaPtJAds8VSNCvMSu7T2JQuVxsWitR/XUBkkAGu1xNfs0oxtakeYMBwFBcxdV1KbNvIDqpnr2AAyyZ6liEbxtgpakr9c6SJul53hqxLD4BjNC4R2THCTKRPIfSpbvUihie27ZpJJrKDzN2BRG5TV/1Z1M9HfM3GMK2HEuRDzyfUYQ5hqf/NUWazWc8IDveYlPlY9Tzm0v77Sw17ntliWbz0OE3NRqTav/fYi/ijU2Bsu65OuSF9Am02lSM7XC6Xy+VyuVyu60TB4Guy/5+nSifzZZ7+FabidB64rNRMLIyphHEb3nyfHYHskAzpJLE9z8dIzMFMmUcmPWcasqOukpaGEjOiGV2yiZ5W84ylbUm9Edq9vSIu604hQU5cVpmQzkxi1ozQ5jGv1HqSVDvDcdAY6Z3MFqs+IWzyoeerBCECAK1kNi8i99pK084WywwdkzeY7EzJOprWci6e+rd4w/zCIhjAdiL5xs7cLRnAuwVkx4SlqC0O94SZ6NocvOYis1ikutWzwS5QLGTHat3i8DicIevSA4gYjUZJs/OaKPVuM5KHcQuU+rdS5kC8rCk1hpyNQFKMaUjX87MLKfPZPKT+d7Yac++zxQjDfoTZSjUPNYYEwhm6pET7qJqHU+ZjRKn/0lrAeKzH5WI6x3rsMf/nzY/jt77y0GWpx3X55Yb0CbTZOLLD5XK5XC6Xy+W6XjRbLDP2MZCmaslQ4qqFeaklrevMbF5hq6mxtcFN67ypH5AzpLmp2o9R0r0S2aE2PuzGTJQ0tjRvZYpaM7o0g5z2z019AILHjGSuqZK0bkSadr4MXFbJkOb+SdsSHiSa6JqxNBG86qZPwrbJGP5aNAq7eDqPJrHGoaYxuyyxDeTGIzfRLYNzMs+Z1hr+Ykc8Z43HTKl/mVTnYwBgpxunmZc907qAyJAXFlpSmF9YDDOtN7KfrX4tMqQJIVIw0SlFrbKhVaZ1Ou7wmCfIdbOZp/6rqpymLTWGDHUPN6TrLyOMSw+AM6THmal2PcNmKnHaS00Wp4tw6dPU+qUHkCakbaTJeIbymEaMASFSHlNaL029G/WMSHWP0ZgLhOVqjXnnZZVT7avLhtCgM11EqCyWp4I0OS09/dIMX7nv+StdxnUjN6RPoM2mxrEnpF0ul8vlcrlcrutC0/kqSz8DwZjtzV3BPgaURLIyj+QtUwM0uY40gDebCtsbCrKjr0djUVedwRTXAsqNDzWmtTQvZeNDiQYJNesmOjeCNRNdsoujsW0jOya9QW4jO+bLNZbrNsFoWDzmnW3l+azTMTKJriE7eiPZ4kOzNLZpuDJetYV3mC1WyXPWjFmZ+tf2z1P/fTJcMdEp9W8hO2ZzmTa2TWJ+qSHfUk8FjgPQDPt4Fu1GlcPIjqlAdmgmaPwZa9RLD4CxujvDVbv0oNR/KWVOqf9SY0iApUmLSIpVgj3RjDxisBdT3YsVtjfKiW26jCibiTGNDdjnY2erKSfIxyBEyNwdYUgXTf3+wsJmWnMDeExjSBt7cjrIjlEIkWNu6utjKPV/uSi1MSFeNsivIj8a/+TbT+Av/793XOkyrhu5IX0CbTaVM6RdLpfL5XK5XK7rRDZDOhpQPN3Kvw/EN9K8iV4ck5qTEyVp3Qicgmzqx+fixm1TV71hlI6htfLmiHYiOTUv+budyJCWTfvyMbIRo4oZ0Qxges6qia43fezNZg2zIXjeWnp1sVzjeNUmr2ulmHhTYQBrc3EjucSH3hMGcJa0XiwZ+iM3bYH8fKhNJsWZroxEsjTIpenD67HMVEr9n+kYwSWTuL9EqBXMCEtRa5cetHeax0J2kGnbm7ulFHXBAOapf8vcnbAxpUQ7EDA0luF6dBxS/32qWxlEqf9Qbzndu7vVmI0qw97CmS4hO6bzYYN81pu7ZQOYN1nUE/TU9LFQz4IngC8hQT4SMwIAe9ubpvmfJsiNteZ8zI+fMn/18Bj/+T+7HS9PF+aYMU0ox6SoKfV/+RjSw6/ZdL68qpoeXjw6dozvKcoN6RNos6l7No/L5XK5XC6Xy+W6tjVVjGQgTZUGU3Ij+z6QJoDzRoSpCWOlqNfCANWZ1tHMIi4rGZv9eqzB3WwRuKzEpQUi3qKvR+FMS8OVm25hjvD/85oj+kMzpNGtlSekewNYpKglkkJjbOfmblw3T+R2NbNBWjq8qVMjlLisewljWzFKhZEsuddUE52heHaQj+lT3XZyVz4fuX95ORLSu3KeVYIHCXMoie3tiBmx8BdkbgJ2InejrrDV8dW19PdkztPG+vPhqX8LIdJfjhBCxDDRAeCGbRvZwVP/g0iTLRvZEfnZduPDaZ/ItRtDzpfrvs6hdO/O9oZ56UFjqDFmCSVRqieMGdEgjxjSxqVHGLPsLxlKxi2hjoaQHcW07WLYROdc9DGJbcu0TrEe5XlKhuvd517Fv777Odzz7KvmmMkIs/lEjSovkwFMNZVS7bPF6rIxrcdouri6EtvXutyQPoG2NhzZ4XK5XC6Xy+VyXS/SjGSgS6gyhrQ0iWMDvPBnLWkt07L6GNH40ExsV8z8XmZpZFqPp5/JdOJzANHQIRPj7KbdJG8mUtRaelUmYPu5GG9ZY0hLAzimSVNkB3/zPxXmt5YQlkgTzVCURjvfG8ewhHqEQc7mWa7WODpeJ2Z8oxiuHKNhITt4U0MT2TFfqdiT1Tp9PXYEG1saPsGUlKn33CROGhEqb4NpjLWnsPdlcoESnmG+r7oCtjfq/tIjQ3awc2aZqT2Oo9SwkCEZAN3A5Kl/7dKD9kVjtEuPUPOIxpAZQkSreTghTKn/vYKpH2oKlxHWpQfVVEqZh5qGzUu6QCkhO+iTI03JIJ8vi1xwGjNYz8jGkFtNje3NejCNHebS55mdErIjmujmkCSNfWmNIYfXWq9b/M0vPoDzrx7Zg0ZobOp/ulheVQbw7DI2ffxpkBvSJ9BGXeFY/u3pcrlcLpfL5XK5rklN5rYBTO85ZwuNIR2+9szmzgCWc4Qx4c9aGlt+1H8yz9PYtB43mzUTndfMG+3Jmnmzxt2tyMgFgnnJ3+1IjIaG7NBwHDS2X6tHfwwbwIlJLJoIToX5rRmyfbqVDNc6NxS15pFZY8g+QS6Y1tz8Pc7NeMm9Ji4rPUMrvcoxGpVi2lJNaaId2VzynGnIDn7O4hzpWvyyRpsj1LxKOMtW0zqJjtFS5oRtsJAds3lI/Z/dtNO0SeNDY0x/OVJAUvDU/5DZvLO9YaaoJffaMvWBeFlTataorUHi2JNG+SQDEFP/1PjQ5kMvGRdcHTK6iSAl2gGjgeSCmj6WECLMIB9IbJeN2zFYj5D6t858qCek/oESQ3o8smMM07rEDudIk0tpDDnGIH7qpRn+/jcexTce+pE5Zox46r+Uap9dxiaLYzSdr66qJovXutyQPoG8qaHL5XK5XC6Xy3V9iBJaWlPDhhnAEyUhLTEHk/kya+on+cZaGjtnJOdrAcFU5axlzUTnGAOJduA1Uz0aikSaqbPFCmc26/7f1Yyu3tzdzPfPMSMAdGazMIB3hAG8Es8njJHmLq85Nfgs0xbIG0PycXrTxzSxHRPkqUnMzSPisu7JxDZ7W7let5gdM4yGZbjOV1mCHEgNJnmmA7NZzhOZ1jKpTpLNGtX082LZmbYpwiZZS2keqSFNZONMOdWEpf611DeNAci0NrAegq+uo0jiRYOFNOFn2jLko2ltIztiYttGZHBzUzO1gTT1b196xNS/lTJfrtaYL9fDBvAIc5dS/9alRxgTzofFBQfCM4rPR19rDEd5Osbc7c6ZbPCarrUqXnoA45jNkxEJ6TFjZiPOx5jGkJNRCfJhE32M0nr0MYvlGovVejCR/C9vO4enX5pdWkEjRYnty8XZvt7lhvQJtOnIDpfL5XK5XC6X67oQJbSsJoKctawZt0B8Iz1b5OnnMcgOaQBPFyu7HpbG1jEj3ExdZQZ5VaXGo9assapS85InJfkc0tzd3qix0aRvLbkxK1EbQDRmY8o8x3pkyA7BmVaRHRnWQ6s5T2NHY5b2rqWfU1MxJmlTjIZmfu+wpn6ynsPjwCXlGA1pwrRtm100aE0dZfPMRjFmZ+ycWcgOnvoPSXVkmjL+r9xTHJNy0WuF/8ybR9Ixymtepolt1WwOY+q6yn624lrjGx/ubNnIDp76N5tZ8oS00fiwZ1oXmyyGMWdGYCQ40ztnh0cGu3npcUz1dAawUhCl/kO9pXTrsk+HA/Yly16XoramShLbppl6SoiM7kxblzBUD6XMS2P6egYT0uVnGMaYQ/q1LNY7IC41huopWF5jUtRjNAZDMyZBvlyt8V/97p34vdvPXVI9Y0V1ux99OnJD+gTaampHdrhcLpfL5XK5XNeB+hSkwZBu25TLmn4/fOWNDzWzGQBaMq21poZ1lTXb21MS2zyJp6Wfab0U2aFjRmKjwXxMU6fIjpmYRzV3S9xr9ny2mrpvTEZ75/VMDYyGau5uDSM7eqyH1vhQTWMjmUumsanmNB2us6jVJoslg1wgTbR079HxGus2rUeeQy31bzUR3O3NXZoD2Rh6LUxkR2dsF5EdAoujJU9n87QxJN9TPw9Df8iLCj6mb2ZpMJJnixXObjbYqO0mefx3g4nsoKT1VgHZ0afsG7vmuTDIVVOfjOTNQf4v8aHVehIUybgEeYnDbdULxNT/znYZ2TGdx8aYtnG7YogVK5E8oongCIOTUv8lhMisT3VXZro3TS1fWsocKLOxOYf8UrjXJ2JaX2JEegzSZDrC/B7zfE5TYxox/uCJl/Dki9PLUs+1LjekT6DNpvKEtMvlcrlcLpfLdR3IYh8DIaW5btvMAO2/zxJ/nMuazpGmV3mTOJJmuOoMaY6/yI1kWq+I7BBJ2Ol8lY3RE9tNNgdP0GlGO9XD8Rcysd0bwCyxvVFX2GpS0zoxmwVGQ8M7RJZuiuxYCeOfz5POFU10YMhszk30qsqbDOo1szG9CRhT1Bb+QZrNQLz0oNS/RIhkyA6W+pdJdT6G9t4YieSsaZ06ZpVdamR4EJb61zAkYcwySVFrhhBP/Vvp1Qmluo3miTQPpf5tZEd4Pc5uNeo55GMIAVHiQ5cSwHRebzyzMWgm8kSyZuoD0SC3ng9QNsjpTG9t1KYxyVP/1qUHpf4DZqTcbK+UIOc1WTUDjCFdMne7iw/rAiHU0yE7Co0hU66ztdawuUtGewkRMWUXY3bNJ0hIDyTIwxhzyChNk0T70Fpj6rk8hvRsxHr/5b+4A3//G49elnqudbkhfQJtOEPa5XK5XC6Xy+W6LiTNTS5KD06VBCx9HwhvpDmXNR0Tvq7btuey5qlliXdYmQzpaDbrBjA3tzWsh8ZIzrEeIkks0q0amkAz2mk9eus0ndtNHzlmhBrb8TGS2dzUFba7pLXKh86wHrnhKlPUQExs07CZagAjS7TztYAuZa5gPXaF4cqfM2+iF/etG6AaZoSb+toYboTK1H8t5ujX42ljg6dL56yE7JgtlskzlPWEtVLzG8jNW34RY5mpPPVvpVcp9W+Ztn09hUuPMCam/rVLj1APw2gYyA4aU2Ik92nsMxt22pal/kuNM8OYDRPDEpnWw4ntokGuNH2UZ3q+DKn/3W0b2UGp/xsLCBG+nlUzHzPciLHpLyWtMUPIjoSRPID1GJVILvitlPrfbMqNIUlD2JNxjSEvMSE9wiDvzebiBcLpGORjRKl/oIzseO3wGPPjq8c3fPqlGc69fHkY2yfVqRrSVVVtVFX1m1VVPVxV1byqqnNVVf2WGFNVVfVXq6p6uqqqw6qqvllV1UdPs46flLaaGovl1XOwXC6Xy+VyuVyuq0FVVb2vqqp/UFXVXVVVraqqOrjSNQ2pT7cayI51mxpK6ffD13UbTWuJ2uCmEL2JzZAdzDQjLqtukIevbdv2XFarZiA1+PI5wlfNSJbIDokiqZW0qNaskdZLeNXK3mlPsZ5y08dJZxbRs42J7TRlXlWBt0t7CjUjGQPI9HP4yhPtQJ6ilvUAyBLAamJbcK+1xpC7iZmap4hDPTlmhJv6oR4b2TFjxmU6R1xLpv7LaeMN07QNdS8FZiRnO89Yg02Tx7xYMcyIwYeerxK+uMVs5jVbXOPdHldi1RPPtNkYcr7EZlNhe6OA7BAGsI4ZiWOG0q172xsqpiasFS9raiv1PpcGuW2i720X6mGpf+vSI/6M2U0o58s1luuWIURs83Kzsc8hjSl9H4iXEVY9YcyKYU/0edKmhtZrNoZp3SEpCmP61H/BIE8xK1bNI5o+dvNcKiJjDPYlPh97numI1Ptp6Wi56n+Grf1T6v9yJbbH6L/5vbvw3/7BvVe6DFWnnZD+JwD+IoD/GcCfBvCbAA7FmN8E8NcA/C0AfxbABMBXq6p62ynXcurabCosLxObxuVyuVwul8vluob0iwD+DIAHATx0hWsZJflRea6m+yi2hfWoqqo3XLWGfWGOiLew5uEf+dZ4xP24zmBartuuAZxSMzOYpvO8qaHkuGpGcmamCpPY4jHr2BPOkNaY1nljSLkvmaaVzSM1I5TS2GRaSzZ0qCeYGGc3c/wFT5kDwtytpdk83PgwohSafo68Htn4UDNt83Mmk7Ba6l+atxNhxpcQIjssRS0NH0r9lxARtP898Xw043ZvO30+GbKDnbOmtvAgy+QZauYuT7cCOi6AX9Zolx40Jm+cma8Vx9jIjqaucLY7H5rxSMnVG7Y3B5EdO4UmkxxBZJmXOUIkXytiPWymNb+s0S49AP4zZiM7YoLcRnZQ6r9kogOMN1wwCyn1XxkXCKGm2MxzKI0NFLAevQFsltP/3JeQHTO6GCo0hhyXIB/RqHJEU7+nX5rhH33rMXsARGL7UhLklxHZwRtVWusR6/9qsg1fmi6SC4CrSadmSFdV9W8D+A8A/Mm2bf9B27bfaNv2/27b9q+yMWcQDOn/sW3bv9u27VcB/DkALYD/4rRq+Ulp05EdLpfL5XK5XC6Xpn/Vtu072rb9cwCuziiOkGbwkajBFueymmMUUzJ8P3xds3l0A1ikWw0eM8CTvTaygxJaGh+a6qG58kaMqdFATeu0PfVjFBwH0CE72jhP3tAxnUvDlUgzNZjxKa85q0cgIsi0bZOaO1OSNoTcVJwq50PuX7tokLgNyavWGMmykZxq2irnjF96hHpSXnUYo6exe+NWS5mL1L/aiJCl/q3Gf5T658+wUZrXceO2R3YoY3YHkB1yjMqHXlCjva5mwwSVTGvNTN3dTlPmOYpkNZgyn/bYEzvdO1ssUVfh94eNZIgXDVVvtst64lnULj34GDKAtWfI09iWmcpT/zbTOp6zZrDpYymxLTAjysYo9Q8M85j3uvNhp7FXzAC2070btX3pQWtZeyKNw3qseuN/FLJjyCAvmKlj6vnDu57D//Cv78fFo+NCzbzpoz5mFNN6RIr6tJSm3q16hpseXm5NF8vipceV1GkmpP8TAF9v2/a+wpjPArgRwO/Q/9G27RTAvwLw75xiLT8RBUO6Lf4Cc7lcLpfL5XK5ftrUtu1V+nbHloZAINHH2TmXVR3TplxWrgTZUWBRk2mrYSTiXOHrxaMU/yDXW7chodW2Wj3o6qGPFSsmcZUiOyYsuZrsib0bn8xzFnWYSyA7jIQ0T4hrBvlaGMl7Iv2rYT00zrJMdZvM73Ws+exm0//7ac3dPHTRIJLW/M3/RFxqaKa+bJ6pmam6+Z3OpV1Y5JzykzeG5Gn3fgxLt1pm6qGCqqnE8yEu626GEMnX2+kxGrqZKo1knXu97BrtjWNI93xoxUzt088GsoOfabOevjGkvm8gpv5LBihP/UeEiNx7vBiz0r2Saa2nzGNqeSghTCgJIDeKp0mKWkdk9KZ1wWwmkzRiPfJ6EjPR+NtquVrj6Hgd6ykYt5SyL/GhSxxuXtOYRHIpF3lSpvWgmTqiyWLJcB2FRhllkBOOw55nNqLmw8UK//z7T12yj5ea6OXX9GryDGfz1VWFEOE6TUP6UwAeqqrq71ZV9VpVVbOqqn6/qqqfZWN+AcAKwMPi372/+95VLWISHa+uzhfT5XK5XC6Xy+VyjZNMrnIR71VrWtePqYkhvVLHxI/668nVsA43ZPUxVA/AjRc9Rb1u24TLKr8PhJrnyzVW63awyaLEaGjmrsRo8P1zlES+9zQ9OJmvsnqazEy1MCNpAnhHICKAHKOR86ol/iI37Hujj+19e6PGRlOzeXITHUhxHGHfeSI5SQALE0a7sNAaVQI51kMiTZK1lKTwRFzWVIrRlfB/rcaIhonO5yIuazSA8zMmU/8WRoOn/rWUORCbZ9bs5zMbw1L/HM8j55GNM6VHNZmvGPbENpuThLSB9djpUsQl/i+l/i1zeyIM4BKyo4TIiIlkG9kxY7/zSo0hw5im+HwA4IaS2SywHiVjG7DNVJ76J2SHfN156t+6ZAC6y7MBQzqmafU5gHFICkr9F+tZrNgnKn58M3U6AtlB+ypiRkYxpMcgO4Zr/toDz+Ov/P7dePTCxC56hE6EGbmKrugn86uLac2V/5fDj6+3AfjzAO4E8B8CuAHA/wTg/6uq6tNtOCFvADBp23Yl/t2XAexUVbXVtu2Cf6Oqqr8A4C8AwFvf+lYcHBycYsnDmkwm/ZpPPRlK+/rBN3Bmoyr8Wz894s/HlcufT1n+fMry51OWP5+y/PmU5c+nLH8+rp+0ruR/49P5vuux8N/2t33v29hu0v+2f+aZORbLJX549/0AgDtu+x6e2E6zPO16jSeffArty88AAO654za88HAc8+Cz4Y3pd777XTw7De9OH7jnDsyfjibnxdcOcdQABwcHeOCl8Bbp4fvvweaP7k/Wevzx8NHnb37nBwCAxx56AAevPpKMmR8d4rnzc9z0rZcAAE899jAO5k/037/vxTD/7bf/EOcfCnU+99TjODg414954YUjrFZrHBwcYLlucbxq8fwzT+Hg4Hx4dovwpvahhx7GwSLMffHoGC8+/ywODl5I6nnttUPUVdjbK5NDvPLC88nr/OwkPJN77r0Xuy89iBdfneF1mKZjnp1jsVj2/9/zLx3i9dtVel7aFk88EWt85vnQwojGPHQuPLtvf/s7ePNO2PdTzx5hvWiTeabH3d4efgQHx0/i8aeOUHXPgvT44+HMvHYx1Pnw43Ns1emYxWKOZ587j4ODlwEA9z+ywJpkj+YAACAASURBVEYN3PKtb4b9Xgjn4rbbbserj4WzcM+jdBZvwUZd4aUXj3DxMK3vzifDPn546/fw6FY4rw8/0+3tO9/FW3Zq3Nb9+Z47bsWPutd4evEQy1l8Hrc9H9a//+4fYvZkg6cvhtfhrrvvwfaFBwDEs/LQffegPn8/zj87x3y+TJ/Fq2HMYw/dj4NXHkYF4PEnnsDBwbP9z9j57tw/+ejDODh8HADw4otHuDiNz+yVeRjzzJOP4eDgaVzsztiDDz6Eg6Pw78xXLdYtcP7ckzg4eA5Pdu/Lbzo4SBoKTuZLvHD+GRwcXMDxYoFzzzyHg4OXwPXK9BAvXziPe++5AAD4wW234sVH0ouHF16d4U3NYV9j2FtYm/T8izO8ZafGwcFBf8Zu+fa38caz8XfAcz86xEYdnv10cogX5uHc8L9jn34unMUf3n5b9zrcjeZ58fN/7gjVco3z55/D4Xyl/r58+Ik5NqrwXOmM/eDW2/AS29uDj86x1QDf+uY38PJLR3hNnDEAuPeR8Gzvvj38rrn/gQdxMEt5wHc8Efb76gvnsW6Bm266qb9IIN3WPZO7bv9B/7N11913J7/bfnA+1HnfXXfg/HPHOOr2xp/PPS/Q78W7AQCPPf44Dg6eSdZ69JUwZj55FQBwyy234PVn0t/X9PsGAC5ceEF9hi8fhTHnnngUr85DzTcdHPSGOgAcLsP/f/7ck1geH+OZZ57FwcGLyTzhUzErvPF4Hvb5g1tx4XX5JeJrs/D91159zfw78OWLMwDA/Q88gIPJowDy/0a78PIMm4sa08MWF5ZTda7nLhziTNNiugbuvOsuVOdzK/Dc+aOwx6O5Wc8T58KYRx97DAfVOXXMI0+EfX3z5ltw45bumz346Lz/5/sfeBAH05w5fe/D4Syu1vk5Jd3Z/d349DPP9H8Hyedz69Pd78nvfh/nbsxfh7G660I00W++5dt43Xa+t4dfDmfx+QsXzJrbtsWdF1b4pTc3ydn6SWi1DhfQr7AzdjX9N/5pGtJV979/t23bFwGgqqrnAHwDwG8A+NqPM2nbtr8N4LcB4BOf+ES7v79/KsWO1cHBAWjNxzYeBx68D5/57Ofwup3Ny1rH1Sr+fFy5/PmU5c+nLH8+ZfnzKcufT1n+fMry5+P6SetK/jc+ne/bFg+ievgR/Onf2M/MlG/P7kf1zBN4+7veC9z3AP7U/uezhO/mTV/C23/uHXjXm3eBu+7Bn/j1z+KtN57pv//qHc8Ad92BX/3kJ3H3M68Ct9+BX//Mp/C+t+z1Y/7eg99BXQH7+5/B+oHnge/fis9+8lfw0Xe8PlnrkeYx4MH78b4Pfhj4/q341Mc/is+9/03JmN1bD/Dmt7wOH/7ozwPf/BY+8csfxv6H/1j//a1HXwB+8D380i9/FD/3hrPA12/CL//iL2D/E+/ox/zLZ2/Hucl57O/v4+XpAvjyV/CRX3g/9n/tPWFPh8fA17+M9/z8z2P/19+L49Uay3/zRXzwfe/B/v77k3r+jwe/gxZhb8df+yI+8J53Yn//g/33H70wAW7+Bn7hgx/E/kffjvXNX8V73/lW7O9/pB/zzYv3oT7/dP/7qL71AO/62ddhf/9j/ZiNr30RP/eOd/Rz/y9334w337CN/f1fBQC8eNs54J478alPfRrvfOMOAOAfPPRdvG23xf7+Z/p5Lh4dA1/7Mt773p/H/uffi3/21K14Ew6xv//r/ZgHqkeBhx7A7u4u9vf38QfP34HXT19Kfl/ufP8mvOWtb8D+/kcBAF975R7c8Pyz/Zjm4QvAbd/HRz/2MXzi3T8DAPje0QPYfOwx/Mnf+BMAgH/x9G2YvDDB/v4X+nnvvekR4P4H8af/xOdxpkOEvPzDc8Ddd+KTn/wU3v2mXTz1nSeAu+/Fb3z+1/CmvW0AwN+9/9vY2qixv//p+O/88E584bOfxrvftIsHz18EbvkmPvShX8T+L4Xzcnzf88APbsXnPvUJfPjtr8NNr96D2198Ntnn9qMvAt/5Lj71Kx/FZ3/+TWi+/Ed45zvfif39X+h/xu555lXgWzeHs/iLbwMA/O4zt+PV5y/2e3vihSlw0wE++uEPYv/jP4dXZgvg61/Bz7/vff25e2EyB77yVXzkgx/A/mfejXvbR4CHH8Svf/4L2NoIxuPR8QrrL/0bfPD978X+/vuw892v461veyP29385OZeLr/wRPvDed+GX3/MzwO0/wMc+9nF87J1vSMasv/llvPedP4v9/Q+H1+wrX8TPvSPsjVR9/+t419t/Bvv7H8WFW58G7rkLn/zUp/GOn9npx/ytO7+Ft7/+LPb3P4G/fe8tuPHsJvb3P5n8Hfv3HvgOdmrgV3/1Q8B3bsaHfjE+K9I/feIHeFNzhLe//fW495Xz6t/Pv//cD/GGw1ewv7+P6iE6Yx/Hr7wr7u3LL9+NG18I//7/89StOHxphv39zyfzfGd2P7afeAKf/9yvAd/4Kt7//vdj/zPvTsbc/bWHgQcewgff92589alH8IUv7Cc8dgB4/JbHgXvuw7/1+c/h/GtHwLe/Fc7YR+LvpBduOwfccSf2f+3TeGj1GO586Tns7+8nz+fonvPArbfhc5/6VdTf/xbe+a53YX//jydrbT7yAvDd7+E9b38bfvijZ/Dpz3wWb3vdmWTMHU+/Atx8CwDgZ974xv73A9cjP5oAB9/Axz7yITz14gx45CH8+ue/gE32CYjnXzsCvvo1/NKHPoBvPPcI3vq2N2VnbDpfov3Sl/DH3vR6PHXxJXzs47+CXxa/09u2xfxLfwQA2L1hL/k9w3V88CUAS7z//X8c+596J4D8v9Gq734d7/65N2Lx/EW8YXcL+/ufzOb5Gz/8Bt5QrzB9+RC/+OGPYP9Db83G/J37bgFefAUbW1vmfwP+X499H3j+At75rndjf/8D6pjfffZ24Jnn8JnPfBZvvmFbHfPFF+5C9dTTaFvg/R/4APY/9a5szM2T+4BHH0cL4Atf+EL29zQA3LF8CHjwYbztj/1s/3eHfD6PfOsx4N778fFfCb/PflxN73oOuO12AMCnP/OZ5O97UvXQBeB738cbjTMGAHefexV/+0s345/9p5/Cr73vTeqY09JrR8fAl7+MvRtuwP7+5wBcXf+Nf5rIjpcB3E1mdKebASwAfIiN2auqSl5LvAHATKajrzZtdn/ZLbyxocvlcrlcLpfLdU2LuKzam1z66DPnsuZjuiSciePgDGkd68GbxdHHgTUch0R2qNzrOnCvrWaNDatnauBKCFUC5BgJABmbtmfSKpiRpuPdci6rtqekyeKWRGQofGiFey0ZyRzrQUiKBNmxyLnXo+qhMWytnI0t+NCMNczn4FiB2VyMURrOzRZLNHWF7Q2OB5E150048+eTNjXUmvtJpnWl8H0lQqSuq4xzO1GQHZXyfMJaNrKD86q1fQPIfsbkOgCwWK5xvGo7ZnOJIb3Kas6QHUlTQ4PZvFimjSENlERSj4Hs2N3aKCM7FimuJNRTQpoYyI6MaZ2vNV2ssNXU/VkssaiLyA52ziym9ZSjYYyaJwIzojZiZAxlizfMWf91rZ8Pfu61n1Mgbwyp1Xx0vO7/XcteatnfHUONDyNDWh8znUfEjL3/YfzFrEeImEP6MWOaRwI2QoT+/g1zGWuNqXkEZmSMpmMwIyOez8uzYHseHUtwxOlrDPLlSuo0Den7ERLSUhXi39kPAGgAvE+M+YXue1e1NmtiSLsh7XK5XC6Xy+VyXcuaKuYmiZixnMuajekMYDJDpGnNTZjeeNGaCIqGdNK4BaIR/NpRiXuNIkOaGyy9KZlxlOMbaXoTr/GYORsasE30VdewTquHM7Y5l1XOIXnM+pj456lgWmvGo2YkSz42cVm5KmHS8SZ6/Xp1JczmlEWtGa7TRcq01sxUYg3zCxS5t9liiboCzmwy07pOeabSSNaa+8kLC35RYY/Rm/rxMTQXN6CkkaxxbuU5G9sY0m6i15iG6/FqjcVynbweWlNH3jxTu/Sg9XbYvizDdaczN7V6aP+7nUlsmYlTxr2OfHbtDPF69Hl2tmNjRJXH3DGt6exoBvBkvsRmU2Fro1YvPWhfQGda1/nrBaRcdO3Sg48pNT4kc3OzKTX+i7/z7EuG+LtT+zkF4gUKNYa0jH+SZaYS6780JtQUz4fJh14scWOhUSWvqdiMcIQBTM+x1Phwtlj19dgm+rABPIbZTPOU6hmjMY0hJyMM4DGNGE9L/WtxlVqYp2lI/yGAj1RVxTPnnwewicCVBoBvA3gNwJ+jAVVV7QD4swC+eIq1/EREH9VwQ9rlcrlcLpfL5bq2JY1LLjJTp6KpnxyzbsOby7Ob0cCJ3w9fEwN4UyaAo3nZN/hSaqK5Lh4FFqY0QWM9LazmiNyAs5o11nXVN+zTmujVwoCy0tgAmap5upV/P9QTzOhQcyPGxOZ+687c1vaVG8B5zdxAmSkNCythcs7my8xolyaV1ayRexFyjJZenWVjcnNOax4pk6dkkkrTOjWb09S/mjYWqX+ZVA/1pK+Zll6NiW1p7sYxE3FZUzab7SaC0iDXDFee+rcaI2qp//D7II5ZrtaYL9fFxDbtf5elzO00dqPum9dElxGlBnk72/I1FfMslqyechpbu6jga+1ubZimbah5qaTe82dNqX8r3cubxlqNKqfCAFbrYY0Y7bRt/L1ovR50FinVbqXew1p2I8YxZisfY5mXlPqnJHrJbC/VQ2NKa/GaimNGGNuT+bKYIAfSxodmI8oRZnOs59IM4BlLbNvG/5hnSKn3SypnlOgZli4QrqROkyH92wD+IoB/VVXV30Boavi3AHy1bdubAaBt26Oqqv4mgL9WVdXLCKnov4xgjP9vp1jLT0SE7DgutUF1uVwul8vlcrl+ytSFTP5M98e3A7ixqqp/v/vzH7VtO7syldmaLVZZYplUd2YWN5SyMd2b/6libtIcQHhjOlsssb1RY6NJ80AVM2FmRoqaxgHA5Cg3iXk9q7VuJNP3gfBG+nCpm8TcFJoqKBJpQE3mupEMRGTHmMT2bJ6aiXGOaCIcHq/Qtshej7qOKfO2w5HsJqlueh3ivzMxktZANBqmypgeWdL9eTpf4q03pBzRDNkh0th98jRBkaRpbNVMnefnTJpms3l+prWUOU/986R6v9YiTf3TBU1aT2oSN1WeXu0vLArIjt78LprNwvxW0rsy9a+lV3nq30r3RtPaTqzL1L926UGpf54g11Kcs5EG8N72Rvczlc8R9r/EO96w068l6wnzrPC6s5t9zRZqY5cZsrrZHM6ilXymtfa2y4b9pMPrVFVVRHZUXerfxIyMQHZMmJE8lLbdYWZ7du7ZmS6lzGktwDL+wxh+KSmVGKADKeKd7mda29tiucZite4T5JZ5OwbvMBthNveYkcKgGUN2lFL/JPPcj0Ca0O+YSzVlR10i9AawPc/lNImj+X11epinZki3bftaVVW/AeDvAPgXCOzoPwDwl8TQv4lgQP8VAG8EcCuAP9W27fOnVctPSluNIztcLpfL5XK5XC5FbwHwu+L/oz+/B8ATl7WaEZrMSwnp8JUzV7Ux67bF4SJP7YbvR/OW8zLlHPSmdLJYYmujThpokcjEvFhCdtSdISvQBbIentjWDE6e/pXz9AboOqaIwxjDIG8j0zpDZDDjcVIw0SUeRCbWuYlOXNadJNWNft9A5LKaCJF+//llhMQ8TA0DOElsL1Z9g0HAYiQvh5EdWhpbGLNa6r+uK/A8lUz9U5g6YWzP09R/pSE7RPpZq5kuLPYk01rBcUhzV5roYYzNSJapfz2xzRARhuGqYUYadukR6kkva7RLD3num7rCUvgI63Xbo2GakiHdoTZKyA5+pmvl0oP2//bXn+nrsfAgZH5rc9CYkmlL+6ffHdqlB43hDHJr72TYa5ceoZ70UqOM0dgYNIB3txt2YZbXE9aykR0xIR3Mf5VpzVLUttk63gDd3dowLz0OF3HvgG6EUuo/fF+vB4j7LyM7Rhjb8xXe3P1eHEqsl+aajTCA6e+Xko336IUJXj08xsdFg1OuMciOMXun34uXihAZozGJ9iup00xIo23bRxCTEdaYFsBf7/53TcmRHS6Xy+VyuVwuV662bZ+A3k/mqtVsscRbRLqVREbLxaMy1mO97tKtBcwGGcBa8rnpTFugSx2aaWx09Rz3XFa1ni6xDWhp42iwaKYbjaG3rRb/F4hvpPnH6bWa1+uUy6rtiWNGpIlOCfLA887xDzRPrCdPY8vmZMRltRAiHEeSM6TTJOxMuWjQmNZ727ZBHsas8IbdrXQOBSVhXTLQVDPlckRiDmTqn55PK+rhz1BHdqSp/1qYtqHmLmnNkR3y+cjmiOLSA8gvLNQUtZLYluYcb/poIRm01H/Nfk55Pb3hqiSFJYNdM8h7VM1WZBbLmnnqf7FcF9O9JaQJIDEaNh/6rTecKTd97Pj72uVKrCem/rVLD4A47Zz5nc/DjW2b2RwudBrlLMe14u+zUsqcxlgpc/570UyZz6UBrKzFTOIhpjVQMmTT5pHLNn+IE2GQqzV3Z7F06UGpf/pnS9GUNYdgulgW6wHiz1lpvd7cLaaxh1PC/+tXHsIjz0/wpb/0+ULN8fUYSnWX6xl+PqelMYntK6nTZEhf99pwQ9rlcrlcLpfL5boupBl8pNhE8NjEelCTMs5l5eLpVa2JHpAykil1qKlHdigYiX49xrQmLms6B2I9Bq+aM2q1FLVMDVpp7FhPmyVX5Z7W65jqlmMaZrhKRjBfpzeI5/m+pNEnm+jxeqrOvCUua1ZPhuxQGjHWuQG8k5i7Obt4KhLS0rQF9ES/NFUJgZCOEcgOkbSWyXCgM/gkQ3kgsd2oY1bYaurkAqWuh41bIDUv5YVFCdlB59VKmdM8PbIjM/7z1D9v9hnqGdEYUmkeKcmfPPVvmbZHx2u0bWzqpxlLMvVv8o9Z6p//3CRjuvNa5EMvVh2HO66fjWFnWrv0oDF7LPU+iP5QLj1ozA5PdWsp6i71P6qp4WbcvzwfE/Y7Rrv0oLUAFBnJ8Xfe5iBCI8yhDkka5lqNM2fi96v1fGjMGKazVQ+x/sOYkmm9GkSIjEGWzHrzu7DWCIb0a4fHWAz4fHz/VkJ8TGJ7TMr8ldkC9z37WrGeMRpjxl9JuSF9Am12v3EXy6vzxXS5XC6Xy+VyuVzjVGpqSObQpIDsqLpk7nQA2RFMYmtMyv/VksZANA1fO9LRH3yuKWuAptVDWA/isso5emSHidGIb6Qtk5jWW7dtwmVN9sTMbRvHgW5Mm6Rbs3U6H0HjVUtzrmSiN5QyN7EnNFfkssrLCGnMyssILb0q09jStKUxQ8gOdYzAHBACQe4pMXcXqdFOyI7MaE8wIxr3epld6MjGfNP5Eht1hS2WtAbKDQtLyA6O0dCeIZA2rctQJMqFhTRvJV9dSzfL5qJNpRmytJad7o3s4yb5NAAXpf7zeuJY+rmP9ejIDkr9a+ei3393zrSLgThmlawF6PgLjvWwjFs6Q9qlB40JKXN061j1NOrlComn/i1kB0/9DyM7bEYyN601U5vqIQ2Z6IQ10dqd0Zm+sWiQx8aQNkJjOLF9tFz1/77FkKbUfylBHmpa9n8X2wbweIa09ZxpniHTNk2sG2NG1DMGo/EPv/UY/uN/9N1iPWPUr+WG9LUv+ktyWTrJLpfL5XK5XC6X66pXaBJnp40BQnbYTQ3bNn58Pf9++EqN/VQDlCXaAtvXarKIvh4r1V0zM1Uz2hMDmHFZkzFVBXqnQ2+sqbEdX6c3QJXGh7xmMuPDGBvZIXnEcY6Ylo2mtY3s6JvoccNVIDuGTPTVOuWycnFEwWGf7NVS5uGficuamrtx36SpuIyQpm2oW2tqmJpmM+VMZ8gOcc4khiTUkye2wxgkYySKJG+il59FaYTSZQ0/izlmJE39a6b+RFxYaIxkGrPDDGCLM52y0yuRxk4vPjRkR8SM2MgOrYleZoAu0jFWI0K5dznXYrXGkpvWtcWHXol6jBT1VhnZwc+QZW7z88HxPHKeHTEm338YU2oMSbgSidTJ6ilceoQxMfU/9HoQksJKmQPBJLbM1sQAtRARLPWv/QwC0USP9Wg1R4N8XGJbHzNJxqhD+tT/jYTsUAa23WXvUONDWq9k0fVjBkziYUN6GCEyxgCOiW17rZemi+QC4MfVdIQZfyXlhvQJ5Axpl8vlcrlcLpfr2hfnsmpqekP62ERk9MgOA6NBc6zWhPWwUsThny0jmcYBwGR+nBmg/Zg6JIW1hn1hjvCVGg1qY7jhM+tMdDJ0k3Wo5u6NtTSt49542lg3d+n5AHrDQiAYOjFNmqeEo9mcG+TSWJPp1rSmiD/QxvTGLNv7ntoYsjPIj3MzXiI7iMu6M4DsmCrnTJpmk3l+gSJNM3nONPNSpv752SHNFqLmulLwF5qJnhu3Ws0Sx8EN0P5nSySkeepfQ0D0zRELDfm0CwuJ7JiKiw/NCJVnmuN54picaZ3Vw1AkdAmmmbahnogryeohM74fk+MvjldrLJYh9W8lhGm9PdaI0TI4+d61cfyTIxYiZMaRHYbhShc6VvNEIJ5paw4gPa8aOob2FS8idHN7Ml9is4kXKJrRGZPvBQN4BCKDp/6tlDlfC7CQHafEtB4xJqunkPq/YZtS3epUjMdcSEiPGDNdLAdN2+mIhHifxi6YzWNS3dP5cGJ7jCJCxBPS17zIkHZkh8vlcrlcLpfLde2Kc1k1RUMnT/byMcRj1lLUPD1opbH5R74tIxlIE9vSAI1jYpJYX4shOxYr0yDvkR3GPAmyo+OyNsK0prkCH1pPP0dEQTQ69gqMZBujwWouIjvEmEJivU+uSjO1T8LCNNq5McubpPF6eT2SR0w1czNivW6hYV8aYarOFjnTWhrF8pxpRuhMpP5lyhzIz4eWPNW46FUl68mbR9a1TFGnJnr/8ylMa576l+uEelaoqnCBUolzEfeeN9jklx6hnvRyREsT92eapagtpvXudmNzlkUTPa3mHGmSIztk6l+79JCfeAiNSdNBPPWvXVTw/dPz0S49AEIiDXCv2adLtEsP2tvOdsN+PrV6COuhz9HXw56PVXNsHjmcxgb0NC2x/s9uNQU+9BhzN6b+tUuPsFZ3FkcwrQnZUWoMGeYwamZjrOcs8Tp66l2mzPNBxPoPc5TM5nFYjyEDeLZY9ga5iRkZYQD3TR8HGh+eRtNDqseRHdeBiCHtCWmXy+VyuVwul+vaFeeyaiIjAdCTtDSmbXVub/h++FpKYzd11b8p1ZrWyXomR+WmhsSJtfjIQDR3NTxIU6cN+9RmjczQ0TAScRwhOyKXVX6f1wMELqu272D820zrzADmCWBhzmlYj3RvenNEXk/b8jS2zUieauamMN5kUz+ag5s5h8e6qc8vPaxzFlLf8c8yaW015Eub+unIDmkSa2ai1hgynWcMZkSa6Hk9MvUv1wE6o3AzmL8Ws1mmn2k92YQSQMI2BkSKWlxYaHgHfmFhmc0p1gPdGCtFbRvAOfe6lA63MSM89W/VLFP/Vvqbf7qE43lkTbvJM0QmOoslZAc1PpSXHUk9LPWvXXqEmuNZ1C49aC0yv0M9Ws2rDrOhN2oM9Szja26Zu0nqfxzTumQ2x0aMej0ksx6WIjZNW8FO11PvgsNdwIxY9QIx9R9q1scA4edsDLJjqBHjGD70GGTHmHrGaDoisX0l5Yb0CeTIDpfL5XK5XC6X69pXyZQEkCR+LZO4qSocHa9wvGpVQ7phBstsnidXgdRgmS1WNkO6K2e5bk2mNX18XDat42sB4ePaFh4krcdGkXCsh2nYd6lSO2nN+dCRyyrnAFIWdZ5arnJzVzTbo3WAFIEgRaaqNOZkzS1SIygdk6efZYO8sKfUROdjMtN2oOlj27Z96l9rakhr9el4BSGSrpdeRqiND+fpeeWXKySJ9aC5coTIELIjPUONYl7KCx3NnJuyNLaFZNBS/xmygy4aNkW6N0kkp5campnK09imacsuPrSkehgjedXIxkkGu8oplya6Yt7yMX09Wc3pz4ZmFK/XLWbHK3DGNqAgO9iFhXbpEdYLZ7opGNKz+bJvDGkymxOGtD4XH6NdetD+Q9NHdHvSzdS97iJiKLEtz19aT0z9854EyZjuNSNms57YTlP/pcaH1vep5qExKRfdbpwJ8FT3j7nWiJop9T9k8/HUv4k1WQwbwBHrUUpIr8yk+klEr70jO64DbXb/gbTUWpe6XC6Xy+VyuVyua0IlUxKIhg6QIyJIVRUQGtYYMmHmx2ssVmsD65Eak2ZCmhVkMaQprWcltjlXVsM/0BwRf7HK0q2y5olhtAMxVapxhOn7AFjKvNwYctpzWQvIDoP/S+sA+cfFuSitpyWtec3rljWtUxLAPPUO5GnbMIdMUdtm6hD2ZMUwI9oYMl2JyzrMh85xHLzmfoxMEUvzUjmLmdmsYUbEXLP5SlwyQKlnVUyZA2nqX6JceD3ZRUSG7EhT//LSI9ScGsC1Ytjz1L9lNk+TBKzOSJZGssq0Vpo+So9Kpv5VUz9hWkOtWV4eaZceR8tVcoGizSVT/9qlBxAxGuVEchxTakhXagwpx1iJ5Mm8S2Mblx5hnlV/EWEmtrtLH8toDmNi6t9OkKfpeOv5AOOwHkM1k6wUcfzd2ZiNKiPT2m58yNPY5mu6GGFad6n/kmlLqf9SyhyIP/dFZMcYpnXfiNEcMkr0jEqJ7SspN6RPIEJ2LDwh7XK5XC6Xy+VyXbMaSkiToQPkTfRIdVXhomFKhu+Hr5pJSmo605YSWiU8yHA9egK2X4shCkysB0d2LHSsR5pIztOtvB4ybvU0dvi6XrcJlzUdw5Ad5pgU2dHUsZkY3zc30QE9+V5XVZ/YBpQ0Npnb0NPYNEf/fJSLD2kqThe5kawlhMM8emNIQqOEeuSYuNZske9dms3EZU0MaQMBw4eFOgAAIABJREFUkRrAunmZPR9hhGqXEXKu6WKppswTrIds1lgpyA4lAZsxm5XUf9YYUtRjpcy3Nur+U9Zqk0XWPNNKCKdYj/D/WSZxz2xWDGluAlI9GkIDEKnuQvrZZFqL1L926RE57akBzGs6Ol5j3UrsSboWNSFNDPISRqNg7vIzrV16hP1zrIdubs8YrzrMoazVp6gL9SwiiqTU+DA2j7SM25D632gKSBMygMm0NhpDAuF1tZAd3AC2USTx3DcGsoTO2Q0jDPKwlrrUKKzHmMQyYZNu6FPm+Zj1Ov7dUUR20HpjxhRqevqlGV6eLszvA/Hn7Cr1o92QPok2a0d2uFwul8vlcrlc17qsdCuJf1zfSiQ3dYWLR8dhHg1t0c3x2pGOfwCiwTI1zM04Lv6zNYZSpbZxy5Adi2Fkh5XYbjgj2UhaAzGZq2EbknraQoqaGaFW80huFpIByi8U+vQqY0jXFXBmM38r3HOvrYQ0M7etiwae+FN5xN2yZDBpie3ctLWY1oj1iBQkiRs+WmNIaYTqY7p11tG0XqzWSRPKptIREPICRUt/ZwawMOnkmY7mJVtLprqVJoKTJN2aG6BhTJ7YrqvUoJNmvIrIECgSzUyddKn/rY3abBDIfzfIyxVSfxnB2M9AWrPEaKhM60V6hrTGh7zhp53qTlP/asJepP4rxbyV6Bzt0oNS/xwhYvGGKbVcMi/l+dBS7fz5aHPR70X+CY9srQ6tpJn+sZ44j1UzT/2byI5+ngLSZLHEmc14gWJhT4Bgytqm/ojGh4J7rSI7aK1iqjucIQvlAsQLyDBHObFdMm3HJMjJtC6t1bK/O4rrUTPCwqA//4+/j9/66kP2JIg/96fBo/5JyA3pE4iQHW5Iu1wul8vlcrlc165mizy5ysUN4FICuEd2FJAUZFqrJnFNCI2yQc6RHRbWo6oqLNc2joObZjPFBKQ5WsTUoYUiSRnSFtKkYh+51/bOzebhxpB288iUe60ZoGGO8JUY29y05nNRypy4rPL7NNfMNIDjm3/JZU3nEIltYXBKcxPIz1lvUK7L3Ouen11AmsRGldqY1Mg67BPksvFfXJdS/7m5m6Y9NYa0NLfzpoZI6gFyrIdcB0ixHlZ6VUv9ZwnprKFjbm5PF3ljyGJTP8MsnC1i6r83bYUdIVP/dLRX4hkCKULESmPzxn5ZPSyNbSWSZepfbYopzpk2V4YZUUx0/jNmpcwp9U8122nj+HvRQnbwyzPt0gOIZ9q69AC6y5HtMrJj0n2SpSma1mnq32z6mCBWlDGdGW9degDAZLHEVlNje6O208ZjMBrsnA1hRooJaeJMb22Ya82YQW6OIdO2mFgmDvdwPYB9gUCsf2uO8O+2/XMs+cgvTBaYHC3tARiXxr6SckP6BCJkx7EzpF0ul8vlcrlcrmtWFiOYxM3KEtZjUpiHpqA3jBpqg4wuK93Kx5HsMXxfpbRxymXlapihMzEaFtbsY+FWGrsf18YkoDWm/8h9KdXdtj2XNd9XymOWJro0WKZzHUVC6/V4kM0muQigegFgjZiq00xr2bBwR0N2MFMfyDnTqZmonyE+l+QI92PquJZ2zqQRqmI9hDmnYUYqYfQRl1UzyNs2vO7EZc0xI5IhnZ4zjZEsU/8am5Y3R6SkupYSHkJ2yEsfDdkxEwx2rZnedLFiWAsL2RFT/zazOU39czxPrEcmpLU0tkBtKIlbnvovmfpAbjbLppi8Hs0IzTEjVYGfbTOt+RjN1AZC6HCxXKPUGJJq4inqUhrbMrX7MVtlZEffHLGA7OD1VGbaeCVeL2PMdmNeegCRaS1/1tN5hpnNPIlv7V82hLVQJEAwrc0EOTPISynzUr1hrRTZoQ0dw88ew7Sm1H9pDKG3rHVi3Y7suG7kyA6Xy+VyuVwul+val8XkJTXMALaYzU0dE48lZnOp8WGP7CgYyWFc/GcrkcwRIqpx2+1ptlglXFZtHUJtWPtKkB0FhMiK+NBjDGCNac0ZyUqSlsbEenT+L5AmgEsGOfGYdfObJaQ7LmsjTOuKmWY9uoCZ1j2yYx2fIZBzncm0BaLRIZ8R59xaqX9KfQMc28DrSU2ziWqix3XCvvKfH2oISbJ+xrjxSh9xV7EeZMisW8yOhQGsISnmcoxiACdNDQ0kg5L656Y+EJOrvF4gN8jlRYRmkO8IAzRvxBhT/1Z6Vab+NaN4Ol8mqX8N2dGfswTZoSeSQ+K2jOzYzdLo+SVLZDbnNUsuupbY5knrHtlRaB7Jfz5Le9dS7zL1X0r37m41Joc61LTqn6HdsDCc6aqA7OCp/xKPOWFaG4gMQmjYNdMYfR2qh1RCo1Dq32oMORUGsPac6ZMBe2c2RiJEymNKpi39XqSfRSv1TmNOA2kC2Mb2YrXGct0OGs1jzPYrKTekT6C6rrBRV25Iu1wul8vlcrlc17AGmc3sXZJtpsZ/LqV7e860lTZmTEkrbcwNcjuRXPXmt85aRlLPXoHZfHi86rms2jockWGZ+lVntMxKBnBNDG19nt7Q6ZpFafWkCJE8IR1NIarZ5l5TWm8q0q3995mZavGzG5bYJi7rRlMrc5DZbKeoe8yI4P/GtRiyw+JeM1SEmaJmBrDEJPB6+EUEkDdrlE0Pw1p6Y8hVG2vWUCQ9HuR4hbaVJjqSeiIaxm4MGerWEBnJkCyNDeTGrDzTGppBYj3qOk+5TherrKnfUBM9IDepZpn5jbyexSpJ/ctLDyBP/YemfclSyRkqmeg0hvYe9sZqFudMN/UF01pLbLPUv5UyT5tHDuAfmLkr55Kpfy31Tqn/hNlsNj6kerJvA6D0c2OyocP+xyI7uNmcj6HfiyVkB+FKmlo39almko3s4Kl/g3vdXaDQsx5iWo9JJJv1EK+5mJAebrLI8THW85nyFHWBHU5qjXnGNGIk1v/QuCspN6RPqI2mcmSHy+VyuVwul8t1DYsntDQliIwxWA+VIR2+9olTA6OxWreMR2zzmGM91hhg2Se27XTv5Mg2v2nfJcxI1ZmXxGUtYUZKxm0Y0yE7rKaGzAgNfGj9OfcIEYUhLfEFMt0q1yNzV0+0h69r6Enafg62lmYQhz2FP08WS2xtxGZifJ2e/WwYtxqyI08k58gODf3BU+ZhnrhWI2rWMSOpwSKb6PXjODqmYKJnjSFZzRLZcXS8zlL/EtnRo2EY/5fPQdJS/5Uwt/Omhlq6VzKtc/NyNo9nWksI0/4l+kJ6S/Kc1eLMh3qWGfM7rzlN/auYEdaM0MSMqKn/1JiV56wRrynAMCMc2aGkzGM9yOYA0tS/2fgvM9HzueTPmMoFZ+feQnb0F2zbGyZCJMwVURsl87JP/de6qUpp7CIfuvudZ116hHnCZU1VQIjMmAFcNNHZZYWdMo+mvooiYRfLJtN6PiaxTbxm2+eTvzu1oVNmWpcwLLGeYRPdxp4Mc68PRzyfKy03pE+ozabGYukJaZfL5XK5XC6X61oVT2hpojfBnMsqNYT1oDleG2BIt63eSC4dF//ZxIwMND6MiW29+R1fp4QZIUNHNkDLx3UJLcZl1WoirIdac28KEftZSySnzGatiR4QDZZSqpvMWwvrwVOTxGXV9sTT2Fn6Vxgss3lugNbCOJouVthsKmxv6GnjkLI3Esns+fQIhAxJkabegfQM8aQ6AJWdLlmwGvoj1MyxJzo7vWLmZWyipxnkqYmejKlTw4e4rNxM5HOQtPNRC2SCbJ5J5zRBlsgxipk6mcvGhwZChDX102tOL300s3miMK3lXPLySDNvZ4sltjdC6t9MdRupf9XcLSTW5TnT0s0c62GZlzyNrbHFae9A/L2oGbMy9a+ZqXSmibUc9pQOIlTNbvd3kEyqA2nq36oZSM+QhdKg1H/kQ2vp3hTZoRquHT5Gu6iIY1b9vksGME+Zm/Vs25cetK/drQYbtY0QGWXujkB20BhCiOis7mFDmifILwXZMQbFMRlhkF9puSF9Qm01NZZW/t7lcrlcLpfL5XJd9eJcVk30JphzWbMx3TupqgLObNjp3t7cLSE7FEwCV2I2F3jMpFJi+7URCekyZiRiNqwxNI4+LqztHQgG27Hgsmr10DPSjO2qqhLjNmcoo5sjfNWwHv3YmjAjuZFMa9FcxGVV52CIDPmaViJ5WeJet33NevNImSDfUFL/lPoGInM1TyTHemiMngAmY1tHdiSmrZnGDl+JHR7qsZEdk3l+XqV5qaFIZKrUNEDZmPlypab+pTErLyPkpUc/JjHItTRteqYtJIVkMWuIDI1pnSTW5RjFTJ7NNexJslTS7JR+5UjTTE39C/OWG7d8Lv56zBa5AZzvXWNapzXzNLZpgIozFC+f8tT/Hkus5wnyOMZkbHPutVHz0fE6oGq2N7LLFVKW+i+Y7bxmM5HcJba1msP+w+/Oxkg10/5LnOVQj8SM6Int3a0N85MMNA81j7TxIOPNXWuOsFYYc2MJ2dEzrTftxPZiGNnBsR6DCemCzzxjZ1G79Lga5Ib0CbXZ1DheXn0vpMvlcrlcLpfL5Ron+XF6KTJ0LH50GBMGcS6r9v3J/Lgfl43pDVA9TUpK8CAmQiT+cymxTfWUsB4XC+nnqopND4FxSJMSZmRSwJVQzctV5LLmY2Jzu5liAEtms4b14GMp/W2tBQAtbBQJN3fHmM2aaS0NxYlhxkvDXkv9cwNqtliqqX9uWs/mefpZoge0ywiJ7LC46DxVq+E4+npYylzW018y9Ma/xbS2zU2JIQl7t5pHRsOLuKwJ01qZS76ulZHulSiSPHEbLyOs5Kk8Z5rZLFP/0ZCP80yE0V5pmJFFbpDnKBIl9S/2P50vk9S/lraW50xeeoR6eFND6PUIjIZlgALcbLYT2zyRbBnkO1vM3C2Y6I1huE7YZY2F7MhT/7nJ2bYpgsjav0wkW037QpPFggG8WOGGbdu0DfvnzTx1c5suBbXLnjjPqk+Zmwb5gpu7+pgxGI3e3O0NaW0tlkgeYZDbjSH5GKNmSkiPMNFLjSGvtNyQPqE2N7ypocvlcrlcLpfLdS3LaqJHIkPHMlsBlqI2G+SFrxePwptvy7Rer3HChLSdNibp/OOTIzs047bpPhZuIRlizfGfS00Eh9LYADPRNYxGZ7BwLqs2x4qZl3ZjSPSvh2YAc2O2zJAGW8tAdrC0sdbUL6xDYyyDPJqKpomeIE1Wauq/SZjN4SP3Z9kFSp+EFRiNna1hA1g+I54y5zzidAzjZyuXNfSzxDEsoZ7UlOVmzlSkW2VSnY8pITsOFcxIxByEQcsu9S8xGpmRrGA0VKb1tm3aAnnqX8McyHOmITtk6l9PbC/zlPmAQU5jE4Z0hivRTX3O+teeD0/9y58tOYYMTssABVgaW02Zi6aG2vNZpEay3BPtK6zFMBoDKBKrWSHt3apnsVpjyUxri/9MvxdLuA26yLUaQ1LdQwYo/R4KNeumKp0P69KD1qJmjSUjmQzycVgPo+bFClsbNba6s1hqanhjkSE9bJBP5sMpavr7t4TiiE0fyxcEV1JuSJ9Qm3Xdf/TM5XK5XC6Xy+VyXXvSUqlcQ2ZzGIPiGG4AFw3QLil6ZrNOjGdtLcBmNg81YpRmc5kz3aW6LUbyOuWyDtZjmdZVNcCrTmvWUSTBhOFcVq2OdZtyWa2a6fXQ9l4xc6SE0eiNW4VFLbmyKtZDIikUox2Ilx6UWLcuIrixraNI0npk6l+aQhZGoxVmIqCbu0AwoWaGAUyXHoCO/shS5oZJnCI00jS2hlOwLoYShIjS9FHOpfG8JVZgtQ5nNhkjTFuqWxrAWSpXpP41Y3YiLiz0RHLOol5lieRYc3/+FGZz9gyFqSqbR8pLj7D3NPVvNRGk1L/VGJKn/isD2ZGlsQuJ7ZTZLNZiqX/t0iOMiWdaS6rT3qme2kB25Ca6Uo/kXle52cxT/6XGh4TjaJR1eN1DBii/YLOQHbMOM2KZ+kDEepSQHZP5qk81j0FklNAfu1tNEWkyYan/EvO7X2tEQnqoOWIp9TwRhnQJSXKl5Ib0CbXZ1J6QdrlcLpfL5XK5rmFxLqumHtlRxHpUxTHcPCoZsj0iYoRBLrmsyRj2f2umIxkN0UzUESJAOUVN6dWpYsyl48ZgRiq1QZ6co2SiV51pZqZbmSnEuayayBwhMyT/fjcXUi6rHNPjQeY51iPjQyvc60YgKah5l1ZvmKs1USQc2WE3j5SmtYXZQD/m7GaTXKDUtdEATqBquOFlM62r3qDTmmfKdG80v0tN9NILCw3ZoaWxaa4+ja2Y8bQnsgk0o10iO7TmkTIJG0z7eBkRLzOS8rqEdLpWGJeme1MDODdCZepfw4xwY7vESJbPUNv/jmKQS2azvIjQUuaU+pdJ9VhPTP03lgE6Ty81rJQ5kDKkpak47S9QbPOSn2kL2ZFyr41Us8K0lqbjRFwMaZcePPVvXXosGevfQojQ3vYGDOkk9a9cetA84ZNFUOsBYuq/Ml7TMCaeoTFNBEvM5jTRrqzVN4+0URqzRUj9b23UBaRJNMhN438x3NSQzusN25vFua6k3JA+oTY3Kiy1nxiXy+VyuVwul8t1TWhmJGBJoxLSA1iPxCAuGLKEfxjDq7ZYzHwM57LKtYChhoXh6+RIN3eBYBy1jHttYkaYIW0zm4cbKAK5qZKuQ5xUHRHBm9dxLqtaTx3exK/WbdHU718zM5Ec/llLY0fzMqaNM0NWpBQng00NcwRCv16SkNabNfIxEiMR1kFSs948UiI7ltjeqLEhLlCSxpAG9kVDdiTGrXiGEl3Q18PMVon10BK5Vuq/qlKkCYDMuA17is8wjLHN1JmS6pamrUz9a6atlvrXzFSZ+leRHeIM8aR6rFtBdmTpXv1MywsC+XxCPUjGpI0YdXN3RyBN1KaPXepfNlck0e8GQtVoyI6pOK+auc1xHMNNFguND8U8ViPCUI+N7JAMds3c5ql/69KDn3vtogKIqf9hZEfKRbcaFu5u2c+H5qExJeOWEsI2s3nYAJ7NKbEd/qyn7Fd9grxkNlPS2lyLJaQt459+d5YMac60Hhp7peSG9Am12Tiyw+VyuVwul8vlupY1GUpIk9k8CtkxjNDYGxgzUT7intZDaw2b6GWjHThetQmXVZujN4ktZEebclmttUhWirypq2IaOyI7qB4bSTFVkqt8T+tWZw0n6w0ktmmu4zUSLmsypuZp2pxXLY1AzSSWKbyQ2NYS7eHrat2aqf+qMz6ouZmZMmfpXq2pH69ZM7+laTaZ24ltmou4rDL1T5cetHcgN3eBNGWej9FxHLJpHTeprNQ/XXoAetNHOVe8rElxHBKhIdeSzGaJPdEavB0dr7FurcR229cl088WskMmkrWmfdEARjZH2L+W+s/3tquk3mVjzBwhkieJh5jWPI3NLzvkGJ76V5EdIvVfiUuPUE80gLVLDyDFaGhJ9VBPNIAtZvNUXLCpCXKR+q8UZAdP/WuXHqGeeBabWjdT6QKlN0AVM5VS/2mjSj2xzg17bT06Z43xmtLeyCAf4jED9jyU+h9CmlC/iJIZT9zrMfWUGkMC+bnh6nFHhOxwQ/ralyM7XC6Xy+VyuVyua1sa25erN5vHIDsGGNJAwQBlhusYs/lSa6Y309wISb7PEBlVx2XV1lmt297EMNPPIxox1lWFi4aRDOTIDu1Zk5kqk4CxjvB1XUhR87lKTGt6ZIfHrTmGkB3EZZVGcsaHVpoRyo/6axiNsFY0jwYbH7Z2M0+OGdES240whXQUSWpAldLYtDdpSvKaOWZks6n6ZmK0Fs1BY4Byk0VpzEkMSdiXfhnBjVktrS+RHTpjO0do5GNkzaKJXp/OZDX32AYbaTJfBtM6TWPn5ra8jKiq3NzlBrDVkE87Q/zSg/amNzVMn1H+mqb18HOmoUqANPVvGaAaPxuQyI7A+qfUv2YU89S/Ze5y1EZj1hzPomwIyesBONM6Nx1l6l9tVKkwrS0Uyc5WASFCzOIekZGP0VL/0pjlqf+mgOygT45YHGqqKSJE1CGjmM08jW3NRax/69IjrLXqn6GJGWHIjlKTRaBsMk/E39HtVWhjuiF9Qm02FY4d2eFyuVwul8vlcl2TIkawZSQDI9PGPbLD5kOThjjLofHhGPN7ONVd2hetZ5nIVPLF7s23ZlrTx8KJy3pGwYPwmgE7IV1VoaGWVRNvDBnG6ClqMjAAG9mRYEaKCBHbaCeD6bDzLywDeCiN3dTBYCIua26Ahq/rxCS2GdKUWNfXinNJgy+pp5DY5s0Tw5i8Hi3dW0qZk0Guo0iiAaU1j5ScW+Ky8tS/TCnKhoV6IldP/XNkh2wkF76Pbk8xGQ9IrEdMqoe18hR1ZtrOpeGopXbzc6ZdevC98/3TVPPlCserNjPas6TsfJUltrWEdM7h1vjQw5iRNLGtmLvsnPWXDBqyg7HDdfyFxJ6Er/x8SKNdM3cni2Wf+jeRHRzHYSRueTreMi9l6l9DRcgkvtoYkl3oaJceST3ESC4Z0gUDWDYXrZTXg6f+rUuPtm37hLSWVO/XW6xw4xDTmjObjXnISO5/1rULAtb40MKD0JmujZQ5jSENNTW01qExO1sNNgqp7istN6RPKE9Iu1wul8vlcrlc164IFzkm/VxufFjGelTsnZbJme6RHTreII4LX8tjxmNGhhoxhsS2PaZtUy5raa2wnpUQZ6Z1IU0bU8uWAdxC4g3knlaMIV2qh/AgpUTy4TK8sddeV0r8aazhOE9EVoR6DO51G+o+Ol4b/Oy4N80kBlgSdl1qfBiNUC2xnSE7lAsdaSyRgZPXE76u121nburNNflacl/S6CMuK79AyRPbS9Qs9V/1BihP5OqXEXTpEerJx0hkh9ZgU2sMmY+Rpm3O/w1z5BgJjVVO++fp1v77MoWvjJHmJaX+s8aQGkNaaYwpjXR+huSlRz9GGMAZQoSl/kvMZn4RoaVtp/MRiW1htGtmKm8eqZnaoZ5Vn/rXkuo0BkARAZHjONJLj1Bzehmh1TwR5rfct1yrMerpm+gRQ9rgLId64jOyE+SNieyYL9ddw+ANNApbPNYUkR02Q3ocsmNve6OM7Fis2AWCOk2PcSohO8Y0WSRklrUO1cMvPRzZcR0oGNJX3wvpcrlcLpfL5XK5hnVEZuIoPvQYRMYwssOahyM7xjRZLKeoqZ4CsmPAtKY32xePbKRJj+wwMBKyZs5ltcYAkcsq1wr1lJnWq87cBPJn1DCTalYwiYFg1sy7xLaeSA5fZ90Z0kx0Sg/OCuY3mUJWYrtPTa7LzSMr9nF2K/XPDR2NaU0192bqPDeAG2m4zpdZWl0awBPFlARSdrGNEInpQQ1FQuYlr0e7iOBmDqVbuWktjbXpfKmm/rkxKxOeQHoxEMbk+Jg89Z6fxQzZIdOtfdo91maeoYpfINgmemxUmc9TC2THYZ8gjzxmvicAhdS/TH+n50w12wWL2jKA6WfeQnakWA8doyEvdPQmi8vsNc3S2AvesK9KfrZizfG8ShwOr2ejS/1r64R6rAsLXk+a+peXHmGtFMcR5siT8UDEemj1SEREkXtN+9cuGTjTWpzTbJ4CsoNS/1SPlSvlSJdSinpnu1GfMa9pt0uZl/jQu9tlZAe9ZoDNiKa/X6x1+nq2GzNlfjXIDekTassT0i6Xy+VyuVwu1zWrI0pIj2lqOMLctTAaHNkxnEheFutp+nqGESKXYmxTyZP5stCskBLJq3Jiu28Mae+L1tveiFzW9PsxQQ7oz5o++qyZbkA0bZMUdcFsJ5V4zF1g20wbh3pW5piGPUNtLW5ezgyMBK9H4wj3a7HkKXFZ8zFVYl7aiIzwZw2jIROwFqc9QXaYWI9otEzmudEuObdaGluazbO5jpFYCQNUS/1z84hes7Obtnk5W+TnVZpC2oVFZtoKk9gybeU8tF5eD8d6hK+cCw4IE128phOBGSnXI7En0QhdrVscHlvM5jSxLg1gLU27J8xdDX/BDdAwBukYyZBWGjbOxBgN2TGb540h80TyChIdo3GvifVvmZcy9a81mZwKk1htVMl+d2qXHnwMpXuteoAyskOm/rVLBp76L10yhHoadQ78/+y9eYxlSXbe98W9uVVVVnVXd/W+9/RMLzPdPc3pWXrW5AxHJimTEmjQY0KkQRgwIXgDRBm2SIEwJUowbQuyIcoGQZiw5T8EQYRpWxQoDjlLTs/as3TP1vu+79PdVe+9etu913/EjRsnIs6Je6syKyur+3z/vKx8URHn3huZVfnFl7+D0ETn5gBAWP99hrQ70LJ/lhpjHlz3iWS2WWP7/TXX+HAQ03oAssOl/qVDj/0gNaRPUSulUUNapVKpVCqVSqU6RzVrf9txmHHbz2OWzE3TY27SOZZ1k01ju7myDOl2EGdKxvOIjQidAZxNSFvDNW6Alo6zr7nrKrua84nt0cxzWbl1qNksmak5rEe8HiCnsQGSkBZ4zFVN6xGQHTU1yGUkxShjog9FmgDW3HNcVm5M1Xgua/w8YtOMw2iYJN3LNzXsDB2X6habGnrjthfZwaSoTZQqHTMGeVyz2DyyCBPbcerfREYgZ+56vrGrJz2MKGJkR5T67667Tg3HBNnBmOj0PsbogRj/4NarI4OY1syZu1JiO+SUc0zr8NCjbrE3ByMDOE3K+tQ/d3/cmF6MRsKQTs3t0Sz8nseZzeP5MrqHTKNB8r0zTqqH9bTXJSE7WmPb/TvCJWEnUeqfrZmk/kVkBzGJJSRFx7RuDelc40N3j9jGkIH5LR0g+H1WFhIexBvtXFId8Kn/zkRnrL4u9b++kvy2SHhtVdAYUmp8aLEefM1AmPqXUST9yA7HaZf2/H6QGtKnqNWywGKphrRKpVKpVCqVSnUuyqVbs2njU0F2DDI3pRQ1esfYtfKoDVpPDqPhaupjOtvEtmBIF9ZoiBt8STUPMv7FNDZ8PZmUedXymB2XNbgmmsgV0ptxPVJNQxjSDtmRM7+doUNTh8H7xCyGb1zjAAAgAElEQVScCClqtxZAkCasAdw+05mconY1Oy5r2tQwSvdyGI3IpJJ41SVJD+aY1l1yN0ql2vfRzQE4bm8e2RGnWwG0zcdIzULqn5qKXD1xMnc8X2JjtQi+BySmPnNgwWFGgDDdauegNfMHFtTw4g5rksaQszTRb+cga0XIGy7dK6f+7aEHADb1HyeSTy5SEz0+QHB7iDbss3MESycYjbhme/1L9v7EZju9z/Ghh73+ONWdppup0e7TtGHN9LBGSiSPo99kiQ89urVI6j8+9LDztM9jlSAp4saHxACWkB1uzJEMszn+TRauESM9rJGYzXSfyQnycAxnkI+SVDdXM4M0ia7fpf5pY0ieVe6wHjKyYzRbZlPmboy0Bq07NPXVkD7ntVoWWOzDkwWVSqVSqVQqlUrVr2nriuRQEjdeehi/dMcVuPPao+IYj9EYYm5K5i7FevQbyTkDuOND76BZoytnXtVZ09ahJLKJ7QHYE3eL5JS5M8hlxrY1R3iMBF3D1rxE2XJZc+tJNTnT7KQ71MiYqRMmAevnMYHZLCaS20aEth7ZIM+nqO1rLkVdtgaTbG56o8txWVNTNk5m8rzqENnB7yF36AF4A4ebw2M9UhRJjOyI0612ntDQGTNj3HrUSI7XSpsspoc1sSk0nqep/5KYtkCYFAVkjATAG8A+QZ7uM/914cb0G+QxZsREz4HWnCboUzOeS0inie1wDPXUposaTUOQJsz9iVP/pWAAj+cxaqOdK9gfEbKDMRUnEfc6rhmw93EzY+q766cHEbxRmiJN4rni1D9nzLrEdlEY2dSnprXQRHAUIzI405pJ/XNNMQGXxk6vKRizJie2KeqpFLEerUHuGjFmUCS06WPcVo6m/uMDPKeasP6zjQ/n/Uxrdx9zJrP7XiUdeuwHqSF9ilpTZIdKpVKpVCqVSnXOapYxE50Orq3gn37u/Ti2uS6OcWaMaDYH6ee84Wrr6Tduc4iMrp4dYUZ8PTmsR8f/3XFjyHzymya25XpcUlJKt/pEm/vVfXqdwXrGz+m4rNxcJxfyoUbZGj6jWc5I9k39gDSNHXOW7Rg5bezMZsn8tmPkFDU9ZADSfRYwrYXmkdQ0W9YN5lWdbQzpsCYSGoWmseNrj1ERk3l6GGEiVIKc6s5jPbpxDrXBGO0mMs24wxpq6gMu1S2btraeMPXPpTNHTNIaCPnPHNOaJtXtdfEmcS6xzZm7IyaNDbg0ur8/QNxAMb0/di1Ss4mZ3/1M6zj13+2/OGnN4DjiuWIGO4fSiH9zhGtwNyKHZzlkhxtTMqYtkKb+ecxIP9PaJWntNSGZw6617Fj/UtrYmbuHO2RHWnN8eBbveXftbowx4dc6rQfwWI+c+e3Yz7n0cw7ZMSbf8+KGqr4en/qnjWSpXOp/c1029V3q/7AzyAXX2jOk2bdtTe0eUmTH20iK7FCpVCqVSqVSqc5dTTuGtGzuDpH7IU9iNhtjfAJ4QOPDnHF79OAaPnPTxfjwdRdm6jFtPbmktX2VTPSwEaNsAFNzt6+eIc0a5TS2fZ0t62yTxYoxlOI1hpno3rDnTGvPkEbAZY1rdmlsgL/XtDGkW49bp26NdoDfZ+7+HJ/ypiRAGkNmTGtr1siNIWnibzxPjUu3jjNz2ssSrx0AFi2XVUpR+7Qxb9y6egAJ62ESHnMypgjTq3G61c8V4kriZ+FRCTTV3cfh5g3yuKnfEIwEl/qnNXMJ+rgx5FjAaNTR/QFoujW8JluzlPo3QaLd1kMN8nAuzthODhCiMUOQJpxpO6/qhOPP8rGjw4j40ANIDyPixpBuTGeiS00WZ34vcusAPNMaCE3VSTJGavooc8rdWrS5JmeEjucV1laK7gBFauoHhI06pYaFAbKD4YIDbSNG0WymSWvJtHaYETmRPCHfF2XGNh1jPxc/M7pfpdT7yUWFpsk3hnQHKNwawXrzmGmthvQ5r5WywII76lGpVCqVSqVSqVT7Xo4hnTNuh6iPxwz0J4CHpKgBG4r541//IG698rxMPfZ1SONDETNCfjoUTfTWYBkzqdRg3IDEdpeizuA4unqkMYXjMVeCAWpfO8zIkJS5ZH6392e6bAIuazxH0/hfXz+4yiEpDKqamjN8IrmqmwSTwNU7atPPLLPZYU8y85SF6Q4ZgNRIDhtD8oiIkiT+pi1jmzfR7VxSMz47xhpQjsvKGbdAbABzTf38n0cs+iNMDY5mQlPDCNkhN860f2bN5riJIGNax0374nRrbNraefjUf9gYskpS/x5JgXZMehjBJciB0EwEIuyJkPp3hx4A2MOamO3cmZJr4ZiAj0wa7QH+6zNEiPCNIZvAtE1T/92hRzvXfFknqX+a+vY1LZPUcsqHpuau/VyauI0NYN4oDddqa86k/jljlm0MmdRcgbLDJaa1Q2jEdfh6wtR/jNYBwtR/DmkCtIlksemjP4zg1qFr5RAZo8hIBnzzTb9WlYyR2dgyQsTNk2Naz5Z193clk5mm/qVDj/0gNaRPUWulwbyq2W8IKpVKpVKpVCqVan/LJ6R3ZkjTNK08Bu1aAzjTO0xs9+E46HpSQtoE9chjqjrksvLj0NazAwN4ANLEITsol5Vbw/GYhyTI5QMEO9e87seMjKahGcDVLOEN6K985/jQbr2OD50x5D3WQ0Z2jJnkKp2jrmXDkZqXU5eQznC4j2cS2+7QYyKksWl6lXJZ45pjY5K7z3G6l8WMEDNrzKSo04aF6cFHnEjmsB4mMqkc25e+T+dwYyRUizPNnClJv75TZnOVpP5j8zJu1MmxaaXUf1kYggdJU/8SQ5re6zLCHHBpW1tPapBvRgYwi/7I4C9OCpgR+ryWbeo/RnbEpmGcNo7rsWP8fpXM1Dj1z7GLadLa15ya3+5ZcIcedh5vbBfRwUk3pj2kdF+fHOk2Tv1zKA2a+u9jWh9akxsW0tS/hOyYdMiODEOaHHxISBOKj+EOPWzN/vu9MQJj2zVZXJeRHW4eQEZ20NS/ZOrvB6khfYpyTQf2I39FpVKpVCqVSqVS5TVbIkhona6ctzMskSyne51yKIkh6ktj2/Xsq4QZocgOaUxhgOmiCrisp1uPMy5EPjRNbGdS1FXdBFxWbh2H7BiUaB+S2O5Jvfc2YmwT2xurRXcfaL2AT3UDOdOemM2ZxocdQ5pFZFjDJzb44jkoQ5pDdjgDatYmpCXzm9YjYUZsPfy1U2SH47KmWA9vTjoua2IkR0aflPoviHk0YZLNDs/TjWEOPmKu7HjGjYnN1NBoZw1XxvyO55owzSMTA3i2TFL/bl/QtQDgwGoG2ZE5sHAeSozaAPz3Hs/q5k1ijmk9BNlxMDLRY9MWCPd0jOwYMQZ50hSTYbDHqfeqbjBd1EliO2YXT+Y+0W8M7z+N52HqnzO3x3FTQ8bcpgxp7tCjm4fUzHmbk9b8jpE6VKPo0EdObNvUf/e8ojGT+bJL/cdfN76eELXBmrvOAM4gMuiBBfc16OrxY+znOJxLUA+bMo8T0kw9jokE2Zf036fzifWzLTWkT1Gr7X9cFduhUqlUKpVKpVKde5pWzY7NX8AbKLnE7SkhO3aMEGnnGcB1zhmlTjlz1xug/WbzkMaHg+rJIkRCLiu3jsNN5JjW/v7kmda5MR1GY5YaoHSdquaxDXYO+1q1RuraStEFo7j1nCnLJ5J9YhuQGx8OZ1rzSeuy8CaNS0hLDQKB9FflwzGOUy4wrdl65BT1dFGjaTij3dfcNHLqP0B2MLxqP8Z+zDU+HJKijs3LcZT6j01bW4+QkCY1j5hmjT7paV+5pLVLqjtN5kscWC27e8saoOIeokgTDsfh6vEmOhBjNOIEeYTscF83NVeP3PiQS/2byFTk0Dk+Ie4PImw9EWYkSr3Ta+dM/Tj1LyE74n3GNdOLU//0cMXPkzKkUxSJ3/c5ZnPOtHXXH7O6uYaFcaI9TWxXXeqfa9Torsul/qWUefw9JmcSuzQ2kKa/RyRFTQ8UubUOrpXJ11Y3xiX6M8gON+bAaimazH5PE4TIPmyFp4b0Kcr9R2DO/f6BSqVSqVQqlUql2teaLvNG6lAVhUFhkDQTC8Y4bMUQJMWOmyzmcRx0jJwA9h9LNRtjCI84h+PAgDGuniH3R0KI+CaCORRJ5cbkEtI9JvqQRLszI05MebPZzuMNUMlMBByKJN880pmUa2XBpv5jZAefpjWBuZukW4l5GTeJi+cAPEM6d22+HhnZQbms3DVT7nWOw8010XO1OPNytqzF1L8zsyiXNb2uuGFhmsZ29XRjuMaQUbo3xhsAEbKjNebSenxifcI080wT0uleNMYkCeAY/2Dn8H9nMl+yqX/a3K8zd4cgO4LUMniECEn3migtK2E9aoYhHfOzAT/XeC6PoQcRdC07Jq4nHMMZ5O6AyWM0UjOVS/2zDGmmMWaCyCAHY9yhh62bjJGQHe08bg7OMktT/7zZnCTIE2THsts/MkKk6lL/Mvc6fK7cPDT1Lxnk9MAi5qH7evxepL9REl8X4BEiHIpkQlLdUug5NNH5mveDdv4/sXeYVkv7NBdqSKtUKpVKpVKpVOecZlWzY14zAHzqPRdhvqyTZmJURWENkgNMYzv3vlPOSB6iPnMXGGCQDzBcC2MwX9qfhfI85v6EtDMudpIgd7+KPZ7JTOuy/RVzKd0ar9fHkAZyJrp9PTFdZA8irAHM4xZourcfM2Jf+zjcJ2aLjsvKzVHXGf5vkEjmMRrU3PUMaY7HbF87hIjA/Q7SzwKPmRrk8T2iplBnKLEmuv1YMtrtXHYeymVNrotwbCdsQjpMr3IM6dg0i1P/XPJ0NFvi8vM30nqK0EyVmNa0MWQ6JuZD80304nokg5ymzOPUf4zIiI3beA5XDz/GrzuK9jRnzo2YA7aSuT/xGHroURaGZbCXJm5UGf6GQXzd4Vq+5tgo5VL/8aGHM603ozEcssPNwx162Jr8fo0PTpwm8wrHNtezyI64AWl86GHr6Wds0wMdug/pv8f0kNIYPpHsUv8r7V7kPNvuYGy1ZA89bD1pijox9cmBhYgZmYfIDikZ78ZQfEdYjzfRq5buoIb020Dum6Ya0iqVSqVSqVQq1bmnaQUcPLDzH4M+dsMxfOyGY9kxhTFJM7Hwff/xQcG0Hqqyx0x19eTGDEJ20Jp3kMYGvDk5JEEuHSKUhcGibjBf1uIYioAYgjTpM5sB+dq9AbzEVQcP8jUbg6qRG9IZYnT1NWIcyim3ie2S3YvOTKVc1rAeb3RNMglp53fMBiSkpYaObkyOaW1IY7EJk1wFvNHXNHxyFQhNW84ADeqpm4DLyo1pGmC+rDGv6oTBniI7GEQGY7jSfcaZl1LqPzCAZ0tccmQjeZ/OxSW2Y6yAhIhImkey7PCQDy02znSYkdkyYf3HZjPLbDYmafoIIEjT0nXomEPctcUHFpkmgmPm4CNBdkSpf868HUcoEs5M5VL/8aHHbFmjbuIkerhWnPoXkR2RAcwape33Ksm0Bex9vOCQ/75YmpRHTVP/3KEHgOC3S+hepv8+0UPK0hgWWeHM+FyK2KX+nWnNNVGkqX+pMSR9ZhKyw4057BAimRT15sZq95smSc0k+e1wTfuxDZ4iO05RzpBeKkNapVKpVCqVSqU65zRdNmLDvt1WYfLICsospunk09GtV56Hj1x/AY5trg9aj3/ff7xTzEhfcjesJ4+/sPXIyA6X2M41I1xGXNbTqWdYQpoYwCL2hDKtZdPWGa7Ze9gl0fsS27z57dZrXD3MAYo3hTyXNU79U6OrY0hnru34VGZIl+T+cNcWJLYFfAxtLCZxr6mxJGE9AI9M6Ez0DPdaSmO7vVzVDaq6wWxZ89iTiA8dIiLQzeHH8HvIHXoAYNEw7jDIzTWZp3x1w5i7gQEqpHv7E9KpiU4PPQBr8LGJ9jqsJ079G4PERAdoQjpNr3Kpf3foER9YHMwYwCz6owjN1HgvdocMGRQJa9oy+4w79KDzuJrp/YlT/9yhh7u2Q8Tc5VASNEUtjUlS/wVv3MZM65h7PSImOv3aCuuhRnsmsb3uG/9xyI449R83+wQsp92l/iVkB31mhnx9BmM6s7lFiLDGv91nRzZWRJOZpv4N831jv0gN6VOUQ3YoQ1qlUqlUKpVKpTr3NKtkw3G3VRZmUGJ5N+r5wDUX4F/9xl0sR7hbz5mXPWna3BhqVg5KY2dxE3kzlfqigzAaGfazb17Vb6JLYwat1Q4Z5RjSnQHMJ7ZpuldCIMTr9ZnoJ6YL+SCiIHgQgaEMeGSH47KGc3gDyjGkOVRN2SE7eF61qzk0myX+cchl5cfI85QkveqTtDKyYzLnkSaAv/443ern8DVLSBNqplZ1g+kiTP1zRtdE2EN0Lj6RHCZh4zS2G3OqyI4hTRYnDF6H7nk7TzomNhXHLV+dfk+Ka45T/1wStjMBaRo9utddsznugCBOSEeNKMOmj3FTQxnZ0SWACx6hQccAqTHLjokOPeLUP3fo4VL/boyJDgZo3fS6eKxHuM/iQ49ujJvHXRNjyMeYEY7ZTPnioom+tsIervi1qoR3njKkKySNMyPLcDyvutS/nDJ3OA7LkJYaQ9oxKyKGg6b+c00mz7bUkD5FrSmyQ6VSqVQqlUqlOmc1XTY7biA4VMYY0WwF+g3Q3dYpMZLFpn3+45xRet2xQ7j0yAauvZDHVgT17CCRXAY1yynhXBO9eD0Zx+E/lmp2ptDJhcyrdk3BJvOUNUzXqVpMxJCUfV+ifcQgIugYhwfJpZqrWm4eSQ2oWdXgwGqZNLYDvKk6ahnSHKrGtKbZWDCATXR/AC4h3ZowNcVxyAawb27W3/SRu9fOCJ3MJIPcvjZNg1nrrnGmbGfask39QtO2rpukSVxYj0/35kx9d/3x11hpIgNYaGoYJLaF/eoOPew86cEHl+5NnmnEP+awJzFv2R3ouH1HD1foPMYAGyvctfkxQPi9gWsMCXCJZFJzguMIrxtI09gcsmPC7EUTP1OGwW5MaG6Po4MY9tAjGhOb/gCwrGrMlnWAIpGQFDFjOx5G95mI7GAaH6Ypcj9PWaTruGvbJM0KJcxI8kwz3GsJ2UGbi8Z4HlrPSmG6AxTOIB+RAxTJkB4TBJGUet8PUkP6FOW4MYvlPnyaKpVKpVKpVCqVKqtpxTclOxOyyI69SUgPq8ckXNbwff+xjPXwg3IoiXdfchjf+u3P4OIjacO1eK6ccevr6TeJcynhEzO5iV43rkuQy7zqbi0x1U1rlse4dK/U1A9AZ4JmGdJFH2bEvp4YkNi2v04vM60dj5mrhyb+psv+Q48T05DLGs9VN03AZaXyxmzDJlfpdVckIc0jMkK8AXcfXZJ6IrCoac0jYS13cFI1DRz2lUskU4M4vvY4vXpyITOty8Ka+u4eJdgTh+wg6V4uZR7woSOMhsS05vdHyLTmngW9Ns5oj9m9E65ZY8TmnczCdCtnuHKp/9gopo3t4pqb2l87EKE/DJ/Gdvcxbp5o6wn3NGfacgz22FTlUv/0oIJeV4cHiQ49bD3hYU3JJLYni9Bot/svHONS/3HKnjVukwR5agDT+wOkNY1I6j+H7DhIUsQc1iL+2uCQHXE6nKt5FLCx02aOdh67p8tMPZNZ1f3fgkuq2zH+wEKRHW8jKbJDpVKpVCqVSqU6dzXLmGW7rdKYbBrb/fCfM0l3U0VhBhnkMZeVKkB27NBI92bqEGRHvwEscp0L0zV2GoK/kHnVfi3JJB6K9WiaJuCyUjkzomnkJnFxzX31cIxgOodjJHPPIkB2CGOowWIPffKJ9pxB7pLClMvKXZPDjAAM1iOqGUifB00kS2lsu15oNkv3yKXeuTGdEVrb+2PXyiS2WUQEj5HgG2Na8/bkokLTMI0hyVzSYYSJkR0R/5dL98aND2ntzjyTjGSA8pj5xocxHiQ9iIhr5jEjsYnO7Q1bjzd3Y9Z/guxgEtKxMUuTq/S6OWQHNVNjM5FL/cfG7Ihpwul46L6eMGnNITtiE51DViSYEQbZITWPpGvFqX+PIQnnovtMQnbEGA3ebK4ChjTn2cap//jQw16b/z6dY0j71Lu0lt2LXPNNX/OyQ3FIHjNN/b/jkB3GmCuMMSNjTGOM2SSfN8aY3zbGPGuMOWmMudsY8/4zUcOZ0lrX1FANaZVKpVKpVCqV6lxSVTeY1/lGg7spY0y2iZ77QXrvEtKycWnf9wnhuLEdncO9ul8r3kk9wM7M3SGNDymyY6dM7z5mc4g0kZPWs4jLytVR1c0AhnTe1B+SMvdmag+yw6VtBYayR3bIa7lHOhJ41XaMQVWHhlJYj32tWvOKS/0HDOmMSZwypPnEekPMbz5FbgKDXEJ21E2DmUtIM40PO9O2Q5EQ8y7mLAtNH916rlGlrVlmSM+WNaq6YZLo3hDrmOecQR6le6V6KEpCSrTTAwIusR0btymKJEaIhPs1ZkMDbXJVYJA722fEGO0x/mM8t6n/MjCtTWTYh4caHNM6fmZZA5jURA89AB4fY0xsNkcGuZAgt2MoQiQoxyfIA8M1rplJ/UcojTj1z5nNceo/Tqr7ukMWNYvs6BLSfu5kzHwAsmOWJraTe0T2tEWIcGvZMRKGxNbsxvCGtVvLpf7ficiO/wnAiPn83wPwOwD+BwC/0I75gjHm0jNUx65rdcUxpPfh01SpVCqVSqVSqVSixkxC60zqr992GT5948Xi++6HzpxJvJsqjMnziNufDnOmbZfqJlzWndQDyMzmgA89ANmR4ygfn8rp1m69AYl1f/0DkB2ZpPXxDNPaTTGvasyX9SATXU51+49zye86k9j2ppCc2KaGTo7THjCtM8/Um068+Wu6lHneRK9rz2WNU/+FAUF2OCwBk1gvfM2AjOxwSWNuDDUvpwJDmhquHv3BIDsI+gIQMCNFaJBzDR0Ba7jmkCbOvJxXNZZ1iDvijLeJkJAO0uizdA/RQw93bYmJXpiEV52iSExipnKNIVMUSZ5pPWGM9jgJy6FIYvN2HKX+4+t2awHImqncwQdn6gNpIrkJzPjIIOeQHdH+KAskhuwkxowwyA6pMWT4vNKkta3Hj5ku6iD136WoI9N6QhpjisiOdkzBzOGvv0ruM2fI5w4Z7JjQRJf40IfWPNOaS3WPWgZ7vNep6OFi/H1jP2nXDWljzCcB/CyAfxJ9fgPWkP7vm6b5503TfAHALwNoAPwXu13HmdJK+zS1qaFKpVKpVCqVSmVljLnFGPNFY8zEGPOCMeYfGmP2JoZ8CsoxYM+Efvvnb8Z/8IErxffdD9J7ldguexLbzmAa0kQvh5EYqg7ZwTS2o2vl1guZzXLSetQxpHeWWDfd9fenuuXkt2/qN8QAHXSIsIPGkNZMdb8KzuAoiCkkNUekqe5ptbNEuzNaOIOPrmcxIzz3mppCziyKD1BCk3SJwoBF1XgOt8whL1vzljOSXb22HhCGdGqCJk0NM8iOyVw20Y3xjSrjeez76OaSTPQgQc40j4zTq/M29c81hjTE3M4xpGliPWVI29cQo5E3gOPUv5/Dj+FS//TQw9acaQzZMaTTA53YvJ1EDHbOcB3PK6yVRZf655AdXOo/Tmxzqf8Y2RFjNDjzksdxhPXETGsW2cGl/k16yGDXalnUUVKdrnUoYwDHqX8O2eFS/wfXepAd0WEEZwTTPcQdethr8/s1Tqo7uT0tcagBz9h2zV850dS/hBDZD9pVQ7r9T+cfAPiHAF6L3v4ogCMA/rX7RNM0YwB/BuDndrOOMyl3kqUMaZVKpVKpVCqVCjDGHAXwBdigyd+A/Vng7wL4B2ezLk4xL/Nsyxk6e2WQ33HN+fjIdRdk6smnbe0Y9I4ZKpfYplzWYK0Af7EDZEdhU3W5Ma4eoA9rgnaMhMjwH4sYDWM6hAifNraLnApmRGQ2U2RHxgCuOuM2bzZLGA36a+GzpcyQpo861zjT8Zilg4jCWNOMclmD9yOGtJS0phgJKfVvyPMwBjjAHKC4ubhGcvZ9dPXMOoa0nEiOMQnufTuHrxng76MztznWMJ2LNoaMn2tZeKOUS4c70zYxQIUDgqax6NMZk/qPzWaueWZ6/RxqIzWAg1Q3w+blktZuvYpcW/w1777Wa3KP0qR1iuwIeMSRqd2NiZ47xyOOU//x/XHPLE4kBwZwzLRmzMuYi84hOyaRkcwlkrl9VhQphsWuJfOh433GNqqcxUZ7WjNN/UupZndtdE8b9nn471VcY0g3xl27hOxwaWwuqd6NaVP/OWQHTf3TA8X9pt3+n8/fBrAO4H8F8Lei924CUAF4NPr8gwA+t8t1nDG5U6qlIjtUKpVKpVKpVCrA/gxwAMAvNU1zHMBfGWOOAPhdY8z/2H5uX4hLaJ1N9ZmJu63f+rmbs+93Tf0y98f9cLsb2JPC5J+FWyvmssZzOOUMTqchTSazWI8uRX763OuCGNK5dK9L5O6kngDZkcGM1G2aljPagyaCTCqVrmORFLl66LOQa3bM5nxCOmyAxtZc88lVO4aYqQKuBPD74sRMNq2dwdRxcldj89LV03QJac4kpk39gDhNim4OQOZVA3ZfUO61xD+myI40kUwNWb7pY0nMOc5Ep+vleN700KNumzHGY3zNDcrCsFiPMjILR9EYuo7TeL7E1esHk5oD7vVsifMPrgXvx0Yox2DnkB0BQqP1k6vYII/Y4RIigu7F2LydzKok9R+bqZ4zHfKY6XrxYQTdh844Hc+5hHSaEAbCfeZQN1090T6Lk+ru2mk9/msL6Txd88jUkO1MdJqQjm40l/q3hx7BsODQSzK3Q4wGj+xwaewcZmM8W+LSIxtZZMc4WgtIG0PuB+1aQtoYcyGA3wPwm03TLJghRwGMmqapos+/AeCgMWaN+Tv7Ti4hrcgOlUqlUqlUKpUKgP1tx89HxvO/gjWpP3V2SuI1mu2vhLQ3QPeHQT6EoVwMMG2H6toLD+GmSw/Law0wv90YymWVxgB9OA77OiiRPNAUaOMAACAASURBVMBwle6RMb55Vy7dO5qmCUe55gFmfMYgn8wrNA2fonamWV2HXFZuHZcAlmoehOxozSxrJMvX1TTWUONNdHT1xKZkvA7guaz8Wvb1xJRPY7sxFUUARAcoAbKjDbclCIgiTNsCKf/XXRPAYzTo2KpuEgRCfE01MdHj+0ibt40Z/EM3JjLRpTS6S7RzY3yi3TbFjK/dzuFrdnsxTaLHSIo43ermIGPE5pmh2S6nzO2fKUfYqTRp2jhOP9s5wgTwodgAZXnEAqecPLP4ACU27MezMPUfH3q4egBiAGdS1JvUcE2aDKb7jB56uJrtWgSR0TOGM4BTrIdJzN0RMcilxn9c6j++h13qfy02gNP1qEnMITvcb6lw99jXZPe92+tic8RMY8j9ot38n88/BvCtpmn+fBfnhDHmNwD8BgBccskl2N7e3s3pezUajYI1Xztpv6p+dP8DOP+tOOz9zlN8f1Sh9P7kpfcnL70/een9yUvvT156f/LS+6M6Rd0E4Ev0E03TPGOMmbTv/dlZqYpRzMs82/KJ5P1hkHd86B2atkP1dz77nuz7bq0cr9obxLkx9pVyWbNzZdbrM4BpI8bTNWVjZEfuefQZ5OFaUs2EjywYc4A10SmXNZzDmzDTZS6t7j8WzeYWIdLX+NAxm48eStOtFM3AsYbddXX83540NmDvUc7UtwlyHnsSJMiXfOqfSyQH5mVkmnFN4uh6dYDjSI1bV4+E2ggT23xC2hhqgPJj6FxjwUSPm2ICjGlNDGB3oJOY6MTUdzgSOsbjFEKDU/o6dNfG7Q93/uWMxcl8icvO20jniAxgjiEdJ5JjzjKLiMhwyt1ayQFCEa41nleBac0hO9wz60xrxrz16WcZ2cGn/sPmh3yzxj6sB2eQh2lsFjNC9qtk2nKpf2Nigzzc09z9qeoG00UdND7kzWZ7eGaYfUGvnzY+bJrwN2EAu4euusB+X+T2/H7RrvxPzBjzXgD/CYBPGmPObz/t/lU4zxhTwSahN40xZZSSPgpg0jTNnJu7aZo/AvBHAHDnnXc2W1tbu1HyYG1vb4Ou+fLxKfCVL+L6d78HWx++Zk9r2Y+K748qlN6fvPT+5KX3Jy+9P3np/clL709een9Up6ijAN5kPv9G+16isxU6eei1ClccavDQD+/FG4/ven/3U9Zjb9ofi5598jFsL54+y9UAr7fhm+OvvyI+k+eftT+2jd98/Yw/t6X7aXwxE9d6/GlrpJbNUhxz8uQEALBa1Nmaj7+8wPnrBt/46t0slgEA6soaHd+75xtYK9MxD7y07D7+/ne/jSfX0zFvvXmy+/jH3/8eXns03IuvTOxzePzZFwAAD/34+5g9y5u3Jyf22h598MdYeeXB5P1H3/A/ej/xyEPYfuuxZMwrr8w6U+WZJx7F9uyp4H3HPH7g0ScAAC88/SS2t58LxjzxlH0OX/7K11A1wMvPP4Pt7ZeStV6d+Njkay+9gO3tuPUU8NyzcyyrGm+NTuLN115mn1ldV3jm2Wfx+lsVjppJMubBF+1z+NY938bLr89wwYZJxrz1ln0O29vbeOEV/3Esd23Pv/w66pofMz05wcsvT/GqAYo63WcP/8Te33vv+z5G0znWTFrPa69OMR7bv/vQY3OsFMDXv3p39/7J1qh95NHHsL18Gj963H4tfvdbX8dKZG4ff+skqgb4wf0jAMD3v3sPHl/zY14a2+dw/wMPdsbYj+77Ll5+2O/F55+fYb60X1ffe9nezwd/dB8mT5O92NR4+plnsL39Mh543V7jIw/8COalcL++9soU40mNr37zHgDAk488iO03fcDPmdCPPPYYbjw0B2Dw9OOPYPvkE92YJ5+0z2H77rsxa8c/99QT2K6f7cbMp1O8+NJL2N7exmzZoGmAl557GtvbLwJAV+P37r0Po6dK26hzusRrLz6P7e1Xg5qbusIzzzyL7e1X8OZ4ijdefQnb22907z/0gttj9+CpQwVef2uCi8pp8FyPHz+J0vg988pPJrjkUNH9+YVRG3j88f04+PrDAIAXXz2JtdL/nRdfmGE+99/fRqMRnn1xinrRBGs9+LrbY/YZPfXcFKYK9+Jrr04xGvvPPfbUDCuouj+PF2SPtf8mPfz4DGsl8NW7v9I+B7vvtr/yle574P2P2c995xtfQ1kYzOczvPBieL9+0H4d3fedb+GRVfv3Xnh+hsXSX9u9z9kxP7z3O3jhgN2LTVPj6af995PvtN9jH/jhfTjxZIFHn7d/5xvf/BYuPlhgNBrhx9+5117H/T9E80KJ8YmTWE7Cr1337+/jD9+Pnzxt1/rhj36M9Vcf6sY83z6fpx97BNtjuxfnM7/HAOAnUzvm+acex3b1DH78Wvsc7r0XJ560Xwfua/elZ5/G9vYLeP318DkAnvn+6ovP4ZvffNne+4cfwfb0SVAdn8zwxisvYv6mvYdf3t5ODrfeOD7B8TX77+ZzJ2x9P/zx/dh47eF9FTrZrWjAuwGsAvgm895zAP4YwL8EUAK4AcDD5P2bADzE/L19qQ7ZsVRkh0qlUqlUKpVKdTo6W6GTLQDv3UcHLkeffRP41tfxgdvfh61bLzvb5eDFt04CX/kSbrj2Kmxt3cKO+e7sYeCJx3DdVZdja+u2M1pPVTfAX/45Lr7gPGxtfZQd88w3nwIevB8XHtnE1tYn2TGH77sbGJ3A+YcOZJ/9J+oGv7XgkRRO61/9K4wXc3z201usaT398UvA978HAPjsT3+CTcv+8eP3AK9bI/bTn/goLj4Spiqf/ckEuPvLOHDkKPDya/jkRz+Md120yV/bD74KjI7jox/6AG6/6vzk/SPPvAHc8w0AwEc+8H587IZjyZg/e+UHwAvWYP7A7bdi632XBu/PlhXwV3+BoxdfBjz1LG5/703YuvOqYMwTX3sSeOgB3PqBDwFf3satN70bWx+7Llnr+TdPAnfbX6i4+YbrsLX17mTMt6cPwTzzBOaNwbuvvxpbWyn7fO0rf4nLL78c973+Iq6/+lJsbd0avD/64QvAD+7DnR/8IIoHv4trrjgfW1t3BGP+6NFvYVHV2Nr6KP7Jj76KSw5vYGvrg8laT33dXlu5cQiXHFjF1tZdyZjN+76CC49tYlE1OIaT2Nr6RPD+oad+Anz7m7jtttvx1ee+i/M315O9+P++dB9enL+Jra0tfOHNH+Hwyy8GY8azJfCFz+P666/H1qfehXumD2H1iSfwM5/+6aSe//2xe3ByUeHyqy8GHnwYn/3pT2KDcK2ffn0MfHUbN954k01R/+h+fPqTH8OxzfVuzDcmD8I8/xS2trbwk3ufA+77AT710Y/g2mOHujGrX/48rrjSfr+Y3/8S8J3v4WMfvhPvu+K8oJ5/8/L38ez0J7jxfbcB37wHH/7AHbjrXRd2708XFfCFv8B1170L5VtPAZjizvffiq1bLunGPFY+ATz8ID728Y/j9dEc2N7GHbfejK07rvT3+bvbuOji87C1dQdePTEDvvAF3Hrze7B117UAgLXHXwO+cw9uu/39uOtdF2K6qNB8/i9w83uux9bWDUHNq9ufx+VXXolPfeoWzP/y3+E911+Dra2buveP/+AF4If34c4Pfgg3XLyJ6u6/xLuuuRxbW+/rxvzhI99E3cDvmXu+hGsuvwBbW+8HADz+6gj42ldw0803Y+v9VwAAfv/7d+OKCw5ia+tOAMDdJx5A8dKz3V7Y3t7G+uYaDhUFtrY+0q114InXge98C7fddjs+esMx/Isnv42Lyjm2tj7ejfnTF+/DK4s3u7n+7xfvw9HpW92fT0wXwBf/Etdf/y5sffJ6AMDnf/IjHHnt5W7Mw+Zx4JGH8PFP+O9v35w8iI2nn8Jn2r148NtfxsWXHO2uEwB++MVHgYcewV/79Kc6X+1rowdgXnymm/vJrz8J/PgB/MynPt4xu1e/9Be44soru3+TXv3us8D3f4itj30EV11wEG/c9xzwox/gQx/6MK49dgjb29u44dobge/ei49/5IO4+bIj+OcPfgNrK+H9Kh99FfjWt3HXB38K5x1YBb5+N2655b3Yus3/W3zfM28AX/sGPnjHbdi66WK7x77zZVx8sf9+8tgrJ4Dtu3HHbe/F1u2XY/Wx14Dv2j324evtHn/prSnwhS/itltuxNaHr8afPH8v3nr5BLa2PNVsNFsCn/883nvju/DJO68CvvRXeNcNNwTfR5umwezzf44b33Ut1ldL4NGH8fFPfhLrK+EB0HL787jh2iuxtfVePPryCeDrd+Pmm2/B1u2X76vQyW4Z0l8DEH8X/FkA/y2AnwfwBICnARwH8MsA/hEAGGMOAvgFtP8ZPRe02p4ALbnsvEqlUqlUKpVK9c7TGwDOYz5/tH1PJeiaCw/i9qvOx/su527f3qtDdmQZyvZ1L7jXfq1+ZEcW6zGQe10WJmtGA/bXtTdWICaoXVKNclmlMYCA7HBN9DKND7uxPQgVihCRrp+itzmMhrvHxzNM667xn2vEKKIt6Foy/mJRNQCaLLKjbkIua1AP+TX+0YzHaFAUwHhW4eAxYS3yPGIkQzhX07J980xr2/SRZ4d3+AemyWLX1K9jSPPXDhBkx2yJlcIEje1cva4ez5BOERkeD5IiRNw6HlkRNpILxznmN8+0jptiAik+pkN21Cm2IbhugtCI63H7wuEL3DwSF72uG8yrGsu6SZ8Hw/TmMCMVgSnHDTZjDrUbE2I9OIZ0hcvPXw3XSpAuHNYj5meHXHQO2ZEyrXnMSMg75/AXy4T1Hzfm456rhOyIm/aFDOkQH8M3WfSoDbkRYYqYifnPY9IcMawnvHZbs+c655AmErLj5MKz/j2aJhzTuO9D7tqL9P7sF+3K/yKapnkNwDb9nDHm2vbDrzZNM2o/9/sAfscY8wZsKvo3YRsr/sFu1LEXcl88c21qqFKpVCqVSqVSAfb/9TfRTxhjroJF+J0zvwl5NnT+wTX8f//5x852GZ0G8ZjbH265RnK7LWMMjMk39XM158fY193gXpcFsMGgOpK1omZi4Rj/ec609k30nLkr3+u+RpRDmxo6ScatrSfksnI1j3pM9LCefs60bKIbLOs64LJSdYZO7bms6Rhvpjoua67m49NFxmi3ptlkvuySndwcVdv08dABmbMM+IZ0cb0AaaLX8n85WaPPs4bjvUhNqsl8icKAMa0JH1owbsvCdOZu3EguHBcxrQU+dF03WAgMaWrIx030wut2NTszkZibkanPjQmuv0kNR/o+YK9rvqwxr2rWRE/40FHDPgCRwRmaxLFpCziTOL6Hvh43z6VHepjW0Txcc7/xjG8MSWtOmjUWadM+rnlkzHYezyusliZg/cfmbcJszjKkHddZblh4aG2l2w9c80gg3IvGhCbwOGKwUx46vfZwjEmM5DHZ01xzSVuP34vuayCuebasUTeU571/Dem9Bqf9Pmzzw98C8G8BHAHw2aZpXt7jOk5bHtmx/x6mSqVSqVQqlUp1FvTvAPx7xpjD5HOfA3ASwFfOTkmq09HhjRVcetDglsuPiGOGpKh3U4UxPU397OuQFHWuWeGp1JO7dN8Ysr/J4sG1sjPIqJxJ5Qy+g0LSGvDGq3SPqA8p1WR6TGJvNsuND01n2oZGUDpX3vwGENwTOQFssgnygphmcSrViZqp0hha82i2xKZYszWPxnMe+eKTsLapId9Ej6RtI4OPzkFNYrl5pE33joUUtTcvfbo1Ma2JeTmZLWEMsLEiG65xI7l4XFU3xFCT0sbwCenk+l3NDUnScvW4JothKjW+7mAMa6KbcK31+IAgPPSw9UQmMTFmF1WN+bIOrp1rphebxIY03/Rj0tQ/PfQA3B5K66kjI5leO1tPdFjDNoZMEtKM4TpLm4vSQw/AJbaZQ4Y6NJtp6p9NJEep/7h5IkAbFq4kaXe/Vpr6jw8IJvFa0aGHXSvciyVj2NM9TZuycmMOra/45y3M46/dfj7eQ/tBZ8yQbprm/2yaxrh0dPu5pmmaf9w0zZVN0xxomuYTTdPcd6ZqOBMqC4PC2G8mKpVKpVKpVCqVCn8IYAbgT40xP9M2LPxdAP+0aZrjZ7Uy1SlpY7XE73/yID7x7ovEMT4BfOYT0m69nJHsfvjPYi06ZMfOTfTCmHxCukss96e6ZRPZJ5I3VguslPKP7X3Pg+JBpBR5gOxganJJ9c4AzhiuowwCgdabHzMk1U0SuTmEyKw10QUUSd20v+IupKhpzU2TN9qdecniOIh5Oa2arAEKpAgEgKRpO8M1NRxpzS6RnK2naTCZpeY30JqXFP+wmh6gFIZJGzMHKC4JGydX/fvo6nENC1McB8GMCElrYwycVSPhH9wcQN5EN+0z7UxJxij19fAoksIgOPSIay46c9f+uaobTBc1evEXTKKfHnrIY1LUBr32+NDD1h0a5JwBnKJIeGRHLtFux6QHOimyI0z9c7iN8SxM/fPIDm8S069PKi71nyA74jQ2a5CHh2ccsoMzm+NUN01j+6+ZcIzHjMhIk/2is99a+hzUalmoIa1SqVQqlUqlUgFomuYNAJ+BbWD+ZwD+AYD/GcB/dzbrUp0Z7aa5O0RXnH8A15Emakk9Xfq5P0Xdx4ceoqIANrIJabT19Ce2JeyJm2OSQTI4lcYmBSXTelAieSDWI5dIdst3mJEe/EV+jP9YNNppPRnutUOISEiGqm4CLitbD01sZ+6hxTsIieT2/lRNg9mSr4eaqZZHLJm2bswys4dcPfnEtk1196Soa4v1kJLPDTF3xdR/4di2vGntDj0ChrSI9aAs6tjgTA3gzYzh6pO0/G8G1DWftHbvA87Ul1EkcYI8SBsnhwzpWrFp60zyJP0cmap8IjlN93L1xBgNLmVOTdkRw6JOkB1M6j9BdjAHOrG5HX+NdYnkyCSmqX96uOKvfdmx/jsMCYNGAcLvDWnNMa/afp7DjBwipnXsD1NeNZdUp2MOrZdsUh1ITfS3PUP6naa1smibLKhUKpVKpVKpVKqmaR4A8OmzXYfqzGuIAbyb+sJvfiowMdN67Gu2qeEAjMZQFcZgnTHc0rVyiW1kx9BUc+663HpDzPiYyxrP0a2XMYA7hAhTE011AxnjdgCOg47Jpci9+c2ZuwjrERPSDSRGMB3X1ZM5RHBGYc4gb5oG06oRDdCGGJMpQ9q0/Fqfoj566KBYc2ckZ5AmnYmeYYe7xpBy4z+09fDNI924quVVS6n/sn0e0y4hHd4j91dsPTxqgxquI4JASK/J/llqjkjr4TjCAIImgqMZv++pMcuhP2LzMmYNA94AbZoGxhi0nmSyh+ihR103mCwqZkyeIc0ZszFGo2CM0Mk85FXHqWZ3/en9aa+/blAUhk39JyZ61KyRbQwZpf75xHbVmdaSucsdoNBDD3ftQGg2u2vy9YR7qGQMe3cYsUkRIgKOI4fsiE30GFOzn6QJ6dPQ6oompFUqlUqlUqlUKtU7T0MSwLuplbJgE5dOXVO/jAHsfrjfDRP9wkNruHCj35DONobs6hnAdO5JSBvTw6sekGh39VAuK7dODscRIztyqA2nIU0WRaxHQRooMvfR7QvJKHTrNA01lHaGGZkuKizrJtsYsm6A6ZJPY5fEeBtHze/oGGdAxfzfYL3CG8k5g9zhJrg9RBvcTUQUCWUWL7PNNeu6YVEkwZgGmFXA2krR9e9yosgOidlsjIHLDnKp5YKY2gBJrkqJdZrqFhAZjlPOj6FNBtODD0P2ha1H5l47D3Ra8UiTkFPOp/4psqNpzfZDkflNDz2Alp3eg+wYz6rkPnPmbrw/YnYzl/qnhx5Aiv7gE8kpZoRDZKSNEcGOof8GucMVp1F0qME1hozHuK/PeC3APjO5Hr9fJaM5Tv1zjTP3i9SQPg2tFEYNaZVKpVKpVCqVSvWO05AE8F7KN/XLNf5D75ih+j9+/UP43I1r4vvesO83gHdi2jqVhcmiSDwepD9FTbms0jyUyxrWYV9dajlnTDr1MZsBOZEcIDsyBrCvh8doVCRtOyixnkl++3o4c9e+ThcVqkZuDNmlaYUmizECImfqO+OWNcgLaqambF9bj311zGb2PhcmMFxzZrM1/3letVuvrhuRsU2RFON5xab+yyBlnnKd4/RqnG4Nrw3BgUXKNravzti186QmcZOYzWGDvKAepuljbNq224xBiHgTU0r9U2N2tqxRM1x0ejDSODzIWmqQx037AsxIZNp2Y5imj65mwKE/OBM9RHZwie0A2cE0WeQaQx6KUsRpajlN/cfIjsm8wsZq0T1LDrfhOeQlO4et2Y+RECKeIV2ySXV37XaM41Xz4/aD1JA+Da2WBeZqSKtUKpVKpVKpVKp3mIYYnHupIXzo3az5vIOrWF/JJKSHNFl0pvUgAzRvom+ur+DCzX6DPDdPlzLP3B+aROdMa3ePj0+XMAA2VobgLwYwrTMG5yhjJsbIDqmxX9C0blBiXTbRu7WEBnlAmpSM62kaYFHVmC9rIbVLm9bxprW/NnkMTd1avEEe2ZFDkXSG6yyXkEabNpYT0o6VPFtKjSrh65FY3VHKfKUwWCNJ6zh5mm2MGSE74mdGectSk8WyMEnTx7hhobsmWk+Am3CGqzOS24R02mTRvla1XDOHEOGaCDq7a17VWNYN39QwQFKExi1nuPIM6fD6udR/UYTmdpy0ZhPbjIme8JhpQlowd7nUPz30sPWk5nc813i+DFj/1PSnawGuYaEJED60ZiBCdjAoEoBjWmPfaX/8L+Ic09pKgaUypFUqlUqlUqlUKtU7TEMMzr2UM4UkcxPwZupepLq7BHmOad3VMyRFnK/5H/3N9yWGBF+PPM8ppcx7TNvRdIn1EiJmpSCRuLixXVxzfj2PMJDMRAA40YMZaRqI6VY/zn+cb/q4yK4FeNOaG1MWCAxyCaNR1U3XjDHXGLLuDOA8smMkjKGG62i2xFUXpLxq1xgSsIba+Qf5wxGXpJYaKLqa6gZtQlq+h5VDkQhjKNIkTv2XEbJjMqvE1L+bi0taA37PWwOYTyQbQ83W1GyOkR2+8WHKde6QHV1COk3uumuTDHJ6gOD3WTwPaQzJ4EpiZvN8WWNe1QFqgzNTR8whQoLsYPZH3AAwHkOT6nTMJYdjpjWT2O4S0jIiI8cFt2tVbOqdZlgnMdKEMchHswprZdGl/vma/V6UjOY49e/r2X8epiakT0OrpSI7VCqVSqVSqVQq1TtP1xw7hIsOr+PY5vrZLgWAN2FyzGZn6OwF97ov/WzH5BPJZoAB6nTxkQ1cdt4Bea3CpcNzTGu0Y06/ZmcsjWZLbOQS5MT8Fk1rev2nidFwc+dSy85M5ZKrXM2AvIfKwrBN9Lr3TVwPbxK7FLFdS0Z2cMZlMK4wWFatac2a397cnTBYAoA0uKsba6hxxjZFdsz5xoe07vFMNtFN+zymyybbOLPpUCQ8ZoM2LMyZtrbmpZj6d4cek9kSxgAHogMUaoTGjeTodfsEuWP7ysgObzimyA5nKHqGNI/scGgUO4/MtJYaQ5bkmXIJcto8EQiTvXQOaoBKqX8usZ4a0qF5GzfP5BjJ4yj1b68pmLY1mx1DGskcdkyakI6N4lHEV2eZ1tEYY0zSsHAyX0aNGA1i25Gm/rmkursuwD+zeM/vJ6khfRpaLbWpoUqlUqlUKpVKpXrn6advvBjf+fs/gwP7JCF9SszmPUxI5+tBO0bmIzvt1ET3ifadJcj7mke6dU5MF8iVPIRB7taiXFZpHjsX32zP1WPrlpEdlMvav5Z0iOANL+7Zm6QeHusRmM3CPCFmJIM06dLhMtKkrpu2SZycom7ae5TDjABy40M7zq4l8aoB+9ybpsGsEhLkASJDZmx36V5mTBGZc3FyNa7HNX3kTGvavK5rfLiamrsxIiNnXvrDCA5J4djPaMfwaeOqlrnotJ6cie7srgnT9DGuh0uQm8i0lVL/9NCjqhtMF3VqtBdxIjlM9McpcwDJbwYYwxi382V37Z5lzZjECfc6XCtObHMGcMzPlg3y0PhPESI+9R8/B3rtNPUfN8XcT1JD+jS0UhaYK7JDpVKpVCqVSqVSqc6qLjmygbIwuDyTEu4M1z1ISHsecz+zWWyQN8AAHVzPoAR5f819qBY3x4lpX0IavWv51Hs/ZmRtpcBqyeMWAIsQsXXzKWqXgLU1DUB29Bjy0hhneGWZxYVrkMfjH+wY02E27DyyAdzHqwasmdg0fIKc/qr/eMYbyRTZwaVb6Xp1e225fV83sAnpzCGDq0diWgf1xOnfLlVq/zyKUql8zbzR3iE7yJg49U+RHWMmSRwnhDn2s+cs21eXkE7T325c06E24mdm2MS2jBkZM5iRmJE8YWq2qWY/p3TI4vYYTZlzKWpXsz3UCNPPPLIjRmQwzOZZhc2OsxxiSJy41H8RpZtjTnuMIfH19DCtI141i+wgX4dFh5+Jaw5T//S3Ifab1JA+Da2VBoulJqRVKpVKpVKpVCqV6mzq9qvOx72/81lcfWHKt3UagtHYLXWs5QFmqmQS0yBmDrUxrB5nxg9JbPfXnEu3AtYA3siUbIyBMTtDmtj1kK2nM6Rny4DLGo5BlhEcz5Ub05dqT5AdQmI715DOjaGGo7TPaBI2X08uQW5fJ/MKdSNjRuqmaQ3FDNO6TYSOmSZxdL2qaTCt5AQ5AGIS88Y/5TFzfGQ3B2DNVGkvmjYpLKex/VxjAXsSM5vj1H+S2J6nhxEx1qNLSDNJYsCaqlLqnxqhYrPGwqfMucaHHcu7tcTc10+MIglNW5lpba8fYuqf1nxykZrocdNHl+iP72GaSPaHEd1hB2MAc2iUGMdxaC017GtiGcaHNZzZPIr2NIvsoKluISGd8qoV2fG20mpZYFmrIa1SqVQqlUqlUqlUZ1vnHVjNvj8kAbxbOrJha7nkiMzY7jOA7a9j2493mpAewofuGkPmMBo9xrZbZzRfYj2TkLZjTU+CHG09FAb6WAAAIABJREFUucS2yY6hTQSHJGAdl5Wvh5rN8jNz4o1JtPUsxHkcsoMz+Og8NTEcpfvYV4972yXIpXoAyhqWmdbzqsaybnpT5qMpbyS7uZqmwUxgSJfEcJ0IvGraTC9OrgIpmmEcJVfD9drkrpDGjtO93OFRaUJkR5q2ta+eIb3E+kqBFbIXY0PxZMeQFpAdbc0An0iuyLUDfCK5IvfQrkXNXQT18CiS2LTlU/809S6l/gvDYU/klPm8QpL6d3xyJ29a581djkNeROZ2zGCPDz0AaxIH96cwiC3FISnqkHsdHlQ4xal/V88+9KPVkD4drSqyQ6VSqVQqlUqlUqnOCXXYip4Ggbuhqy44iC/+3U/h4zcc21E9xS6Z6B4PkjF3Oz50P7JDGkN/5T2XkAbs9Q9KkA9IbMtN/ezr8eki2/jPJTMdl5Vfqx1PuKzJXBTZkWnI5xLSLI7DYTRmKSbBr2M6FrOdpx8hwhm3Lqmew3p0qfdck8XCJZZlzIirGwCWdSOm/u21wSakM4nkqs40NaRmKpPGLiPzcpxJSDtTNU6uxtdU1cgktkPjNuERc/VIpnU7ZrYEVkuTpP7jxDbAMZttYjtAZLCND+3H3D5LGkMy+4My1QEeVwLQVLec+i/IXNxvM8Qc7qkdkqSWKdJjtqyD1D+H7JBS/7FRHO+zMjr08GNipAljfgcMacMwpP0eivdONyaah2v6uF+khvRpaLUsFNmhUqlUKpVKpVKpVOeAii5xe+YNaQB410WborkJEIzGoATwzmpeLS0i4IJDa5l67GsO62F66qHs3PUyn5A2po9X3X9/3BJ9mI2RkG61Yzz+IZcgpwgR6bk6E8oY4MAqYzYXkSGdMVxzjQ+9SZrHjFCEiHQfS2NwfConrYc0hixJyhyQ9xBNmcsJaZu0XtTytQPoTGKpqaFLnnK86ti8jHnEVMYYVO2BBXftNAlr09j8QQQ1U7mGfYBHRcRJWjrGG658Ep0293Op//gAhTa46w4RGIxGlzJ3xjbXZDFufBhwlCNDVmBaU1NVSv3T5n5jJq0fJ4VnDGO7jA3yCEVCDzucpNR/nP6WERnhenHNiSGdmNYmQYiMyFpxUt3PEyet9y+yY2/+RX6babU0WMQwF5VKpVKpVCqVSqVS7Tv1MZv3Ws4gyJqgBYAqP2aIDq2v4E/+9l246dLD4piyq6e/EaOcbvUfb/SUXBiTZUj38aHdHECuEaFPPOab6DUBl5WvJ5/GDupZ403r2NzlTGtnPDqTmE9It7zdTLIZCJEduXt0ItP0MU51c/fIGa6TDGbEjvMfiwcEhckztgufsp4uarHxoTeb06aGJjIvY/4vVWk82uHq9ZRRHyM7zj+YHvqUxHi0WI8ezAgzJjYUp0t+L4b18Kl/ivUYz5Zs6p9DZIR8aAT1eKSLjOxwSet4T1N2M2c2u5qr2JCOeNW2Hvvnk8sUaVIUIR96HKWx2VSziBnxhx7zZY15VUfXjnSueZWY+nWbVHfPKMF6mJR7TRsfdk01o0GT+RKXHN7o/uwPTrDvpAnp09BqWaghrVKpVCqVSqVSqVTngEpjEi7r2VQ5ILE9JCU8VD919dGBa/U3PpQZ0t742uhJSK+vFji8IXO/h9WTvz/0UedS1HXdBFxWTj5BPmCM2KjSJ7bXyzBRTutxYwAhJdw2ixsLDeDiubJjCmA0swY5Z5TGjRi5w4jCoK1HNpIH12NMth7KoZbHWCPUYk1ShnRsXnJj4rkmswqbfciODNPaG7d8Gpuat2MuIR0jO6pGNONtPZkEOTFeHUM5Nq0DZMdsCWOAjRXKJA7NW282R8gOYpl5c1cw2zPNRWnKfMKgSGJm88whO9bDmpvAIA5NdJou9zXzBzHxoYetJ0RtuGsCgEVVY76s2VQ3DS1zWI8Y2UFT/9wc3ZjoWbiv0/0mTUifhqwhvf8epkqlUqlUKpVKpVKpQhVmb/jRQzWED92XAN5NOUNnUD0DDMe+kv/gV+7A9RdtZuoZcH9aw1lKLfc19QN8AnEy5807Og7oaQxZ5MdQI3RDaPpIU9Qbq0WAuQhrJoiMIYZ85pnlE8munjxnmtYj3UeKEMlxr3NpbPccjrcpc7keYLLgzU2aXvWN7eT7U9X22qS0upvL8qEFZEftcBxLXH7eRjKmKAxc3jGbkK49I/nQgTyyQ9rT7jE0TYqaoPXQpo8HV8vgACVObHdpY5L6LwsMTEh7U1VK/VMz1WM9ZGTHdNmP7Jiw84SmrZT6Dw4QmDExsoNDmtC9U8Dus+kiNa3j9LPFC9l7KCI7mNQ/hwjZD9ofR8TnmNZWFNmhUqlUKpVKpVKpVOeCLtxcx2WMEXS21MdjBrxhsReG9CAkRZE3yANkR09C+hPvvghXnH9ArmeAGT8U2QHIJrFLOo4Y1nBQz5DGkO6ZDkGaCNO4OU5Mc432PG93baXAqpD6LwYa8nmmtatHZlqbziDnm+j5cf7jXIo61/QxSZCzzGa0qWbe3KSYg5OLyiJdMgnyukaXJJbqcYY0dw+dQQ645pl5ZAfLkI4M4NmyYa+dIjuk1D9tcGdRNXLjTIBvshg3hnQNJmPTmhqgUurfHXpUjZz6L4lBPmG413Hq3Tc1DA1gau5yDHa6Dq05fh70mXINSGNkx5hDmkTscI6fTdcB/J51e6jby0njw/SggcN/7Aftn2Pic0iK7FCpVCqVSqVSqVSqc0P/9V+7EbNldbbL6DSIkdyZxGeeez0kjd0hOwY0NexjSPfXY19z195nAA9q6tcaUJTLmq8n1/TRrdVvkEtNH909HE15o9CNqRxGYkATytXSYG1FMq29Scc3NQwNYJFpXfvEqWz+D0GIGIxOyulnnyDPIE1MiDSJ6/GmrdzUj841XixRN3nDvm4cHiTPtLbpZ8EAJmMSM7FLwtrXaZW/P12qe4iJLsxDGzGm5mZbT01QJAyKI0wkV2zqP64HSL9eDbk/PK8a3RyANezjeUxkkHMHFiapmT+sMTSxzTVZdGazS8YzSJMYtzGJmNbdOqTmk1Hq38/hx0ip/6JIk9T7QZqQPg2tFIrsUKlUKpVKpVKpVKpzQQfWSrbh2NnS5voqDq2V2FiVfxz3huuZz5B5s3kIQmQAsqMnId2nQQnynlR32ERPxnrUdchlzdaTQ3acAtJEMuyp4SonpE1nOuWelzv0yB4ytAuuFAZrTNKaJrYBgTNdxAiRPLIkV5NFdmQY0kWY2OYMcpcqlczNGLMB9CFN2nR4xrA/Oa+xrBvRAKZJWW4PlYYgOxiT2LOqPZIilyC3CXreIKcN7qQxFNkxYVAkcTKXO9BxrHMn2fwmqW4h9e8OPdxaQGok22vySBMgTVGHvOaUaV1EBrCU+qfPlGNax2azm2czl6JmEtI0qQ6kJnqcVAcgpv7jufaLNCF9GlpdMZhrQlqlUqlUKpVKpVKpVKeoX7vrGnz2louTZmJUnSG9ugcJ6R4cR1BPBiXhJCEphqqPx0zXy6VtnfL4C5uQ5pKrXT0dQ/r0md9DkCaUkZybx5rosmkd1DOwMSS3FynTujDAOpO07pjWQkO6eC5bk2wAu+CfhJIAfFNDHiESmc0Cj7lqaCNGeQ/l+NkuuewaMbImcWEPPebLGouKN5JpEnY8rzKcZde0rxmQ2JYRIgDapo9LXHKYYVr3JLZpyhxAiweRG//ZMUKTxc5sh5j6LwuDZeu/jecV1soiSP2XkSE96xjSoQFMkR0TDpERG8BC6p9Ddkh8aDqG7iF3D6tM0jpGdrh0uKuHJuKdpNT/fkV2aEL6NLRWFt0XhEqlUqlUKpVKpVKpVEO1ub6CGy4+nB1TGCRc1jOljRVrypx3YFWupwczEiaAd1Zzl9jeQZPFoImehPUoDJZ1I7J947Xyie12rSHIDikh7ZAdQoM8N0/VIiJy98cQs1msuc9E7xLJ1vzmTGtjDKq2IZ0xwAHhACVoMjkE6zEE2ZHhH3PJVbpG09Bmc7KJfiKToo4T5Lzh6g1icUxhU++LqsZ8WWNTSEh3yI6lvBZgzWYp9R8iMiqheaRPJHMsas5wTZtHhgboeJ6ysYGwMZ/UGDJNmQuM7bbmaZWm/kWmdYTRCGoWOeRcsjnFcVTkkAEI97SJnmmXxibXFiM74j0dJ8PtGH6/xgcE+0WakD4NrZYF6saeRHCdb1UqlUqlUqlUKpVKpTpdFcZkDdDd1M/deimOHV7HJUfkxo9Fj8FJfy7euSF9CuneAYlk6T6aAaa1HdeO2UlTQ3p/xIS0fT0xXWaN/6Y173IJ8mGNIZEdEzRZFMaUxuEWKtG0BsL9IaX+CxKXzCEyTgjpZ1uP6Zo+AukBSmjayvO49ebLWhwzzJA2beNMOdVdGs8FB9I97XzVqm5Q1Q3mNf91GCA7ZilH2I7BgDFhQvrq9YPB+7QxJGBN0BiJVBiTJJK5+0NNVal5pDv0APg0tttyzgCeLpsk9V9EyI7JrEpS/7EBLKX+w6aPzmyWkR2eIZ0iO7pmlvN0f8Qmerxf46Q6QBsxxhxysy8NaU1In4ZW2n9AtLGhSqVSqVQqlUqlUql2W2Vhsibpburg2go+9Z6LsmP60rTUh9xp2Y4hu5npjtjX+HCI2RxgJHLm7ikgTYZgRmSGNE1Iyyzmuk335tPPaGs+/ZR5Z5DPFrLRbkw23RrPlUv904Q0d/3uOXQMaQGjETCtc8iOWZpclerhWcto6+F51W6OuuETsE4mMtHj50FN21zzSHdfl3WNk4u0GSEd4xsx5rnXEyYhnSA7GBZ1YcJmezaxnTHRa4ip/9KExm28lq/HIU34g4gqMJutQU6/T5SRaSul/t2hh6sHEJAdkWlNa0qQHfMUtVG2jHtaM+D3GYfskFL/sbm9X6SG9GnIRf+VI61SqVQqlUqlUqlUqt2WMXlExF6rz+DcTWTHh667AL/3N96Ln7r6aKaeHtxEwCyW0r15RES8Vq6poTdc++eRmj66eqq6yZroVW0Tt9mai37MSNkzpkOIZJosmrYh31gwN+m4vnrc/VkrwP4muntcXSKZ5TE7gzxNrtJrogawjEfxH+fwF77xoTwml5B25q2E9eAwIzmWu8dRyAZwVbtEMm+0U0ZyWo99pY0PuUaMMf6C50P7uaQx1EzlDmtipMnJZcM39YuZ1mzNFOvBp/7doYcbA4SmdWc2JwxpiuOIkR3pM0sS25GxzSE7cuz0/WhfqiF9GnIntstq/50wqFQqlUqlUqlUKpXq3FZhTLaJ3l6rMCbhslIFyI4dlr22UuDX7ro2i8fsUsuZJLHTEIxGLt1bdnzoTAK4S1H3j5Huj+kxQO0Yk023dmv1pJ/pekOQHTKqpTVTGY5wMM4MuD9tPSJjm5i76ysFVpi96NKrk0zDws4AnslpY7qeNGao2RyMEZr22UMGPt3aITso+iNzMJRrxOiew2S+RNPwhyxlu8caAaNBTW13bYkBWpggkSxx2gNkh5CiNsRMnQhpbFrPrOIYyhbZ4VLUXPNIE5nokzm/740xgRkfp/4Ts5nZizGyg+NVxwa5R3/IyA4p9R8n1veL1JA+DTlDWpEdKpVKpVKpVCqVSqXabZXF3jGkh6goTMJlDd43/nV1D1wGV4ZkNgfIDtHc9R/nzN3rjm3iv/rMu/Hpmy4WxwxqfNgZrv3ICukwomxZuFxzN26tQaZ1D9JkWTdZ09YxknfS9JHWIzG2PbJDZlq75m2jWYW1ssDaSroZHd/YYRKk+1j2HFiUxLAHJKxHjBmRsR4Tgf8bIDtmPQnpwmCUqcdtseMZ7rVp789sWaNu0vtDE8mNa7DJNNELkB0MaiOcS2ZIu0MPoN33DB8ZoMiOpjdFzaW6y8JjNmzNFZ/qJsa19JsB9Pon8woHVstgP3W/DUHGAKGR7vA8XT1R6p9Ddkipf0V2vI202n6DdIB7lUqlUqlUKpVKpVKpdksHVktccGitf+AeqTB5c9N05qbc2G5368kzm4fwoQNkRw9D+jc/+56kcRu3Xi7V7g1XeR2nHJJhvqwxW9aDzN0hbGyRV02ZzhlDuqrbxPaApo+DEuSiYW9fT0wXYmLbdPXIhn1ROK7zMpv67zuwMB1DOsO0HtD40KEZOo6wYKa6xLKdRz5EODFbsPPQuUYZ7Ik1U31qN+Ext9fdNA3mVY1l3QiIiAiRIZi7ADouei/TmjGJY7N5uuSQFWjH+IR0H2dZOvRxhx7ddXGHFYWJEuSyqe/miVP/dB03BvD7jJr5TlLq39aTlHnWtX+OXM8haUJapVKpVCqVSqVSqVRnSv/sV+7INsjbaxXG5M3NDhGxNzV7M7U/3TqEe50zU4doELPZ5A3X0ACVDddcE720ngHIjiGNISXOsktsz5e4Zv2gXM+pJMhFpIkdsKiaLKqlaZsIys0K22Tvos4eoLiaCwOsC0lrwOM4eKyHfc2lll3NE8Fs9mxxwgjONGIcZcxvmjIH5OaRlMMtpY3DxpBpo0Fnts6XdfvMeHMXsMnkkWgAe3OXa54ZJ4WnVcpgp80c3TyXHtlI1om51xJmxK1lsR78GJrGTlPv/roB32RRWseOCVP/santxgBpqt3sU2TH/vkX7hySN6T33wNVqVQqlUqlUqlUKtW5rRsu3jzbJQRaXylweGNI47+94V53yI6MMeck85j9x7mGhUM0JAFsegzXwCDPpLpHbQI2d2DhE9unn6IekjJ3Kc7pfFhie0g9kmEfJtrlhHDdNgjMHUT4xpA5dng+9e/qOT5dwhhgg2HVeAM4n1p29bj14msCohS1hBnpObDwjSFdI0aJ2UzS2Az+wdZDUruJmUqaHmZ43u6+zqsacyH1b4znJHPGbWw2T5eyiV4TFnXS+DBCdkzmFY5tpr8V4Q4QgPbgQ0hRB7xqxrCnNU+Yeeg6tp7QsC9IQ0gnKfW/X5EdakifhhyyQxPSKpVKpVKpVCqVSqV6u+u/+dmbsMwEsnzadgXA8ozXUxiDjdVCbHwYpI0HpKg3d5jsHsRsbgcdkAxXmuoWGcEk3TrA3B2S2BbNzaCejLnbNIOZ1vnmkXmkyRDDnpq7ORSJa3yYu4fhnpbfny9rbAqmtSGmNWBRPNw8IR86bbYHuDFy+tnN5dYa1IhRSHXXddpEj74PeH40wGNGfPpXNtHdMx9lTHSX2K7qBtNFalp7pIn986xqEnROguyYLZkxEbJDSP1TlMZkvsRRBq1URinqPswI3zwyNJtHEfeaRXa05ne8F8vCYD/madWQPg2triiyQ6VSqVQqlUqlUqlU7wzdfNmR7Ps+TVpiLwzplcJk07bObI25rFTU4DywQ2THEGRJV5NouPqPJeO2IAnYLLO5yJvNQH/KnJpaknFrWvNSakiX1tPPIV8XmhqaIfenw1/wydWu5hY3MYR7LbKogxR+vjHkqEVNFMwBSmk89sTOlfJ/AXefBzQ1bBP03DOLkR18E0GDZVVj1BrknHELIExRM+leyke2Y2SkyYks9qQ99BDW6pAdTYO6bjCrMvcw1xyRQXbkEu2Afa5XHuVMaz/XaFbhvAOrwfsmMcjTFHWM7IhT1CyyY5aa3249TUi/TbSmyA6VSqVSqVQqlUqlUqkAEPzD2gqA2Rlf7z++61p88j0X9dczAGtBuaynK2em5pnNfQzp4Qlgu1b/teXH5E3rANkhpcyNwclFhbrpu9f998etJ5FhQi54HiEyni1x4SGeaV20idsJg38I1uvBjAQJ+54xJ6aL3mc6ni1xYLVMUv8BsmOW54eXxmC6sMHJHLM5h/VwBvBk1mOQN7LZTA3QHPfa7flc6t8dekx6mNZ102Cy4NPYXcq8tliOySI9jCiMNbWdJrOKZ1oTlMaEwXHE1z+ZLXH5eSGv2h8yeIZ00ogwYUiHJjrlb3c1MygSO9YoQ/rtopVCkR0qlUqlUqlUKpVKpVIBxADdo0aMt1x+BLdcLqe2ffp3QOO/XeBen4oBviEkgMtBhrT/eBgfegAjOdMgsL8ek+UR+3H5eQDS9FG4P2ETyoy526ZpcxzuumkwmlW44vwUt9DN1Z5R9KWfAXkPUcNVbh7p+dAsj5ike8fzJVYK39dMWs/WnTOA3TOTG/KNBRwHTfeOBcwIxV9M5vwYgGNsM2NaM1VOSHtkh2SiU1N/uqzQMAco1LR160mNKqnZLjWPpNfPrWXrsX+ezCpccjhqsliEIdg49c8hOyQuemxu7xepIX0acsiOuRrSKpVKpVKpVCqVSqV6h8uZZvGv958tGWNQmIGm7Q750QDws++7FIUBNhhGsJMz36SSCuIx5pjNTjkjfQhCpM8kHtIYkppm2TR2Z373J8jF+zPAAC4c/oJBINB1HEM6jzTJ38M+85fWnGVat3iLiTAmSADPKhzIfIn1pf7dvvAMac68dHzovAFc134Ml+71yIp+ZIdjSPNjXGJb4lXbV4sQcZgRGdkxEhoxWu61/Xi6qFE3/HN19TS5QwRiyHMmccy0HjEMdreOU5z6p0l1Jyn1bw89kk+fdakhfRpyyI5cUweVSqVSqVQqlUqlUqneCRrSRG+vVRgzCBGRMyWH6sZLD+PGSw/31mMMsCbQQYYwmymDOGc2mwHX1ocZCRpDDuAo5w4jPI/59BPkQ66dNu2TDiNs076WIT0gsZ0dU9jkqYjsIAnpizbXxZp9Qlo2bevampLrAvLF1QNkDPt2752YLrFSmM7biutxjSGB9Po5ZAeXSKaN/7h53FquHoB/rkVh1/JGcsqrtvXISBO310NjOzKAC28AS2lsV3PTALNljapuxCR6l35mmmdSDrcbwzaGpHzoyGzukuoU6zGrcDmT+i+L/Yns2Bko6R2q1VKbGqpUKpVKpVKpVCqVSgUQc3eHzQF3U4UxA7nGe2OiG2MNN2o8U1Fkx0EhaV0MMIkB4IPXXoBfvP1yXHUBz1EGvJE3hJG8kxS1HYfsWnQ9iSEdIDt6kCbzZZ1JLZvOvMw3NXRr9Y/JNaEELJJCTnUjWw9NSI/nS2xkE9LDUt0npgscXCvZvdglyGcVCmMbg4bvg9QjM5ubpkVfCAYwrfdE14hRMoBJYjtBiPQzrd1zyjWPLNpkOCDzqmnNnWHPokisUTxf1lhUDZOQ9pgRAOxhBK3H1UT3GYfsGAupf8qe30/aP8eX55BWS2VIq1QqlUqlUqlUKpVKBQArbWhrc2MF2Cc/JhdFH7Iib8jutsrC9JibPrFM08DcGDtOrvvaY4fwz37ljmw9fdzvYcxm/3GWVz2oqWEfQ5qsJRnAgYkuNP4rDJZVg5OLKnsPzYADCzumyRjA9tUypOXUu2M2n3dgNX2fIjvmldgUk66Xa3oIACcyCfKy8Axl7gAlRIgsYQxwYFVKLfckpEliG+C/Frumj535LXCd66bjVSep7ugecmvRRPK4Q5H0N4aUkt91gD2J1mqvu24aLKoa82XNmtbURB5FvGoO2WFRNRL3Ovn0WZcmpE9DLiE9X+6Tf2lVKpVKpVKpVCqVSqU6S9pcX8H/8rn345d+6sqzXUqnwpgsZ7mvad2ZqGcI03kIi3ljtQgSzKcjz0ge0LRvgAGcq/uGSzZxx9Xn45bL+htRyoztAUiTAYa9MXmGcjxXLkXdGe0Z8xuweIcc9qSurbnLGqCdedkiOzLbtWtU2XN/TkxTjAQdU9WN3LCPMKTH80owrV3NtnkkkJrWcT0Av8+cmTrOca8L16iSTy3TJoIS+qMovAEsoUjcOFuz3BjSmdu+HgHZQRAiCdaj8Ab5sqrtHiLzuFtOOdOTubSHTJCk3i/ShPRpyCM79t8DValUKpVKpVKpVCqVaq/1N++44myXEKjsNYD7GcG7KWOc4Vbx9XRm4hCTdOc1+9TygIT0AAM4lzS/+PAG/p//7GP5epzZLiak+3nVQxLbhTHZRnu+HvSO6UORDGoMSZAd3Bia7h3PKmxmEtJ+T+fvz4npApeftyHO4XAcEkLD1oO25nRMx2xujXYp9U+RJoBsAId8aJnZ7BLJsblLecu55oh1x5DmUSS05lHORC/CxDbXsBDwSXRbT9r4sEOILNJ66Bzdtc2lhLQa0m8bOWTHstaEtEqlUqlUKpVKpVKpVPtNf/+v34z3XXGe+D5FZOyFvEEuGNIDzGbPNd65lVMUwFpZYG2F/8X50JA+/RT14HocskNkSNO1BnCvM2NyTfTievKNGPOm/tDGkF1Twx5zdzxf4sIDQ5Ad+aaY00WGsV1Y/vNkxmNGDMFNSI0Y3XNoWhRJzowHbJJYSv13CfJ5jkXtDXtuTND4UGhY6JoVAvba7Ri5yeTxHswINdFTPnQ/0oQiOzimNU2qA7xpTWvej/alGtKnodUVRXaoVCqVSqVSqVQqlUq1X/Uffejq7Pt7zZD2TRZnwvv2dacs5lOpJ4s0addaKQzWSsG0HtD4cKg6ZMeAhHRf0z5AxmgYQxO58vX3IU2A/lQ7NVhzSfSqtrxhzvymDfkm8wobh8Vyuv0xJLGdawxZdWYzg8eIGNKSAerGjAUUCa3nxFRmWnfIjvkS6ytFx6uPa6prz2xOGxaGqW4g3UMlMYAl1Abgn2k21d0mtsdSGru9hKr2SJPEICeYDQ4x47Ef9s95E10T0m8brRaK7FCpVCqVSqVSqVQqlepcVdkxpPfGFvnVu67BsUNrwOsPs+87c3NIo71dSUj3IU0IYztmBNM5AGvSrQtJ66FyRueG4P8WA8xmGrDNmbLHZ4vsGODUkB1y08d+pElRWKN5UTXsGJruHc2W2CiHNcbM1QsMSGzPlrj0SIr1iHnMkiELtIzkOT8G8Nc2mVc4trnO10OQHTl0jK2nwopBkvrveMsZjIYhyI5uTCaxnuOQe1Ofx3rEjSHtmNRE7xLb89Qgd0n1JsaMCM9jPxIetKnhacghOxbV/nugKpVKpVKpVCqVSqVSqfLy5u7eIDt+7SPX4OduvUx8f0hie1eRHWYYr3pIPTnTeng9Dtkhmd/+Y6mmIYnk0pgGJfG0AAAgAElEQVQOtzAExzGMQ76DxpDG9DT1s5PMlzXmyzrb1ND07I8hTSgtIsOastz9ic3dHGakqW1KWLo/ZuD9qdvmf9IYZyZP5ku2KaZHiFhjm0v9UwN4LGA07Dj76p8ZbwA3TZPFcdh6vJEc7+mSMq1nqYlOk+p2TA/Teh/maTUhfRoqCwNj1JBWqVQqlUqlUqlUKpXqXNReNzXsEzV3JTljLYeRGKrP3HwJ3hjPM/X0M5TLAabtULlEtnRpodksGZP93GtjPH41dx9Nd/39yI6dNoY8nmFauylcIlcy7AF/j3LmrlOuMWSXSGbmCczd+RLXrB9MxxBkx2S+xNFDawPq6Ud25A4iHEOaa4oZMpst9zo+QCkLg3nr8U1mlZj6d9fvnofIkK4JsiO61yVBdkhGcmEMqshspvujS6G3tqTEq+7qUWTH20PG2NMUZUirVCqVSqVSqVQqlUp17qkkSIr9oCEGeWeS7oIB/KsfuSZfj0NW7NC0HarCGBxcKwOTklsLyBnAGDCGoj92Zrb3cb+HMptHLUIk1yDQJXIlpAldL2fuOmUT0k3TGbfSGo7HzCIiCo/sGM2WuPJoalrTuQD5WZTGm819KerxbMk2xXTXbZnNvNFOkR0WRcKn/t3nTkwXMAbYWE1N66KwZrLEqzbdPWzENLZpTW2AT2wbYvoDHjMiGtL7MCKtyI7T1FpZdKcnTo+9MsLNv/MXeOCF42epKpVKpVKpVCqVSqVSqVR9MgMMx71UH//XjrGve4EZGWKQ72ZjyNuvOh9bN17UUxNwYLUM0tJUJTWAhftI/+7mDsxmOyZ/jwriuOXqmS6st8QZ+7TxHwCsZxLSPmU/JLEtmLuFbe43nvPGLTV3J7OKrZkarpN5xkgecH9Mi9IYZRjSxhhUddv4MJuQzjWPNB3WYjLnjXY7zr4en9oxnGldGJcgtybxgVWeId00No0NpF/3ZeH50N5sJsgOklQHiGktPLN96EfvniFtjPllY8y/McY8b4wZGWO+Z4z5FWbcf2qMedQYM23HfGa3athLra2kCemvPfoqTi4qfO+ZN85SVSqVSqVSqVQqlUqlUqn65JEU+yQhPaCJXofs2APMiDfI+xv/7UbK/D+88yr8b3/rA9kxZWEGGcTrKwVWSt7uol72gZz5X/QfWPRxpoMEsDAmwIxkkB0npjZFnU1Id/ujP7GdS5mPZxWahk8tG2O6NPFYMG5LYrj2NSPsr8cjMqR95szbybzCATYhTevhk99l4VPE47nMvXbXNpouexpDApM2jV1EByhuDmf8A3xTwxjZcXAAsoN7Zg5pst+0mwnp3wQwAvB3APwigC8D+JfGmP/SDWgN6j8E8H8B+DkA9wP4t8aY9+1iHXui1bJIGNL3PfsmAODxV0ZnoySVSqVSqVQqlUqlUqlUA/SBa47i1z96Le646ujZLgUARUQMSOTuAWakqydjAO91ytwYkzXI+5r6uTkA+1vvawwj2Om6Y4dw9QUHsckxIFoVPYb8oERyT2O/khiyQJ4h3WuQk8uVsR4GJxcy/qEbM69QN/nGf9Zwlc3dIUxrd65gDeA8ZmQ8ExLSjtmcYWM7djYwzEQ/MV1k7rNPmecaQ7qaudS/IYntjkVN6i7IHNIYOle1DyPSu/ld4xeapnmN/PlLxpjLYY3qP2g/97sA/kXTNL8HAMaYrwC4A8DfA/Cru1jLGReXkL63TUY//qoa0iqVSqVSqVQqlUqlUu1Xba6v4Hd/8b1nu4xOnsfcb7juBkO6t57ObB2QEN6jxpCFGZogH9AYsicZ//O3Xoafv/Wynnp6kB0DGNIBQiTDbB7GkLavUuq/DBLJ/UgT6eCjML4e7j66mk8uKlR1I+6hoJ4MjgPoMYAJsuPoBv8+4FLdFc4/mDZZpAbwJMOr9on1pXiffWPISjC/CYdbMOxDZMcySf27pDodA/BfrxYhwpZ6VrVrCenIjHa6D8DlAGCMuR7AewD8a/J3agB/ApuWPqe0WhosKv9EXz0xw7M/OYnCAE+8Oj6LlalUKpVKpVKpVHsnY8znjDF/aox50RjTGGN+/WzXpFKpVOearrrgAP792y7DXddfKI5xBt5uMJv75PnQOXPXvu4F0xqw1z8sQd7Pht4NU780BmVhsC4krUNGcj+yI8+QtsgOLgEcjx2ylsxj9h/nMBon2kaMrAFaeKyFrUcwdwcwpN01jec8r9qu9/+zd+fhUZVnH8e/Z5bs+woJkLCEHWUTEEUjUq1a91oLarV1a23t4tvWurwtttrat1Vbta1rXcEVVxTcA8hOgCyEPWQjCdmXyTLref+YJTPMzJmRhBD0/lxXr4ScJ+c888yZmN5z5/e4IjvMdqI0MqRVV4Z0wAKw4h3ZoZUh7R0hEnyMqrqyqDU2qnSoqjPWI8gbEXbv+QQb49mI0R606/+bENkRyOnAPtfnE10f9xw1ZjeQoiiKdnr9EBNh0GP26pDe4eqOXpCXzuG2HnpcoeNCCCGEEEJ8zX0XyAVWnuB5CCHESSvSoOfxJTMZmRITdIy70DcYudfu4nc4HdKD0bHtvl54HeRhxIwMwBoqivNagTa2g7710SkQZQyWaa2dIe0uand6IjuCz0cX4rF5p0KE19UdvEjs7pAO9IaF+zp9XdT96SDv+zzYJpSeyA6LLWCkiXfecrAMaZ2uL/6i2xJ4jHM+fcX2YG8M6V3FZJM5cGHbO27DZLYH6Wr2jewIdE97b1bo3Kwx+MaQ9iFYkD5uPzVcmxVeBvzI9SV3MFPbUUNbvY43BjjPLcAtAJmZmRQUFAz4XLWYTKaA1+zt7uGItctz7N29FvQKjI9sZw3w+uoCchKGxuYIx1Ow9RFOsj7aZH20yfpok/XRJuujTdZHm6yP+IquVlXVoShKHHDTiZ6MEEJ8XXkykgehABxp0HHuxAzmjk7RmM/gZVo7rxdmxrZWrMcAFtF1ihL2pofBitbuhl5FgWijVod0GBnSITbGDCeOw2dM0EJy33yCFVOdc7ZqzydEMd77XBA81kOnOBMMeq0OovQB1tC1Lg6NDGlF6esiNpmDb1joiezQ2GTRveljj9VOSmzgeBDnfFxd1EFiPbwzrYPFuXhnSGut4RCsRx+fgrSiKLnAcuBdVVWf78+5VFV9CngKYPbs2Wp+fn4/Z/fVFBQUEOia/9qzAYNOR37+PACWVW1jXEY3V547nadL1pGcM4n8U7MGda4nQrD1EU6yPtpkfbTJ+miT9dEm66NN1kebrI/4KlwxfEIIIY6zvmLq8S8A63QKz95wWoj5OD8OVoa0XqeElyGtVSR2FScHIvbEOZ/QkSZaHe2eLnOj3tMBH+h4X2RH8PmE6lgPp7jrXTfX2rSvr9gcqADsmrPZXbTWLu465xO8u7dvPhqZ1q5rBdzU0HUOm91ZJA60PnqfDGntOI6++WhlWjuL3yOT/f/iwRPZ4dr0MTHaGPAxuSNEui3BOqQVrzHBi+h6rw0bh5IBj+xQFCUFWAVUAtd4HXJ3Qice9S3JRx0/KRj1Oqz2vt+9O3utJEYbyU2NRVHgYINsbCiEEEIIIYQQQoiBMdibCIbSFyEyOPOZPjKJU0ccXVLymk+ITf2cYwauqK8o2gVyRQldIA+1maW7gN5rdRBl1PkURP3GhuhY9y02a2c2Q/A10ikKJrP2JnrwFSM7gm582Pe5Voe0yVUgDxRp4p37DME2j3QWbR0OlW5r6MgO0O5Et6uqK/ojeKSJO0M6YOyJrq9AbgqaM43PGK0iujuPeigZ0J8aiqLE4MyOiwC+o6pqt9dhd3b0RJzFarz+3aKqql9cx1AWYdB5bmZwvtCGJUQRZdQzMjmGg41SkBZCCCGEECKQExnLJ5E02mR9QpM10ibro60/61NVZQGgdGchTfuP95ZgoR2ochYBqw7uo6D30ICcU2t9rh8NmCsoKKgIeHzXEWeNpq3pSNBztLX2AtDZ1tTv+7S7q4doA0HPU97u3FvMbukJOqbhiBkAnd0ScIzNq5AYoTg016e1tRejDr5ctzbg8ZbevqbKbZvWYwjQkV3juscAirZt5mCE/xi7zUqba1jpjm0c2et7L+6udz4PJXv2O/9dvIOuCv+iq3fX7r6yEpR6/zEHKq2ez8v3llHQus9vTE93N13u6qPN7Lc++1udz8PWnSXOx1hxkAJHlc+YhgYz3d12Pv68AFWF+poKCgpq/a5VVt9XB2ysr6GgoMFvTFtrLz02lbYuB62N9RQU+PbfNnY7n4ey3btp7rCSaez1m3NNtQWb3U5BQQGNrd3oY3V+YxwOO1XV1RQUNFDX2EOEPvC9eOSImZ4e57mG0s/nAStIK4piAN4A8oD5qqr6PCuqqpYrirIPuAr4yPU9Ote/Vw3UPAaLUa/DYvPukLaRl+FczqykKBo6zCdqakIIIYQQQhwzRVESgeGhxqmqevRm5WE7kbF8EkmjTdYnNFkjbbI+2vqzPjtt++DgfhYumE9GQtTATuwY1G6ugrISZp06jfypwwbknP1ZH1vZEdixjfGjc8jPnxhwzAuHtkBTI2NHZZOfP60fM4WJ1dtIjYsMep7UmnbY+CXDUpPIzz894JjVzcVwuJq0pHjy8xf4Hbc7VPj4QwCS4mKIi1OCrs/LlVup6W4LevxIRy8UfEaEXseihecEHLPdshfKDwDwrYVnEWnwLxJHffkJJpOzIr3wrDNIjYv0Od5bWg87C0kbNgIOHOLsM+aRkxrrdx5VVeEj52M7Y+5spmb7d79Xb6qE3aUAzJs9g3ljUv3GxBeto7XLAvSSGBvl9/gTqlph8wZGjB4HpWXMmDaZ/BnZPmNWNhZR2d3MzLmnw6efMW3SBPLn5fhdy7zL+dgAJueNJT9/rN+Y58q30NZtwdLZwfgx/vfi4bYeWPs548dPxH5gN2NHZZGfP9VnzJbePVBV7nwsmz4nJzuF/PzpPmMi1nxMVpbzex/cuZYRKTHk58/2m8+HTUWUdzWRn58/pH4+D2SH9L+BC4FfAKmKonjfJTtUVTUDS4GXFUWpANYD1+MsYC8ZwHkMigiDf2RHfJQz9yUpOkI6pIUQQgghxMnqKuDpMMYF/7thIYQQA27IRXa4/iswEHnMA8GzqV84Gx8OwKaGT1w7S/O4ZxPKfsR6eDcxOyM0gm/boCiKZhSJEkakiXs+Bp1ChD5wF75PrnOQKAnQ3vgwnPNAuBsf9sVxRGlkSPfNJ3CMhjNCw9lNHShGw28+wcboFHqsduwOVXN9HKrqyn4OtmGh83PnxofakR1dQc7jPtfXPbLjPNfHfwY4NhqoUFX1Fdfu23cC/wvswhntUTqA8xgUEXodFldBWlVVTGYbca6wmqQYI209Vq1vF0IIIYQQYkhSVfUZ4JkTPQ8hhBC+5o5O4bLpWYOyqWE4PHnMWjvtDaJQeczglXs9AAVpRSPPGfryhrWer1AbHyqKgqKAqroL/5aA4wDS4iLJTooOfq0wivGejSEjDUEfn7ugqtcpRBr8i9bhZDZ7X89ZuA2+YaFb8DF9mdaBMqT1YWVIKzhctT3Q2BjS6+FqFcg9+dkBC8nO+fRa7VjtQYrWOsUTadJltge8P/ReY7rNgTc+BOc95FDhwn+uY5jRzBBpkB64grSqqrlhjnua8DouhrQIvQ6rzfnEm20OrHaVeE9BOoL2biuqqob8ASWEEEIIIYQQQggRytwxqcwNEFlworiLuwPRbTwQ+gquWh3Szo/BipsDyV141CrIhtP1rlOcm+TFhChI//47k7E5gndQ910rjPUJo8s8JkIfsOblLmp39FpRFIgyBs871ylgR6u4G7qLWudVtY4M0CHtPkWna+PDQG9Y6HQKdgd0W5wd0sHmo4TRIa0oCibNbmzfAnmwjm1VBYvNgcXuIC7AfBTFq2htsQW9z/Q6ZyNtQ6eZjKSAQ06IofFT4yRkNCieDukO103tieyIMWKxO1w7asoSCyGEEEKIry9FUSYDkwF3oOlsRVFMQKOqqmtO3MyEEEIcTxOHxTM1O4Hs5OBduYMp3OJuqDEDNx/nR61ubF0YRXS9omBH1RwDEB2hB8IpJIeOENHsMg/Rad1XAHZGTWg1ajqPqUQbg3Q/exWbg3UAe3dRB+qQds+3w9O1HKwArNJlcRWJw4jsCLaOekXB5DpPOJEmWl3UnqJ1kPM4HM6c8V6rI3hXt+sNDYvVTpRh6DTNSrX0GEXo9Vhdmxq6b6IE152fHOMsTLf1WKUgLYQQQgghvu6+B/zB698/df1vDZB/IiYkhBDi+JuancjK2/034jtRwul+1oURozFg8/HEX/S3SEzIMeHNh5Dz8UR2aHVIh4gZ8S6mhlpnvSv32rvw7Huuvs+1Cq5ugTKk3Y/J3bUcPLPZO0O6Px3bzu5mrWsBdJqDz8c9Z3dXd6DnQ++ac5en+B38+bDbVbotdiL1xoBjToTgffNCk9GgYLb7FqTdkR2J0REArl0+hRBCCCGE+PpSVXWpqqpKgP/ln+i5CSGE+OYYmRJDYrSRMWlxQccM5KaGoYRTbA5nY8i+6I/+FdHDeex9Rf1w5hMsIqKvmBpqw0udEt61oo16z3n9rudVJA60RH0dye7ibuAisd2h0qURo+F9LtCO7PCMCdSN7Vmf4N3Y3l3mzjGBIzvsXkV0rYK9u2NbOqS/BiL1Oqx2h3NDw153MHpfZAdAu2xsKIQQQgghhBBCCHHcjUyJoegP52mOCafgOlD6is1hdGyH0bWsFaMR3nzCjzTRKqLrPWOCFWSdHzt7baTHR4ack3Y3duguc/f1Ig26gEVrd4HYXdyNDpLrrKr0dRsH3dTQu9gcPLLDLdA6hlsg955zoHvI3YkdukO6r2M7amjsPwpIh/QxM+p1qCrYHKrnJor3RHY4O6TbuqUgLYQQQgghhBBCCDEUeDY+HIRNDZOiI4g06BiZEhN0TDhdy+76ZqgM6VA8URthbFgYTgE41EaE3RZ7yJgRnU4Jq4M8rBzuoDEbzo8ms40IvY4Ig38pVKfgjOxwb2rYn8gOr5p4oA5xT6RJb/BCsvs+7dv4MECshzuywxx+ET1SOqRPfu4b2Gp3+EV2uDukW7slskMIIYQQQgghhBBiKFDC6AAeKIkxRrb/77dCFIBxzSecIrEB+tH3GCpqw3s+/dkY0rtLOZzIjnDiSrTmow/RRe2dIa01xq6qmMw2jHolYNHaPV+3oLEe3hsxBio2HxXZEaiQ3NdlHk7MiD3otdzj3KRD+mvAqHcuncXmoMPTIe0sRCdGS2SHEEIIIYQQQgghxFASTmbzQIqNNPhkCvvPJ3SRuG+jwf7NWa8oxEcayEyICj6fMDY1DFUADqdo2zdW0Ywr6esg73/HdqfZFvSNCEVRcKjQrTEG+tZHr1OIDFq01o71OLrYHOjxHx3ZEWitFVcUR7fFHesRumNbMqS/Btzvlli8OqTdT36UUU+0US+bGgohhBBCCCGEEEIMEfowMpsHky6MfGhPF3WkHrr6d62PfnUWqXERGtcK3UEeqstcCVGQPXpO4WyyqL0+3pnWjgDz6ftcO2dZpcti18609rpWsDca3HPWKRBlDBQP0lcgh8CFZE9XtzuOI8gYh2vOzjmFjhkZSh3SUpA+RhFeHdKdvTZiI3x3/EyKMdImHdJCCCGEEEIIIYQQQ4KnmGocGpU5T0SGZgfwwHRIA2QlRYeYT+gM6VBz9t7UL9TmkbERelJiQxfItTaG7OvYNhAo08S7VqcVM2J3qHRbbCHiSnDNJ7yO9kBFa+98aEWBKEOgDmnnR3ciQ6BO877IjhCbGkqG9NdLX4a0isls9cR1uCVGG2VTQyGEEEIIIYQQQoghQqdApEGHQT80EmzDykj2GtN93OdD6PnotOfsu/GfduH/metnkxQTvCDt6WgPo4s6VGSH1hh3ZIfJbP8K3diBed70CPLY3dNRVWdB3rtgfPQ53IkMgR6/e85dGhsfOufc97l0SH8NGI/qkHZvaOiWHBNBm2xqKIQQQgghhBBCCDEkjEyJYWx63Imehkc4+dDeGx8e94J0GPPpK7gGK+72fR5q88hxGfGax/vyoftfAHbORzv3ustsCyuyI5wuaq3itzv/Odgaem/EGG30TWToGwMOVaXbFdkRbN4+kR1DqEN6aLwldBLq65AOXJCWyA4hhBBCCCGEEEKIoePWs8aw8vYzT/Q0PNy1Qq1MayWMIuhACacDOGRkhy78Dumw5xNOB3mQArB3hEiwqA29pyPZql1sdlVRtQr2+q/Q9R5qI0LnfIIXmh2qM7JDq+vf+/kYItHpgBSkj5lR73xCzTYHnb3+kR1JMYEjOw42mnh35+FBmaMQQgghhBBCCCGEcFIUJWBEwomSFhdJfJTBr8nRW19ExvGvJoaVkRyi4BpOREa43I9daz6eInEY8wlW+HffE+494oLOJ4yMbSWsor72GO/IDq3NIx0qdIXIvXY//Ai9DsMQuvclsuMYHd0hPSIlxud4kiuyQ1VVnxDzf39xkBXba0iOieCs8emDOmchhBBCCCGEEEIIMTRcMSObRZMyiQywsZ1bqAiIgeTJbA4jRzl4ZnPf5/0tons6yMPa9DF0ZEXwGA3nR1OvTfOx9xWbw1ifMIrEobq6TebgxWa9Ag6HSrfZHmITSu1IkxNFOqSPUYR3hrTZRsLRkR3RRmwOlS5Xlovbrtp2AO5+u8QTPC6EEEIIIYQQQgghvlkMeh0pscE39YO+gmK08fgXFN2d2qkacwoVM+LdgR4qQzqUUMVv6CveBisk67wqn8Hm4ykAW0JlSDs/ahWA3ckZWkX0UF3v7jlrdWx7IjsstvAiRAbhDY2vQgrSx8i3QzpwZAdAa1ffxoZmm50DDSbmjE6hprWHlcW1gzdhIYQQQgghhBBCCHFS0ekUYiL0gxI1kj8+g1W/WMDIo1IAvIWK0fDpSO5n7nVf4Tb0JoJxGlnLffPRHqOqIbKfw9qEMoyYkVBFdNfxjl6r5hhnhrQ9RAe58+NgRL58FVKQPkZG11seXRY7vVYH8UfdIInRzoJ0u9fGhvuPmLA5VK6bl0OEQUd5Y9fgTVgIIYQQQgghhBBCnFR0yuBsaAjO4vek4Qkh5qOdfzyQkR3urnB306f2fI69QK54zzmMYnN/Yz36Iju0C+Qms0aHtA4cjtAZ0qHW50SRgvQxcndIt5jMAH4B9O6O6c7evlgOd1zH1OxERqXEUNEsBWkhhBBCCCGEEEIIEZhOUTRjJAZbqPzjgdzUcEpWAv+9YTanj0kNOkYXZvwFBC+i671jRjQ3LHR+1Nz40LPJYujIjlBFdK2ObXeHdLfZrh3ZEcbGkCeCFKSPkTtDutkVyRF3VGSHu0Dd2dvXIb2rtoO4SAM5KTHkpsZQ2dw9SLMVQgghhBBCCCGEECcbnaIMqe7Wvo0Pw8mQ7u+mhgoLJ2ZqxpW4D4XTIR1OzIhW4dZdCzw6tjfQucLpWg4+n77PQ2VIm8w2zSJ63/oMnTc1QArSx8zdId1kchak3REdbu6b0+S1cWFZbQeThsej0ymMSomlsrkbVVUHacZCCCGEEEIIIYQQ4mSi0w2t/F+domDUK0QawonsOP6F9NDF3dCbLIa7EWNGQhQPf+9ULjpleNAx7siOcDZHDKuoH3SzRgW7Ct0hNjVUwiiQnwhSkD5G7gzpJldkh39B2t0h7SxIq6rK7roOJruyeHLTYuix2mnsNA/WlIUQQgghhBBCCCHESSQ5JoJhidEnehoeOkW7aKt3FUD1OoVIw/EvOyoDkGkdTkey2xUzR/jVAL3pw8hs9nRR96ur21lr7LLYw9qIcah1SA+t8vhJxKh3PqHugnRC9NEZ0r6RHR09Nrosds9OpaNcHyuau8lIiBqUOQshhBBCCCGEEEKIk8ej35+hGVkx2EJlWnsXiBXl+M+7L7M52IaFCorizGMOJ9ZDa8PCcLifKq2u9lCxHt5Pd/BCu4LF5sBic4TVjS0Z0l8TfZEdroL0UfkxkQY9EQadp0O6qcs5Li0uEoDc1FgAKmVjQyGEEEIIIYQQQggRQHJshGZH7mCLjTSQEhcR9PhgF0AHIrNZ79OR3L9O4r5NFrXm4/wYNB/aqyKt1UXtrjlqFdGVMDq2T4ShNZuTiNG1TWdzkAxpgIQoAx2um6PFtflhqutFm50cjV6nBN3YsNdqp9tiJyU2+ItcCCGEEEIIIYQQQojB8rsLJtJjsQc9PtgREe5ic7Qx+PX0ioIdNWhms+LTkdzfDukwIjs8G0OG07EdPGbEncqg1SGt9xTs9RD8aRt0UpA+RjqdM8S922JHr1MCvtDio4yem6PZ1UmdGuvskDbqdWQnRVMRoEP6F6/uYGVxHRF6HZvuPndIvRMmhBBCCCGEEEIIIb6ZMkPEzg72JnqRBh3xkQZPITzwnJwfY4IUrb0LwFobBIbjK0V2hJV7HbxobTLbNMeAc1NMz5jAPbEnhER29IN7Y8OEKEPAXJz4KENfZIfJt0MaICc1xq9Dutti4/2iWvIy4uix2imsbDle0xdCCCGEEEIIIYQQYsC4i6mD1SF9wxm5PPmDWZpjdIpClFGHQR+4DOpdzA7WkRyuUBsWOsc4PwYrJOvDKJDrdQoO1X2e0MXvobapoRSk+8GdIx2sg9lZkHZ3SDsL0skxfQXprMRo6jt6fb6nrLYDhwo/WzgOo15hy6HW4zF1IYQQQgghhBBCCCEGlLu4O1gZ0sMTo5k/Nk1zjF6naBaI3fXfCIPO03x6rAz60I/fkzMdZE7eTa/BCsnhxoyEUyA/EYbWbE4yng7pIAXpuEgDDR3OqI7mLjOJ0UZPERsgPT6SZpMZu0P1vGCLa9oBOC03hWnZiWytkA5pIYQQQgghhBBCCDH0hZOhPNgURbvzOVSExldx0bThRBn1JGvsCedZI418aDetyA43zeK317UsQUcNPumQ7ocIfagOaaMnsqPZZPGJ6wDISIjEofZtePS3vyAAACAASURBVAhQerid9PhIMhOiOG10CsU1bfRah1DquBBCCCGEEEIIIYQQAShhZCgPNp2i3SHtbhIdiNzrjIQoFs8ZFWI+zo/BCsneESLB1tEnZkSjkO6JBxlCbxCAFKT7xd3tnBAVOrKjyWQmzbWhoVt6nPPfDZ19sR0lh9s5JTsRgDm5KVjtKjur2/zOraoqT68tZ0VhTf8fiBBCCCGEEEIIIYQQ/aQfghERep2ivfHfIBdtdYqCTnFuyBiIEkaGtBJGFzXA6WNTueWsMUzOSji2yR4nUpDuhwhPZEfgJz4+ykiXxY7dodLSFbhDGqCx0xnr0WW2caDRxFRXQXp2TgoAhZX+OdL/+HQ/D3y4m6Xv76LHIh3UQgghhBBCCCGEEOLE0ikKEXodSTGBmzdPBJ2i3UWshIjQGPj5ODu2vQvPvsf7Po82Bov1CK9DOikmgrsvnNTvbOyBNrRmc5IxGpxPfrAM6YQoZ6HaZLbRHKAgnR4XBfQVpMvqOlBVmOYqSCfGGMlMiORQU5fP95XUtPPPz/YzOyeZzl4bH5bUDdyDEkIIIYQQQgghhBDiGOh0Cstvnst183JP9FQ8lFCRHYPc1a3TaRe/PREiEXrPBoh+Y3wK0kOnGz1cUpDuB0+HtEZkB0B7t5XWbgspR0d2xLsjO5wF6fJGEwAThsV7xuSkxlLZ7FuQ3nyoGYB/XTOTMWmxvLKlKugcVVUN+/EIIYQQQgghhBBCCNEfs3NTSBxCHdIRep2nRheIzlUdHazca70SKkLE3bEdes7RRr1PnvTJQgrS/WD0RHYE39QQoLKlC1WFtKM6pKMj9MRHGjwd0rVtvSgKZCZEecbkpMRQ2dzt831FNe1kJUaRmRDF1aeNZFtlq1/RGuBQUxfzH/yc17dVH/uDFEIIIYQQQgghhBDiJPXgldP4cf7YoMeVQe6QDtWx7dkYMoyYkaG0eeRXIQXpfnBvapgYtCDtvLkqXJEbqUd1SIOzS7rR5CxI17f3khYX6TkvQG5aLA2dZrotNs/Xdla3Mn1UEgBn5qUBziK1N1VVufutEurae/n9u6UcdHVfCyGEEEIIIYQQQgjxTbEgL52x6XFBj+sHOUNar1M0c5/dHc9aURyemBGNLuqhTArS/dAX2RF8U0OAQ03ODuejM6TBVZDucHVIt/eQlRjlc3xUSgwAVS3OczSbzFS39DB9pLMgnZcRj1GvUFbb4fN97+6sZWN5M784N48oo5573y4NOEer3cGe+o6Ax4QQQgghhBBCCCGE+DrTDXJx92fnjONnC8eFnE+cZqyH8+PJmB8NUpDuF3cnc/DIDudN4Y7TODqyA/w7pIcdVZDOTY0FoMJV1N5Z3QbA9JHJnjnkZcSzq9a3Q/qDkjpGpkTzi3Pz+MG8HDYfaqaj1+p3/QdX7eHb/1jHz5Zvp73b/7gQQgghhBBCCCGEEF9XOk9ExuAUd8+ZmMGCvPSQ89Hq2NZ5bXx4MpKCdD+4M6RDRXbsqe8Egkd2NHT0AlDX3svwxGif46NS3R3SzqL2zuo29DqFadmJnjFTshIoq+3wbGCoqirbK1uZk5uKTqdw+tg0HCpsKW/xOffhth5e2ljJpOEJrC6t55FP9wV8HL1WO80mM3aHbJAohBBCCCGEEEIIIb4+dJ6IjKFR3NWFkWkdzsaHQ5kUpPvB0yEdFbgg7f764TZnxEZyrH+HdEZ8FF0WO0c6ejGZbQw/qkM6MdpIcoyRCtfGhmW1HYxLjyPa60UyJSuB5i4LDa7NESuau2nusjA719lFPWNUEhEGHRvLm33O/U9XAfqZ62dz3pRMVhbX+RWdVVVlydObmHX/p8z982cBN08UQgghhBBCCCGEEOJkNNiRHaHowtiw0N1FHSebGn7zuDukE6ID37CRBh0G1x1y61ljAo5Jj3d2TRe7NiUcnhTtN2ZUaixVroJ0RXMXuWkxPscnZzm7pd2xHdsqnJ3Qs3OcBekoo57ZOclsONhXkLbYHLxXVMuVs0aQnRTNd07JoslkZvNRResvDzSxvaqNq2ePpKPXypNrywM+js92H+GWF7fxuxXFnk5tIYQQQgghhBBCCCGGMk9kxxArSGvlQ+vC2PhwKJOCdD9EGnREGXVEGgK/G6EoCgnRRnJSYzhvyrCAYzJcBemd1a0Afh3SADkpMVQ0d+FwqFS39pDjypV2mzQ8HsCzsWFhZSsJUQafHURPH5PK7roOWrssAJQcbqPX6uDs8WkAnDMhg5gIPe8X1/qc+19fHGBYQhR/vGwKV84cwZuFNTS6OrHdtle1cuML29hU3syrW6t5a/vhgI/1QEMnP3xuC29sqw54XAghhBBCCCGEEEKIwWRwNZwOlW5jnataq90hLRnS31iL54zigcumaY6541vj+csV09C73245ysRh8SgKvLPDWQgOVJAekx7L4bYeypu6sNgcjErx7ZCOjzIyKiWG3a6s6m2VrczKSfa8WwIwb2yq5xjA5kPOLurTclMAiI7Qs2hSJqtL63G4YjvKajvYVN7CTQtGE2nQc/OC0VjtDl7aWOFz/WfWlZMQZWD97xYyKyeZP31QRrPJt2i94UATFz76JQX7GvntimLe2RG4aL26tJ5v/2Mtb22vCdppraqqZ45CCCGEEEIIIYQQQhyradmJ3HvRJOaPTTvRUwHC7JAeYl3dX5UUpPthwrB4rpw1QnPMtfNyNG/ojIQo5o9N5XBbD4oCmQn+BenJwxNQVfik7AgAOakxfmPGpsdS3tiFyWzjQIOJmaOSfY5PyUpAUfpiPTaXt5CXEUdqXN9Gi2fmpdHabeVgowmAtfsbAbhkehYAY9LjmDc6lY9d8wBo7HawurSeJXNziI8y8sDlU2nrtvL2UQXnf3y6n/S4SNb+5hxOy03hzhXFnm5tt5XFtfx0+XaqW7q54/UiHly9x+9x2h0qt75UyCn3fcxtywr9urXdDrf1sOFAk2ReCyGEEEIIIYQQQoig9DqFmxaMIco4NLqNw+l+1g+x3OuvSgrSQ8Bl07MBSI+L9ORSe5s0PAGA1aV1AOSkxPqNGZMex6EmE3tdXdITXd/jFhNhYHRaLGW1HdjsDgorW5kzOsVnjDtz2t1FveFgM3kZcWTE9xXJzxqfzp76Tho6egEoqLahUxRumJ/rvO6wBCYPT+CDkjrP95QebmdLRQs/PCOXkSkxLL14CmabgxXbazxjeq12fv/uLk4Zkcimu8/l8hnZPPdlBfXtvT5zvP+DMj4uO8K8Mal8uruBpe/t8luL0sPtnPP3ApY8s5lFD69he1Wr3xiT2cZDH+/l0n+t5y8f7g7acd3Yaeb1rdU0dPYGPO4mudlCCCGEEEIIIYQQor8yEyK5feG4oPG/4IwJBoiRyA5xrL49dRiRBl3ADQ0BRiRHEx9loKimHYNOISspcKxHr9XBmn3OrubxmXF+YyYPT6CsroOyug5MZhtzx6T6HB+dFktKbASFla1YbA62HmrhjHG+3d0L8pz/Xre/CYDiJjtzRqcwzCtq5KJThrOjqo3ath4Ant9QQUyEnqtmj3TOIyuB6SOTWL6lylPIXVlcR0uXhd+cN4H4KCN3fGs8DlXliTUHPectrmnjufUV3DA/l2eun83t54zjg5I6vtjb4BnT2WvlZ8u3kxITwYs/msOwxChue3m7Xyf1798t5fEvDmCxOXhybTl3vL7Tr6j8+rZqzvq/L/jtimK+9fBaPt5V77em9e29XPfsZib//iPueH2np1DvzeFQeWZdOX98v4z3imqDFq/LG02sP9AU8Bzeui02KYALIYQQQgghhBBCfA0pisL/nDeBrCB1QvDuopYOaXGM4qOM/M954/n+aSMDHlcUxdMlnZ0c7Qlb9zYmzVmAXl1aR5RRx8hk/1iPyVkJ1LT28GZhDTrFudHh0deZOSqZ7ZWt7Kxuo8dq5/SxvmMmD08gLS6CdfsbaejopbrTwYK8dJ8xF04bDsCHJXX0Wu18UFzHpdOzSIw2esYsmTuK8sYuNh9qQVVVXthQQV5GnOd6I1NiuGJmNsu3VNHW7Yz2eGFDJbERev7nvPEA3HL2GMakxfJ/q/d6CrRPrS2nsqWbRxfP4Kzx6Tx57Wyau8z864sDnmt/UnaEt7Yf5mfnjGPVLxbwy0V5vLOzllWlfQXniqYu/vedUqaNSOSlG+cwMiWaX722k7r2Hs+Ytm4Llzz+JdsqWlk0OZMPS+q4bdl2bHaHZ4zVofLT5du5/4PdLNtcyc9f2cFjn/fNxe3pteUsfGgN17i6ure4Mr69fbSrnoUPFTD59x/xw+e3+nWPA+w/0slv3iji2/9Yy9Nry+mx2P3G9Fjs/KfgIH9aWca6/Y0Bi9sOh8rHu+pZWVxLVXO333G32rYeSg+302v1v473udzPoRBCCCGEEEIIIYToH71n40MpSIdFUZTJiqJ8pihKt6IotYqi/FFRlJOzv3wA3XLWWBbPGRX0+GRXQfroDQ3dxqY7Yzz2HTExPjPeZ0PDo8+xfHMVZ+alkx4f6TdmVk4y5U1dvLa1Gp0C844qWut0CmeOS2Pd/iY+3+PsTD5rvG8X9ei0WCYPT+C9olo2H2qhx2rnvMm+f2Zw8SlZRBl1fFhSR3FNOyWH2/nB6TmePzkAuG5eLhabg1Wl9TSbzLxfXMsVM0cQH+UsbEca9PzozNHsruuguMZZFF2+uYpzJ2Z64kgmZyVwyanZvLa1mrZuC3aHyv0flDFxWDy3L8wD4PaFeYzPjONvH+3Fanegqir3vFNChF7Ho9+fwYK8dP69ZBY2h8qfVpZ55ve3j/bSZDLz2q3zeGzxDP565Slsq2zl0c/2e8asPGhlVWk99140id1//DZXzMzm4U/28X5RrWfM8s1VPPDhbi6aNpznf3gaafGRXPvsZk/eN8DGg83cvnwHEXodPzpjNJvKm7n83+t9Cr37jnRy2b/Ws7K4jgiDjgc+3M0tL23zKZBXt3TzrUfW8NfVe3hpUyXXPbuFRz7Z5/PcNHaauf65LdzyUiE/W76Dbz2yhlVeESwAZpudO17fyfwHP+c7j33JBf9cx46jolHsDpU/f7ibmfd/wvQ/fsItL26josk309uhqjy//hDnP7KWOQ98yh/fL6O92+ozRlVVvtjTwOKnNnHds5t5cs1Bn8fktrO6jTte38lv3yxidal/NzvA7roOHv1sP3/+cDd76jsCjqlu6ebVLVU8s648aEa5yWzj/aJaPtpVj8lsCzjGbLNTsLeBouq2oAV7i81BWW0HBxpMQWNjHA6Vhs7egG9AeOvotQadi1u3xRZyQ1DpvhdCCCGEEEIIIYY2T2RH5MlZUh3UMrqiKMnAp0AZcCkwFngIZ2H83sGcy8nGXUwOtKEhQHp8JHGRBkxmG+Mz4wOfI8t5DptD5fIZWQHHzM515kiv2F7DokkZPl3NbpdOz+adnbXc934ZCREwaViC35jvzhrBH1eW8Z+CA0QZdX6d1tERehbkpfNp2RF0ikKEQcelM7J9xkzNTmB0Wizv7azlcGsPFpuD6+fnHDWXLB74YDevbKlizugUmrssnjxrt5vPGs2K7TUs21zFhMx4Kpu7eXzJDCIMzvdj9DqFO789kRtf2MarW6sZmxbL+gPN3HfJFE8UyajUGG5fOI6/f7yPrRUtRBp0LN9SxQ3zczllRJJnXQr2NvKfNQf5/pxR2B0qHxyycun0LG5aMAaAv155Cgcbu/jTyjLOnZSByWzjgQ/KOHNcGv/4/nSMeh2njEjivEfWcPfbpbz1k/m091j58cuFjEqN4dVb5pEUE8Gl07O48j8buPedUh5bPIOOXhs3v7iN6AgD7/3sDLKSolm2uZJ73i7lr6v3cM9Fk+m12rlt2XY6eqy8cvM8ZoxKYul7u3j08wMkx0bwwzNGY7U7uPWlbZTVdXD/ZVOZPjKJ379bym3Lt/PEtbM4f8owbHYHP3p+K+sPNHPr2WPIy4jnkU/2cfVTm3jrJ/OZmp2Ize7gjteLeK+olotOGc6I5GiWb6pi8dObePenZ5CREIWqqizbbeGzqjKmj0wiNy2JFzdWsKWimWU3ziMxxoiqqvxp5W7+u/6QK7rGyF9W7WHzoRYeWzzD807gI5/s49HP9xMfacCg1/H6thqumjWCP18xzZPL/tz6Q/z5w91Y7SoGncIz68r58dlj+c35Ezw/yFcU1nDXWyVYXAXv/1u9l//9ziSuO73vnnp352F+t6KEHleROS7SwD+uns6iyZmeMSuLa/nTyjKOdDgL2tlJ0Ty6eDqzcvpy2z/bfYT73i+jqsXZgX7qiET+fMU0pmQlesbsabHzh4cKqHR1qS+alMHdF05iTHpfJE9hZQv3vrOL3XUdRBh0nD9lGP970SQyvDZI3VrRwiOf7GNTeTNJMRGcP2UY91w0iTivd1ILK1v51xcH+HJ/E+nxkVw2I4vbF+b5bOpQVtvBQx/vpbS2nZgIA9fNy+HaeTme1xI4u/T/9tFe9jeYiI3Uc+3cHL47a4TPX3bsqe/gqTXlFNW0kZUUzRUzs7lserbPG1JltR0s21xJYWUro9NiuXxGtl9+Vq3JwT1vl7C3vpPMxCh+MC/HL4qosrmLJ9aUc7ith9TYCG48czRTsxN9xhxq6uL59YeobOlmZHIMi+eM8vy8dNt3pJMV22vYU9fJpOEJXD4jmwnD4v3GvL3jMPuPmJgwLI7vzR5JTqpv5n95o4nlm6toNJkZkRzN9afn+jxX7vks21RJS7eF3NRYrp2XQ0pshM+YvfWdvLPzMLVtPUzLTuTyGdk+m9QCVLTb+ftHe6lq6WZWTjIXn5rld57Sw+28X1xLi8nCpOEJXDW7700/7+fi9W3VmG0OxmfGsXjOKL/NPopr2nh7x2G6zDamj0zmipnZfmM2lTfz+Z4GGjvNnDEujQumDvN7N3/d/kY+39NAe7eVeWNSufjULKK9MtFUVeXLA018UFyHqsIpIxO5atZIn3tQVVUK9jXy/s5adDqF2TnJXDlrhM8eDaqqsqXOxvuvF9FrtbNwYgYXn5rlcx67Q2VFYQ2bypsxmW2cN2UY3zlluM/jMtvsvLGthnX7G9EpCmfmpfG92SN9rmUy23hrew0f7aonPtLI/HGpLJkzyuc1YTLbeGljJQV7G4g06jl/SiZXzx7pM6a9x8q/vzjAjqo27KrKFTOzuXLmCJ/5NHT28thnB9he1YpRr+PiU7O4Zq7v83WoqYsn1xxk3f4m0uIjOW9yJjcvGOPz2LdVtHCg1U4+QgghhBBCiKHoZI/s0C9dunTQLnbffff9CsgHTldVdffSpUu33XfffTbgrvvuu++xpUuXBm5HdHnqqaeW3nLLLYMxVY+Kigpyc3MH9ZqBqMDyLVVcfEoWs1ybD3pTFIXVu+o50mHm8hnZAcfERhp4eVMVquosjHr/n0+3jPhIWrstXDcvh9+cPyFgp/XotFgsNgcby5uZmannqnl5Acf8d30Flc3dnDU+nStnjfAb02u189aOw+yu62DhhAy/MYqi0NJl4a0dNRRWtnLpqVksmetbkI406Kls7uLdnbVsPNhMdlI09140yaewlRYXyY4qZ7GkqKaNSIOeBy6fht7rsY1Oi2VjeTOrS+s52Giix2rn4e9N9ykGTMtOYvnmSmrbevlk9xHMVjtPXDeLSEPf/9GfNiKR5zdU0NxlYUVhDQ0dPTx7wxziopw/IPQ6hfGZcTy3voJeq7P7e++RTp774RxPMSk6Qk9mQhTPb6jAoFP4dPcRtle18crN88h2RbEMS4xCp8DzGyoZnRbL29trWLe/iRdvnMME1xsEp4xIoslk5vkNlSzIS+OpteV8uruBx5fM5OwJ6Rj0Os6ZmEFZbTvLNldx4bThPLW2nJXFdTxy9XSumj2SjIQoLp2ezZq9jby1vYYrZmTz3/UVvL6thgevmMZP8scxOSuBS6dn8c6Ow3xY4oxneW59Bc9vqOA3509g6SVTWJCXztkT0nlxYyUby5u5bEY2T645yLLtjdxy1hgeXzKDi0/NZtqIRF5YX8nmQ81cMTObf352gCfWHOT603P47w2n8YPTc0mNi+T59YfYf8TEd04ZzkubKnlw1R6umJHNSzfN5Sf5Y52d1xsqaeu2snBiBi9trOAP75WxcGImK34yn1vPHkOTycILGytRgdPHpvLixgruequEOaNTeP6Hc/jB6blUNHfx3/UVxEUamJmTzMubKvntm8XMGJXEP66eweUzs9lV28GzXx4iKymaKVmJvL61mjveKGJsRjz3XzaV86dksuFgE/9dX8Hk4QmMTY/jzcIabn9lB5kJkdx94STOzEvj090NLNtUxdwxKWQnRfPa1iqWflpLYrSRXy3KY/qoJD4oqefNwhrOGJdGRkIUr26p4rZl24ky6rnpzNGMTY/l/aI63iuqZUFeGqlxkby+rZqfLtuOza6yZG4O6XGRrNhew6qSevInpJMUE8GKwhpufamQ9h4rV8zMJtKo57Wt1XxSdoTzp2QSG2ngjW3V/Oj5rTR3WThnYgY2h8ryLVWs29/EBVOdRbrlm6u4+cVtNJrMzMpJpqXLyqtbqymqaedbkzOJMOhYtrmSH7+8naqWbqZmJ1LR1MVrW2s40GAif0I6EQYdr2yp4scvFbLvSCfjMuLYXdfB8i3VVLf0kD8hHYNOx/LNVdz7yWH21HcyPDGa0sMdvLixksZOM2ePT0enU3h+/SFuemEb+450EhdpoLCqlec3VNBjsXPG2DQUxfnGxE9e3k5ZXQcRej1fHmjipU2VGPU6TnO9Qffsl4f46bId7KhqxaBX+Gx3A69sqSImQs+MUc43pJ5Zd4ifLNtOYWUrqqry2Z4Glm+pIjHayKkjk1BVlX8XHOTHLxdScridTrONL/Y28vKmStLiIpianYiqqjzyyT5uf2UHJYfbae2y8nHZEV7aVMmolBgmDIvH4VD520d7ueP1InZUtdLSZeXD0npe2VzF6LRY8jLjsdod/O2jvTz0ZSOFVa20dltYWVzHiu015GXGMzotFodD5e8f7+VXrxVRXNNGXbuZVaX1vL39MBOGxZOT6vw5//t3S/ndWyXsruuguqWbVaX1rNhew9TsREYkx9BrtXPnihJ+/+4u9tR3cLith/eL63izsIbZuc79BbotNn77ZjH3f7CbksPtHOno5Z2dtbxfXMuc3BQyEqLo6LXy6zeKeXDVHvbUd1DX3su7RbWsLq1jVk4yGQlRzj0CXtnB3z/eR2VzN1Wt3awsruOjXfXMykkhPT6Stm4LN76wjcc/P0B9Ry81rT28W1TLhyV1nDEujZTYCBo6e7n+v1t5b6+Jxs5ejnSYeWvHYVaV1nP2eOdrorqlmxue28pLrjcGmk0WVmyvoWBfA/kTMoiPMnKoqYsfPLuF17ZVY7E7ONJh5p0dtazZ18j8sakkxUSw/0gnS57ezNs7DhNl0NPQ2cvbO2r5Ym8jC/LSSIw2UlbbwZX/2cBHu+pJiY2grdvCG4U1fLK7gUWTMomLMrCtooWrntjIlwebyEqKwmS28fo253wWTXa+Rtfua+SaZzazo7qNvIw4eix23iis4csDTZwzMYO4SAMf76rnhue2sre+k9NyUzBb7bxZ6PzvR/6EDOKiDLxfVMutLxdS3WnnujPG+fz39Hi777776pYuXfrUoF1QDBmD/Tv+UPn9fqiS9QlN1kibrI82WR9tsj7aZH20fZPWZ0dVK+v2N3HDGblkxPvvNRfIiVifYL/jK4P559mKoqwFalVV/b7X10YBlcAlqqq+r/X9s2fPVrdt23acZ+mroKCA/Pz8Qb1mIA6Hyr8LDvA9V5EwkF++uoN3dtbywo/mcPb49IBjHvp4L0a9jp+f619E/qrzeWLtQeI7q7ju4oUBx/z8lR28V1TLny6bynXzcvyON5vMnPbApzhU+M81M7nAlT3t7UCDiUUPr2FEcjQf/mIBCVH+Hdt76zv5nzd2kp0Uza1nj2XmKP9ifGOnmR89v5WSw+385vwJ/PSccX5jtle1csW/NwDwi3Pz+NW3xvuNefiTfZ5IjmCP6563S1i2uQq9TuHGqRHcvWSR35jblhXyYYkzVuLWs8dw1wWTfI6rqsrPlu/gA1dUxg3zc1l6yRSfMTa7g6uf2sTe+k56rHaumTuKP1461WdMl9nGuQ+tweZQaTKZufWsMdx1oe+1Gjp7WeQa022xs3jOSP5yxSk+Yw40mPjOY+tQUOi12bn01Cz+8f0ZPmN2VrfxvSc2khRjpLnLwkXThvPoYt8xq0vr+fHLhcwfm8rmQy2clqnjlZ+f71PweHfnYX7x6k5m5SRTWNnK92aP4MErTvF5c+SZdeXc/8FupmUnUlrbzrkTM3nyulk+bzL8ZdVunlxTzsxRSRTVtJM/Pp2nfjDbM0ZVVX77ZjFvFNZw9vh0Z9FmQjr/uXaWp7vRanfw81d2sKq0nh+ekcsLGyrIn5DBv6+Z6ek47LbYuPWlQjYebObyGdm8UVjDWePTeeq6WZ4xHb1WrntmM3uPdHLupExWldQxf2waz1w/2zOmsdPM1U9tpKHDzDkTM/iguJbJqXpe//kiYlzveB5q6uKapzfR3mPlylkjeGlTJWflpfPYkhme10ZJTTs3vrAVhwo3njmav67ew4K8NB5fMtPzFw+bypv5ycuFxEUZyB+fwUubKjljXCpPXDvL0x37xd4GfrpsO8MTozh1ZBJvbT/sPM/imZ7u9Q9K6rjjtSJGpkRzWm4Kr26tJn9COg9ddSqpcZGoqsqrW6u5951SclNjWDQpkyfXlnP2+HQe+t6ppLnGPLW2nL+u3sOc0SnMH5vGw5/s46zx6fzz6ukkx0ZgtTt47LP9PPr5Ac6ZkE5yTARv7TjMKWl6nvvxOaTGRdJjsfPwJ3t5et0hzpmQTnyUkfeKalk0KZM/Xz7VU/R8cNUelm+uYkFeGsMTo3h9Ww3nTc7k/sucuydmGQAAHAhJREFUY9q6LfzhvV28u9P5vdlJUbywsZLzJmfylyumkRoXSUuXhTtXFPNJ2RFumJ9Ll9nGG4U1fHvKMB64fCqpcZHUtfdw11slFOxt5Ib5uVQ2d/HF3kYuOTWLe78ziYz4KA41dXHvOyWsP9DM4jmjaOzs5dPdDVwxM5vfXTCRjPgo9h/p5K63SthW2crVs0fS0euMAbpq1gjuvnASybER7K3v5LcriimqbuOG+bnUtffw0a4jnDXCwGM3LiQx2kjp4XZ+/UYRe490svTiKazd18hnexq4evZI7r5wEokxRnZUtXLnimLKG7v4+bl5fLbHGTlz05mjuX1hHokxRjaVN3P32yXUtPTwwzNz2VbRSmFlKz/JH8tt+WOJizSwsbyZO1cU09Bh5sYznRFDO6vb+Nk547jtnHFEGnRsONjMr98oornLwl0XTOTNwhr21nfyy0V53HzWGCL0Otbub+K3bxbR2Wvjvkum8J81B6ls7uZ3357IdafnEGXU89nuI9z9dgndFjs/Pnssr22tpr69l99fPNnVqazwSZlzjM2hcu3cHN4rqqWx08ziCXruWbIIneLcW+C3K4pRgB+eMZpXtlTRZbbxp8umcsmpzr8o+mhXPb9+o5goo447vz2RB1ftwaGq/N93T+Vbrr+QWF1ax50rSog06Lgtfyx/+2gv0REGHv3+dOaPS0NVVVaV1vO7FcXERxm5bEYWL2yoJC7SwL+umcmsnGRUVeWjXfX8z+tFJMVEcMHUYSzbXMWwxCgeWzzD8+bFR7uO8KvXdpIYbeS7s0bw1NpyxqTH8viSGYzLiPfM547Xi0iOcf51wP0flDE1O5H/XDuLbNcGKR+W1PGbN4rITIxi0aRMnlpbzmm5yVw/xsx3zjuHwaQoSqGqqrMH9aJiSBjs3/GHyu/3Q5WsT2iyRtpkfbTJ+miT9dEm66Ptm7Q+7rpIwa/zyU2LDf0NnJj1CfY7/mAXpBuAf6uquvSor3cBS1VV/ZvW93+TC9LhePzz/fz9431suutcT9TE8aa1PsU1bfzmjWJeumlO0HdrrnpiA3vqOtl67yK/P+92e379IeaOSfVs7Hisuly5v5dOz/b5E3Bvty0r5LPdDXx558KAGdtNJjNnPPg52cnRfPTLs3z+LNutrr2H375ZzI1njoa6soDr09FrpbCilegIPXNyUwJ2ojscKk+uLeez3Ud45vrZJMVE+I2pau7mgn+uxaDXUfDrfJJj/ce8X1TL7a/s4LTcZJbfPC/gnN/deZj/W72Xn54zju+fNjLgfDYcbOLjXUeINOr42Tnj/P6sH5x//n/H6zvpsdpZefuCgJEv//riAH/7aC9ZiVHcM1vHRd/yL3j8+o0i3iys8RS19UfNR1VVfvnaTtbtb+KauaO4LX+c33PqcKg8/Mk+thxqISnGyEPfO9Vvzja7g38XHOSxz/eTmxrLW7fN9xtjttm57tktbDnUwsRh8az4yXy/mIGOXiuX/2s9Bxu7+O6sETxw+VSfznlw3jtXPbGR9h4rF04bxj0XTvabc117D/e8XUpRdRvTRiSyeGQX5y/yXZ/aNuf99eWBJmbnJPPyTXP9XjsHGjr57hMbaeu2Mnd0Ci/eOMdvPkXVbSx+ehPdFjs3zM/l7gsn+f3VxKbyZm5+cRt6ncIFU4ex9JIpfuf5cn8Tf1pZxr6GTs6bnMmji2f4jVl/oIlfv1FEXXsv50/J5PElM/3uw3d3HuaXr+1EVZ1RPA9/b7rf8758cxV3v+3Md7/17DFMN9Ry7kLf9XlmXTl/WbWHmAg93501gnsvmuxzHlVVefbLQzyxppwmk5klc0dx/6VTfe55VVX57/oK/rp6Dxabgxvm5/KHiyf7vHGiqip/XFnGc+srAPj5uXn8alGezxi7Q+Wet0t4dWs18ZEGfrEojxvPHO0zxmp3cNdbJbxZWENcpMFT2PUeY7bZ+eP7Zby1/TA9Vjt3fnsiP8kf6/O4LTYHf129h2e/PATA0osnk2ut9Pn502u1c/OL21i3vwmDTuEPF0/m2nm+2f2dvVZufGEbWw61MCwhirsunMil033jlNq6Ldz6UiGbD7UQH2Xg/sum+o1pNpm5660SPt19BINOx6OLp/PtqcP9xvzi1Z18eaCJSIOOJ6+bRf6EDJ8xDR29LHlmMwcaTKTGRvD4kpl+EVCH23q45ulNVDR3M3FYPA9cPtUnHgec0S03v7iNfUdMZCZE8sS1s2gvL/JZn0NNXdz1VjGbyltIjY3gpRvn+kW3HGjo5KYXtlHR3E1GfCSv3jLPJ0IHnNEti5/aRHOXhekjk3ji2ll+/03eVdvOtc9spq3Hyll56Tx45TSGJ/ruoF1c08YvX91JdWs3k4cn8PT1s/3+W1p6uJ273y6huKadKVkJLLtprt9/K0oPt/OD/26hpcvCjFFJvHzjXL+fYVsOtfCD/26m1+rg6tkjue/SKWxav27I/LIqhjZFURKA/wEuACYAPcBG4E5VVfdpfa+bFKSHFlmf0GSNtMn6aJP10Sbro03WR9s3aX2e/fIQf1pZxpZ7zg27Q/qbXJC2Ar9RVfUfR329BnhRVdW7A3zPLcAtAJmZmbNeffXVQZmrm8lkIi4uLvTAIcBkUdnTYmf2sMHLj+nv+hzudNBpVZmYMjRC2HtsKq29Kllxwff7LGu2kxipkK0xxm0w7p/ydjsKMDox8Bqqqsr2BjsTkvXERRz/P712qCo2B0ToA19LVVU+q7IxIUVPstIdcH3MdpWdDXZmZeoxBCiOu88DDMifkzf3OIgyKMQaA5/LZFFZWW7l3FEG0mMCP++tvQ4qOhxMT9cHnZPNoaJT+rKeQgl2/6iqyp4WB7mJOqINgc91qN3OmhobV42PCPq4qjrsWOwwLjn468/mUNErodfZ6lAxBnmuwPnaKm7Ufk431dqo6nRwZZ7RrxjttqfFTkqUQkaMLuj6WOwqRp32nFVVpdMKCRqviVqT8zk9fXjg51RVVVZVWIk3KiwY4f/mCzhfD6VNdsYkar/+LHY16GvGe4zJqpISFfxnT0mjDYsDZmUaAq6Pxa7y/kErU9P0TAjyc9diV9nf6mBCik7z9WdXCXrcrc3swGyDzNjAc3aoKp9X2chJ0JEX5D5sN6t8WmUlf4SB1OjA5+myqjR2O8hJ0IX9MyHY/VNrchBtgOQg69xlVfmowsr8LAPDgjyuOpOD4iY7C0cZgr4u2s0qqqqSpPF8QuifdaqqcqDNwYj44D8Pak0O1tbYuHisMejPg/I2O21mlZmZzt8hTsTvP+ecc44UpE9CiqJMBT4GngXWAjHAXTiL06eoqlod6hxSkB5aZH1CkzXSJuujTdZHm6yPNlkfbd+k9TnYaOLVLVXcdcGkgE2FgQylgvSQT75WVfUp4Clw/rI62At3st3M3xnk651s6zMQ8r/C2MFYn3DOPrh/dB2aez5a63P+oM0mPIP92gLt9Qn1nOYDPxzg+fTXBSGO54dxDu8xQ+HnTzivrcChRsdHvtfnwdbnvHNDn+e8gZpQGMJZn0uPw3X7c/9cFMaYxcd05mMTzn24JMTx/KP+PRReX+KkcQgYq6pqj/sLiqKsA6qAHwH3naiJCSGEEEJ8XY1Nj+Oeiyaf6Gkcs8EuSLcCiQG+nuw6JoQQQgghhDhJqKraFeBrLYqiVAJZJ2BKQgghhBBiiAudOTCw9gATvb+gKMpInH/at2eQ5yKEEEIIIYQYYIqipAPjgLAypIUQQgghxDfLYHdIrwJ+oyhKvKqqna6vXY1z85M1gzwXIYQQQgghxMB7CDABzwcbcNQ+MRQUFAzKxMCZkT6Y1zvZyPqEJmukTdZHm6yPNlkfbbI+2mR9tA2l9RnsgvQTwM+BtxRF+SswBlgKPKyqascgz0UIIYQQQghxFEVREoHhocapqur3F46KovwEuBa4UlXVZo3vPWH7xEhGujZZn9BkjbTJ+miT9dEm66NN1kebrI+2obQ+g1qQVlW1VVGUc4HHgfeBNuARnEVpIYQQQgghxIl3FfB0GON8tnRXFOUS4DHgTlVV3z4eExNCCCGEECe/we6QRlXVMmDhYF9XCCGEEEIIEZqqqs8Az3yV71EU5QzgVeAJVVX/dlwmJoQQQgghvhYGe1NDIYQQQgghxNeIoihTcP7142qc8XxCCCGEEEIENegd0kIIIYQQQoivB0VRMnAWok3Ao8AcRfEkeXS4/jpSCCGEEEIIDylICyGEEEIIIY7VZGCE6/Mvjjq2Bsgf1NkIIYQQQoghTwrSQgghhBBCiGOiqmoBR21uKIQQQgghhBbJkBZCCCGEEEIIIYQQQggxKKQgLYQQQgghhBBCCCGEEGJQSEFaCCGEEEIIIYQQQgghxKBQVFU90XMIm6IojUDlIF82DWga5GueTGR9tMn6aJP10Sbro03WR5usjzZZH20nYn1yVFVNH+RriiHgBPyOL69/bbI+ockaaZP10Sbro03WR5usjzZZH21D5nf8k6ogfSIoirJNVdXZJ3oeQ5WsjzZZH22yPtpkfbTJ+miT9dEm66NN1kd8ncn9rU3WJzRZI22yPtpkfbTJ+miT9dEm66NtKK2PRHYIIYQQQgghhBBCCCGEGBRSkBZCCCGEEEIIIYQQQggxKKQgHdpTJ3oCQ5ysz/+3d+fBspT1Gce/jxe8iiAgmLhgFSgxRKLGJSQuoCmMshlMNIpKDCViuVvGNSUxFJapUpSK+1YBohFN4r4AbhQgGMq4JlHAqOAKsgoBArL88kf3CeM40+fcuXNmzkx/P1VT5053vz3v/O57m4c+3W93sz7drE8369PN+nSzPt2sTzfro2Xm+O5mfVZnjbpZn27Wp5v16WZ9ulmfbhumPs4hLUmSJEmSJEmaCa+QliRJkiRJkiTNhCekJUmSJEmSJEkz0dsT0knul+SLSa5P8rMkxybZtIZ2OyY5MclVSa5O8oEku8yiz7M0SX2S7J6kRrw+NKt+z0KSPZO8O8l/JLklyRlrbNeLsQOT1ahH4+fPk3wyyU+TXJvka0meuoZ2m5O8KcmlSa5L8pkku69/j2drK+ozauycO4s+z1KSJyX5cpIrktyQ5IIkRye5/SrtenH8maQ+fTn2jJLknu2/s0qy/Srb9mIMafGZ8buZ8ccz43cz33cz43cz43cz43cz42+ZRcn428ziQzaaJDsDXwC+AxwK3Ad4E80J+qNXaf4vwH2BZwG3Aq8HPg7su179nbWtrA/Ay4BzBt5fPu0+ztnewEHAucC2W9Bu6cfOgElrBMs/fv4KuBB4Cc13Owg4OcmuVfXWjnZvAZ7UtrsMOAb4fJL7V9UN69vlmZq0PtAcpz488P5/1qeLc7ULcDpwHPALYB+asXA34AUd7fpy/Jm0PrD8x55RjgOuBe60hm37Moa0wMz43cz4qzLjdzPfdzPjdzPjdzPjdzPjb5nFyPhV1bsX8NfAVcCdB5a9Arh+cNmIdg8DCthvYNk+7bLHzPt7bYD67N7W4pB5f4d1rs/tBv78YeCMNbTpxdjZyhr1ZfzsOmLZycCFHW12A24GnjGw7J7AL4Fnzfs7zbs+7TYFvGDe/Z9TzV5HE8wyZn2vjj8T1KcXx54R33s/4EqakF7A9h3b9noM+Vqclxl/3erTi+OkGX9d6tOLsdN+VzP+lOvTbmPGN+NPWp/eHH+GvvfCZPy+TtlxIPDZqrpmYNmHgDsCj1ql3c+r6qyVBVX1FZrf9B24Hh2dk0nr0wtVdesEzfoydoCJa9QLVTXqN7LfAO7R0eyx7c+PDuznp8DZLNn4mbA+fXcF0HU7X6+OPyOsVp/eaW/PfytwLGu7SqTvY0iLw4zfzYzfwYzfzXzfzYzfzYw/ETN+NzP+kEXL+H09Ib0XcP7ggqr6Ec3VAXttSbvWeau0WzST1mfFie28YhcnOT7JHdejkwumL2NnGvo4fh4GfLdj/V7AT6rq2qHlfRk/q9VnxTFJbk5yeZITktxlvTs2L0k2JdkuySOBFwHvrPZX2iP07vizhfVZ0adjz3OAzcDb17h978aQFpYZv5sZf/r6Mna2Vl/Hjhm/mxl/iBm/mxl/VQuV8Xs5hzSwM82l/cOuatdN0u7eU+jXRjFpfW6kGfifA64BHg28kmZ+ukOn28WF05exszV6OX6S7A88AXhmx2aT/ptceGusD8A/Ap+imXvvocDfAA9Msk9V3bK+vZyL62jCBsD7gJd3bNvH48+W1KdXx572ISWvBQ6vqpuSrKVZH8eQFpMZv5sZf/r6MnYm1duxY8bvZsYfy4zfzYw/xiJm/L6ekNY6qKqL+dUJ5c9I8nPgHUkeWFXfmlPXtAD6OH7aJ2ifDHyiqk6aa2c2oC2pT1UdMfD2rCTnAacAj6d5KMOyeTiwHc0cX68B3gY8b6492ljWXJ8eHnteB5xbVafMuyOSFkMPj5Oakr6OHTN+NzN+JzN+NzP+eAuX8fs6ZcdVwI4jlu/crpt2u0Uzze+58jTch2xVjxZfX8bOtC3t+GlvNTsV+CHw9FU279342cL6jHIazZOFHzzNfm0UVfX1qjq7qo6nuV3tuUnuM2bz3o2fLazPKEt57EmyN82VSMcm2SnJTjShHmDHjlsYezeGtLDM+N3M+NPXl7EzTUs9dsz43cz43cz43cz4oy1qxu/rCenzGZoPJcm9aP7CRs2fMrZda9y8K4tq0vqMUkM/+6ovY2falnL8JNkO+DTNQxgOqarrV2lyPnCvJHcaWr6U42eC+vyagbnElmrsjPH19uceY9b3/fizWn1GWdbx81vAtsC/0YTMq7htjrmf0DwEZZS+jyEtDjN+NzP+9PVl7EzT0o4dM343M/4WM+N3M+PfZiEzfl9PSJ8KPC7JDgPLngL8L3DmKu3u1k6gDkCSh9LMrXLqenR0TiatzyhPan9+bRodW2B9GTvTtnTjJ8k2wL/S/EfjgKq6dA3NPtf+/NOB/dwD2JclGz8T1mfUfg4AtmeJxk6HR7Q/Lxyzvu/Hn9XqM8rSHXtaZwN/NPR6fbvuIOC4Me36Poa0OMz43cz409eXsTNNSzl2zPjdzPgTMeN3M+PfZiEzflZ/IOXySbIz8B3gv2j+ku4NHA/8fVUdPbDd94Azq+rIgWWfpTmIvgy4tW1/aVXtO7tvsL4mrU+SY4AdgHNoJo3fj2aS+VOq6omz/A7rqf3N7kHt25cCdwb+tn1/SlVd39exs2KSGvVo/LwHOAp4MfCVodXfqKobk3wRoKr2H2j3buCJwEtoHupxDLALcP+qumEGXZ+JSeqT5Nk0Dzn5AnA5zS18RwMXAA9fpgeeJDmN5nt+G7iFJoi9FPh0VR3WbtPb488k9enLsWecJEcAJwI7VNW17bLejiEtNjN+NzN+NzN+N/N9NzN+NzN+NzN+NzP+lluIjF9VvXwB9wNOp7ki4GKap1FuGtrmIuCkoWU7tX+pv6AZ1CcDu877+2yE+gCHAV8FrgZ+CXwPOBbYPO/vM+Xa7E5zi8eo1+59HzuT1qhH4+eiNdTmDOCMoXabaf6n8TKapwufAuwx7++zEeoD7E8TNK4AbgJ+DLwF2HHe32cd6vNamhMJ17bHkq8DLwS2HarhSUPtenH8maQ+fTn2dNTsiPbf1/aOIV/L8MKMP/X69OU4iRl/6vXpy9gZ+O5m/CnWBzO+GX8r6tOn48+Ymh3BBs/4vbxCWpIkSZIkSZI0e32dQ1qSJEmSJEmSNGOekJYkSZIkSZIkzYQnpCVJkiRJkiRJM+EJaUmSJEmSJEnSTHhCWpIkSZIkSZI0E56QliRJkiRJkiTNhCekJUmSJEmSJEkz4QlpSZIkSZIkSdJMeEJaktZZGt9M8pfr+Bm/m6SSPHrEuicnuSRJVtnH25L8w3r1UZIkSVoWZnxJmpwnpCVp/T0ZuAtw8pw+/2DglKqqVbZ7I/D0JHvOoE+SJEnSIjPjS9KEPCEtSevvRcD7q+qmcRsk2ZTk9tP+4CS3Aw4EPrPatlV1EXA28Nxp90OSJElaMmZ8SZqQJ6QlqUOSpya5Mcl9Rqzbq72F7tCO9nsCDwc+PLT8pCRfTfKEJN8GbgD+IMnDknwyycVJrmtvA3z6iP0+L8mP220+Bdx9TBd+H9gJ+Hzbbu8kpyW5sm17XpLnD2z/EZorKPzvgyRJkpaSGV+S5mubeXdAkjaqJJuB1wPvrarvj9jk+8DNwAOAT4zZzf7AdcC3RqzbHXgDcCxwCXAh8EjgHOBdNAH2EcCJSW6tqg+2/ToUeHu7zceBRwEnjPn8g4EvVdU17ftPAecBhwM3Ar8N3Hlg+y8Dvwncf0yfJUmSpIVlxjfjS5o/T0hL0nh/AewGHD9qZVXdlORSYI+OfTwEOK+qbh2xbhfgMVX1zYFlH1r5Q/uAkrPaPhwFfLBd9WrgtKpaue3us0nuCjxrxGccDHyg3d+ubV8Prar/bNd/cWj7bwO3APtgWJUkSdLyMeNL0px5u4YkjfcM4Nyq+gFAknskefHQk6zvBPyyYx93Ay4fs+6nQ0GVJDsneUuSHwI3ta9nA/dt128DPJhfv1rjo8M7T3J34EHcNrfclcCPgXcleUqS3xhuU1U3A79o+y1JkiQtGzO+JM2ZJ6QlaYQk2wJ/SPMAkBUHAK9YeZJ1kjsCOzA+jALcgea2uVF+PmLZScBTgOOAx9LMD3dCux+AXYFNwKVD7YbfAxwE/KCqLgBor+B4LM2tgycAlyT5UpIHDbW7ceDzJEmSpKVgxjfjS9oYnLJDkkbbDdgWuGhg2f7AzwbeP4LmF3uDgXbYlYy/EqEG3yS5A3AI8PyqetfA8sFfHl5Oc7vd8JUPv3YlBM2tfL/y5O2qOh94YhvG96WZP+8zSXYbuOVwp7bfkiRJ0jIx40vSBuAV0pI02qb252aAJLsAj6MJsCuOBC4DTu/YzwV0zz83aDPNcfn/r7ZIsgPwJyvv29vtvgEMP/X7zwbfJLk98McMhdWB/dxUVafTzJ13d5qASjtP3XbAd9fYZ0mSJGlRmPElaQPwCmlJGu1HwNXAUUn+G3gh8H7gBUmeBuwNHAY8p6q65pc7B3hNkrtW1WVdH1hVVyf593b7a4BbgVe1/Rh8SvbfAR9N8k7gYzRP4D5gaHf7AQHOXFmQ5AHAG4F/Bn4A7Ay8EvhWVa1cLfFQmqs6vtzVV0mSJGkBmfElaQPwCmlJGqENoEfRhMSTgR8CLwfeDrwHOBx4YVW9e5VdnUFza9xwmBznaTRB8n3Am4GPtH8e7NvHaMLz44GP0zzU5Mih/RwMfKGqBue2u4RmTrtXA6cC7wDOY+DqjLafZ1bVFWvsryRJkrQQzPhmfEkbQ9p5+yVJ6yTJm4E9q+rgGX7md4Hjquq9W9BmE00of1VV/dO6dU6SJElacGZ8SZqcJ6QlaZ0l2Y1mvrbfq6oNO29bksOA1wK/085jJ0mSJGkEM74kTc4pOyRpnVXVT4Bn0jxYZCMLcKRBVZIkSepmxpekyXmFtCRJkiRJkiRpJrxCWpIkSZIkSZI0E56QliRJkiRJkiTNhCekJUmSJEmSJEkz4QlpSZIkSZIkSdJMeEJakiRJkiRJkjQTnpCWJEmSJEmSJM3E/wE6+78yyfT0nAAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"xf7AHFR2b928","executionInfo":{"status":"ok","timestamp":1612285474128,"user_tz":-330,"elapsed":2895,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"666b2f67-2558-4d99-8676-35fb35caaf56"},"source":["\n","#UNIT RAMP\n","rnge=100\n","x2=[0 for i in range(rnge)]\n","for i in list(np.arange(0,rnge+1,1)):\n"," x2.append(i)\n","\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x2,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title('Discrete Unit ramp Function = r[n] ')\n","plt.show()\n","spectrum(x2)\n","\n","plt.figure(figsize=(5,5))\n","theta = np.linspace(0,np.pi*2,100)\n","plt.plot(np.cos(theta), np.sin(theta), linewidth=1)\n","plt.scatter(1,0,marker=\"x\")\n","plt.scatter(0,0,marker=\"o\")\n","plt.title('Pole at z=1, Zero at z=0, ROC: |z|>1')\n","plt.show()"],"execution_count":230,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"F1R_ZFkke8sN","executionInfo":{"status":"ok","timestamp":1612285594529,"user_tz":-330,"elapsed":2613,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"fff34615-2f66-463c-da82-04ab01f55ea8"},"source":["#a^n*u[n]\n","a=0.95\n","rnge=100\n","x2=[0 for i in range(rnge)]\n","for i in list(np.arange(0,rnge+1,1)):\n"," x2.append(a**i)\n","\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x2,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title('(0.95)^n*u[n] ')\n","plt.show()\n","spectrum(x2)\n","\n","plt.figure(figsize=(5,5))\n","theta = np.linspace(0,np.pi*2,100)\n","plt.plot(np.cos(theta), np.sin(theta), linewidth=1)\n","plt.scatter(0.95,0,marker=\"x\")\n","plt.scatter(0,0,marker=\"o\")\n","plt.grid()\n","plt.title('Pole at z=0.95, Zero at z=0, ROC: |z|>0.95')\n","plt.show()"],"execution_count":232,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"sU7ylPp5e8x5","executionInfo":{"status":"ok","timestamp":1612285637475,"user_tz":-330,"elapsed":2887,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"76aabce7-0e88-4bab-bd3a-a409cb73360e"},"source":["#a^n*u[n]\n","a=0.95\n","rnge=100\n","x2=[0 for i in range(rnge)]\n","for i in list(np.arange(0,rnge+1,1)):\n"," x2.append(i*(a**i))\n","\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x2,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title('n*(0.95)^n*u[n] ')\n","plt.show()\n","spectrum(x2)\n","\n","plt.figure(figsize=(5,5))\n","theta = np.linspace(0,np.pi*2,100)\n","plt.plot(np.cos(theta), np.sin(theta), linewidth=1)\n","plt.scatter(0.95,0,marker=\"x\")\n","plt.scatter(0,0,marker=\"o\")\n","plt.grid()\n","plt.title('Pole at z=0.95, Zero at z=0, ROC: |z|>0.95')\n","plt.show()"],"execution_count":233,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"_ZSU7Z2oe82R","executionInfo":{"status":"ok","timestamp":1612286321553,"user_tz":-330,"elapsed":3244,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"98bdab3f-c60a-4b55-bc4d-49381e15a27a"},"source":["#a^n*u[n]\n","r=0.95\n","wo=2\n","rnge=100\n","x2=[0 for i in range(rnge)]\n","for i in list(np.arange(0,rnge+1,1)):\n"," x2.append((r**i) * np.cos(wo**i))\n","\n","plt.figure(figsize=(10,4))\n","plt.stem(n,x2,use_line_collection=True)\n","plt.xlabel(' Time (samples)')\n","plt.grid()\n","plt.title('(0.95)^n * cos(wo*n)*u[n] ')\n","plt.show()\n","spectrum(x2)\n","\n","plt.figure(figsize=(5,5))\n","theta = np.linspace(0,np.pi*2,100)\n","plt.plot(np.cos(theta), np.sin(theta), linewidth=1)\n","a=r*np.cos(wo)\n","b=r*np.sin(wo)\n","plt.scatter(a,b,marker=\"x\")\n","plt.scatter(a,-b,marker=\"x\")\n","plt.scatter(a,0,marker=\"o\")\n","plt.grid()\n","plt.title('Pole at z= rcos wo + j rsinwo,rcos wo - j rsinwo, Zero at z=r coswo, ROC: |z|>0.95 (=r)')\n","plt.show()"],"execution_count":235,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"rwri3YzDw5uZ"},"source":["• Discrete Time Fourier Series: For a signal x[n] with fundamental\n","period N , the DTFS representation is as follows:\n","x[n] =\n","N\n","−1\n","X\n","a k e jk(2π/N )n\n","k=0\n","The DTFS coefficients are defined by following relation:\n","N −1\n","1 X\n","x[n]e −jk(2π/N )n\n","x[n] =\n","N n=0\n","The DTFS coefficients of a periodic discrete time signal with period N = 5\n","are given below:\n","a 0 = 1, a 2 = a ∗−2 = e jπ/4 , a 4 = a ∗−4 = 2e jπ/3\n","Using the properties of DTFS, determine the values of a 0 through a 4 .\n","Using these coefficients, determine one period of the signal and display a\n","labelled plot.\n","\n","**ans** since fourier coefficients are periodic, a[3]=a[-2] and a[1]=a[-4]"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":383},"id":"jMXM9hbVw8JU","executionInfo":{"status":"ok","timestamp":1612287534108,"user_tz":-330,"elapsed":2524,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"df19caf5-4e09-452d-ce4f-29d511f9e4fd"},"source":["import numpy as np\n","import cmath \n","import matplotlib.pyplot as plt\n","\n","p=np.cos(np.pi/3)\n","q=np.sin(np.pi/3)\n","r=np.cos(np.pi/4)\n","s=np.sin(np.pi/4)\n","\n","a0=1\n","a1=2*complex(p,-q)\n","a2=complex(r,s)\n","a3=complex(r,-s)\n","a4=2*complex(p,q)\n","\n","dfs_coeff=[a0,a1,a2,a3,a4]\n","N=5\n","num_coeff=N\n","twiddle_power=complex(0,-(2*np.pi)/N)\n","Wn=np.exp(twiddle_power)\n","\n","x_hat=[]\n","for i in range(N):\n"," sum=0\n"," for k in range(num_coeff):\n"," sum=sum+(dfs_coeff[k]*(Wn**(-(i*k))))\n"," x_hat.append(sum)\n","\n","print('Fourier coefficients:')\n","print(dfs_coeff)\n","print()\n","print('Reconstructed signal values:')\n","print(x_hat)\n","\n","plt.figure(figsize=(25,4))\n","plt.stem(np.arange(0,len(x_hat),1),np.array(x_hat).real,use_line_collection=True)\n","plt.xlabel('n (samples)')\n","plt.title(f'Reconstructed function with period = {N} ')\n","plt.grid()\n","\n","plt.show()"],"execution_count":10,"outputs":[{"output_type":"stream","text":["Fourier coefficients:\n","[1, (1.0000000000000002-1.7320508075688772j), (0.7071067811865476+0.7071067811865475j), (0.7071067811865476-0.7071067811865475j), (1.0000000000000002+1.7320508075688772j)]\n","\n","Reconstructed signal values:\n","[(4.414213562373095+0j), (2.937213721744947-5.551115123125783e-17j), (3.200126901447349-6.661338147750939e-16j), (-3.5621628300494974+3.3306690738754696e-16j), (-1.9893913555158946+8.881784197001252e-16j)]\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"T9wGjNG7l84X"},"source":["Consider a periodic square wave with fundamental period N = 16 having\n","magnitude 1 between n = 0 and n = 7 and zero elsewhere. Make a plot\n","of this signal over two periods in blue colour."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":328},"id":"T5ySYamZl95X","executionInfo":{"status":"ok","timestamp":1612267225369,"user_tz":-330,"elapsed":1012,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"c0965556-2a46-4c1b-ab1e-065bf3780641"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","\n","N=16\n","rnge=2*N\n","n=np.arange(0,rnge,1)\n","rect=[]\n","for i in list(n):\n"," if (i%16)<8:\n"," rect.append(1)\n"," else:\n"," rect.append(0)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,rect,use_line_collection=True)\n","plt.xlabel('n')\n","plt.title(f'Rectangular function with period = {N}')\n","plt.grid()\n","plt.show()\n","\n"],"execution_count":90,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"Voj8VhwHnasS"},"source":["Determine the DTFS coefficients of this signal and generate magnitude\n","plots of the coefficients."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":406},"id":"cE3DLA8OnHdo","executionInfo":{"status":"ok","timestamp":1612270539909,"user_tz":-330,"elapsed":3572,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"f868e0b3-b843-4143-9c94-9a0b64a133b9"},"source":["N=16\n","num_coeff=N\n","twiddle_power=complex(0,-(2*np.pi)/N)\n","Wn=np.exp(twiddle_power)\n","n=np.arange(0,N-1,1)\n","\n","\n","\n","def dfs(x,N,num_coeff,Wn):\n"," dfs_coeff=[]\n"," for k in range(num_coeff):\n"," sum=0\n"," for i in range(N):\n"," sum=sum+(x[i]*(Wn**(i*k)))\n"," dfs_coeff.append(sum)\n","\n"," dfs_coeff=np.array(dfs_coeff)/N\n"," return dfs_coeff\n","\n","\n","dfs_coeff=dfs(rect,N,num_coeff,Wn)\n","mag=abs(dfs_coeff)\n","ph=[]\n","for i in list(dfs_coeff):\n"," ph.append(cmath.phase(i))\n","ph=np.array(ph)\n","\n","fig,ax=plt.subplots(1,2,figsize=(25,6))\n","plt.rcParams.update({'font.size':15})\n","ax[0].plot(np.arange(0,num_coeff,1),mag,label='Magnitude plot')\n","ax[0].set_xlabel('Coefficient (k)')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(np.arange(0,num_coeff,1),ph,label='Phase plot')\n","ax[1].set_xlabel('Coefficient (k)')\n","ax[1].legend()\n","ax[1].grid()\n","plt.suptitle('DTFS coefficients of a periodic rectangular function with period=16')\n","\n","plt.show()"],"execution_count":117,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"JbXrAJSoueTN"},"source":["Using the coefficients determined above, make an approximate reconstruc-\n","tion of the square wave and display it on the plot of the original signal in\n","red colour."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"lNnavGmWrGus","executionInfo":{"status":"ok","timestamp":1612270013696,"user_tz":-330,"elapsed":1059,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"d342ef45-da30-4c67-b4f9-d3687f744d61"},"source":["x_hat=[]\n","for i in range(N):\n"," sum=0\n"," for k in range(num_coeff):\n"," sum=sum+(dfs_coeff[k]*(Wn**(-(i*k))))\n"," x_hat.append(sum)\n","\n","print('Reconstructed signal values:')\n","print(x_hat)\n"],"execution_count":114,"outputs":[{"output_type":"stream","text":["Reconstructed signal values:\n","[(1.0000000000000009+8.881784197001252e-16j), (1.0000000000000007+6.106226635438361e-16j), (1.0000000000000013+3.0531133177191805e-16j), (1.000000000000001+1.3877787807814457e-17j), (1.0000000000000009-2.5673907444456745e-16j), (1.0000000000000009-5.273559366969494e-16j), (1.0000000000000009-6.661338147750939e-16j), (1.0000000000000013-1.3322676295501878e-15j), (-1.547373340571312e-15-1.1102230246251565e-15j), (-1.3600232051658168e-15-7.216449660063518e-16j), (-6.106226635438361e-16-6.938893903907228e-16j), (-6.106226635438361e-16-5.551115123125783e-16j), (-1.7763568394002505e-15-3.2959746043559335e-15j), (-4.996003610813204e-16-8.604228440844963e-16j), (-3.858025010572419e-15-2.3314683517128287e-15j), (-3.184952301893418e-15-3.885780586188048e-16j)]\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":285},"id":"wUocVpy6vQpA","executionInfo":{"status":"ok","timestamp":1612269989982,"user_tz":-330,"elapsed":1319,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"34d04f58-c66f-4578-b4d3-9fb5518ad014"},"source":["plt.figure(figsize=(25,4))\n","plt.stem(np.arange(0,len(rect),1),rect,use_line_collection=True)\n","plt.xlabel('n')\n","plt.title(f'Reconstructed Rectangular function with period = {N} (RED) superimposed over the original function (BLUE) ')\n","plt.grid()\n","\n","plt.stem(np.arange(0,N,1),np.array(x_hat).real,use_line_collection=True, linefmt='C3')\n","plt.show()"],"execution_count":113,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"_RN9cEWlyebW"},"source":["• Continuous Time Fourier Transform: Consider a rectangular signal\n","with amplitude 5 and existing between t = −1 and t = 1. Compute\n","the continuous time Fourier transform of this signal and display a nicely\n","labelled plot."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":635},"id":"7KqGG1VXvqn1","executionInfo":{"status":"ok","timestamp":1612277643458,"user_tz":-330,"elapsed":5690,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"de5b8bfe-f773-4efd-d30d-f6d4e85d30a2"},"source":["N=4000\n","num_coeff=4000\n","t=np.linspace(-2,2,N)\n","\n","'''\n","c_rect=[]\n","for i in list(t):\n"," if abs(i)<=1:\n"," c_rect.append(5)\n"," else:\n"," c_rect.append(0)\n","f = np.linspace(-5, 5, 1000)\n","\n","dfs_coeff=dfs(c_rect,N,num_coeff,Wn)\n","\n","x_hat=[]\n","for i in range(N):\n"," sum=0\n"," for k in range(num_coeff):\n"," sum=sum+(dfs_coeff[k]*(Wn**(-(i*k))))\n"," x_hat.append(sum)\n","\n","plt.plot(list(range(400)),dfs_coeff)\n","'''\n","\n","\n","def integ(f, a, b): # integrate f over the range (a,b) \n"," from scipy import integrate \n"," t = np.linspace(a, b,len(f)) \n"," x = f(t)\n"," return integrate.simps(y=x, x=t)\n","\n","\n","def cft(g, f):\n"," result = np.zeros(len(f), dtype=complex)\n"," for i, freq in enumerate(f): \n"," result[i] = integ(lambda t: g(t)*np.exp(-2j*np.pi*freq*t), -2, 2) #calculate ctft for all the frequencies\n"," return result\n","\n","\n","def rect(t):\n"," return 5*(abs(t) < 1).astype(float)\n","\n","t = np.linspace(-2,2, 1000)\n","f = np.linspace(-5, 5, 1000)\n","R = cft(rect, f) # Calculate the ctft\n","\n","\n","plt.figure(figsize=(15,10))\n","plt.subplot(311)\n","plt.plot(t, rect(t))\n","plt.grid()\n","plt.xlabel('t (sec)')\n","plt.title('Rectangular function')\n","\n","plt.subplot(312)\n","plt.plot(f, R.real, 'r', label='Computed CTFT')\n","plt.xlabel('Hz')\n","plt.grid()\n","plt.legend()\n","\n","plt.subplot(313)\n","plt.plot(f, 5*np.sin(2*np.pi*f)/(np.pi*f), 'g', label='Acutal CTFT')\n","plt.xlabel('Hz')\n","plt.grid()\n","plt.legend()\n","plt.show()"],"execution_count":179,"outputs":[{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAA20AAAJrCAYAAABz3HtjAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdd5hU9fn//9e99C6gIAHJGnvXsGpUkEWqHVs0mhiVhMT88k1sMcWPEUsSE1sSoyEaFaNJSETsIqiwSlERJHasYEURRGHpsPfvj/fMsLts3zN75sw8H9d1rmXPnHLPew/nzD3vZu4uAAAAAEBuKoo7AAAAAABA7UjaAAAAACCHkbQBAAAAQA4jaQMAAACAHEbSBgAAAAA5jKQNAAAAAHIYSRsAoCCYWamZuZmdFcO5f2RmC81sfSqG4paOobHMbLGZlcUdBwCApA0AclKlBKPyUm5mL5jZ+WbWugViGG1m47J9nnxnZkMk3SRpoaQfSvqOpM9iDSrFzMaZ2ei44wAA1C3rD30AQLP8W9KjkkzS9pLOlHS9pD0kjc3yuUdL+q6kcVk+T74bnvp5jrt/HmskW7tM0p2S7q/htd0kecuGAwCoCUkbAOS2F9z97vQvZnazQo3N98zsEnfPiRqbQmZmXdx9VR2bbC9JOZiw1cnd18cdAwAgoHkkACSIu6+W9KxCzdtOlV8zsz5m9lcze9/MNpjZx2Z2i5n1qn4cM+tqZr8xs9fNbJ2ZLTezWWZ2Wur1MoVaNlVronlWat3uZnazmb1qZqvMbI2ZzTez79VwrnGpfXczs9+a2Yepvl0vmtlRNWzf0cyuN7MlZrbWzJ41s6FmNsHMvNq2Nfa7amj/NTMrMrNLzOxpM/skVW7vp8qxZ7Vti1PHHGdmp6be71pJN9Zy7OJUvGdXK8eydBmb2eLa9qvcNLXy+zGzs1Plvt7M3jOzi2s5/wFmdo+ZfZra9gMz+7eZ7VQpNkn6buW/cQPKdrSZzTaz1akmu7PN7Pgatluceo+7m9kjqevkSzObZGbb1xQzAKBm1LQBQPKkk7VMzY2Z9Zf0jKS2km6T9I6knSWdK2mImZW4+5epbbeRNEvSXpImSfqrpFaSDpB0jKSJkn6j8MXeIIU+WGlzUj9LJR0u6WFJiyR1knSKpFvNbDt3/10Ncd8paaOka1NxnifpfjPb1d0XV9ruHklHKTTZe0LSjpLuS50nam0l/UzSvZIekLRa0oGSxkgaaGYD3H1DtX1GS/qJQrmNl7SylmN/plB2Y1W1HD9tRrw/lNRb4W/8haRvS/q9mX3o7v9Kb2Rmx6Te02pJf5f0tkKN30hJeyuU63ck3SVppqRbGnJyM/uRtvTPuyK1+iyFv+MP3L36cfpKKlP4+/1M0n6SfiCpq6QRDX/bAFDg3J2FhYWFJccWhaTIJf1a0raStpO0j8IHZpf0XLXtH5C0VFK/autLJG2SNK7SuptTxxhbw3mLKv17QnhM1Bhfp5r2VfiA/qWkNpXWj0ud72FJVmn9gan1v6u07qjUulurHTu93qutXyyprI7yO6uedSapQw37j0lt+81K64pT6zZK2qMRf8sayzFVVotrWJ8+z7gaYv9YUrdK6zsqJIfP1LBuqaS+9fyNXdKEWuKuUraSuksqV0gAu1Za31XhS4JVkraptn+VMkytT1/Du8X9/4yFhYUlKQvNIwEgt12uLR/AX5L0I0mTJWWao5lZN4UasgclrTOzbdOLwgfnt5Wq1TCzIkmnSXrdt64VkbtXNCQoD8000+dvn2pK2EPSNIUP8bvXsNuf3D3T/M7dn1dIAnaptM2xqZ/XVzvfo5Jeb0hsjeHBWkkys1Zmtk2q3KanNjm4ht0ecffIY2mgOzxVYypJ7r5Gobls5TIcqZDoX+fuH1U/QEP/xjUYrlCj+md3z9Qupv79Z0mdJQ2rts/H7v7fauvSZbuLAAANQvNIAMhttyg0F2yjUNP2c0n9JK2rtM1uCrVcY1JLTd5N/dxWocbkseYEZWadFWrQvilphxo26V5HDJUtl1S579iOkioUEs3q3lAYNTNSZvZNSRcqNA9tU+3lmt7Hm1HH0AgNKcN0MrQg4nPvmPr5ag2vpdd9rdr62uKVqsYMAKgDSRsA5La33P2J1L+nmNkshf5o4xVqzKTQxE+S7lboN1aTtRHH9S+F2r1bJD2t8EF8s0IzxvNV80BXm2s5ltWwrqFDzde2XYOeb2Z2oqT/SJor6aeSPlBIiFspJLY1vY81DYytPk2JvbYyzFV1xVvT3x0AUAOSNgBIEHefY2Z3STrTzP7s7nMUaqVcUttKCV5tlklaoTAgRL2nq2llaiCTYyTd5e4/rPZa9eZxjbVYIVHaRVs3h9ythu0/V2iWWV31Gp/afEchSRuSamooKYyO2cD9m+NzSQNqWN/Q2GuTrgncX6G5alTStWZ7SXqy2mt7VtsGABAh+rQBQPJcqVCDcYUkuftyhQm4TzSzb1Tf2ILtUttWKEzYvaeZbdWU0swq136Up9ZVT4rStSdVakrMrI+krYb8b6SHUj/Pr3bso1Rz08g3Je1uZn0rbdtO0v/XwPNtVkhOM8/DVBn8XyNibqo3JXUxs4MqnbtI1d57E0xTSM4vTP1Nqqjhb1xT0luTxxVGo/x/Ztal0vG6SPp/qWM93tSgAQC1o6YNABLG3d82s4mSzjCzQe4+U2Fo/1mSnjazfyj0ZypSqLU5XtI/FPqgSSEhOULS381sRGo/U+jT1VpbhqZ/VtKPJd1sZo8ojJr4nLsvMrNpkr6dmqfseUlfVRjKfZGa11fpUUlTJX0/NSBIesj/sQoDsexbbfu/KDQTfcLMxisM4f8dNbwJ4yRJJ0maniq3NgpD+ndsxntoqFsU+tLdZ2Z/krRB0slq5rPZ3dekEvJJkl4xs/SQ/9spDFJyvcJoo1L4Gw8zs59Lej/s7hNrOe4XqTnhbpL0nJlNSL10lsL0Ej+oPEgKACA6JG0AkEy/kfQthdq2Ie7+gZkNUBio5HiF+bvWKfTRekhSZgQ/d19hZodI+pWkEyWdoDBc+2uqOlH0vxUSudMU5mArUpgoelHq+FcrjPb4XUlvSbpEIbG7o6lvyt3dzE6q9P6OVEjWTlAYOXOXatvPtjCB9q8kXSPpI4X50+Zp6yZ8NZ1vYqqm6HyF+eNWKJTXL7RlwIysSCW/oyX9VqH2dLnCvGm3K8yD1pxjP2hmAxXKZYykLgrzw82U9HKlTdPzrl2S2kYK8/TVdtybzWyJwpxrl6VWvyjpBHe/vzkxAwBqZ5VGXwYAIGeZ2csK87+1RH8zAAByBn3aAAA5xcw61LDuaEl7iz5TAIACRE0bACCnmNnvFJplzpD0pcIoiOdIWilpf3f/MMbwAABocSRtAICckhop8hcKw8h3Uxgaf7qkS929pkm3AQDIayRtAAAAAJDD6NMGAAAAADksJ4b833bbbb24uDjuMLayevVqderUKe4wChJlHx/KPl6Uf3wo+/hQ9vGh7OND2ccnV8t+/vz5y9x9u5pey4mkrbi4WPPmzYs7jK2UlZWptLQ07jAKEmUfH8o+XpR/fCj7+FD28aHs40PZxydXy97M3qvtNZpHAgAAAEAOI2kDAAAAgBxG0gYAAAAAOYykDQAAAAByWE4MRAIgd7y3crPK3lgadxgF66XPNkmUfywo+/hQ9vFoVWTasJn5eoEkIGkDkLFy3UaNm7NOPuf5uEMpbPMp/9hQ9vGh7GNx2m5tNSLuIADUi6QNQMa6jZvlkn5w+Nc0cu/t4w6nIL3wwgv6+te/HncYBYmyjw9l3/LcXSf99Rmtp6YNSASSNgAZnnp29+/ZUV/v3z3eYArUyndbUfYxoezjQ9m3PE/d8CvI2YBEYCASABnppM1k8QYCAMgqM+7zQJKQtAHIcIWsrYhnOQDkPTOJijYgGUjaAGSkm8nwBSwA5D/TlhYWAHIbSRuAjHQfB5pHAkD+MzNq2oCEIGkDkOHUtAFAwaApPJAcJG0AMrYkbTzJASDfmYzRI4GEIGkDkJEeiISUDQAKADd7IDFI2gBkpGvairgzAEDeK2L0SCAx+GgGIKOCgUgAoGCYLDMAFYDcRtIGICP96KZLGwDkP+ZpA5KDpA1ABgORAEDhKCJrAxKDpA1AxpZ52gAA+c4kVcQdBIAGIWkDkEHzSAAoINzrgcQgaQOQkRk9kqwNAPJekZkYhwRIBpI2ABkVNI8EgIJBlzYgOUjaAGRsGYgk3jgAANlnImkDkoKkDUCGpx7fjB4JAPmP0SOB5CBpA5CRqWmLNwwAQAswY/RIIClI2gBkME8bABQSatqApCBpA5CRbh5ZRM4GAHmviJwNSAySNgAZFQxEAgAFgy5tQHJkJWkzs75mVm5mbmads3EOANHzzJD/ZG0AkO9MzNMGJEW2atqukVSepWMDyJL0s5uaNgDIfzSFB5Ij8qTNzA6XNErStVEfG0B2ZWrayNoAIO+ZWaZZPIDc1jrKg5lZK0k3SrpC0hdRHhtA9jHkPwAAQO6Juqbth5LaSbop4uMCaAHpL1yLqGkDgLxXVMRAJEBSRFbTZmY9JV0p6dvuvpHmVUDyVFSkm0fGHAgAIOvCQCSkbUASWFT/Wc1svKT+7n5U6vezJN0hqYu7bzUoiZmNlTRWknr37j1g4sSJkcQRpfLycnXuzOCXcaDs47Hw8826eu46XXxge+3Zs1Xc4RQkrv34UPbxoezjcfHTa9S/U4V+PICyjwPXfXxyteyHDBky391Lanotkpo2M9tL0jmSDjezbVKrO6Z+djOzze6+tvI+7n6LpFskqaSkxEtLS6MIJVJlZWXKxbgKAWUfj3bvLJfmPqsD9t9fh+zUM+5wChLXfnwo+/hQ9vHoNK9MrVuvo+xjwnUfnySWfVTNI3eR1EbSMzW89qGk2yR9L6JzAciSLaNHxhwIACDrTGL0SCAhokraZkkaUm3dKEk/l3SUpHcjOg+ALMrM0xZrFACAFsHNHkiMSJI2d18mqazyOjMrTv1zZk192gDknnQX1yJmXAWAvFdkxuiRQEJEPrk2gOSqSDePjDkOAED2mbZ8WQcgt2UtaXP3Ce5u1LIByZFpHkmnNgDIe9S0AclBTRuAjAoGIgGAgmFGTRuQFCRtALZIPbzJ2QCgMJCzAclA0gYgw1OP7yKq2gAg73GvB5KDpA1ARkVF+MlzHADynxnztAFJQdIGIGPLPG1kbQCQ7/iCDkgOkjYAGc5AJABQMBg9EkgOkjYAGelmMiRtAJD/mKcNSA6SNgCVpCfXJmsDgLxHTRuQGCRtADLS37gWcWcAgLxXZGLMfyAh+GgGICPTPJKaNgDIeyFnI2sDkoCkDUBG+uFNnzYAyH9G80ggMUjaAGRkmkeStAFA3isyBiIBkoKkDUBGhW+ZqQ0AkN9M1LQBSUHSBmArNI8EgAJATRuQGCRtADK2NI8kawOAfEdTeCA5SNoAZKSbR/IcB4D8R/NIIDlI2gBkpGvaqGgDgPxnNI8EEoOkDUBG+tlN80gAyH9FDPkPJAZJG4CMCr5yBYCCQU0bkBwkbQC2oHkkABQUcjYgGUjaAGR46vFN80gAyH/c64HkIGkDkFFBTRsAFAyaRwLJQdIGICMzeiSD/gNA3jPRPBJICpI2ABlbmkfGHAgAIOsYPRJIDpI2ABnp5pFUtAFA/qN5JJAcJG0Atkg9vWkeCQCFgJo2IClI2gBkbJlcO9YwAAAtgHs9kBwkbQAyKlLtI43hIwEg74XmkdS1AUlA0gYggy5tAFA4jOaRQGKQtAHISH/hyoSrAJD/iooY8h9ICpI2ABkVWyZqAwDkOZMxeiSQECRtALZCRRsAFACjpg1ICpI2ABk0jwSAwlFkZG1AUpC0AcioyMzTBgDIdyZyNiApSNoAZGRGjyRrA4C8R0UbkBwkbQAyaB4JAIWjyBiIBEgKkjYAGRU8vQGgYNA8EkgOkjYAW6GiDQAKgImaNiAhSNoAZHjq6U3zSADIf9zrgeQgaQOQUcHc2gBQMGgeCSQHSRuADAYiAYDCwUAkQHKQtAHIyMzTRs4GAHmPIf+B5CBpA5CxZZ42sjYAyHckbUBykLQB2MKd/mwAUCCM5pFAYpC0Acio4OENAAUjDETCjR9IgsiSNjM7xcweNLOPzKzczOab2beiOj6A7HM5/dkAoEAYw0cCidE6wmNdIGmRpPMlLZN0lKR/mdm27n5jhOcBkCXuDPcPAIWiyIycDUiIKJO2Y919WaXfp5vZVxSSOZI2IAEqSNoAoGBQ0QYkR2TNI6slbGkLJH0lqnMAyC4XWRsAFAoGIgGSI9sDkRwi6c0snwNAVJzRiQCgUDDkP5AcUTaPrMLMhkoaLemcbJ0DQLQq6NQGAAXDRE0bkBTmWfjfambFkp6TNMfdT6hlm7GSxkpS7969B0ycODHyOJqrvLxcnTt3jjuMgkTZx+PfC9drxvsbdcsIyj4uXPvxoezjQ9nH49+vr1fZhxv1t+GUfRy47uOTq2U/ZMiQ+e5eUtNrkde0mVkPSVMkvSfpjNq2c/dbJN0iSSUlJV5aWhp1KM1WVlamXIyrEFD28ZhZ/pqKPlhE2ceIaz8+lH18KPt4zF79mvQh9/y4cN3HJ4llH2n3FTPrKOlhSW0lHePua6I8PoDsqnDmaQOAQsFAJEByRFbTZmatJd0jaRdJh7r70qiODaBl8PAGgMLBkP9AckTZPPJmhQm1fyqpp5n1rPTaAndfH+G5AGRJETVtAFAQjMm1gcSIMmkbkfr5pxpe21HS4gjPBSALKqhqA4CCYUYLCyApIkva3L04qmMBiAcj/gNA4eB+DyQH8+gCyHAxEAkAFIoimkcCiUHSBiCjgpo2ACgYNI8EkoOkDUBGeHiTtgFAIWD0SCA5SNoAVOKMHgkABcJS7eGd6jYg55G0AcioqIg7AgBAS0n3YSZnA3IfSRuADJfTOBIACoSl7vjkbEDuI2kDkOEuRo8EgAJRlKlpI20Dch1JG4AMRo8EgMKR/pKugpwNyHkkbQAynEYyAFAwMgORcO8Hch5JG4AtXIweCQAFgoFIgOQgaQOQUcGTGwAKRmYgEm79QM4jaQOQ4WIgEgAoFJmaNppHAjmPpA1AhjMQCQAUjCKaRwKJQdIGIKPCmacNAApFunkkTeOB3EfSBiDDJaraAKBAbGkeCSDXkbQB2MK5KQBAocgM+U/WBuQ8Pp8ByKigUxsAFIz07d7J2oCcR9IGIIOcDQAKB/O0AclB0gYgw8VAJABQKIrSzSNjjgNA/UjaAGRU+JY+DgCA/Ja+3TN6JJD7SNoAZPDcBoDCsaVPW6xhAGgAkjYAGe6emWwVAJDftoweSdYG5DqSNgAZPLYBoHAwTxuQHCRtADLcnZsCABSIIuZpAxKDz2cAMipcjPkPAAUifbtnIBIg95G0AcggZwOAwkHzSCA5SNoAZLgzTxsAFAoGIgGSg6QNQIb7lm9eAQD5jSH/geQgaQOQ4TSSAYCCYQxEAiQGSRuADHcxTxsAFIiiTJ82sjYg15G0AchgBDEAKBzp5vAV3PqBnEfSBiDDndEjAaBQmBiIBEgKkjYAGS4GIgGAQsGQ/0BykLQByGDIfwAoHAz5DyQHSRuADJ7bAFA4GPIfSA6SNgAZLkaPBIBCUZSuaYs5DgD1I2kDkMHokQBQOLaMHsm9H8h1JG0AMsLokVS1AUAhoHkkkBwkbQAyGD0SAArHloFIYg4EQL1I2gBkMHokABQOmkcCyUHSBiDDXcyuDQAFgts9kBwkbQAyXNS0AUChKKJ5JJAYJG0AMioq+OYVAAoFzSOB5CBpA5DBQCQAUDjS93tSNiD3kbQByGAgEgAoHFtGjyRtA3JdpEmbme1pZk+a2Roz+9jMrjCzVlGeA0D2uFPTBgCFIn27ryBnA3Je66gOZGbdJT0h6TVJx0vaSdJ1Conh/0V1HgDZ4zSSAYCCYZlv6bj3A7kusqRN0g8ldZB0oruvlPS4mXWVNM7M/pBaByCHUdMGAIWjKN2njZwNyHlRNo88UtLUasnZRIVEbnCE5wGQJRXuJG0AUCAs1UCS5pFA7osyadtd0sLKK9z9fUlrUq8ByHHMrQ0AhSMzeiRVbUDOi7J5ZHdJX9SwfkXqtUS5acbbuunJ1WpdNjXuUArSpk2bKPsYrFq/Sb22Z+wgACgE6cm1z57wvFoX8ZVdS+OzTnw6Fm3Ws6VxR9E4USZtjWJmYyWNlaTevXurrKwsrlBqtGnZJh20natN27gjKUwbN1D28Wit/bbZmHP/HwtJeXk55R8Tyj4+lH081m92jdzBVUHCFgs+68SnqKIicfecKJO2FZK61bC+e+q1Ktz9Fkm3SFJJSYmXlpZGGErzlUoqKytTrsVVKCj7+FD28aL840PZx4eyj0+7VpR9XLju45PEso+yT9tCVeu7ZmY7SOqoan3dAAAAAAANE2XSNkXSSDPrUmndqZLWSnoqwvMAAAAAQMGIMmkbL2m9pMlmNizVZ22cpOuZow0AAAAAmiayPm3uvsLMhkr6i6SHFEaSvEEhcQMAAAAANEGko0e6+2uSjojymAAAAABQyCwXJlQ0s88kvRd3HDXYVtKyuIMoUJR9fCj7eFH+8aHs40PZx4eyjw9lH59cLfuvuvt2Nb2QE0lbrjKzee5eEncchYiyjw9lHy/KPz6UfXwo+/hQ9vGh7OOTxLKPciASAAAAAEDESNoAAAAAIIeRtNXtlrgDKGCUfXwo+3hR/vGh7OND2ceHso8PZR+fxJU9fdoAAAAAIIdR0wYAAAAAOYykLcXMuprZ5WY218y+NLNPzOw+M9u1gfvvaWZPmtkaM/vYzK4ws1bZjjtfmNmpZjbZzJaYmZvZWQ3cb1xq++rLqCyHnDeaWvapfQ8zs+fMbJ2ZLTKzn2Qx1LxkZt83s7dSZTjfzIY2YB+u+0Zo6v3ZzLqZ2R1mtiL1XPinmfVsiZjzRVPK3syKa7m+J7ZU3PnAzHY2s7+Z2UtmttnMyhq4H9d9MzWl7Lnum8/MTjGzB83sIzMrTz1Tv9WA/dqZ2XVmttTMVpvZI2ZWnP2IGyfSybUTrr+k70u6TdIlkjpK+qWk58xsX3f/oLYdzay7pCckvSbpeEk7SbpOISn+vyzHnS9OllQs6WFJ32vkvl9Kqv5h9fUIYioUTSp7M9tZ0tTUfr+UdJCk681sjbv/PQtx5p3Uw2S8pHGSZkk6W9LDZnagu79Sz+5c9w3QzPvzfyXtqvD/okLS7yXdL2lQtuLNJxE8Gy+SNLvS77k4p1Iu20vSUZKeldSmEftx3TdfU8te4rpvjgskLZJ0vkK5HSXpX2a2rbvfWMd+f1b4LHS+pM8UnsmPm9k+7r4uuyE3gruzhH59nSR1qLauh6RySZfVs+8vJa2Q1LXSuoslram8jqXOMixK/ewsySWd1cD9xklaFnf8SV6aUfZ/k/SmpNaV1t0s6QOl+suy1FuGb0i6vfLfQtLLku6uZz+u+4aXcZPuz5IOSf1/OLzSuoNS64bF/b6SsDSj7ItT5XxM3O8hyUv63p769yRJZQ3Yh+s+vrLnum9+uW9bw7p/SVpUxz79JG2SdGaldX0lbZD0vbjfU+WF5pEp7r7a3ddWW/e5pPckfaWe3Y+UNNXdV1ZaN1FSB0mDIw00T7l7RdwxFKpmlP2Rkia7+6ZK6yYq3AD3bnZgec7MvqbwbfZ/0+tSf4t7FMoW0Wjq/flISZ+6+9PpFe4+V+FbXP4+DcOzMUZNvLdz3UeAzzTxcPeaaiUXqO7P8SNSPydXOs5HCq1fcuqaJ2mrg5ltJ2lnhdqEuuwuaWHlFe7+vsK3ibtnJzpUso2ZLTOzjWa2wMxOjDugfGdmnSTtoGrXvbY0z+O6r1+6jGoqwx6p+09duO4bpqn35632S3m9nv2wRXOfjXek+gMtMbPrzaxDNoJEFVz38eO6j9Yhqvtz/O6SPnT38mrrc+6ap09b3a5TaB45oZ7tukv6oob1K1KvIXveVmhus0BSF0k/kHSvmZ3k7pPr3BPNsU3qZ/XrfkXqJ9d9/dJlVFcZflbLvlz3DdfU+3Nd+30tgrgKQVPLfr2kmyRNk7RSUqmknyv0iTs+2hBRDdd9fLjuI5Ya2Gu0pHPq2Cwxn+HzOmkzs26S+tS3nbtv9a2SmZ0r6duSTnL35VkIL681p+wbw93vrnbehyTNkfRrVarqLiQtVfbYGtc90HzuvkTSjyutKjOzTyXdbGb7ufuLMYUGZA3XfbRSoz/+S9ID7j4h1mAiktdJm6RTJN3agO2syi9mx0m6UdLP3f2+Buy/QlK3GtZ315ZvzQtNk8q+udzdzWyypN+bWSt33xzl8ROiJco+/a1U9es+/a0U133dTFvKqJuqfsvX6DLkuq9TU+/PKyTV1ES1kO/rjRXls3GSwkBHAyTx4TV7uO5zC9d9E5hZD0lTFMalOKOezRPzGT6v+7S5+9/d3epbKu9jZocpdJQe7+7XNPBUC1Wt3auZ7aAwbUBB1mY0peyjPH1qKUgtUfbuvlphlMjq7b1r66dVEBpZ9ukyqqkMP3f32ppG1np6FfB1X4em3p+32i+ltj4/2FqUz0av9hPZwXWfW7juG8nMOipMRdRWYSTONfXsslDSDqm++pXl3DWf10lbY5nZXpIekvSYpMZMEjxF0kgz61Jp3amS1kp6KroIUR8zM0knSXqR2oasmyLphGoT5Z6qkMzVN8dYwXP3dxU6R5+SXmdmRanfpzTmWFz3dWrq/XmKpO3NbGB6hZmVKPTradTfp4BF+Ww8OfVzfhSBoVZc97mF674RzKy1wgjMu0ga5e5LG7DbtNTPEyod5ysK8xLm1DWf780jG8zMeikka+UKk+wdFD4HSZJWuvtrqe2+KukdSee4+z9Sr49XSPImm9nvFW5u4yRdX22oY9TCzPaUtKek9qlVJWZWLukzd38qtc1gSU9KGlpp3VOS7lX4NqSTwgTpByt0PEUDNLXsJV2j0OzgLjO7VdKBCgNinOvufCvYMOMk3W1mixUmU/2uwsPm9PQGXPfN1qD7s5m9Lekpdx8jSe7+jJlNk/QPM7tIWyYZnuXuT7Twe0iqJpW9mY1TGGBntsKADIdL+pnCFCMvteQbSFSjdVkAACAASURBVLJUjcNRqV/7SupqZukk4FF3X8N1nx1NKXuu+0jcrFDuP5XU08x6VnptgbuvN7MnJcndh6Z+fmhmt0n6Y+oL0PTk2u9JqtJ/PHYNndAt3xeFUXq8lqWs0nbFqmECYoUPvdMVvkFcIulKSa3ifl9JWRT+g9RX9um/UWmldbdJejdV7qslzZR0ZNzvJ0lLU8s+tX6gpLmS1klaLOkncb+fpC0KCdfbCiOHvaCQnFV+neu++WVc7/05df1OqLZuG0l3KPQ5XKnQqX2ryVtZoi17SadJmifpS4UJbt+WdIWkdnG/nyQtlT6v1LQU11T2qXVc9zGUPdd9JOW+uAHlXqZqk51LaifpeoWEbbWkRyXtGPf7qb5YKlgAAAAAQA6iTxsAAAAA5DCSNgAAAADIYSRtAAAAAJDDSNoAAAAAIIeRtAEAAABADiNpAwAAAIAcRtIGAAAAADmMpA0AkBhm9k0zO6sR2w8wsxVm1jWLYVU/p5nZy2b2nZY6JwAgv5G0AQCS5JuSzmrE9ldJGu/uK7MTztbc3SX9QdJlZta6pc4LAMhfJG0AgLxkZrtIGiXp9hhOf4+k3pKOjOHcAIA8Q9IGAEgEM5sg6SRJg83MU8u4Onb5rqSX3P2tSsdoY2bXmtn7ZrbezD42s/vMrG2lbfqb2UQz+9zM1pjZVDPbrVosHczsD2b2Xuo4i8zsd+nX3X2dpEclnRnNuwcAFDKabQAAkuJKSf0lbSPpR6l1H9ax/VBJc6qt+6WkMyT9QtIiSdtLOkpSK0kysx6SZklaLumHktaktn3CzHZ197VmZpIekHRIKqb5kvpKGlTtXHMUmkhaqskkAABNYrnwHNl22229uLg47jDQTKtXr1anTp3iDgN5jGsM2cT1hWzi+kI2cX3lh/nz5y9z9+1qeq1BNW1mtrOknyl8q7iXpJnuXlptG1P4BvNcSdtKel7ST9z9f/Udv7i4WPPmzWtIKMhhZWVlKi0tjTsM5DGuMWQT1xeyiesL2cT1lR/M7L3aXmton7a9FJqPvCHpzVq2+YWkSyX9XtKxksoVmpNs3/BQAQAAAACVNTRpe8jdd3D3UyS9Wv1FM2uvkLT9zt3/4u5PSDpFkkv6cWTRAgAAAECBaVDS5u4V9WxyqKSukv5baZ/Vkh4Swx0DAAAAQJNFNeT/7pI2S3qr2vrXU68BAAAAAJogqiH/u0sqd/fN1davkNTRzNq6+4aIzgUAQDQ2bZKeekpauFC9liyR9txT6tUr7qgAAKgitnnazGyspLGS1Lt3b5WVlcUVCiJSXl7O3xFZxTWGKG2zYIF2u/Zadfj4Y0nSnpIq/vAHfXjyyVp09tnyNm3iDRB5hfsXsonrK/9FlbStkNTZzFpVq23rLmlNTbVs7n6LpFskqaSkxBmmNPkYbhbZxjWGyPz739LFF0s77STdc480cKDmPfigSubMUf8771T/pUulhx+W2rePO1LkCe5f0Vu5cqWWLl2qjRs3xh1K7Lp166b23K9yWps2bdSrVy917dq1SftHlbQtlNRK0s4K0wKk7Z56DQCA3PDQQ9IZZ0iHHy49+KCUeoCW77qrNHasNHiwdM450plnShMnSkVRdf8GEJWVK1fq008/Vd++fdWhQweF6YIL16pVq9SlS5e4w0At3F1r167VRx99JElNStyiehLNkbRSYZh/SZKZdVSYr21KROcAAKB5Fi8OydgBB0hTpmQStirOPlu69tpQA/e737V4iADqt3TpUvXt21cdO3Ys+IQNuc/M1LFjR/Xt21dLly5t0jEaVNOWSsCOSv3aV1JXMzs59fuj7r7GzK6WdKmZrVCoXbtAISm8sUmRAQAQJXfp298OP++5R+rQofZtL7hAmjtXuuIKafRoaa+9Wi5OAPXauHGjOtT1fxjIQR06dGhyc96GNo/sJemeauvSv+8oabGkqxWStF9K6ilpnqTh7v5pkyIDACBK//ynNHu2dNtt0te+Vve2ZtJf/iJNny6NGSM980xYByBnUMOGpGnONdvQybUXu7vVsixObePu/ht37+fuHdx9kLsvaHJkAABEZdWqMPDIgQdKZ53VsH2220665hrpueeke+/NangAANSF3tUAgPx3443SkiXSn//cuIFFvvOd0DTy//4vzOkGABG79957dcQRR2ibbbZRu3bttOuuu+qCCy7Qx6npSJJk6dKlGjdunBYvXhzZMS+66CIVFxc3aNu6ynLcuHEyszqX9AivpaWlNb5+1VVXqbi4uN7jTJgwIbL3nxbbPG0AALSI1aulG26QjjxS+sY3Grdvq1bSVVdJJ5wg3X13w2vpAKABLrzwQv3xj3/U2WefrfPPP19du3bVa6+9pvHjx2vRokW677774g6xUZYuXarLL79cpaWlDU60olJfWd54440aNWpUZvsbb7xR06dPr1LGlUd1HDJkiH77299WOccOO+ygo48+WuvXr8+sGzVqlE4++WR973vfy6zbaaedIn9/JG0AgPx2663SsmXSJZc0bf/jj5f220+67jrpu9+lbxuASDz00EO6/vrrddttt+mcc87JrB88eLDGjh2radOmxRhdsjSkLPv166d+/fplXps0aZLatWunb9TyZV6PHj1qfK1v375Vfm/durX69etX63GiQvNIAED+2rRJuv76MCfbYYc17Rhm0vnnS6+8Ij3xRLTxAShYN9xwg77+9a9XSTLSWrVqpSOPPDLz+7Jly/Td735XPXv2VMeOHVVaWqp58+ZV2ae4uFgXXXSRrr76avXp00fdunXThRdeKHfXo48+qr322ktdunTR6NGjtWLFisx+ZWVlMjNNmzZNxxxzjDp16qT+/ftr/PjxVY5fWlqqk08+ucq69L6vvPKKFi9erH322UdSqKVKNxVM+/zzzzV27Fj17t1b7du316GHHqrnnnuuyvG++OILnX766ercubP69Omj3/zmN5GXZVKRtAEA8tcjj0gffCCdd17zjnPaaVLv3iEBBIBm2rhxo+bMmVOluV5dRo8eralTp+raa6/Vf/7zH1VUVGjIkCF6++23q2w3ceJEzZ07V3fccYcuvvhiXX/99brgggt06aWX6sorr9T48eP11FNP6Ze//OVW5xgzZoz23XdfTZ48WUcddZTOPfdcPfzwww1+T3369NE///lPSdJNN92kZ555Rs8884wkaf369Ro2bJieeOIJXXPNNbr//vu13XbbadiwYfrkk08yxzj77LM1ZcoU3XDDDbrllls0bdo0TZw4sc7zNrYsG8rdtWnTpipLnGgeCQDIX3/9q9S3r3Tssc07Trt20o9+JF12mfTuu/VPGQCg5Z13nvS//8Vz7v33l/74xwZvvnz5cq1fv179+/evd9vHHntMs2fPVllZmQYPHixJOuKII1RcXKxrrrlGf/vb3zLbtm/fXvfcc49atWqlUaNG6YEHHtCNN96ot956SzvuuKMk6cUXX9Sdd965VU3akUcemenDNXLkSL3zzju66qqrdMwxxzToPbVr10777ruvJGnPPfes0lzw7rvv1iuvvKJXX31Vu+yyiyRp2LBh2m233XTdddfpmmuu0auvvqr7779fEydO1Kmnniop1Nj179+/Sl+z6hpTlo0xefJktWnTpsq6jRs3qnXreNInatoAAPnpnXekqVOl739fiuIhe845oankHXc0/1gAoIbN2zV37lz16tUrk7BJUqdOnXTMMcdo1qxZVbYtLS1Vq1atMr/vvPPOKi4uziRs6XWfffaZNmzYUGXfE044ocrvJ554oubPn6/Nmzc36j3V5IknntCAAQO04447Vqm1Gjx4cKaZ5/PPPy9JOv744zP7de7cWcOHD2/QOaKet++II47Q888/X2WJK2GTqGkDAOSrCRPC8P6VRvRqln79pJEjw3HHjQsjSwLIHY2o6Ypbz5491a5dO73//vv1brtkyRL16tVrq/W9e/fW559/XmXdNttsU+X3tm3b1rjO3bVhwwa1bds2s776OXr16qVNmzZp2bJl6t27d71x1mXZsmV69tlnt6q5kraMtPjJJ5+oS5cuat++/VZx1KUxZdkY3bt3V0lJSaTHbA5q2gAA+aeiIgzRP3RoaB4ZlTFjpA8/lBjVDUAztGnTRocddpimTp1a77Z9+vTR0qVLt1r/6aefqkePHpHFVP0cS5cuVevWrbXttttKCk0vq9fOVR7QpC49evRQSUnJVjVXzz//fGbI/e23316rVq3SunXr6oyrusaUZZKRtAEA8s/s2dLixWFy7Cgdd5zUo0dICAGgGc477zzNmzdPd95551avVVRU6LHHHpMkHXzwwVq6dKmefvrpzOtr1qzRI488ooEDB0YWT/U54e677z4NGDAg09yyX79+WrhwYZVtqk9LkK65q554DR06VG+//bb69++vkpKSKkt6xMkDDzxQkvTAAw9k9isvL9fjjz9eb+wNLcsko3kkACD/3HWX1LFjmBQ7Sm3bSiedJP3rX9KaNeEcANAExx57rC644AKNGTNGs2fP1vHHH6/OnTtr4cKFGj9+vIqLizVq1CiNHDlShx56qE499VRdffXV6tmzp6699lqtXbtWP/vZzyKLZ8qUKbrkkks0ePBgTZ48WY8//niVBOqEE07QbbfdpvPPP19HH320ZsyYsVUy1L9/f3Xo0EF33nmnunXrpjZt2qikpERnnnmmxo8fr9LSUl100UX62te+puXLl2vu3Lnafvvtdf7552uvvfbScccdp3PPPVcrV65Unz59dM0116hjA+6zDS3LJKOmDQCQXzZulCZNCglb587RH/+006TVq8N0AgDQDNddd53+85//6K233tLpp5+u4cOH67rrrtPQoUP117/+NbPd/fffr+HDh+u8887TKaecInfX9OnTtfPOO0cWy9///ne98MILGj16tB5++GHddNNNOu644zKvH3300frtb3+rSZMm6YQTTtB7772nP/3pT1WO0b59e916662aP3++Bg8enKk9a9++vWbMmKHhw4frsssu04gRI/TTn/5Ub731lg466KDM/hMmTNCIESN03nnnacyYMRo6dKhOO+20BsXf0LJMKnP3uGNQSUmJV58gEMlTVlam0tLSuMNAHuMaQ4M88YQ0fLh0333S6NEN3q3B19fmzWFQkkMPle69t+lxoqBw/4rW66+/rj322CPuMHLGqlWr1KVLlybtW1ZWpiFDhujll1/W3nvvHXFkqK6ua9fM5rt7jaOfUNMGAMgvkyeHZosjRmTn+K1aSaecEmraysuzcw4AACohaQMA5I+KilDDduSR2e1vduKJ0vr1jCIJAGgRJG0AgPzx7LPSJ5+EpCqbBg6UuneXKnXSB4AkKi0tlbvTNDLHkbQBAPLHffdJbdpIRx+d3fO0bh3O8cgj0qZN2T0XAKDgkbQBAPKDe+jPNmyY1K1b9s933HHS8uXSnDnZPxcAoKCRtAEA8sMrr0jvvhv93Gy1GTUqzNv24IMtcz4AVeTCCOhAYzTnmiVpAwDkhylTws+jjmqZ83XpIg0ZEvq18eERaFFt2rTR2rVr4w4DaJS1a9eqTZs2TdqXpA0AkB+mTJH23Vfq27flznn88dLbb0sLF7bcOQGoV69e+uijj7RmzRpq3JDz3F1r1qzRRx99pF69ejXpGK0jjgkAgJa3cqU0a5Z04YUte95jjpF+9KOQMDLRL9BiunbtKkn6+OOPtXHjxpijid+6devUvn37uMNAHdq0aaPevXtnrt3GImkDACTfk0+GURyPPLJlz7vDDiFZmzpVuuCClj03UOC6du3a5A/A+aasrEwHHHBA3GEgi2geCQBIvscek7p2lQ49tOXPPXKk9PTTEv1rAABZQtIGAEg299A8cdiwMEdbSxsxQlq3Tpo5s+XPDQAoCCRtAIBke+016YMPwhD8cRg8OAz9P3VqPOcHAOQ9kjYAQLKlk6W4kraOHaVBg6Rp0+I5PwAg75G0AQCSbfp0abfdwqAgcRk5Mkzu/dFH8cUAAMhbJG0AgOTauFF66ilp6NB44xg5Mvx8/PF44wAA5CWSNgBAcs2bJ5WXS0ccEW8c++wj9e5N0gYAyAqSNgBAck2fHn6WlsYahsykIUOkGTPCaJYAAESIpA0AkFzTp0v77y/17Bl3JCFpW7JEevPNuCMBAOQZkjYAQDKtWyfNnh1/08i0IUPCz7KyWMMAAOQfkjYAQDI984y0fn3uJG077yz17RuaSAIAECGSNgBAMk2fLrVqFeZIywVmoW9dWRn92gAAkSJpAwAk0/Tp0kEHSV27xh3JFkOGSJ9+Kr3+etyRAADyCEkbACB5Vq2S5s7NnaaRafRrAwBkAUkbACB5Zs6UNm3KvaRtxx2lHXagXxsAIFIkbQCA5Ckrk9q2lQ45JO5IqkrP11ZWJlVUxB0NACBPkLQBAJJn1izpwAOlDh3ijmRrQ4ZIy5ZJr74adyQAgDxB0gYASJa1a6V583Jn1MjqSkvDT/q1AQAiQtIGAEiW556TNm6UBg6MO5KaFReHhaQNABARkjYAQLLMmhX6jh16aNyR1G7QoBAn87UBACJA0gYASJaZM6W995a6d487ktoNGiQtXSq99VbckQAA8gBJGwAgOTZtkubMyd3+bGnpppuzZsUbBwAgL5C0AQCS46WXpPLy3O3Plrb77lLPnqFWEACAZiJpAwAkR7rmKtdr2sxCYknSBgCIQGRJm5mdZWZew/LDqM4BAChwM2dKX/2q1K9f3JHUb9Ag6Z13pCVL4o4EAJBwrbNwzCMkra30+7tZOAcAoNC4h5q2YcPijqRhKvdrO+WUeGMBACRaNpK25929PAvHBQAUsnfekT75JPf7s6V9/etShw4kbQCAZqNPGwAgGdL92ZKStLVpI33jG/RrAwA0WzaStnfMbJOZvWFmP8jC8QEAhWjmTKlHD2mPPeKOpOEGDZJefFFauTLuSAAACRZl0rZE0qWSviPpWEnPShpvZudHeA4AQKGaNUs67DCpKEGNRAYNkioqpGeeiTsSAECCmbtn7+Bm/5E0TNJ27l5R7bWxksZKUu/evQdMnDgxa3GgZZSXl6tz585xh4E8xjVWuNp8/rkOO+kkvfODH+iD007LyjmycX21WrtWA485Ru+dcYYWn3NOpMdGsnD/QjZxfeWHIUOGzHf3kppey3bSdoqk/0rayd1rHUWypKTE582bl7U40DLKyspUWloadxjIY1xjBWzyZOmkk6Q5c6RDDsnKKbJ2fR14oNSpk1RWFv2xkRjcv5BNXF/5wcxqTdqy3cbEq/0EAKDxZs6U2reXBgyIO5LGGzhQeu45acOGuCMBACRUtpO2kyUtk/Rels8DAMhns2ZJBx8stW0bdySNN2iQtG6dNH9+3JEAABIqsqTNzO41s5+b2ZFmdoyZ3SXpVElXVO/PBgBAg5WXSwsWhOQniQ47LPxMT1kAAEAjRVnT9oakcyTdK+keSXtKOtPdb4zwHACAQvPss9LmzcmZn6263r2lXXdlvjYAQJO1jupA7v4rSb+K6ngAAEgKyU5RUdYGIGkRAwdK998fhv9P0pQFAICcwJMDAJDbZs2S9ttP6to17kiabtAg6fPPpddfjzsSAEACkbQBAHLXxo2heWRS+7OlpZt20kQSANAEJG0AgNy1YIG0Zk1y+7Ol7bSTtP32DEYCAGgSkjYAQO5K10wlPWkzC++BmjYAQBOQtAEActesWaGWqk+fuCNpvkGDpPffDwsAAI1A0gYAyE3uIWlLen+2tPT7oIkkAKCRSNoAALnpjTekZcuS3zQybd99pS5daCIJAGg0kjYAQG5KJzf5UtPWqpV06KHUtAEAGo2kDQCQm2bNknr1knbZJe5IojNwoPTKK2HONgAAGoikDQCQm2bODEmOWdyRRCddazhnTrxxAAAShaQNAJB7PvpIWrQof/qzpR10kNSmDf3aAACNQtIGAMg9+dafLa1DB6mkhKQNANAoJG0AgNwzc6bUubO0//5xRxK9QYOkefOktWvjjgQAkBAkbQCA3DNzpnTIIVLr1nFHEr2BA6WNG6W5c+OOBACQECRtAIDcsmJFGGEx35pGph12WPjJ0P8AgAYiaQMA5JbZsyX3/E3aevSQ9t6bfm0AgAYjaQMA5JaZM8MIiwcfHHck2TNwYBj2f/PmuCMBACQASRsAILc8/bR04IFhpMV8NWiQtGqV9NJLcUcCAEgAkjYAQO5YsyaMrJivTSPT0vPP0UQSANAAJG0AgNzx3HPSpk35n7T17x8WBiMBADQASRsAIHfMnCmZbRlhMZ8NGhTer3vckQAAchxJGwAgd8ycKe2zj7TNNnFHkn0DB0qffCK9807ckQAAchxJGwAgN2zaJD3zTP43jUxLv0+aSAIA6kHSBgDIDQsWSKtXS4cfHnckLWOPPaTu3RmMBABQL5I2AEBuePrp8LNQatqKikITSWraAAD1IGkDAOSGmTOlnXaS+vSJO5KWM2iQ9Oab0qefxh0JACCHkbQBAOJXURFqnAqlli0t/X5pIgkAqANJGwAgfi+/LC1fLg0ZEnckLWvAAKlTJ2nGjLgjAQDkMJI2AED80klLoSVtbdqE2jaSNgBAHUjaAADxmz5d2nlnaYcd4o6k5R1xhPT669KSJXFHAgDIUSRtAIB4bdokPfVU4dWypaXfd1lZrGEAAHIXSRsAIF4LFkgrV4Yap0J0wAFSt26hthEAgBqQtAEA4pXuz1VaGmsYsWnVSho8mH5tAIBakbQBAOI1fbq0557S9tvHHUl8jjhCeucd6f33444EAJCDSNoAAPHZsCHMz1ao/dnS0u+f2jYAQA1I2gAA8Xn+eWn16sLtz5a2997SttvSrw0AUKPWcQcAAJC0fn2YYPrjj8O/+/SR9tpL6t497siya8YMySz06SpkRUWhT9+MGZJ7KJN8tWmTtHCh9O67oaZ1u+2k3XYr7OaxAFAPkjYAiMvmzdL990sTJkjTpoUPsJUVFUkHHSR9//vS6adL7dvHEmZWTZ8u7bef1LNn3JHE74gjpEmTQt+2nXeOO5rozZ0r3XSTdN990qpVW7++117SaaeF671375aPDwByGM0jASAODz8cPqSefLL0v/9JP/qRdM89obngiy9KU6ZIl14qlZdLY8aEmoj77os76mitWSPNmUN/trR0E9Enn4w3jqh98IE0erR08MHSAw9Ip5wi3X13SOJefFF6/HHpmmtCjdull0pf/ar0y1+GaSAAAJJI2gCgZS1dKn3rW9Kxx4aatP/+V1q8WLrhhpDAlZRI++4rjRoljRsnvfRSqIXr1k068UTprLNCH7B88PTToSnoyJFxR5Ibdt1V6t9fmjo17kii89//SvvsExKzq66SPvxQuu026YwzpAMPDNf6sGHSRReFpqGvvx6SuquvDv38mHAcACSRtAFAy3nmGWn//aXJk6Urrgg1bKecEubpqo2ZNHy49MILoRbiH/+QBg2SPv205eLOlsceC00+Dz887khyg1lIYJ98Utq4Me5omsc9fOlw6qlhOoeXXpIuuUTq3Lnu/XbfXbrrrvB/pX37UPv4i1+EpsQAUMBI2gCgJdx6axhso2PH0ATy0kultm0bvn/r1iHRe/hh6Y03pMMOS/6cXlOnhjLp0CHuSHLHqFGhWeCzz8YdSdO5h5qzyy8PNcMzZkg77dS4Y3zjG9KCBdL3vif9/vfS8cfX3A8OAAoESRsAZJN7+PA6dmyoNXj++dAkrKmOOirUxHz2WaiVWbYsulhb0nvvhREEaRpZ1dChoeY1qU0k3aVf/Uq6/nrpxz+Wbr9dateuacfq1Em65Rbp5ptDrezAgWF0VQAoQIweCSBaX3wRmv19+KG0ZElY166d1KuXtMceYUCNfBwFsSYVFdKFF0p//GOocbj11lBj1lzf+Ib00EPSiBHS0UeHJK6+Zme5Jp2UkLRV1a1b+PtOnRr6gCXN9deH/mg/+IH05z9HM3XBueeGmrqTTgo1s9OnSzvs0PzjJoF7+ILjjTdCzfqKFeEe0r69VFws7bJLKJsivoMH8h1JG4DmcZeeey4MODBtmvTqq3Vv365dmI/q6KPD8N7bbdciYba4zZtD7drtt0s/+UkYaCTKD1aHHy795z9hcJJvfzv0k0vSB7epU6V+/UIij6pGjpQuuyzUom67bdzRNNxjj0kXXxwG1Ln55mjnmhsxItxfRo0K1/706dKOO0Z3/FyyeXN4f//6V/hZXzPonj1DDe1RR4XENmlf4ABokAQ94QHklJUrQw3SLrtIhxwS5l/q10+68srwgXzhwlDrtmpV+PD54ovSxInhW/NFi0Ii06+fdOaZoWYun7iH93n77dKvfx3KKRsJ1fHHS9ddF4ZR/8Mfoj9+tmzcKD3xRPgAns+TSDfVqFHhGnr88bgjabg33wxfwuyzT5h3MBvX+yGHhFrlL78Mids770R/jjiVl4epD3bcMSSp998f5mn8y1+kmTNDjVt5eXj/H38szZol/f3v0jHHSLNnh9r87beXzj5bevnluN8NgIhR0wbU5Msvw0PwxRdDs5T33gsJyMqVoWlKu3ahhqh//zBMd0lJWLp3jzvy7Fu5MtQaXXddSMgOOywkJscfH5p21aRz5/Bt8L77htHkbrgh1Mj99a9hNMS77gof+K68MvmTCruHJpG33hr69lx+eXbP99OfhkErLrkkDKE+dGh2zxeFZ58N1xFNI2s2YED4//Loo2F6iFy3dm2o4WnTJnyB0KlT9s5VUhIGNjniiDBVwKxZUt++2TtfS9iwQbrxRul3v5OWLw//h6+7LkwLUltT8q5dpT59wv13zJhw35kzR7rzzlBDN2FCqHm7/PJQZvlu8+bwvF6wIIxUumhRmF5lxYpQNq1ahf9TvXuH5qT77BP+n+2xB18cITEiTdrMbE9JN0o6RNIXkv4u6XJ3Z6zeuLmHm9fixWH57LPwoF27NrzWrVt4CPTqnloEVQAAIABJREFUFWpOvvrVuochzzfuYaLXSZPCN7n/+19YJ0lf+Ur45nOHHaQuXcLDYd26MOT61Knh4SiFG//BB4cH5Te/Gfpu5ZMNG0Jt2m9/G2rOTjwxTIDb1A8Ee+0VvkG+6qrw7fIf/xia+F1yifTznzd98IK4jRsXktKf/KRl+iSZhW/bX35ZOv106ZVXcr/J6YMPhg/4I0bEHUluKioK95FHHpE2bYqmH2Q2/fzn4bp77LHw7Mi2/fYL5xo6NCRuTz+d+9d8bZ58MgzYkh6UZ9y40KexscxCAnfYYaFP4c03S3/6U/gi51vfkn7zm/xrTrp6dbiXTJoUEvkVK8L6Tp3Cl3+9e4d+f2bhub18eZgH8OGHw/NMCjWTw4aFsj/uuPA5qJAsXx5qyT/4IHxZ/eWX4fNN+/ZhVN+uXcOX08XF4cuRXL8X5Tt3j2SR1F3Sx5KekDRc0g8lrZZ0VX37DhgwwBGx1avdp0xxv/RS9yOPdN9uO/eQhjRsadvWfZ993MeOdf/HP9wXL673lDNmzMj++4raokXuF1/svsMO4X23aeM+ZIj7uHHu06e7r1xZ/zE+/9z98cfdL7vM/aCD3M3CsQ45xP1vf2vYMXLdk0+67757eF/Dh7s//3z05/j4Y/fTTgvn2G0397lzt9ok56+xP/whxD9mjPvmzS177pdeCv9vTzjBvaKiZc/dGBUV7rvs4j5iRNyRbCWnrq9Jk8K1VFYWdyR1e/jhEOd557X8uZ96yr19e/cDDnD/4ouWP38jVbm+ysvdzz03lN1OO4VyjNqXX7pfcol7hw7h3vCrX4XPBklWUeE+c6b7GWe4d+oUyu8rX3E/5xz3f/7T/e2367/3btjg/uqr7rfd5v6tb235fNS+vfvJJ7vfd5/7xo0t834iVO/9q7zcfdo091//2n3oUPcePRr/ubCkxP2HP3S//Xb3995rkfdVaCTN89pyrdpeaOwi6ZeSVkjqWmndxZLWVF5X00LSFpH/n707j4/p6v8A/jlZZZHYkiCLILXvYk9IYm9RiqJFUdVFtVQ3fbTV9ke1VCndW0U9KC3FY4ktCELFWvuaICEICdmTmfP744g1SGRm7p3xeb9e82rNcs93bs7ce7/3bEeOqIvGdu2kdHZWf147O5V8DR4s5VdfSbl4sZS7d0uZkKCSjcxM9UhKkvLYMXUS/OUXKd97T8qOHaX08Lj1g61dW8r335cyJqbAi0JdXfA8iNGoErLu3dX+sbeX8qmnpJw9W8qrV4u//cRE9XeoVUvtN09PtT8TEoq/bUtLTFQntfwLi5UrzV/mqlVS+vlJ6eAg5eefS5mXd/MlXdexb79V+6lv3ztitqj8pHHWLG3KL4zDh1WM336rdST30FX9un5dXSSNGqV1JPeXnCylt7eU9etLmZWlTQwrV6qbba1aqYtSHbtZv2Ji1I0LIaQcPVqdg83p3DkpBwxQv7vKlS1zHDe1nBx1A7lxY/U9SpVSN5U3biz+DTKDQcqtW6V8/XVVnwEpfX2l/OQTdQ60EgUevxITpfzuO3Xz/vbrwgYN1P776isply+X8t9/pTx7Vt1kzslR/01KkvLoUXVT+uefpXznHSkjIu68LqxRQ8o33lDn7exsi39nW2SppG0zgAV3PRcAQALo+qDPMmkrhqNHpfy//5OyXr1bP6I6daR86y0pV68u/kksL0/KffuknDJFtUDZ26syqlRRd2uOHbv5Vl1d8BQkPV3Kn35S+weQsmxZKceMkfLMGfOUZzRKuW2blL17q4Oko6OUL71kHXencnOlnDpVypIl1YF+3DjzX1jc7soVtd8AKcPCbp44dVvHZs9WsXbtqk54WsnLkzI0VP3dzFWvi2viRLWvdBif7upX587qWKvXltOhQ9U5Yd8+beNYuFAdY9u31y55LISoDRuknDxZ7bOAACktXd+iom71mOjVSyVzepebK+Vvv6lkMz9J+P578yXoublSLl0qZadOqjwHBymffVbKLVv0+zu84ebxKytLykWLpHzySfW7yL/pOnKklJGRqgW2OAwGKQ8cUNeFnTqpllxAytKlVQPBypVM4IrBUknbRQDjCng+HcA7D/qsLpO2a9cse5FaFMePSzlhgrpTkp+otWol5bRp6k6JOV29qu7it2t3qxtgs2ZSfvutjF62zLxlP6q4ONUFsnRpFW/9+qppPyPDcjGcPCnla6+pO+eOjur/9XrC3LlTykaN1L7q2FHVNy0Yjepk7eoqZfnyUm7erL+Laiml/OMPdWJs104fx4xTp9RJtGtXfV5ktGih6pcO6a5+/fCD+h0eOKB1JPeKjlaxvfOO1pEoM2eqeJ5+WtsbJ/eTmiovtm6tYnzmGe26c2ZnSzl+vOoK6O4u5ddf67MrYF6elL//LmVQkNpnjRqpFiFLdjs/fly1hJYqpWJo3FjdoNPjjQGjUe784QfVWpjf7dHXV92YPnjQvOeCzEz1txk48FYrXH4Ct2qVPn+PRqOUFy9qHUWBLJW05QIYWcDz5wBMeNBndZm0TZig+kt37676PZ8/r208p05J+cUXty6m88dMff21+RO1+zl3TspJk1T3S0AaHB2l7NNHtfBp1T0sn9Gouk0884y6oLazU3cWN2/W9kI2Pl51SXBwUC1Yb76pfd3Kl5oq5YgRal9VqKDuXuvhov/ff1VXIgcHeWz4cH3ElG/pUvW3DA3VV9esSZPUMWLRIq0judOFC+pmzyefaB1JgXSXtCUkqL/j+PFaR3Kn7GzVXb5SJX3V+xkzbrUi6SkR+fdfKatVk0Y7O9XSpodj2MmTqiUXUDeAY2K0jkgxGKScP/9Wi2D9+lL+/be2+ywtTd1AyR/y4O2tehrpoetkUpJq8bpxHSadnVUXfa2uw7KyVAI3YMCtBK5MGTXOe80abX+XublqCNDbb6uWx8qV9fFbvMuDkjahXi8+IUTujRa1qXc9fw7AHCnlB3c9PwzAMADw8fFpvGDBApPEYSoehw7BZ80alI2JQYmLFwEA12rUQHLLlkhu0QJpVauad5pYKeEaH4+y27fDa9MmeBw5cjOGi+HhuNSmDbJ9fMxXflFICfcTJ1B22TL4bd4Mx2vXkOXlhaQOHXChUydk+vlZLBT79HT4rFmDisuXw/30aeR6eCCxSxckduumn/0FoMT586g0Zw7Kr1kDo6MjErp3x9m+fZFbqpTlg5ESXps3I2jGDDglJyOhe3ecHjIEBh0t0Gqfloaan3+Octu2IaltWxwdPRpGFxdNYyq9cyfq/uc/SKtaFfsmT4bBnNOcF5EwGNDo1VfhlJyMnbNnI08nf8vyK1agxuTJ2Pnzz0jX4dIOaWlpcNfJvsrX6NVXAQC7v/9e40huCZg3D1V+/hn/TpiA5BYttA7nDn6LFiHou++QFBGBwx98oPksyN7r1qH6V18hz9UVu955BzmPMjOkuUiJcps344kbx/7zXbrg1EsvIa9kScvHYjSiXHQ0Ks+aBbe4OKQHBuL0oEG4HBpqnjX/HoWUKL17N3z/+gtlt2+HtLfHpbAwnHvmGVyvWdNiYdjl5KBsTAx81qxBmR07YGcw4FqNGoiLiMC1Tp20+fsVQOTkoExsLLw2bkS5rVvhkJGBHE9PXA4NxaWwMKTUrQvp5GTWGByuX0eZnTtRdts2lPnnHzhevw6jgwNSGjbEpZAQXHjqKUidzZQeHh6+S0pZ8LTc98vmivqA6h75cQHPW2f3yHxGo5R790r52WdqZsD8Vi4/P9X0++uvalyZKbL18+fVRCGvvqruYOaX1aiRamU7dar4ZZhRVFRUwX2pQ0LUfipuP+r7MRhUV52XXro1m1SjRmpCFUt2gXwUx46pO1J2dir299+X8vJly5UfGytlfpedhg0LnLFRNwwGefLFF1VLTZ06d4yntLjISNUFsUEDNf5Oj2JjVb16+WWtI7mlQwfd3t2UUoctbVKqyXgANdOtHuR3v33mGa0jub8vvlD7bOBA7Xp9ZGerrmqAaolPTNRn/ZJSDQcZNUqNtfPyUhNXWKpLm9GoeizkD/eoXl21tFl69t2iOn5c9ZQpWVLF3by5Gjpirm6vBoMaVzds2K3umhUqqO7JBw9KKXV6/MqXmalm5ezX79Z1mpubmgRu2jTVBdwUv9XUVDWm7r331N8kfx6GcuWkfOEFdX1qrmtRE4EFJyKZf9dz/rC1iUjOn1cJSI8ed06X6u2tBkGPHKkmu1i1Sso9e1Tz+dWr6qCYnq6asg8fVj++33+XcuxY1ZUjMPDWttzcVL/8H36wjkkrbrjngJGQoCYdqF5d3pwutmNHNWvcyZPFu3DLzFTT0L/1lkqgAXUhMXiwvhOP+zl8WB3MhFAngbFjVVcyczl6VMr+/dV+yz9J66k70X1ERUWphKlsWbWf/vzT8kH8+acal1i/vm77xN/01lvqb7xli9aRqPpsb6+mHdcpXV70nD6t/oaff651JOqY3bmzGgulVbf8wvq//1P7rU8fy49BOntWDV8A1G/wRgKky/p1uz17VIIJqC7pixaZL3nKzVXjgYOD5c2JMubM0X5oRVFduybl9Olqf+Vf53Tvrr5LcWeMvnZNdTUcNkwlaIAa4/388+o8eNe+0n39ypeRIeWyZeqmRv5+A9RxpU0bdR09fbqUK1aorsXx8bdmO09JUeeSEyfUEJi5c1WjyrPPqmvN/MYCBwf1G/zgAzUzqBXVK0slbWMAXAFQ8rbn3oYtT/lvMEh56JBK0l54QQ1SdXW9VQEL87C3VwNte/ZUfd23bdPnINdCuO8Bw2hU/eXffvvOH2iFCiphnThRyr/+UutMXb1654/LYFA/0F27pFywQA2qbdtWDaIG1MVz167qh2sL66EdOHBr1kQnJ1Wvdu0y3fZjY9U+F0Ltw/ff1/1dp9vdrGPx8bdavkeOtNxMVTNnqpNCy5amWR7C3NLS1Cx1depoPxh8+nT19/r3X23jeADdXvS0aKFmCNbawoXqbzh1qtaRFE7+Ehjt2lnu/LBhg7oR5u6u9tdtdFu/bmc0qkShdm15c7bGH380Xa+VS5fUWPz8G9VPPKFuhFvBTcMHMhql3L5dnY/yE6z8/TdsmLpZvXGjumF9+4RVRqPat3FxUq5bp27WDx+uWh7zExB3d3XenjPngfXYKupXQU6dUq2Uw4erie3yZ6MsyqNyZZUsf/yxWqJAT2Nti+hBSZspx7SVBnAIwAEAXwCoAmAKgKlSyrEP+mxwcLCMjY01SRyaMxqBM2eAxETgwgUgKUmtLm8wqIe7O1CmjHoEBABVqwJm7tNrKRs3bkRYWNjD33j0KLBhA7B1q3rExd37HhcXIC8PyM2983kHB6B2bSA8HGjbFmjdGvDwMEX4+nLkCDB9OjB7NpCerr5z375Anz7AE08UbVuJicDixcCsWcCuXYCnJzB8OPDGG4COxvkVxh11LCcHePtttZ9atADmzQMCA81TsNEIfPYZMG4c0L49sGQJoKMxbA+0bBnw9NPAl18C77yjXRytWgHXrwP792sXw0MU+hhmadOnq9/rgQPqWKCF1FSgZk2gQgXgn380HytWaLNnAy++CDRoAPzvf0D58uYpJzdXHSPGjweqVwf++kvtr9votn4VxGAAFiwApkwBdu8GypUDnntOnYeaNy/amP7UVGDtWmDuXGDFCnVuDwkBRo8Guna1nrpUWEYjsG8fsH69euzYAVy9eud77OwAZ2dVb/Ly7nzNzU3t41atgNBQ9XB2fmixVlW/HkRKde186hRw7hxw7Zo6d2RmAiVKqIerK+DrC/j7q4e1nI8LQQhx3zFtJkvabhRUC8AMAC0ApAD4BWoZAMODPmdTSdtj7JEPGKmpwIkT6nHunPpxpqcDjo4qofXyUj/OypXVSdBGktxCSUkB/vtfdfLcskU9V6mSSlYbNgSqVbt1wHJ0VPsyOVklxv/+C2zerP4LqPe/8AIwaJBK3KxQgXVs4UJg6FB1oP/qK+Cll0w7SVBamtpvixcDAwYAP/9cqBOornTvri6aDh1S9cfS4uLU73fCBGDMGMuXX0i6vehJSgIqVlT77v/+T5sYXn8d+P57dQEaXPAYed1asQLo3RsoVUodL0JCTLv9o0fVsWHnTnWsmD4dKGAyCN3WrweRUp1HvvlG7cfsbHVODg1ViUVQkPptu7mp42JaGnD5srrg3r9fJfjbt6sksEIF4Pnn1b6qV0/rb2Y5UgIJCer4m5ioHpmZal86Oqq6Uras2pdBQep65xEmX7HK+kX3eFDSZrLukcV5WG33SLqD1TbNW4szZ1QXs1691BjKh3UXcHeXMiJCDcrXcZe0orhvHYuLU98VUJNdmGrSni1b1FgLOzspv/pKtxNoPFR8vOq63aWLNt8hfzINa5hMSa/atdNuoe0dO1SX6hEjLF+2qezbp4Yi2NurJX1M0V04O1vVbRcXNcb9IUts6Lp+FUZqqhqL/8ILd47Dv9/DxUV1d/vgA9U10Nq7QOqc1dcvklI+uHukg2XzRyJ6ZP7+6m7366+rU2JyMnDsGHD+vGqZzMlRLWilS6u7dZUqmXdZCj2pVEm1JP3wA/Duu0CNGsDIkcAHHzxaq2Jysurq9M03atsbNgBt2pg+bksJCAA++UR1j1y6VLW8WYqUqrW4eXN1R54ezXPPAUOGqFYLS06zn5cHvPyyaiXRqpXPFOrVA2JjVav8Bx8Af/wB/Pgj0KxZ0bdlNKpux++/r1rZuncHvv1WtYbaMg8PoH9/9QBUl7+TJ4H4eNVylJWlWo3KlQP8/NR5yNa6PhJpiEkbkTUSQp0Yy5XTOhL9sLMDXntNjd/6z3/UGK7vv1fjWV5/XY0ffZikJHUh99VXqpvusGHApEkFdnWyOm++CcyZA4wYAbRrp8bXWsKOHWos1k8/WaY8W9Wrl/rb/fKLZZO26dOBvXuBRYusf/ywp6f6HkuWqGNC8+bAk0+qbqetWj38Jtf166qb9OTJqk4/8QSwciXQubNl4teb0qVVV1lr6y5LZKWYtBGRbfH1VZOujBypEq4ZM4CpU9Wd9i5d1AVG5crqAjQrS4012LdPtdRt2KBaFrp3V60KWk36YA6OjqolslUrNaHK5MmWKfenn9R4l759LVOerSpZEujXT0248/XXlkmgzp4FPvxQJTY9e5q/PEvp0UNNZDV9ujo2hIaqBKxrV5XIVamixr/l5anxRwcOAJs2qQQtM1O15P/+u6rTDryMIiLL4NGGiGxTgwaqW94XXwDz5wPLlwMTJ6quTQWpWRMYNUp1QatRw7KxWkrLlmqilqlT1WQA9eubt7zUVNUN7bnnbKO1UmsvvaRa2ubNA155xfzlvfGG+r18+63tdbX28FAt8iNHquPDH3+o7zllSsHv9/UFBg9WdblFi0eaKIKIqDiYtBGRbfPzU2O53nlHzWx26NCtaYRdXNSyB7VqAd7eWkdqGRMnqu5hr7yiltww58Xn7NlARobqZkrF16SJSrS//VaNMzNnIrVkCfD336q+mGspDT1wc1Pj3IYOVbP5HTyolu1JTVWtaBUqqFY4f3+tIyWixxyTNiJ6fLi7A02bqsfjqkwZNWbvhRdUq425EiqDQbXotWihkg0qPiHU2MQhQ4B169SageZw9aoaH9qgAfDWW+YpQ4+cnYFGjdSDiEhn2L5PRPS4GTBAzYb53nvAxYvmKePvv4HTp9UCumQ6zz2nFoj+6ivzlTF6NHDpEjBzphoLSUREmmPSRkT0uBFCTUqSnq7G8ZmalGoSmCpVLLu8wOPA2VnNfBgZqRYvNrW1a4HfflNLZzRsaPrtExHRI2HSRkT0OKpRQ61XNW+emgbdlFatUlP9v/su12kyh9deUxNpfPyxabebv8xFtWrARx+ZdttERFQsTNqIiB5X//mPGm/28stq6QNTMBqBsWNVK9uQIabZJt2pdGng7bdVF9SdO0233eHD1SQcv/4KlChhuu0SEVGxMWkjInpcOToCc+eqWfMGD77/cghFsXAhsGePagXieCjzGTkSKFdOjUuUsvjb+/139fjwQyAkpPjbIyIik2LSRkT0OKtWTc3yuHatWnS7OFJT1WyDjRoBzz9vkvDoPkqWBD75BIiKAhYsKN62jh1TXS5DQ1UrKRER6Q6TNiKix93QocCLLwKffQb8+eejb2fsWODCBTXJCceymd/LL6vuraNGqWn6H0VKCvD004CTk1qM3oErARER6RGTNiKix50QasHmFi3U+m27dxd9GytWADNmqHFRXJfNMuztVYKcnKyS7qJ2k8zJAZ59Fjh5Eli8mAtIExHpGJM2IiJSU8n/9ZcaJ9WhA/Dvv4X/7OnTau23Bg3UVP9kOY0aAV98ASxZAkyZUvjP5eYCffuqbrE//qjW7SMiIt1i0kZEREqFCsCGDWrmwPBwYPPmh3/mzBmgbVvVyrNoEWcd1MKoUUDPnmpGyZkzH/7+a9fU+nlLlgDTp6tJaIiISNeYtBER0S1VqwKbNqkWt3btgC+/VK0yBdmyRU1eceUKsGYNEBRk2VhJEULNAtqpkxqfOG4ckJdX8Hu3bweaN1d/rx9/VAt1ExGR7jFpIyKiO1WtCsTEAE89paaUr1cPmDYN2LsXiItTF/z9+6sudQ4OwPr1HMemtRIlVMtZ//5qVsmGDYGffgIOHFBj1pYtA3r3Blq2VItoR0aqhbSJiMgqcJooIiK6V+nSKgn4+29gwgS1LtjtPDyAN99UCULJktrESHcqUQKYMwfo1g349FM1u+TtypYF3n1XLarOvxkRkVVh0kZERPfXvbt6HDmiWm1SUoBKldRMk+7uWkdHBenVS41x27dP/d0yM1XrabNmasIZIiKyOkzaiIjo4WrUUA+yDkKo2TwbNNA6EiIiMgGOaSMiIiIiItIxJm1EREREREQ6xqSNiIiIiIhIx5i0ERERERER6RiTNiIiIiIiIh0TUkqtY4AQ4hKAeK3joGIrB+Cy1kGQTWMdI3Ni/SJzYv0ic2L9sg2VpJReBb2gi6SNbIMQIlZKGax1HGS7WMfInFi/yJxYv8icWL9sH7tHEhERERER6RiTNiIiIiIiIh1j0kam9JPWAZDNYx0jc2L9InNi/SJzYv2ycRzTRkREREREpGNsaSMiIiIiItIxJm1kdkKIN4UQUgjxp9axkPUTQngIIT4RQvwjhEgVQlwQQiwRQlTTOjayPkKIWkKI9UKIDCFEohDiUyGEvdZxkfUTQvQWQiwTQiQIIdKEELuEEP20jotskxDC90Y9k0IId63jIdNj0kZmJYTwBjAOwCWNQyHbEQDgJQCRAHoBeBlABQA7hBD+WgZG1kUIURrAOgASwNMAPgUwGsAnWsZFNuMtAGkARgHoBiAKwDwhxAhNoyJbNQmqvpGN4pg2MishxK8AnAD4A7gspeylcUhk5YQQbgCMUsrM254rA+AMgElSSl5wU6EIIcYAeBdqMdNrN557F+pGU/n854gehRCinJTy8l3PzQPQQkpZWaOwyAYJIVoD+BvABKjkraSUkgmcjWFLG5mNEKIpgGcBvK91LGQ7pJTptydsN567AiAeQEVtoiIr1RlA5F3J2QIALgDaaBMS2Yq7E7Yb9oDHKTKhG925p0P1FCiozpGNYNJGZiGEEFAHkS+llAlax0O2TQjhBSAIwDGtYyGrUgPAkdufkFKeAZBx4zUiU2sBHqfItF4B4AzgW60DIfNy0DoAslmDAfgAmKx1IPRY+AqqL/8sjeMg61IaQEoBz1+98RqRyQgh2gLoDmCI1rGQbRBClAXwGYD+Uspcdb+cbBWTNioUIYQn1GQPDySlPHLjvZ8DGHF3NzaighSlfhXw2VcB9AfQU0qZbIbwiIiKRQgRCGAegKVSylmaBkO2ZDyA7VLKlVoHQubHpI0KqzeAnwvxPgHgA6hJIdYIIUrdeN4BgOONf1+XUhrMEyZZqaLUr1v/EKIbVDfc96SUS8wRGNm0qwA8C3i+9I3XiIrtxkRJq6DG3T6vcThkI4QQtaFabVvfdq3leuO/nkIIA2+c2xbOHkkmJ4T4G2r67PsJlVJusVQ8ZJuEEK0ArAUwU0r5utbxkPURQmwGkCCl7Hfbc/5QN526SSmXaxYc2QQhhCvUshI+ULNGXtQ4JLIRQojuAB50s/JXKeVQS8VD5seWNjKHsQCm3vXcVACpAD4G8K/FIyKbcuMO43IAqwG8oXE4ZL1WAXhHCFFSSnn9xnN9AGQC2KRdWGQLhBAOABYBeAJASyZsZGJbAITf9VwnAO8BeBLAKYtHRGbFljayCCHERnCdNjKBGwu274JaEHkggKzbXr4mpTykSWBkdW4srn0IwAEAXwCoAmAKgKlSyrFaxkbWTwjxE4CXALwJ4J+7Xt4jpcy2fFRky4QQgwD8Bq7TZpPY0kZE1qYWAL8b/x9112ubAIRZNBqyWlLKqzdm9JsB1XKbAuBrqMW1iYqrw43/TivgtcoA4iwXChFZO7a0ERERERER6RgX1yYiIiIiItIxJm1EREREREQ6xqSNiIiIiIhIx5i0ERERERER6RiTNiIiIiIiIh1j0kZERERERKRjTNqIiOixIIQYJ4S4fJ/XZgkhYi0dExERUWEwaSMiIiIiItIxJm1EREREREQ6xqSNiIjoNkKIOCGELOAxTuvYiIjo8eSgdQBERESWJIQo6Nwnbvv/HgCcb/t3OIAJAI6ZMy4iIqL7YdJGRESPk7IAcu/z2i4AkFLuyX9CCFEJwFsAZkkp55k/PCIionsJKaXWMaBcuXIyMDBQ6zComNLT0+Hm5qZ1GGTDWMfInFi/yJxYv8icWL9sw65duy5LKb0Keq1QLW1CiCAA7wBoAaA2gGgpZdhd7xEAxgB4FUA5ADsBvCGl3Puw7QcGBiI2ljMtW7uNGzciLCxM6zDIhrGOkTmxfpE5sX6RObF+2QYhRPz9XivsRCS1ATwJ4Cju36f/fQAfAvgCQFcAaQDjSgPFAAAgAElEQVTWCSHKFz5UIiIiIiIiul1hk7blUkp/KWVvAAfvflEIUQIqaftcSjlDSrkOQG8AEsDrJouWiIiIiIjoMVOopE1KaXzIW1oC8ACw8LbPpANYDqDzI0dHRERERET0mDPVOm01ABgAHL/r+cM3XiMiIiIiIqJHYKop/0sDSJNSGu56/ioAVyGEk5Qyx0RlERERmcSVzCtYdHARDl8+jNQLqSiZWBKNKzbWOiwiIqI7aLZOmxBiGIBhAODj44ONGzdqFQqZSFpaGv+OZFasY2RKqy+sxvQT05FhyICLvQuyDFmY9fMstC7XGm9XexslHUtqHSLZEB6/bIu9vT3c3NygJk/XnoeHB/bs2fPwN5JmpJRIT0+HwXB3G1fhmCppuwrAXQhhf1drW2kAGQW1skkpfwLwEwAEBwdLTlNq/TjdLJkb6xiZgpQSE6In4IujXyA8MBxTOk5BfZ/6+N+6/2Gf8z58uulTvH/8fUQPjkYZlzJah0s2gscv23Ht2jUkJSXB19cXLi4uukjcrl+/jpIleaNJr6SUyMzMREJCAnx8fODh4VHkbZhqTNsRAPYAgu56vsaN14iIiHThp10/YWzUWPSv1x+R/SPRoHwDCCFQ0rEkxrYei9X9V+PElRPoNr8bMnMztQ6XiHTm4sWL8PX1haurqy4SNtI/IQRcXV3h6+uLixcvPtI2TJW0bQNwDWqa//zgXKHWa1tlojKIiIiKZff53Xhj9RvoFNQJs7vPhqO94z3viagcgbk95mLb2W14d+27GkRJRHqWm5sLFxcXrcMgK+Ti4oLc3NxH+myhukfeSMCevPFPXwAeQoheN/69UkqZIYSYCOBDIcRVqNa1t6CSwumPFBkREZEJ5Rpy0X9xf3i7eeP3Hr/DTtz/vmXv2r2x5cwWfPPPN+hduzdaV2ptwUiJSO/YwkaPojj1prBj2rwBLLrrufx/VwYQB2AiVJI2BkBZALEA2kspkx45OiIiIhOZ8c8MHL58GMv7LUc513IPff+EthOw/NhyDF02FAdfO1hgqxwREZElFHZx7TgppbjPI+7Ge6SUcryU0k9K6SKlDJVSchobIiLS3MX0ixi3aRw6B3XGU088VajPuDm54ZvO3+D4leP4dc+vZo6QiEgblStXhhACJ06cMFsZX3755SPPnhoWFoZevXo99H1GoxG//PILWrZsCQ8PD5QoUQJ16tTBxx9/jJSUFAwaNAhCiAc+Bg0aBAAIDAws8PW5c+c+dBtCCLPMFKvZlP9ERESWMmnrJKTlpOHrjl8XqXvKU088hVb+rfDppk8xsP5AuDq6mjFKIiLLiomJQVxcHABg/vz5+PDDD81SzpdffonXX3/dbDOoGo1G9OnTB8uXL8fw4cPx0UcfwdnZGXv27MH06dNx7do1fPjhh3jllVdufuaDDz5ASkoKvvvuu5vPeXl53fz/5557DiNGjLijnKCgIMTExNz8d2ZmJiIiIjB27Fg89dStG4K1atUy+Xdk0kZERDYtOSMZ38d+j351+qF6uepF+qwQAhPbTUTob6H4MfZHjGoxykxREhFZ3vz58+Hm5oY6deqYNWkzt2+//RaLFy9GZGQk2rVrd/P58PBwvPbaa9i6dSuqVq2KqlWr3nytTJkyMBqNaN68eYHbrFChQoGvlSt3q3t9WloaAKBq1ar33Y6pmGr2SCIiIl2atmMa0nPTMSZkzCN9PiQgBG0qtcG0HdOQZ8wzcXRERNowGAxYuHAhunXrhiFDhuDw4cPYt2/fPe/bvHkzwsPD4e7uDk9PT4SFhd1cyHvcuHF3JDH5hBCYMWMGANXVMDk5GZ988sk93Qe/+uorNGnSBJ6envDx8UHXrl0fqZvm119/je7du9+RsOUrUaIE2rZtW+Rt6g2TNiIisllZeVn4bud3eLr606jtXfuRtzOq+SjEp8ZjyeElJoyOiEg7UVFRSEpKQt++fdGrVy84Ojpi/vz5d7xn48aNaNu2LRwdHTF79mz88ccfCA0NRUJCQqHLWbJkCTw9PfHiiy8iJiYGMTExaNSoEQDg3LlzeP3117F06VL8/PPPMBgMaNmyJVJTUwu9/bNnz+L06dPo1KlToT9TGFJK5OXl3XwYDAaTbr+o2D2SiIhs1qKDi5CcmYw3mr1RrO10qdYFQWWCMGX7FPSu3fvhHyAi0rn58+ejVKlS6NSpE5ycnNChQwcsWLAAn3/++c2xv2PGjEH9+vURGRl587miJkcNGzaEg4MD/Pz87ulC+PXXX9/8f4PBgPbt28Pb2xtLly7FwIEDC7X9/AQyICCgSHE9zJQpUzBlypSb//b19cW5c+dMWkZRMGkjIiKb9V3sd6hetjrCA8OLtR17O3u83uR1jIwciX0X9qF++fomipCIbMHI1SOx98JeTcpuUL4BPmv1WZE+k5OTg8WLF6NHjx5wcnICAPTt2xcDBgxATEwMWrZsifT0dOzYsQPTpk0z27p027dvx4cffojdu3fjypUrN58/duxYkbdl6hj79++PN9988+a/8/eTVtg9koiIbNLeC3ux/dx2vBL8iklO5v3r9YeTvRNm7plpguiIiLSzatUqpKSk4Mknn0RKSgpSUlIQFhYGZ2fnm10kr169CiklKlSoYJYYzpw5gw4dOkBKiR9//BFbt27Fzp074e3tjaysrEJvx9fX9+b2TMnHxwfBwcE3H/Xq1TPp9ouKLW1ERGSTZu2dBSd7JwysX7guNg9T1rUsutfojrn/zsWX7b+Es4OzSbZLRNZvaqepmpZ//fr1Ir0/PzHr3fve7t6LFi3C1KlTUbp0adjZ2eH8+fP33U6JEiWQk5Nzx3NXr14tVAyrV69GRkYGli5dCjc3NwBAXl7eHS1uheHv748qVaogMjISQ4cOLdJnrQlb2oiIyObkGfMw/8B8dK3WFWVcyphsuy82fBFXMq/g7yN/m2ybRESWlJ6ejuXLl6Nfv36Iioq64zFlyhQkJSVhw4YNcHNzQ7NmzTBnzhxIKQvclp+fH65fv37HxCRr1qy5531OTk73tJ5lZmbCzs4ODg632pAWLlyIvLyiz9I7cuRILF68GFFRUfe8lpWVhQ0bNhR5m3rDljYiIrI5a06uwcX0i+hfr79Jt9uuSjv4lvTFvAPz0KdOH5Num4jIEpYuXYqMjAy8+eabaNas2R2vtWrVCuPHj8f8+fPRvn17TJw4Ee3atUPnzp0xbNgwuLm5ISYmBsHBwejSpQs6deoEFxcXDBkyBKNHj8bp06fxww8/3FNmjRo1sGLFCnTq1Anu7u6oXr06IiIiYDAYMHjwYLz44os4ePAgJk+ejFKlShX5Ow0fPhybN2/Gk08+ieHDh6N9+/ZwcnLCvn37MGPGDHTt2hURERGPvM/0gC1tRERkc37f/zvKuJTBk088adLt2gk79KndB6uOr8LVzMJ1ASIi0pP58+fjiSeeuCdhAwBHR0c8++yzWLx4MbKzs9G6dWusXbsWGRkZ6N+/P/r06YNNmzbBz88PgFpo+q+//sK5c+fQvXt3zJ07F/Pmzbtnu5MmTYKbmxueeuopNGnSBLt27ULdunUxa9Ys7NixA126dMG8efOwaNEieHp6Fvk72dnZ4Y8//sD06dOxZcsW9OzZE507d8avv/6KAQMGYNy4cUXept6I+zV3WlJwcLCMjY3VOgwqpo0bNyIsLEzrMMiGsY5RYWTkZsBrkhcG1BuAH7rce8f3fgpbv3Ym7ETTX5piZreZGNxwcDEipccJj1+24/Dhw6hZs6bWYdzh+vXrKFmypNZhUCE8qP4IIXZJKYMLeo0tbUREZFPWnFyDjNwM9KrVyyzbD64YjCqlq2DBwQVm2T4REdHdmLQREZFNWXJkCUqXKI02ldqYZftCCPSp3QfrT61HckayWcogIiK6HZM2IiKyGbmGXCw7ugzdqneDo72j2crpUaMHDNKAlcdXmq0MIiKifEzaiIjIZmyM24iUrBQ8U/MZs5bTuGJjVCxZEUuPLjVrOURERACTNiIisiGLDy+Gm6Mb2ldpb9Zy7IQdulbritUnViMrL+vhHyAiIioGJm1ERGQTDEYDlhxZgs5PdIaLo4vZy3u6+tNIz01H1Ol7F3MlItumh9nXyfoUp94waSMiIpuwM3EnktKT0KNGD4uUF145HG6Oblh2dJlFyiMifXB0dERmZqbWYZAVyszMhKPjo423ZtJGREQ2YdXxVbATduhYtaNFyivhUAKdgjph2bFlMEqjRcokIu15e3sjISEBGRkZbHGjQpFSIiMjAwkJCfD29n6kbTiYOCYiIiJNrD65Gk19m6Ksa1mLldmtejf8dfgv7ErchSa+TSxWLhFpx8PDAwCQmJiI3NxcjaNRsrKyUKJECa3DoAdwdHSEj4/PzfpTVEzaiIjI6l1Kv4SdCTsxLmycRcvtHNQZAgKrT6xm0kb0GPHw8Hjki29z2LhxIxo2bKh1GGRG7B5JRERWb83JNZCQ6BzU2aLlerl5oVGFRlhzao1FyyUioscLkzYiIrJ6q06sgperFxpXbGzxsjtW7YiYszFIzUq1eNlERPR4YNJGRERWzSiNiDwZiY5BHWEnLH9a61C1AwzSgKg4Tv1PRETmwaSNiIis2q7EXbiccdniXSPztfBvAXcnd0SeiNSkfCIisn1M2oiIyKpFnoyEgECHqh00Kd/J3gkRlSM4ro2IiMyGSRsREVm1Dac3oEH5BijnWk6zGDpU6YBTV0/hxJUTmsVARES2i0kbERFZrczcTGw7uw0RlSM0jaNjkFrQm10kiYjIHJi0ERGR1Yo5F4NsQ7bmSVtQmSBULlUZ606v0zQOIiKyTUzaiIjIam04vQH2wh6hAaFah4LwwHBsjt8MozRqHQoREdkYJm1ERGS1NpzegKa+TVHSuaTWoSAsMAxXMq9gf9J+rUMhIiIbw6SNiIis0rXsa/gn4R+0rdxW61AAAOGVwwEAUae5XhsREZkWkzYiIrJK0fHRMEiD5uPZ8vl5+CGoTBA2xm/UOhQiIrIxTNqIiMgqbTi9Ac72zmjh30LrUG4KDwzHprhNMBgNWodCREQ2hEkbERFZpQ1xG9AqoBVKOJTQOpSbwgLDkJqdir0X9modChER2RAmbUREZHWSM5Kx98JeRATqo2tkvvDAG+Pa4jiujYiITIdJGxERWZ2NcRsBQDfj2fJVKFkB1ctWvxkfERGRKTBpIyIiq7MxbiPcHN0QXDFY61DuERYYhs3xm5FnzNM6FCIishFM2oiIyOpsObsFLfxbwNHeUetQ7hEeGI7rOdex+/xurUMhIiIbwaSNiIisSmpWKvZd2IcQ/xCtQylQWGAYAK7XRkREpsOkjYiIrErMuRhISIRWCtU6lAL5uPugZrma2BS/SetQiIjIRjBpIyIiqxIdHw0HOwc0822mdSj3FRoQim1nt3G9NiIiMgkmbUREZFW2nN2CRhUawc3JTetQ7iu0UihSs1Nx4OIBrUMhIiIbwKSNiIisRnZeNnac26Hb8Wz5QgJUfFvObNE4EiIisgVM2oiIyGrsOr8L2YZs3Y5ny1fJsxL8PPwQfSZa61CIiMgGMGkjIiKrER2vkqBW/q00juTBhBAICQhB9JloSCm1DoeIiKycyZI2IcQgIYQs4PGKqcogIqLH25azW1CjXA14uXlpHcpDhQaEIvF6IuJS4rQOhYiIrJyDGbYZASDztn+fMkMZRET0mDFKI7ae2YqeNXtqHUqh3D6urXLpyhpHQ0RE1swc3SN3Sim33/a4aIYyiIjoMXPo0iFczbqq+/Fs+ep414GnsyfHtRERUbFxTBsREVmF/PFs+S1Yemcn7NAqoBWTNiIiKjZzJG0nhRB5QoijQoiXzbB9IiJ6DG05uwUVS1ZE5VLW09UwNCAURy4fwaX0S1qHQkREVsyUSdt5AB8CGACgK4DtAH4QQowyYRlERPSYio6PRkhACIQQWodSaPmtglvPbtU4EiIismbCnFMRCyH+ANAOgJeU0njXa8MADAMAHx+fxgsWLDBbHGQZaWlpcHd31zoMsmGsY4+vpKwk9N3RF28EvYEevj3MUoY56leOMQddtnRBD98eeLXqqybdNlkXHr/InFi/bEN4ePguKWVwQa+ZY/bI2/0J4FkAgbhrFkkp5U8AfgKA4OBgGRYWZuZQyNw2btwI/h3JnFjHHl//3f9fAMDgtoPRoHwDs5RhrvrVLL4Z4gxxrLuPOR6/yJxYv2yfuScikXf9l4iIqMiiz0TDw9kDdb3rah1KkYUGhGL3+d1Iz0nXOhQiIrJS5k7aegG4DCDezOUQEZEN23JmC1r6t4S9nb3WoRRZSEAI8ox52JGwQ+tQiIjISpksaRNC/CWEeE8I0VkI0UUI8TuAPgA+vXs8GxERUWElZyTj4KWDCA2wjvXZ7tbSvyUEBLac2aJ1KEREZKVMOabtKIAhAPwBCACHAAyUUv5uwjKIiOgxs+3sNgDWsz7b3UqVKIW6PnW5XhsRET0yk7W0SSk/kFJWl1K6SildpJSNmbAREVFxRZ+JhpO9E5r6NtU6lEcWGhCKmLMxyDPmaR0KERFZIXOPaSMiIiqWLWe2ILhiMEo4lNA6lEcWEhCC9Nx07L2wV+tQiIjICjFpIyIi3crMzURsYixC/K2za2S+/PF40fHsIklEREXHpI2IiHTrn4R/kGvMRWgl65yEJJ+vhy8ql6qMLWc5GQkRERUdkzYiItKt/Mk7Wvq31DiS4gsJCEF0fDSk5NKlRERUNEzaiIhIt7ac2YI63nVQxqWM1qEUW2hAKC5lXMLxK8e1DoWIiKwMkzYiItIlg9GAbWe3Wf14tnz5SxZwXBsRERUVkzYiItKl/Un7cT3nutWPZ8tXo1wNlHMtx/XaiIioyJi0ERGRLuUnN9a6qPbdhBAICQjBljOcjISIiIqGSRsREenSljNbEOAZgADPAK1DMZkQ/xCcvHoS56+f1zoUIiKyIkzaiIhId6SUiD4TbTOtbPnyu3qytY2IiIqCSRsREenOyasncSHtgs1MQpKvYfmGcHV05bg2IiIqEiZtRESkO/kzLLau1FrjSEzL0d4Rzf2aM2kjIqIiYdJGRES6E30mGmVcyqCmV02tQzG50IBQ7E/aj9SsVK1DISIiK8GkjYiIdCd/PJudsL3TVEhACIzSiJhzMVqHQkREVsL2zoZERGTVLqRdwIkrJxAaYBvrs92tuV9z2At7TkZCRESFxqSNiIh0JX88m60mbe5O7mhUoRHHtRERUaExaSMiIl3ZHL8Zro6uaFShkdahmE1IQAh2nNuB7LxsrUMhIiIrwKSNiIh0JfpMNFr4tYCjvaPWoZhNaEAosg3Z2HV+l9ahEBGRFWDSRkREupGSlYL9SftttmtkvlYBrQDc6gpKRET0IEzaiIhIN7ad3QYJidBKtp20ebt5o3rZ6thylpOREBHRwzFpIyIi3YiOj4aDnQOa+zXXOhSzCw0IxdYzW2GURq1DISIinWPSRkREuhF9JhqNKzSGq6Or1qGYXUhACK5mXcXBiwe1DoWIiHSOSRsREelCZm4mdibutPnxbPnyu4ByvTYiInoYJm1ERKQL/yT8gxxDDlpXaq11KBZRuVRlVHCvwPXaiIjooZi0ERGRLuQnL/kzK9o6IQRCK4WypY2IiB6KSRsREelC9Jlo1PGugzIuZbQOxWJCA0Jx9tpZxKfEax0KERHpGJM2IiLSXK4hF9vObntsxrPly/++m+I3aRwJERHpGZM2IiLSXGxiLNJy0hBROULrUCyqrk9dlHEpg6i4KK1DISIiHWPSRkREmstPWsICw7QNxMLshB3CAsOw4fQGSCm1DoeIiHSKSRsREWluw+kNqOdTD+Vcy2kdisVFBEbgTOoZnE45rXUoRESkU0zaiIhIU9l52dh6divCA8O1DkUT4ZXV9446zS6SRERUMCZtRESkqe3ntiMrL+uxG8+Wr2a5mvBx88GGuA1ah0JERDrFpI2IiDQVFRcFO2H32CyqfTchBMIrhyPqdBTHtRERUYGYtBERkaY2nN6ARhUaoVSJUlqHopmIwAicTzuPo8lHtQ6FiIh0iEkbERFpJiM3A9vPbX9sx7Pl47g2IiJ6ECZtRESkma1ntiLXmPvYjmfLV7V0Vfh7+HNcGxERFchB6wCIiB53UkqcvHoSsYmxSLyeiOy8bFQoWQF1veuiYYWGsBO2e38tKi4KDnYOCAkI0ToUTeWPa1t5fCWM0mjTf/OEawnYmbgTp66eQnZeNrzcvFC9bHU082sGJ3snrcMjItIlJm1ERBpJzUrF97HfY9beWfcdy+Tt5o0XG76IEU1HoELJChaO0Pyi4qLQ1Lcp3J3ctQ5FcxGBEZizbw4OXjyIuj51tQ7HpIzSiD8O/IEZO2dg29ltBb7H3ckdz9R8Bm80fQONKza2cIRERPrGpI2IyMIMRgOm/zMd4zaOQ2p2KlpXao0RTUegVUArBJYKhJO9ExKvJ2L7ue346/Bf+GLrF/hmxzf4uM3HGNViFBzsbOPQnZKVgp0JO/F+yPtah6IL+V1E155aa1NJW2xiLF5c9iL2J+1HjXI1MCFiAiIqR6Ba2WpwdnDGxfSL2HthL1YcW4H5B+Zjzr456FmzJya1n4TKpStrHT4RkS7YxpmfiMhKHLx4EEOWDcE/Cf+gU1AnTIiYgIYVGt7zvqAyQQgqE4T+9frjxJUTeCvyLby77l2sOL4C83vOt4lWt/Wn1sMgDegU1EnrUHTB39MfNcvVROTJSLzV4i2twyk2KSW+2PoFxm4Yi/Lu5TG/53w8W/vZe7p+BpYKRGCpQHSv0R2TO0zGtB3T8OXWLxF5MhJTO07FkIZDIITQ6FsQEemD7XaaJyLSmQUHFqDJz01w+uppzO85HyufW1lgwna3oDJBWNZvGWZ3n42diTvR5OcmOHTpkAUiNq/Ik5HwcPZAM99mWoeiGx2rdsTm+M3IzM3UOpRiyTXk4oW/X8CY9WPQs1ZPHHjtAPrW6fvQsXqeJTzxUZuPcGj4ITSp2ARDlw9Fr0W9kJaTZqHIiYj0iUkbEZGZGaUR7659F/3+6ofGFRtj/6v70bdO3yK3HgysPxBbh2yFQRoQ+lso9l7Ya6aIzU9KidUnVqNt5bZwtHfUOhzd6BjUEVl5Wdgcv1nrUB5ZnjEPzy1+Dr/v/x2fhn2KBT0XFHkNvgDPAKwbuA5ftvsSfx/5GyEzQ3A29ayZIiYi0j8mbUREZpTf4jBp2yS8Fvwa1g9cj/Lu5R95ew3KN8DWIVvh6uiKTnM74eSVkyaM1nKOXD6Cs9fOomPVjlqHoiutK7WGs70zIk9Gah3KIzEYDRi4ZCD+PPQnpnSYgg/bfPjIXRvthB3eafUOVjy3AqdTTqPpL02xP2m/iSMmIrIOHNNGRCaTa8jF/qT92H1+NxKuJ+D89fMAAGcHZ/i4+aCmV03U96mPKqWrPBZjVLLystDnzz5YdnQZxkeMx5iQMSb53lVKV8Ga/msQ8lsIOsztgK1DthYrEdRCflLSMYhJ2+1cHV3RJrANVp9YjSkdp2gdTpGNXjMa8w/Mx8S2EzGqxSiTbLNTUCdsG7INHed2RPjscKwdsBaNKjQyybb17nr2dey5sAd7zu/BhbQLSM5MBgB4OnuivHt51PWpiwblG8DbzVvjSInI3Ji0EVGxXMu+hqVHlmLhoYXYcHoDMnIzAAACAl5uXrATdsjKy0JKVsrNz1QtXRVdqnXBoAaD0KB8A61CN6uM3Ax0m98N60+vx4zOMzC86XCTbr+mV02sfG4lIuZEoMcfPbBp0CarWuNq9YnVqF62OgJLBWodiu50rNoRo9eMxtnUs/D39Nc6nEL7bc9vmLZjGkY2G4n3Qt4z6bZre9fG5sGbETE7AhGzIxDZPxLN/GxzLOTljMtYdHARFh5aiM3xm2GURgCAo50jyrqWBaBmXs3Ky7r5mQblG6Brta7oV6cfanrV1CRuIjIvJm1ERWDri94WxdHLR/HNjm8we99spOemw9/DHy82fBGt/FuhqW9T+Hn43TFWKS0nDUcvH8X2c9ux8sRK/BD7A6btmIaW/i3xdou30b1Gd5tpfcvOy8YzfzyDDac3YHb32RhYf6BZymnm1wyzu89G70W9MTpyNKY/Od0s5ZhaZm4mNsVvwrBGw7QORZc6Vu2I0RiNyJORGNpoqNbhFErM2Ri8suIVtK/SHpM6TDJLGVVKV8GmQZsQMScC7X5vh7UD1qK5X3OzlKWFk1dO4quYr/Db3t+QlZeFGuVqYEzIGLT0b4ngisHwcvW64xh5JfMK9l3Yhx0JO7Di+AqMjx6PzzZ/hjaV2uD1pq/jmZrP8HxFZEOYtBHdxWA0YH/SfmyO34z9SftxNPko4lPjkZKVgrScNNgJOzjbO8PLzQuVPCvhiTJPILhiMJr5NUOD8g1s/iR54soJfBT1ERYcWABHe0c8V/c5DGs0DM38mj3wu7s7uaNxxcZoXLExhjcdjquZVzFr7yx8u/NbPLPwGTT1bYov2n2BsMAwy30ZM8gz5qHfX/0QeTISv3b71WwJW75etXrhreZvYcr2KWju1xzP13verOWZwqb4TcjKy2LXyPuo5VULfh5+WHl8pVUkbVcyr+DZP5+Fv4c/FvRaYNZ1BCuVqoTNgzajzaw26Pzfztg0aBPq+dQzW3mWkJyRjE82fYLvY7+HnbDDgHoDMKLpCNTzqffAG1llXMogvHI4wiuH4/2Q93Ex/SJm7Z2FH3f9iN6LeqOOdx183ObjxyJ5u5h+ERvjNmLP+T3Yf3E/Tl89jYvpF3E16yqklLATdijrWhY+bj6oWqYq6nrXRaMKjRAWGFbkSXKItGLSI6sQohaA6QBaAEgB8AuAT6SUBlOWQ0WXkpWCo5ePIi4lDvGp8biUfgmZeZnIzM2EhISnsyc8nD3g7eaNamWroVrZavD39Lf5A32+1KxULD+2HH8e+hNRcVG4ljc9/iMAACAASURBVH0NAODj5oPq5aqjbeW2KONSBh7OHsgz5iErLwtJ6UmIT4nHsmPLMHPvTACAt5s3Ogd1Rp/afdC+anubWQQZAC6lX8JHUR/hlz2/wNHOEe+2ehejmo+Cj7vPI22vtEtpjGoxCiOajcCcfXPw8caPET47HAPrD8Tk9pPh5eZl4m9gfkZpxKC/B2HJkSWY1mkahjQcYpFyJ7abiJ2JO/HKilfQwr8FqpSuYpFyH9XSI0vh5uh2czFpupMQAl2rdcWcfXOQlZeFEg4ltA7pvqSUePl/LyMpLQkxL8agjEsZs5fp6+GLdQPXIWRmCNr/3h7Rg6NRrWw1s5dralJKzNo7C2+teQvXsq9hWKNh+KjNR4+8BqO3mzfebfUuRrcYjYUHF+LTzZ+i96LeaFC+Ab7p9A1CK4Wa+Bto6+SVk5h/YD7+PPQn9iXtA6C6kNb0qolaXrUQHhiO0i6lISBgkAYkZyTjfNp5HLl8BMuOLrvZcya4YjA6Vu2IvnX6opZXLY2/leWkZKXgWPIxHEs+hjOpZ5CalYrU7NSbxxwXBxd4OHsgwDMAlUpVQtXSVRFYKtBmesRYI5NdUQohSgNYB+AQgKcBVAXwFdQMlWNNVQ49nJQSx5KPYc3JNdh2bhtiE2Nx4sqJO97j4uACF0cXuDi4AFDjkq7nXL/jPe5O7mjm2wyt/FuhdaXWCK0UalVjZh5GSomouCjM+GcGVhxfgRxDDvw8/NCvTj+0qdQGoZVC4efhV6jtxKfGY8uZLVh5fCWWHV2G2ftmo4J7BQyoNwAvB7+s+4voBzEYDfh598/4YP0HuJ5zHS83fhn/Cf2PyRZ3drBzwJCGQ9CvTj+Mjx6PL7d+iRXHVuCnrj/hmZrPmKQMS5BS4rUVr+G///4X4yPG441mb1isbEd7R8x9Zi7qfl8XA5cMxKZBm2BvZ2+x8otCSollx5ahY1BHXScjWnu6+tP4PvZ7rD+1Hk9Ve0rrcO5r5p6Z+PPQn/ii3RdoXLGxxcoNLBWIdQPXofVvrdFuTjtED45GpVKVLFZ+cZ27dg7Dlg/DqhOrEBoQiu+f+h61vWubZNv2dvboV7cfnq39LBYcWIAx68eg9azWeK7uc/iy3Zfw9fA1STlayM7LxoIDC/DDrh+w/dx2AEAr/1YYHzEebSu3RcMKDQt1nZKZm4nYxFisO7UO606vu9m1tJ5PPTxf93kMbjDYKm8cPsix5GNYf2o9tp7diq1ntyIuJe6O153tneFZwhMlHEogKy8LmbmZSMtJg4S8+Z5SJUqhcYXGaOrbFG0rt0WrgFY8jluQkFI+/F2F2ZAQYwC8C6CSlPLajefeBTAOQPn85woSHBwsY2NjTRLH4yo7LxtrT63FsqPLsObkGsSnxgMA/D380cS3CYIrBKOOdx0ElgpEpVKV4OHscc82DEYDktKTcDz5OI5fOY69F/Zi69mt2J+0H0ZpREmnkugU1AlPV38aT9d4Gu5O7nd8fuPGjQgLC7PE1y2WjNwMzN0/F9/s+AYHLx1EOddyGFBvAHrX6v3QLn6FkWPIwYpjKzBr3yysOLYCEhI9a/bE6BajrW7g/K7EXXh1xavYmbgT4YHh+PbJb80+yP3gxYMYtHQQYhNjMbThUEztNBVuTm4A9FvHpJR4e83bmLJ9CsaEjMGEthM0ieP3fb9j4N8DMbHtRJNPBGEqsYmxaPJzE8x6ehZeaPCC1uHcQU/1KzsvG16TvNC3Tl/81PUnrcMp0JnUM6j1bS0082uGtQPWatIzY++FvQibFQYvNy9ED47W9Syq+fVr0cFFeGn5S8g15mJi24kY3nS4Wfddek46Jm6ZiEnbJsHBzgEftfkIo5qPsqr1EZMzkjHjnxn4LvY7XEy/iJrlamJQg0HoW6cvAjwDir39C2kXsOjgIsw7MA/bz22Hk70TetfqjeFNhqO5X3OraF26+/glpcSOhB3489CfWH5sOY4lHwMAlHcvj5CAEDSt2BTVy1VHtbLVEFgqsMDkK9eQi4TrCYhLicPx5OPYdX4XYhNjsS9pH/KMeXBxcEFYYBg6BXVCz5o9rfqGgF4IIXZJKYMLfFFKaZIHgM0AFtz1XAAACaDrgz7buHFjSUWXnZctVxxbIV9Y8oL0/NxTYhykx+cesseCHvL7nd/Lk1dOmqSc1KxUufTIUjl06VBZfnJ5iXGQruNd5fN/PS9XH18tcw25Ukopo6KiTFKeuZy+elq+s+YdWXpiaYlxkA1/aCh/2/ObzMzNNFuZCdcS5Ptr37/594mYHSG3ntlqtvJMJSUzRb72v9ekGCdk+cnl5X/3/1cajUaLlZ+dly3fX/u+FOOErDa9mtx/Yb+UUr917OOojyXGQY5YOcKi++luRqNR9vyjp3T81FEeSDqgWRwPMnb9WGn3iZ28lH5J61Duobf61Xthb1l+cnlpMBq0DqVA3eZ3k67jXWXc1ThN49h2Zpt0G+8m635XVyZnJGsay4OsWb9GvrnqTYlxkM1+biZPJJ+waPknr5yUXed1lRgHWee7OjI6Ptqi5T+KKxlX5Nj1Y2XJCSUlxkE+9d+n5JoTa8x6nD108ZAcsXKE9PjcQ2IcZIMfGsiZu2ea9VrBFPKPXwnXEuTE6ImyxowaEuMgHT91lB1+7yCn75guTySfMMm+u559XS4/ulyOWDlCVpteTWIcJMZBtvq1lZwaM1WeSz1X7DIeVwBi5f1yrfu9UNQHgIsAxhXwfDqAdx70WT0mbYnXEjU/ERUkJy9Hrjq+Sg7+e7AsNbGUxDjIUhNLyUF/D5Irj62U2XnZZi3fYDTI6PhoOWzZsJvll59cXo6OHC1n/m+mWct+FEajUUadjpI9FvSQdp/YSftP7GXvhb3l/7d333Fdlf0fx18XMgRFEDBQceMeWKm5R965yp2jsszK1t0uM9MS7c6Go/yZWZpp3Wqaq1Kz3JorTXOnphKKewGCIOv6/YF6G6mZ8h3g+/l4+FDP+X7P9QYuzvd8zriun2J+curBdUJKgh2+eri9Zegtlihs60mt7S8Hf3Fa+9cqMzPTTt061YYNC7Megzzss98/a+OS41yWZ2n0Ult0WFHr+x9fO3nLZLc7qLbW2nd/etcShX3km0fc4uD6WOIxG/xesK33WT23yJNdjTE1bOMJjV0d47LcrX9N2jzJEoVde2Ctq6P8xezfZluisO+vfN/VUay11i7au8h6v+Vta42t5dJ91pUciD9gq46oaonCPvf9cw7/rL6ab3d+a0t+UNIShe31TS+3PIESlxxnBy0bdPGEZ5evuzj9RNSZc2fsJ+s/sVVHZ/3cQt4Psf0X93fLgiQ5Ldm+Oe1N23pSa+sxyMMShW34eUP72YbPbHxKvMPb33l8p31r+Vu2+sfV/1TAjVw70h5MOOjw9v+ppNQku+nwJlfHuCxnFW1pwAuXWR4LDLnae92xaBuweIAlClv94+r29UWv2zUH1tj0jHSXZEnLSLML9iywj337mA16L8gShQ14J8D2nN3Tzts9z2U7/5S0FDtzx0zb/qv21nOwpyUKW3tsbfvxuo/tqbOnXJLpgqTUJDtuw7iLO5Dg94Jtv0X97P64/S7NlXgu0b7707sXf44dpnawm49sdmmmC/ae2mtb/relJQp7+6e3u01RefjMYdvo80aWKGzHcR1derCT3ci1Iy1R2Ptn3u+y/cPlfLHpC0sU9uN1H7s6yp/sO7XPEoUdtmqYq6NclrsVbSfPnrT5BuWz/Rb1c3WUP0lISbDhI8Jt9Y+r29T0VFfHuWjurrnWa7CXrftZXaccqF6rBXsW2JD3Q6zvW7522rZpro5jrc36LOq7sK/1HOxpg94Lsp9t+MwtTvIkpCTYt1e8ffGOmI5TO7r8MzIzM9Mu3rfYtvuqnTVRxnoO9rTdZ3S3q/evdvmdFesPrrdPz3364vcrfES47b+4v919YrfLcmUv4EyUsY0+b2Q/+vkje/jMYZflOnLmiP184+e249SO1u9tPxs6NNQt+nx2VyvacvKZtrTzV9Q+zLY8FvjSWvt6tuWPA48DhIaG3j516tQcyZFTDiYfZNWJVaw+uZqt8VvJJJNAr0DqBtWlXnA9ahWuhZ+nn8PaT85IZuPpjaw5tYaVJ1YSnxaPXz4/GgQ3oGmRptQKqoW3h/sMChKXGse8A/NYcnoJ+5L24WW8aBjSkFZhrbi98O3kM84ZFGH/2f18d+g7fjz6I4npiZQrUI7O4Z25s8id+OTzcUqGa5GUnsSM2BlMj51OUkYSTUKa0LN0T8oUKOP0LKmZqUyPnc6XMV/iaTx5tMyjtC/W3mk/s2uRnpnOp/s+ZcbBGVQrVI2BVQYS4hPi0kxzD89l+O7hNAppxMAqA93q+2Wtpc+WPvx25jcm1p5IER/3eKB+RuwMRu8dzaQ6kyju637PPiQmJlKwYMG/f6ETvbT5JU6lnmJi7YmujnLR6D2jmXlwJqNqjqJqQM4MnpFTVp5YSdSOKKr4V+G9Gu/hm8/XZVkybSaT909mwh8TKOVXilfLvErlEPea+Do6KZoPf/+QLfFbqFqoKi+Vf4myBZ0/cFZyRjLfHPyGqQemkpCeQP3g+vQs1ZMK/u41Kuih5EPMPjib+Ufmk5SRRCX/SnQq3ommRZri5eGcZwSPnzvOoqOLWHB0AX+c/QNvD28ahjSkSUATGhRt4FafRfvP7mfZ8WUsO76M6KRoDIbIgEiaFGnCHUF3UNQ3ZwY0uxxrLfuS9rHm5BpWn1zNzjM7sVhCvENoENKARiGNqBlY062+XwDNmjW74jNtOVm0HQNGW2sHZVueRNZtk1ecbdPdByI5nXyaH/b8wJzdc5i/Zz5xKXF45/OmXng9GpZsSMOSDakXXo+A/AHX3caFkYzWxK5h4b6FrIhZQWpGKgW9C3JPhXvoVrUbrSJaufUoPcuWLaNJkyZsOrKJCZsmMHnrZE4lnyK8UDgP1XiIB2o8QOWQyjn+QG9cShyzf5vNl1u+ZNkfy/Dy8OLeKvfyVK2naFiyoVs/QHw6+TQfrP2AD9d+SGJqIl2rdmVgk4EOH+wDsnZoX2//mtcWv8YfcX/QuXJnRrYa6dYPEr/59ZsM3zOcQj6FmHbvNBqXauySHGM3jOXJuU/SKqIVs7vNxsfTfU4IXLD31F6qjalG64jWzOo2y9VxAKg/vj5JaUlsfnKzq6NcljsNRHLB6HWjeWb+M2x9aivVbqnm6jj8evhXao2rRe/bevPJPZ+4Os5lTd8+ne4zu9O4VGPm3T8PPy/HnWC9ktPJp3lw9oPM+30eD1R/gE/v+ZT1q9e7Xf+CrM+CLzZ/QZ+FfTidfJrn73ie/o37O2X6huS0ZD7d8CnvrHyHY0nHaBXRikFNB1GneB2Ht30jElMT+WLTF4xaN4pdJ3cRVjCMR2o+wn3V73PI72lcShzf7fqOLzd/yZLoJVgs9UvU58EaD9K9WncC8we65f7rUtuPbWf6julM2z6NnSd2AlA+qDwtyrWgeZnm1Cle54aOP9Iz0/nt+G+siFnBsphlrIhZwbGkYwDULlabthXa0rZiWyJDI936uPBqA5HkZNG2Ajhorb3vkmUlgP1AO2vtnCu9192LtkulZaSx+sBq5u6ey/KY5Ww8vJEMm4HBUKZwGaoUqUKVkCqUCChBWMEwQguE4uvlSz6TDw/jQWJqIqeST3Ey+STRp6PZdXIXO0/sZPvx7aRnpgNZE6u2iWhDm/JtaFCyQa4ZZj/7DuNc+jnm7J7DxE0Tmb9nPpk2k4igCNpVaEeriFbUDa+Lv4//P27HWsve03tZvG8x836fx497fyQ1I5WyhcvS+7bePHLrI9xS4JYc/Moc7+TZk4xYM4KRP4/kbNpZulfrziv1X+G2orfleFvWWn7Y8wODlg/i54M/ExkayfAWw2letnmOt5XTli1bRpEqReg4rSP7Tu9jSPMh9Knfx6k74KGrhvLqoldpU74NM7rMwNfLdWfy/857K9/jtcWv8U23b2hfqb1Ls0Sfjqbs/5Xlnebv8FrD11ya5Urc8aDnaOJRio8oTt8GfXm7+dsuzZKRmUG98fWIiY9h5793Uti3sEvzXM2UrVN4cPaD1A2vy5z75jilALng18O/0vnrzsQmxPJByw94uvbTGGPcsn9d6uTZk/Rb3I/PNn6Gv48/L9d7mRfqvnDZ0aZv1Onk03zyyyeMWjeKw4mHubPMnQxuOpgGJRvkeFuOlGkzWbh3If+37v/4Yc8PZNpMqhapSqfKnWhepjl1w+te10m9TJvJ9mPbWRK9hDm757A8ZjnpmemUCSzDQ5EP0aNGDyKCIv70HnfvXxfY89NS/bj3RxbsXcDSP5ZyNu0sAEULFuW2orcRERRB2cJlKRlQkkI+hfD39sfXy5fUjFRS0lNITE0kNiGW2IRYouOi2Xp0K9uPbyclPQXIGjm9SekmNCvdjNYRrXNsiiJncFbR1g/oQ9aQ/2fOL3sFGEweHvI/MTWRdQfXsWr/KrYd38aO4zvYdWIXaZlpf/teD+NB6cDSVAyuSGRoJPVL1KdueN1cOzfI1XYYh88c5ttd3/Ldru9YHL2Y1IxUPIwHNUJrULtYbcoHlSciKIISASUI8AmggHeBi5NYH0s6xsGEg/x+6nc2H93M+oPr/zSlQZcqXeherTu1itVy67Mn1+LE2RMMWz2Mj9Z9RFJaEg1LNuS5Os/RrmK7G76ak5qRyqzfZvHuynfZfHQz4YXCGdx0MA9FPuS2c3pld6GPJZxL4NHvHmXGjhm0q9iOLzp8QWD+QIe2ba1lwJIBDFk5hG5Vu/Flxy/d/oRKWkYat429jfiUeHb8e8dfpulwpnd+eofXl7xO9PPRlA4s7bIcV+OuBz0tJ7Vkz6k97Hl2j0v3cReu+k3uNJn7q9/vshzXauaOmdw/634igiL4sceP1zTv5o2auGkiT817imDfYGZ0nUHd8LoX17lr/8pu69GtvLnsTb7Z+Q1BvkE8cfsTPF376Rv+/llr2XRkE5//+jkTNk0gKS2Ju8reRb+G/WhWplkOpXedo4lHmfnbTKZum8qqA6vItJn4eflxR/E7iAyNpNot1SgRUIJi/sUo4FUAH08f0jLSSDiXwLGkY1m/46f2sO34NtYcWEP8uXgg60R+2wptaVexHfXC611xH5Bb+ld259LPseHwBtYfXM8vh39h85HNRMdFk5iaeE3vDysYRrVbqhEZGklkaCQNSzbM1ZOAO6toK0zWxNrbgPeAssAI4ENr7VUn187NRdvlZGRmcOLsCY4mHeVo4lFS0lPItJlk2AwKehckyDeIIN8givsXd8vbqq7Xte4wElMTWX1gNav2Z03wuPnoZk6cPXFNbZQrXI5bi95Ks9LNaF6mORWCK+TaX8yriU+J5/NfP2fUulFEx0UT4BNAx8od6Va1G41LNb7m233SMtJYE7uGmTtmMnnrZE4mn6RSSCX6NujL/dXvd/uiI7tL+5i1llHrRvHygpcpUagEUzpP+dMBUk5KTkvm8bmPM2nLJHrf1psxd4/JNYXuqv2raDihIa/Ue4WhLa54l7rD1RhTg4LeBVn96GqXZfg77nrQM3HTRHp924u1j6512VyPh84covLoytQpXocFPRbkmv3u0uiltJ/ansD8gcy7fx7VQ6s7pJ24lDj+/f2/mbJ1CneWuZOvOn/1lzs+3LV/Xcn6g+sZsnII3+36DoOhRbkWdK/WnXsq3HPNVy6ttWw9tpX5v89n0tZJbDu2De983nSv1p2X6r5EZFikg78K14hLiWP5H8tZHL2Ynw/+zNajW0lOT/7b9/nk86FCcAXqhdejQckGNCrZiDKFr+1Z99zWv67GWsuJsyeITYgl4VwCZ1LPcDbtLPk985PfMz9+Xn4U9y9OMf9ieeo4GpxUtJ1vqArwEVAPiAM+I+t5toyrvS+vFW03qxvZYcSlxLH31F5iE2I5k3qGpNQkvPJ54Z3PmyJ+RSheqDilAkpd1+2UuVlGZgaL9i1i6vapzPptFgnnEvDy8KJ28drcGnYr5YPKUyKgBAW8CuCVz4v4lHhOJp9k54mdbD22lTUH1nAm9Qze+bzpUKkDPSN70iqilUsmwc0Jl+tja2PX0m1GN2ITYulTvw9RTaNy9NnPA/EH6PR1J3459AtvNXuL/o3655oD1gt6f9ebCZsmsPGJjdQIreH09rcf2061MdUY1XoUz9R5xuntXyt3PeiJT4kndFgoT9z+BCNbj3RJhm4zuvHtzm/Z9vS2v9yW5e5+Pfwrd0+5m7iUOMa2HUuPGj1ydPtLo5fS85ueHDpziIFNBtKvUT88PTz/8jp37V9/J/p0NGM3jOWrbV8REx+DwVA9tDp1i9clIiiCMoXLXLxylJiayImzJ9h3eh9bjm5h/aH1HEk8AkC98Ho8FPkQXat2dertqu4gIzODmPgYDp05xKEzh0hOS+Zcxjm8PLzw9/En2DeYiKAIihcqft2fz7m1f8mfOa1ou14q2vIG7TAc61z6OZZEL2F5zHJ+2v8T249tv3j7RHY++XyoUqQKdYrXoWW5ltxZ5s4bGijHXVypjyWcS+DlH1/ms18/o0qRKnxy9yc0KtXohtqy1jJpyySenf8sGTaDyZ0m065iuxvapqucPHuSSqMrUT6oPCsfWen0on3AkgG8s/IdDr10iNCCoU5t+59w531Yp2mdWBO7htgXY51+lfeHPT/QenJrBjcdzBtN3nBq2znlSOIRus3oxoqYFfSq2YvhLYbf8DN5J86eoN+ifoz/dTwRQRFM6jTpqgNouHP/uhbWWtYdXMeifYtYHrOcDYc3cCr51GVfm8/ko2JIRWqG1eRfZf7FXeXucsrtqTez3N6/JMvVira/ngoSEbfk4+lD6/KtaV2+NZD1AXr87HEOnzlMUloSqRmpBPgEUNi3MOGFwi97pjevKuRTiHHtxtG5Smd6z+lN44mN6Vy5M0OaD6FC8D8fMnrbsW28tug15v0+j4YlGzKx/UTKBZVzQHLnCPYLZthdw3j424cZv3E8vW/v7bS2M20mk7ZMonmZ5m5dsLm7+6vfz+yds1m0bxEtI1o6rd2zaWd5et7TVAyuyKsNXnVauzktrGAYix9azJtL3+T9Ve/z/e/fM7zFcO6rft8/PomRlJrEJ798wts/vU3CuQRerPsig5sNpoB3AQeldw/GGO4Iv4M7wu+gP/2BrLtkYuJiSE5PJiU9BX9vf0L8QggrGJbnblsTcbWb56hOJI8xxnBLgVty3UiZjtQqohW7ntnF8NXDeXfVu8z6bRb3VLiHZ+s8S9PSTfHKd+V5dC6cRf5o/UdM3jIZfx9/hrcYzvN3PJ9rnl+7mociH2LCpgn0XdSX9pXaO63fLNy7kJj4GN7713tOaS+valuhLcG+wYzbOM6pRdt/VvyH6LholvZcmusPwj09PBnSfAhdq3al95ze9Jjdg7dWvEXfBn3pWrXr3xZdu07sYvLWyXy8/mNOJp/krrJ38UHLD6h6i3vNVedMgfkDCQxz7CBQIpJFRZuI5Cl+Xn680eQNHr/9cUavH82YX8YwZ/ccAvMH0rJcS2oVq0XpwNIU8ilESnoKsQmxbDm6hYX7FrLv9D78vPx4pf4r9G3Ql2C/YFd/OTnGGMOYu8cQ+UkkfRb24YsOXzil3XEbxxHiF0KHSh2c0l5e5ePpw8M1H2bkzyM5mnjUKVcttx/bztDVQ+kZ2ZOmpZs6vD1nqRlWk7WPrmXmbzMZ8tMQHvnuEZ6Z/8zFIdrLFS5HYP5A0jPTOXTmENuObWN5zHI2H92MwdCmfBv6N+pPvRL1XP2liMhNREWbiORJoQVDGdxsMP0a9uOHPT8wZ/ccFuxdwLTt0/7y2kI+hWhauimvNXiNbtW6OWReIndQuUhl+tTvw5CVQ3g48mGHD7N9NPEo3+76lufveD7XX6VxB4/d9hjD1wxn4qaJ9G3Y16FtZdpMnpz3JIV8CjGsxTCHtuUK+Tzy0bVqV7pU6cLymOVM2zaNJX9kzYmVnZ+XH7WL1WZEixF0rdr1hiYAFhG5XiraRCRP8/XypWPljnSs3BGAU8mnLg4j7OvpS2jBUIr7F891I0JerwGNBzB1+1SemvcUm5/c7NBi6tMNn5KemU7v25z3DF1eVimkEk1KNWHML2N4uf7LDn1uddyGcazcv5Lx7cYT4hfisHZczRhD09JNL15JjE+J50DCAeJT4vH08KSof1GK+Re7qZ4RFhH3pL2QiNxULsyTeLPy9fJldJvRtJ7cmmGrh9G/cX+HtJOSnsLo9aNpU74NFUMqOqSNm9ELdV+g47SOzPptFl2rdnVIG7EJsfRZ2IfmZZrTq2Yvh7ThrgLyB+SJkXZFJO/JnZM1iYjIdWsV0YouVbrwn5/+w95Tex3SxqQtkziWdIyX673skO3frNpWaEtEUATD1wzHEVP2WGt5cu6TZNgMxrYde9NcgRYRcXcq2kREbkIftPwALw8vnpz3JJk2M0e3nZGZwfA1w6kZVpNmpR373NzNJp9HPl6s+yLrDq5jeczyHN/+V9u+Yt7v83j7zrcpW7hsjm9fRESuj4o2EZGbUPFCxXn/rvdZtG8Ro9eNztFtT946mZ0ndtK/UX9dqXGAXjV7UbRgUd5Y+kaOXm07mniU5+Y/R93wujxb59kc266IiNw4FW0iIjepJ25/gjbl2/DqolfZcXxHjmwzLSONqGVR3Bp2K50qd8qRbcqf+Xr5MqDxAFbuX8mCvQtyZJuZNpMHZz9IUloS49uNzxNzE4qI5CUq2kREblLGGMa3G09B74I8MOsBUtJTbnibY34ZQ3RcNG81ewsPo48YR3nstscoHViavov6kp6ZfsPbG7Z6GAv3LWRkq5FUKVIlBxKKiEhO0ieqiMhNLKxgGJ+3+5xNRzbx1Lynbuh2u0NnDjFgyQBalGtBm/JtcjClZOedz5thdw1j89HNfLTuoxva1trYtfRf4EzdZwAACVhJREFU0p8uVbpoegYRETelok1E5CbXtmJbBjYZyMRNExm1btR1bcNayws/vEBqRiqj24zWs2xO0KlyJ9qUb8MbS99gf/z+69rGgfgDdJzWkRKFSmi0SBERN6aiTUREeLPJm3So1IGXfnyJ73///h+//7ONnzF9x3QGNhlIRFCEAxJKdsYYPmqddZXtvpn3kZaR9o/eH5cSR9uv2nI27Sxz759LYP5AR8QUEZEcoKJNRETwMB582eFLIsMi6TStE4v2Lbrm964/uJ5n5z9Li3It6NuwrwNTSnZlCpdhfLvxrD6wmpcXvHzNt7cmnEug1aRW7Di+g6/v/VrPsYmIuDkVbSIiAoC/jz8LeiygQnAF7p5yN5O2TPrb92w8vJEWk1pQ1L8okzpO0uAjLtC1alderPsio9aNov+S/n9buO2P30/jCY3ZcHgD07tMp2VESyclFRGR66VPVxERuSjYL5ilPZdSL7weD85+kMfnPM7p5NN/eZ21lv9u/i9NJzYlwCeApT2XUqRAERckFoBhLYbx+G2P887Kd+gxuwdxKXF/eY21lq+2fkWtsbWIjotm7n1zaV+pvQvSiojIP+Xp6gAiIuJegv2CWfDgAvov7s+ItSOYvmM6Par3oEnpJgTmD2T3yd18uflLfj74M41KNmJyp8mUCCjh6tg3NQ/jwZh7xhBeKJxBywexYO8CetXsRf0S9fH19GX78e38d8t/2XRkE7WL1eaLDl9QuUhlV8cWEZFrpKJNRET+wjufN0NbDOWBGg/w/qr3GbtxLB+t/9/Q8pVCKjG6zWieuP0JTcTsJjyMB280eYPW5Vsz5KchjFgzgqGrh15cXzOsJuPajqNXzV76mYmI5DIq2kRE5IpqhtVkSucpJKUm8fup34lLiaN0YGlKBZTS8PBuqlaxWszqNou4lDj2nNpDcloy5YLKUcy/mKujiYjIdVLRJiIif6uAdwFqhtV0dQz5BwLzB1KrWC1XxxARkRyggUhERERERETcmIo2ERERERERN6aiTURERERExI2paBMREREREXFjKtpERERERETcmLHWujoDxpjjQIyrc8gNCwFOuDqE5GnqY+JI6l/iSOpf4kjqX3lDKWttkcutcIuiTfIGY8wv1lqNLy0Ooz4mjqT+JY6k/iWOpP6V9+n2SBERERERETemok1ERERERMSNqWiTnDTW1QEkz1MfE0dS/xJHUv8SR1L/yuP0TJuIiIiIiIgb05U2ERERERERN6aiTRzOGPO8McYaY2a4OovkfsaYQsaYQcaYdcaYeGPMEWPMbGNMBVdnk9zHGFPFGLPYGHPWGHPIGDPYGJPP1bkk9zPGdDHGfGeMOWiMSTTGbDDG3OfqXJI3GWOKn+9n1hhT0NV5JOepaBOHMsbcAkQBx10cRfKOkkBv4EfgXuAJoCjwszGmhCuDSe5ijCkMLAIs0B4YDLwMDHJlLskzXgISgReBdsBSYIox5lmXppK8aihZ/U3yKD3TJg5ljBkPeAMlgBPW2ntdHElyOWNMASDTWpt8ybIgYD8w1FqrA265JsaYfsCrZE1mmnB+2atknWgKu7BM5HoYY0KstSeyLZsC1LPWlnFRLMmDjDGNgW+AIWQVb/7WWhVweYyutInDGGPqAF2B11ydRfIOa23SpQXb+WWngBigmGtSSS7VGvgxW3E2FfAFmrgmkuQV2Qu2835F+ynJQedv5x5F1p0Cl+tzkkeoaBOHMMYYsnYi71trD7o6j+RtxpgiQASw29VZJFepBOy8dIG1dj9w9vw6kZxWD+2nJGc9CfgAo10dRBzL09UBJM/qBYQCw1wdRG4Kw8m6l3+ii3NI7lIYiLvM8tPn14nkGGNMc6AD8Iirs0jeYIwJBt4Celhr07LOl0tepaJNrokxJoCswR6uylq78/xr3wGezX4bm8jl/JP+dZn3PgX0ADpba086IJ6IyA0xxpQGpgDfWmsnujSM5CVvA2uttd+7Oog4noo2uVZdgHHX8DoDvE7WoBALjDGB55d7Al7n/3/GWpvhmJiSS/2T/vW//xjTjqzbcPtaa2c7IpjkaaeBgMssL3x+ncgNOz9Q0nyynrt9wMVxJI8wxlQl66pt40uOtfzO/x1gjMnQifO8RaNHSo4zxnxD1vDZV9LIWrvSWXkkbzLGNAAWAp9ba59xdR7JfYwxK4CD1tr7LllWgqyTTu2stXNcFk7yBGOMH1nTSoSSNWrkMRdHkjzCGNMBuNrJyvHW2seclUccT1faxBEGAB9mW/YhEA8MBLY6PZHkKefPMM4BfgCec3Ecyb3mA32MMf7W2jPnl3UDkoHlrosleYExxhOYDpQH6qtgkxy2EmiWbVkroC/QBtjn9ETiULrSJk5hjFmG5mmTHHB+wvYNZE2I/BCQcsnqBGvtDpcEk1zn/OTaO4BtwHtAWWAE8KG1doArs0nuZ4wZC/QGngfWZVv9q7X2nPNTSV5mjHkYmIDmacuTdKVNRHKbKkD4+X8vzbZuOdDUqWkk17LWnj4/ot9HZF25jQM+IGtybZEb1eL83yMvs64M8IfzoohIbqcrbSIiIiIiIm5Mk2uLiIiIiIi4MRVtIiIiIiIibkxFm4iIiIiIiBtT0SYiIiIiIuLGVLSJiIiIiIi4MRVtIiIiIiIibkxFm4iI3BSMMVHGmBNXWDfRGPOLszOJiIhcCxVtIiIiIiIibkxFm4iIiIiIiBtT0SYiInIJY8wfxhh7mT9Rrs4mIiI3J09XBxAREXEmY8zlPvvMJf/uCPhc8v9mwBBgtyNziYiIXImKNhERuZkEA2lXWLcBwFr764UFxphSwEvARGvtFMfHExER+SsVbSIicjOJB/51meUDgaKXLjDG+AKzgRjgKcdHExERuTwVbSIicjNJt9b+ZWh/Y8xJshVtwFigBFDLWpvijHAiIiKXo6JNREQkG2PMC8B9QCtrbYyr84iIyM1No0eKiIhcwhjTDBgKvG6tXeTqPCIiIrrSJiIicp4xJgD4GtgGrDDG1L1kday1NtY1yURE5Gamok1EROR/CgMh5/+sybZuEBDl7EAiIiLGWuvqDCIiIiIiInIFeqZNRERERETEjaloExERERERcWMq2kRERERERNyYijYRERERERE3pqJNRERERETEjaloExERERERcWMq2kRERERERNyYijYRERERERE3pqJNRERERETEjf0/UjWp9Q801VYAAAAASUVORK5CYII=\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"dhavYCBRG9Ym"},"source":["A message signal m(t) is used to modulate a carrier signal c(t) = cos2πf c t\n","and the resultant signal is given by y(t) = m(t)c(t). Plot y(t).\n","Compute the Fourier transform of m(t) and y(t) and display the plots.\n","m(t) is a triangular signal existing between t = −1 and t = 1 with a peak\n","amplitude of 1."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":615},"id":"vJXNOaxO1vWF","executionInfo":{"status":"ok","timestamp":1612277204978,"user_tz":-330,"elapsed":3617,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"8e878d3a-97e4-4dc2-dd1d-9b9c825615cb"},"source":["def triang(t):\n"," f=[]\n"," for i in list(t):\n"," if(abs(i)<1):\n"," f.append(1-abs(i))\n"," else:\n"," f.append(0)\n"," return np.array(f)\n","\n","fc=1000000\n","t=np.linspace(-5,5,1000)\n","mes=triang(t)\n","car=np.cos(2*np.pi*fc*t)\n","modulated=mes*car\n","\n","plt.figure(figsize=(15,10))\n","\n","plt.subplot(311)\n","plt.plot(t,mes,label='message signal m(t)')\n","plt.xlabel('t (sec)')\n","plt.grid()\n","plt.legend()\n","\n","plt.subplot(312)\n","plt.plot(t,car,label='carrier signal c(t)')\n","plt.xlabel('t (sec)')\n","plt.grid()\n","plt.legend()\n","\n","plt.subplot(313)\n","plt.plot(t,modulated,label='modulated signal y(t)=m(t)c(t)')\n","plt.xlabel('t (sec)')\n","plt.grid()\n","plt.legend()\n","plt.show()"],"execution_count":173,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"wQQpunqRKScu","executionInfo":{"status":"ok","timestamp":1612279207218,"user_tz":-330,"elapsed":41053,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"2f38bae2-3147-4e4a-db45-ac89d9480527"},"source":["f = np.linspace(-5, 5, 1000)\n","R = cft(triang, f) # Calculate the ctft\n","\n","plt.figure(figsize=(15,10))\n","plt.subplot(211)\n","plt.plot(np.linspace(-5,5,1000),mes )\n","plt.grid()\n","plt.xlabel('t (sec)')\n","plt.title('Message signal')\n","\n","plt.subplot(212)\n","plt.plot(f, R.real, 'r', label='Computed CTFT of m(t)')\n","plt.xlabel('Hz')\n","plt.grid()\n","plt.legend()\n","\n","plt.show()\n","\n","\n","def modulated_signal(t):\n"," fc=1000000\n"," return (np.cos(2*np.pi*fc*t)*triang(t))\n","\n","f = np.linspace(-500, 500, 1000)\n","R = cft(modulated_signal, f) # Calculate the ctft\n","t=np.arange(-2,2,400)\n","\n","plt.figure(figsize=(15,10))\n","plt.subplot(211)\n","plt.plot(np.linspace(-5,5,1000), modulated)\n","plt.grid()\n","plt.xlabel('t (sec)')\n","plt.title('Modulated signal')\n","\n","plt.subplot(212)\n","plt.plot(f, R.real, 'r', label='Computed CTFT of y(t)')\n","plt.xlabel('Hz')\n","plt.grid()\n","plt.legend()\n","\n","plt.show()\n"],"execution_count":192,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"fV_NErsR29sF"},"source":["**How to obtain Triangular function from that of rectangular function?**\n","\n","Convolution of two same width rectangular pulses give a triangular pulse."]},{"cell_type":"markdown","metadata":{"id":"E_-cKDco2H9K"},"source":["**How to obtain Fourier transform of Triangular function from that of rectangular function?**\n","\n","\n","\n","\n","tri(t/T) = 1-|t|/T for t<=T and 0 otherwise\n","\n","rect(t/T) = 1 for -T/2<=t<=T/2 and 0 otherwise\n","\n","Fourier transform of rect(t/T) is T*sinc(fT).\n","\n","In Fourier transformed domain, convolution becomes multiplication. Since triangular pulse is convolution of two rectangular pulses, its fourier transform can also be easily computed.\n","\n","Fourier transform of tri(t/T) is T*(sinc(fT))^2 (not T^2 since we have to adjust for the scaling factor 1/T). "]},{"cell_type":"code","metadata":{"id":"nFtnX3pCUZn7"},"source":[""],"execution_count":null,"outputs":[]}]} \ No newline at end of file diff --git a/Assignment4/204102311_SatyakiGhosh/Assignment_4.ipynb b/Assignment4/204102311_SatyakiGhosh/Assignment_4.ipynb new file mode 100644 index 0000000..42c9429 --- /dev/null +++ b/Assignment4/204102311_SatyakiGhosh/Assignment_4.ipynb @@ -0,0 +1 @@ +{"nbformat":4,"nbformat_minor":0,"metadata":{"colab":{"name":"Assignment_4.ipynb","provenance":[],"authorship_tag":"ABX9TyPQinMafXO2EHWwB5mKKjaF"},"kernelspec":{"name":"python3","display_name":"Python 3"}},"cells":[{"cell_type":"markdown","metadata":{"id":"qxr4BbXA_089"},"source":["This asignment is on DFT and DCT. Why do we need to implement DFT\n","when we already have DTFT? \n","\n","**->** DTFT is a continuous function of frequency. For calculation using computers, we need them to be discrete. So DFT is taken as a sampled version of DTFT at some specific values of frequency. \n","\n","Modern computers, though are quite powerful\n","have finite storage and computational power, so we need to find an approxima-\n","tion to DTFT. The same argument is also valid when it comes to the need to\n","compress data. How does DCT enables us to achieve this? \n","\n","**->** DCT compresses (lossy but still more efficient than DFT) the signal in far fewer coefficients and hence less space is required. \n","\n","Why can’t we use DFT?\n","\n","**->** If we take same number of DFT coefficients and do IDFT to produce an approximation of the signal, the error is much higher. \n","\n","\n","**->** DCT is preferred over DFT in image compression algorithms like JPEG because DCT is a real transform which results in a single real number per data point. In contrast, a DFT results in a complex number (real and imaginary parts) which requires double the memory for storage\n","\n"]},{"cell_type":"markdown","metadata":{"id":"S3TWZUv0CPZA"},"source":["**• Discrete Fourier Transform:** For a signal x[n] with length N , its N -\n","point DFT X(k) is defined as follows:\n","X(k) =\n","N\n","−1\n","X\n","x[n]e −j(2π/N )kn\n","k=0\n","The N -point inverse DFT of X(k) is then given by:\n","x[n] =\n","N −1\n","1 X\n","X(k)e jk(2π/N )kn\n","N n=0\n","Write functions to implement the above equations."]},{"cell_type":"code","metadata":{"id":"2_hYzq7DCJrS"},"source":["Fimport numpy as np\n","\n","def dft(x,N):\n"," num_coeff=N\n"," twiddle_power=complex(0,-(2*np.pi)/N)\n"," Wn=np.exp(twiddle_power) # defining the twiddle factor Wn\n","\n"," dft_coeff=[] # an empty array to store the dft coefficients\n"," for k in range(num_coeff): # calculating the N DFT coefficients\n"," sum=0\n"," for i in range(N): # summing over the N signal sampeles\n"," sum=sum+(x[i]*(Wn**(i*k))) # multiplying by the twiddle factor\n"," dft_coeff.append(sum) # adding the calculated dft coefficient to the list\n","\n"," dft_coeff=np.array(dft_coeff)\n"," return dft_coeff\n","\n","def idft(X,N):\n"," num_coeff=N\n"," twiddle_power=complex(0,-(2*np.pi)/N)\n"," Wn=np.exp(twiddle_power) # defining the twiddle factor Wn\n","\n"," xn=[] # an empty array to store the signal samples\n"," for i in range(N): # calculating the N samples\n"," sum=0\n"," for k in range(num_coeff): # summing over the N dft coefficients\n"," sum=sum+(X[k]*(Wn**(-i*k))) # multiplying by the twiddle factor\n"," xn.append(sum) # adding the calculated sample to the list\n","\n"," xn=np.array(xn)/N\n"," return xn\n"],"execution_count":null,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"933fRJG7JIZU"},"source":["Write a function to compute the circular convolution of two sequences.\n","Find the circular convolution of x = [1, 2, 3, 0, 0, 0, 0] and h = [1, 1, 1, 1, 1, 1, 1]\n","using the function."]},{"cell_type":"code","metadata":{"id":"RYVo9C_Cmpla","colab":{"base_uri":"https://localhost:8080/"},"executionInfo":{"status":"ok","timestamp":1612848729423,"user_tz":-330,"elapsed":1253,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"4558041a-f046-4599-e984-2adff6aacdbc"},"source":["import numpy as np\n","\n","def cconv(x,h):\n"," Xk=list(dft(x,np.shape(x)[0])) #dft of x\n"," Hk=list(dft(h,np.shape(h)[0])) #dft of h\n"," cc=idft(np.multiply(Xk,Hk),np.shape(x)[0]) #idft of ( X[k]*H[k] ) is the circular convolution of x[n] and h[n]\n"," return cc\n","\n","x = np.array([1, 2, 3, 0, 0, 0, 0])\n","h = np.array([1, 1, 1, 1, 1, 1, 1])\n","print(f'Circular Convolution of Input signal(x):{x} and Impulse response(h):{h}:')\n","print(abs(cconv(x,h)))"],"execution_count":null,"outputs":[{"output_type":"stream","text":["Circular Convolution of Input signal(x):[1 2 3 0 0 0 0] and Impulse response(h):[1 1 1 1 1 1 1]:\n","[6. 6. 6. 6. 6. 6. 6.]\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"gyAtBjiFrvQ3"},"source":["A signal is given as x(t) = cos2πf t where f = 30 Hz. The signal is sampled\n","at 100 times per second for 0.91 seconds and then its DFT is computed. Plot\n","the spectrum versus frequency in Hz. What is the magnitude of DFT at\n","35 Hz? Is this value non-zero? If so then why?"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"x8QUsRRPqE0v","executionInfo":{"status":"ok","timestamp":1612850206792,"user_tz":-330,"elapsed":1411,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"84eb9107-4ac4-4a85-aab5-dd98e5f0b913"},"source":["import numpy as np\n","f=30\n","start=0\n","end=0.91\n","samplesPerSec=100\n","t=np.linspace(start,end,int((end-start)*samplesPerSec))\n","#t=np.linspace(0,0.91,91)\n","x=np.cos(2*np.pi*f*t) # defining the 30 Hz cosine signal for 0.91 seconds\n","\n","Xk=dft(x,np.shape(x)[0]) # calculate dft of the signal\n","N=(end-start)*samplesPerSec\n","fs=samplesPerSec\n","F=35\n","k=int(F*N/fs) # the index or DFT coefficient for the required frequency of 35 Hz\n","print(f'The DFT coefficient for f=35 Hz is : {abs(Xk[k])}')"],"execution_count":null,"outputs":[{"output_type":"stream","text":["The DFT coefficient for f=35 Hz is : 4.242989026366235\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"KGwTfc-DgWME"},"source":["**Ans** The coefficient for 35 Hz is not zero here although we sampled just a 30Hz sinusoid. If we could compute the DTFT (which is continuous) for the signal we would obtain an impulse at 30 Hz. But DFT is a sampled version of the DTFT. It is discrete. Basically it divides the frequency ranges into a number of bins of resolution Fs/N Hz. So, this will involve some approximations and would never give the exact output of DTFT. However if we take many more samples of the signal (or use a higher sampling frequency) and compute a very high-point DFT, it would resemble the DTFT output much more than the current case."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":634},"id":"4_DDmLdOxCaN","executionInfo":{"status":"ok","timestamp":1612853001001,"user_tz":-330,"elapsed":1779,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"f5f241b0-1e3f-4705-f94c-b718816ac3a2"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(30,6))\n","plt.stem(np.arange(0,np.shape(Xk)[0]/0.91,100/91),abs(Xk),use_line_collection=True)\n","plt.xlabel(' Frequency (Hz)')\n","plt.title(f'Magnitude spectrum of a 30Hz cosine signal (Double-side band) ')\n","plt.grid()\n","plt.show()\n","\n","SSB=2*Xk[0:int(N/2)]\n","plt.figure(figsize=(30,6))\n","plt.stem(np.arange(0,fs/2,50/45),abs(SSB),use_line_collection=True)\n","plt.xlabel(' Frequency (Hz)')\n","plt.title(f'Magnitude spectrum of a 30Hz cosine signal (Single-side band) ')\n","plt.grid()\n","plt.show()"],"execution_count":null,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"iHMgSjd23xEf"},"source":["Consider the two sequences x = [1, −3, 1, 5] and y = [7, −7, −9, −3]. Does\n","there exist a sequence h such that y is the circular convolution of x and\n","h. Find h using DFT and IDFT."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":907},"id":"cWJecGkR1NOb","executionInfo":{"status":"ok","timestamp":1612859802141,"user_tz":-330,"elapsed":1785,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"5bab3bb1-a6f5-4444-9f92-d3dbb2ed8edf"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","\n","y= [1, -3, 1, 5] \n","x = [7, -7, -9, -3]\n","X=dft(x,4) \n","Y=dft(y,4)\n","H=Y/X # Y = H X\n","h=idft(H,4)\n","print('Yes. Such an \"h\" is possible. The impulse response h is:')\n","print(h.real)\n","\n","n=np.arange(0,4,1)\n","plt.rcParams.update({'font.size':12})\n","plt.figure(figsize=(15,4))\n","plt.stem(n,x,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.title(f'Input')\n","plt.grid()\n","plt.show()\n","\n","plt.figure(figsize=(15,4))\n","plt.stem(n,h.real,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.title(f'Impulse response')\n","plt.grid()\n","plt.show()\n","\n","plt.figure(figsize=(15,4))\n","plt.stem(n,y,use_line_collection=True)\n","plt.xlabel('time (samples)')\n","plt.title(f'Output')\n","plt.grid()\n","plt.show()"],"execution_count":null,"outputs":[{"output_type":"stream","text":["Yes. Such an \"h\" is possible. The impulse response h is:\n","[-0.0245098 -0.31862745 -0.14215686 0.15196078]\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"abS0V_y8i3A-"},"source":["Compute the energy of the signal x[n] = (3/4)nu[n] for 0 ≤ n ≤ 50. If the\n","DFT of this signal is X(k) then what is the energy of X(k) and how does\n","it relate to that of x[n]."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"b5M0nC-wRKgt","executionInfo":{"status":"ok","timestamp":1612892029174,"user_tz":-330,"elapsed":1121,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"ad769203-86b3-4889-e4f6-f384a67fe231"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","\n","\n","def aPowern(a,N): # returns a sequence of the form a^n u[n] for a length of N samples\n"," x=[]\n"," for i in range(N):\n"," x.append(a**i)\n"," return np.array(x)\n","\n","N=51\n","n=np.arange(0,N,1)\n","x=aPowern(0.75,N)\n","E=0\n","for i in range(N): # summing the energy over N samples\n"," E=E+(x[i])**2 \n","print(f'Energy calculated by adding squared signal samples E = {E}')\n","\n","X=dft(x,N)\n","Ek=0\n","for i in range(N): # summing the energy over N coefficients\n"," Ek=Ek+(abs(X[i]))**2 \n","print(f'Energy calculated by adding squared DFT Coefficients Ek= {Ek}')\n","\n","print(f'E = Ek / N = {Ek}/{N} = {Ek/N}')"],"execution_count":null,"outputs":[{"output_type":"stream","text":["Energy calculated by adding squared signal samples E = 2.285714285713873\n","Energy calculated by adding squared DFT Coefficients Ek= 116.5714285714073\n","E = Ek / N = 116.5714285714073/51 = 2.2857142857138686\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"7N65FqFg22jy"},"source":["**Relation:** Summation of (x[n])^2 = (1/N) * Summation of (X[k])^2"]},{"cell_type":"markdown","metadata":{"id":"WvGyuXG83RZ4"},"source":["Is it possible to compute the DTFS coefficients of the square wave mentioned in Assignment-3 using the function written for DFT as mentioned\n","above. If yes, then modify the function to compute the DTFS coefficients\n","of the signal and show a labelled plot of the spectrum of these coefficients."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":285},"id":"HXfWm8wLmjx6","executionInfo":{"status":"ok","timestamp":1612893998118,"user_tz":-330,"elapsed":1004,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"c12a1306-7f42-45de-f006-68048219df3b"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","\n","N=16\n","rnge=2*N\n","n=np.arange(0,rnge,1)\n","rect=[] # a list to store the rectangular signal for 2 time-periods\n","for i in list(n):\n"," if (i%16)<8:\n"," rect.append(1)\n"," else:\n"," rect.append(0)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,rect,use_line_collection=True)\n","plt.xlabel('n')\n","plt.title(f'Rectangular function with period = {N}')\n","plt.grid()\n","plt.show()\n"],"execution_count":null,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"0dzIzuej81Dl"},"source":["Yes, it is possible to compute the DTFS coefficients of the square wave mentioned in Assignment-3 using the function written for DFT."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":406},"id":"dKuxtACd8YbY","executionInfo":{"status":"ok","timestamp":1612894002280,"user_tz":-330,"elapsed":1383,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"0264c481-6f94-4e43-f941-7b76edf21d78"},"source":["import cmath\n","\n","def dtfs(x,N): # calculating DTFS in terms of DFT\n"," return dft(x[0:N],N)/N\n","\n","\n","N=16 \n","dfs_coeff=dtfs(rect,N) # calculated dtfs of the rectangular signal\n","mag=abs(dfs_coeff) # magnitude spectrum of the dtfs coefficients\n","ph=[]\n","for i in list(dfs_coeff):\n"," ph.append(cmath.phase(i)) # phase spectrum of the dtfs coefficients\n","ph=np.array(ph)\n","\n","fig,ax=plt.subplots(1,2,figsize=(25,6))\n","plt.rcParams.update({'font.size':15})\n","ax[0].plot(np.arange(0,N,1),mag,label='Magnitude plot')\n","ax[0].set_xlabel('Coefficient (k)')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(np.arange(0,N,1),ph,label='Phase plot')\n","ax[1].set_xlabel('Coefficient (k)')\n","ax[1].legend()\n","ax[1].grid()\n","plt.suptitle('DTFS coefficients of a periodic rectangular function with period=16')\n","\n","plt.show()"],"execution_count":null,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"id":"xWm_U6GE8i2h"},"source":[""],"execution_count":null,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"vf3g8-Uz-knK"},"source":["**• Discrete Cosine Transform:** It is used in lossy image compression\n","because it has very strong energy compaction, i.e., most of the information\n","is stored in low frequency components of a signal while the other frequency\n","components have very small information content which can be stored by\n","using very less number of bits. The general equation for a 1D (N data\n","items) DCT is defined by the following equation:\n","X(m) = r\n","2\n","N\n","N\n","X−1\n","n=0\n","x[n]cmcos[\n","πm\n","2N\n","(2n + 1)]\n","The inverse transform is,\n","x[n] = r\n","2\n","N\n","N\n","X−1\n","m=0\n","X(m)cmcos[\n","πm\n","2N\n","(2n + 1)]\n","where,\n","cm =\n","\u001a\n","√\n","1\n","2\n","for m = 0\n","1 otherwise\n","Consider a signal x[n] = [8, 16, 24, 32, 40, 48, 56, 64] of length N = 8. Compute the DCT of this signal. Now keep only the first four DCT coefficients\n","while discarding the rest and then compare its energy with that of x[n].\n","Compute the inverse DCT of the sequence obtained and plot it alongside\n","x[n]. Also compute the mean square error between the two signals."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"zYqUGPfl-ndV","executionInfo":{"status":"ok","timestamp":1612937260229,"user_tz":-330,"elapsed":2782,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"55282a84-5392-403c-cbd3-60b7e12153ae"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","import math\n","\n","def dct(x,N): # returns N-point DCT OF a signal x[n]\n"," num_coeff=N\n"," dct_coeff=[] # to store the coefficients\n"," for m in range(num_coeff): # calculate the N coefficients one by one\n"," if m==0:\n"," cm=1/math.sqrt(2) # deciding the value of cm based on m\n"," else:\n"," cm=1\n"," sum=0\n"," for i in range(N): # summing over the N signal samples\n"," cos_term=np.cos((np.pi*m*(2*i + 1))/(2*N)) # calculating the cosine term separately\n"," sum=sum+(x[i]*cm*cos_term)\n"," dct_coeff.append(sum) # adding to the list\n","\n"," dct_coeff=np.array(dct_coeff)\n"," return dct_coeff*math.sqrt(2/N) # multiplying by sqrt(2/N)\n","\n","\n","def idct(Xm,N): # SIMILAR to dct; only x[n] and X[m] are interchanged\n"," num_coeff=N\n"," x=[]\n"," for i in range(N):\n"," sum=0\n"," for m in range(num_coeff):\n"," if m==0:\n"," cm=1/math.sqrt(2)\n"," else:\n"," cm=1\n"," cos_term=np.cos((np.pi*m*(2*i + 1))/(2*N))\n"," sum=sum+(Xm[m]*cm*cos_term)\n"," x.append(sum)\n","\n"," x=np.array(x)\n"," return x*math.sqrt(2/N)\n","\n","N=8\n","x=[8, 16, 24, 32, 40, 48, 56, 64]\n","Xm=dct(x,N)\n","print(f'DCT of x={x} is ')\n","print(Xm)\n","print()\n","\n","#FINDING THE ENERGY FOR DIFFERNT SIGNALS AND TRANSFORMS\n","E=0\n","for i in range(N):\n"," E=E+(Xm[i])**2 \n","print(f'Energy calculated by adding ALL THE squared DCT coefficients Em = {E}')\n","print()\n","\n","E=0\n","for i in range(int(N/2)):\n"," E=E+(Xm[i])**2 \n","print(f'Energy calculated by adding FIRST FOUR squared DCT coefficients Em_compr = {E}')\n","print()\n","\n","E=0\n","for i in range(int(N)):\n"," E=E+(x[i])**2 \n","print(f'Energy calculated by adding signal samples En = {E}')\n","print()\n","\n","recon_x=idct(list(Xm[0:4])+[0]*4 , N) # reconstructing x[n] from just first 4 DCT coefficients\n","print(f'IDCT of X[m] is using first 4 coefficients x = {recon_x}')\n","print()\n","\n","mse=0\n","for i in range(N):\n"," mse = mse + (recon_x[i] - x[i])**2 #calculating the mean square error between original and reconstructed x[n]\n","print(f'Mean squared error due to reconstruction with only FIRST FOUR DCT coefficients and the last four as zero = {mse/N}')\n","\n","n=np.arange(0,N,1)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,Xm,use_line_collection=True)\n","plt.xlabel('m')\n","plt.title(f'DCT coefficients X[m]')\n","plt.grid()\n","plt.show()\n","\n","n=np.arange(0,N,1)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,x,use_line_collection=True)\n","plt.xlabel('n')\n","plt.title(f'Original signal x[n]')\n","plt.grid()\n","plt.show()\n","\n","n=np.arange(0,N,1)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,recon_x,use_line_collection=True)\n","plt.xlabel('n')\n","plt.title(f'Reconstructed signal with last 4 DCT coefficients as zero')\n","plt.grid()\n","plt.show()\n","\n","recon_x4=idct(list(Xm[0:4]),int(N/2))\n","n=np.arange(0,N/2,1)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,recon_x4,use_line_collection=True)\n","plt.xlabel('n')\n","plt.title(f'Reconstructed signal of length {int(N/2)} with only first 4 DCT coefficients')\n","plt.grid()\n","plt.show()\n","\n","\n","n=np.arange(0,N,1)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,idct(Xm,N),use_line_collection=True)\n","plt.xlabel('n')\n","plt.title(f'Reconstructed signal with all DCT coefficients')\n","plt.grid()\n","plt.show()"],"execution_count":null,"outputs":[{"output_type":"stream","text":["DCT of x=[8, 16, 24, 32, 40, 48, 56, 64] is \n","[ 1.01823376e+02 -5.15385842e+01 -1.06581410e-14 -5.38763841e+00\n"," 0.00000000e+00 -1.60722323e+00 -2.13162821e-14 -4.05618582e-01]\n","\n","Energy calculated by adding ALL THE squared DCT coefficients Em = 13055.999999999995\n","\n","Energy calculated by adding FIRST FOUR squared DCT coefficients Em_compr = 13053.252307055178\n","\n","Energy calculated by adding signal samples En = 13056\n","\n","IDCT of X[m] is using first 4 coefficients x = [ 8.48602882 15.09915475 24.32540661 32.46926627 39.53073373 47.67459339\n"," 56.90084525 63.51397118]\n","\n","Mean squared error due to reconstruction with only FIRST FOUR DCT coefficients and the last four as zero = 0.34346161810222803\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"KevjcEMnSvot"},"source":["Now repeat the same process with DFT and compare the mean square\n","errors. Comment on your observations."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"auZ7kyqBHOCi","executionInfo":{"status":"ok","timestamp":1612937267607,"user_tz":-330,"elapsed":3036,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"d91fb314-bf04-4c05-8b52-bc8974555843"},"source":["\n","N=8\n","x=[8, 16, 24, 32, 40, 48, 56, 64]\n","Xm=dft(x,N)\n","print(f'DFT of x={x} is ')\n","print(Xm)\n","print()\n","\n","#FINDING THE ENERGY FOR DIFFERNT SIGNALS AND TRANSFORMS\n","E=0\n","for i in range(N):\n"," E=E+abs(Xm[i])**2 \n","print(f'Energy calculated by adding ALL THE squared DFT coefficients Em = {E}')\n","print()\n","\n","E=0\n","for i in range(int(N/2)):\n"," E=E+abs(Xm[i])**2 \n","print(f'Energy calculated by adding FIRST FOUR squared DFT coefficients Em_compr = {E}')\n","print()\n","\n","E=0\n","for i in range(int(N)):\n"," E=E+(x[i])**2 \n","print(f'Energy calculated by adding signal samples En = {E}')\n","print()\n","\n","recon_x=idft(list(Xm[0:4])+[0]*4,N) # reconstructing x[n] from just first 4 DFT coefficients\n","print(f'IDFT of X[m] is x using first 4 coefficients = {recon_x}')\n","print()\n","\n","mse=0\n","for i in range(N):\n"," mse = mse + (recon_x[i] - x[i])**2 #calculating the mean square error between original and reconstructed x[n]\n","print(f'Mean squared error due to reconstruction with only FIRST FOUR DFT coefficients and the last four as zero = {abs(mse/N)}')\n","\n","n=np.arange(0,N,1)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,Xm.real,use_line_collection=True)\n","plt.xlabel('m')\n","plt.title(f'DFT coefficients X[m]')\n","plt.grid()\n","plt.show()\n","\n","n=np.arange(0,N,1)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,x,use_line_collection=True)\n","plt.xlabel('n')\n","plt.title(f'Original signal x[n]')\n","plt.grid()\n","plt.show()\n","\n","n=np.arange(0,N,1)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,recon_x.real,use_line_collection=True)\n","plt.xlabel('n')\n","plt.title(f'Reconstructed signal with first original 4 DFT coefficients and last 4 as zero')\n","plt.grid()\n","plt.show()\n","\n","recon_x4=idft(list(Xm[0:4]),int(N/2))\n","n=np.arange(0,N/2,1)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,recon_x4.real,use_line_collection=True)\n","plt.xlabel('n')\n","plt.title(f'Reconstructed signal of length {int(N/2)} with only first 4 DFT coefficients')\n","plt.grid()\n","plt.show()\n","\n","\n","n=np.arange(0,N,1)\n","plt.figure(figsize=(25,4))\n","plt.stem(n,idft(Xm,N).real,use_line_collection=True)\n","plt.xlabel('n')\n","plt.title(f'Reconstructed signal with all DFT coefficients')\n","plt.grid()\n","plt.show()"],"execution_count":null,"outputs":[{"output_type":"stream","text":["DFT of x=[8, 16, 24, 32, 40, 48, 56, 64] is \n","[288.+0.00000000e+00j -32.+7.72548340e+01j -32.+3.20000000e+01j\n"," -32.+1.32548340e+01j -32.-1.13686838e-13j -32.-1.32548340e+01j\n"," -32.-3.20000000e+01j -32.-7.72548340e+01j]\n","\n","Energy calculated by adding ALL THE squared DFT coefficients Em = 104448.0\n","\n","Energy calculated by adding FIRST FOUR squared DFT coefficients Em_compr = 93184.00000000001\n","\n","Energy calculated by adding signal samples En = 13056\n","\n","IDFT of X[m] is x using first 4 coefficients = [24.+15.3137085j 24. -4.j 32. -4.j 32. -7.3137085j\n"," 40. -7.3137085j 40. -4.j 48. -4.j 48.+15.3137085j]\n","\n","Mean squared error due to reconstruction with only FIRST FOUR DFT coefficients and the last four as zero = 15.999999999999936\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"-hDEVBIKhHIx"},"source":["**Conclusion:** The MSE for reconstruction of x[n] using first four DCT coefficients is 0.34346161810222803, while that using first four DFT coefficients is 15.9999 or practically 16. So the error is almost 45-50 times more for DFT. This shows that DCT compresses the data much more efficiently since it has sufficiently enough information about the sequence x[n] in just 50% (4 out of 8) of its coefficients to reconstruct the signal with reasonable accuracy. "]},{"cell_type":"code","metadata":{"id":"-ECQa8nsTXyy"},"source":[""],"execution_count":null,"outputs":[]}]} \ No newline at end of file diff --git a/Assignment5/204102311_SatyakiGhosh/Assignment_5.ipynb b/Assignment5/204102311_SatyakiGhosh/Assignment_5.ipynb new file mode 100644 index 0000000..4f1d5c5 --- /dev/null +++ b/Assignment5/204102311_SatyakiGhosh/Assignment_5.ipynb @@ -0,0 +1 @@ +{"nbformat":4,"nbformat_minor":0,"metadata":{"colab":{"name":"Assignment_5.ipynb","provenance":[],"authorship_tag":"ABX9TyP3qysUcM+ErzPviEp7jHEa"},"kernelspec":{"name":"python3","display_name":"Python 3"}},"cells":[{"cell_type":"markdown","metadata":{"id":"twEnGgHO86DC"},"source":["**1.** Consider a periodic square wave with time period T = 2 seconds having\n","magnitude 1 between t = 0 s and t = 1 s and zero elsewhere. Let g(t) be\n","the Fourier series representation of the square wave."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":284},"id":"T5ySYamZl95X","executionInfo":{"status":"ok","timestamp":1613843215966,"user_tz":-330,"elapsed":1418,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"f276286d-aa4d-4c4e-cd81-5c70ca38b541"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","\n","\n","def c_rect(n): #function to create a continuous periodic rectangular function\n"," rect=[]\n"," for i in list(n):\n"," if (int(i)%2)==0:\n"," rect.append(1)\n"," else:\n"," rect.append(0)\n"," return rect\n","\n","N=4\n","samples_per_len=500\n","t=np.linspace(0,N,samples_per_len*N)\n","rect=c_rect(t)\n","plt.figure(figsize=(25,4))\n","plt.plot(t,rect)\n","plt.xlabel('t(sec)')\n","plt.title(f'Rectangular function with period = 2 sec')\n","plt.grid()\n","plt.show()\n","\n"],"execution_count":95,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"R0rXkcWj9GIs"},"source":["(a) Define a function so that you can easily plot g(t) for different numbers of coefficients on the same graph. This plot is useful to see the\n","emergence of the square wave as the number of terms in the representation increases."]},{"cell_type":"code","metadata":{"id":"f2CZxwx86u5f","colab":{"base_uri":"https://localhost:8080/","height":1000},"executionInfo":{"status":"ok","timestamp":1613843225329,"user_tz":-330,"elapsed":10762,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"ea783faf-3427-45fd-e9d7-28136c89db2f"},"source":["import cmath\n","\n","def integ(x,t): # function to integrate x(t) with respect to t using trapezoidal rule\n"," import numpy as np\n"," sum=0\n"," integ_value=np.trapz(x,dx=1/samples_per_len)\n"," return np.array(integ_value)\n","\n","def ak(x,k,To,t): # to calculate Fourier series coefficient ak for the kth exponential component\n"," assert len(t)/samples_per_len==To\n"," assert len(x)/samples_per_len==To\n"," wo=(2*np.pi)/To\n"," e_comp=[]\n"," for i in list(t): \n"," e_comp.append(np.exp(complex(0,-k*wo*i))) # creating the term e^(-j k wo t)\n"," e_comp=np.array(e_comp)\n"," return integ(x*e_comp,t)/To # ak = 1/To * integration (x(t) * e^(-j k wo t)) from 0 to To\n","\n","\n","def g(x,k,To,t,num_comp): # to reconstruct the original signal partially using 'num_comp' number of exponential components\n"," a=[]\n","\n"," for i in range(num_comp):\n"," if i==0:\n"," a.append(ak(x,i,To,t)) # calculate ak for dc component\n"," else:\n"," a.append(ak(x,i,To,t)) # calculate ak for positive frequency\n"," a.append(ak(x,-i,To,t)) # calculate ak for negative frequency\n"," recon_signal=np.ndarray((1,len(x)))\n","\n"," count=0\n"," for i in range(num_comp): # reconstructing the signal adding the components one-by-one\n"," wo=(2*np.pi)/To\n"," if i==0: # DC component\n"," e_comp=[]\n"," for j in list(t): \n"," e_comp.append(np.exp(complex(0,i*wo*j)))\n"," e_comp=np.reshape(a[count]*np.array(e_comp),(1,samples_per_len*To)) \n"," count=count+1\n"," recon_signal=np.add(recon_signal,e_comp)\n"," else: # exponential components\n"," e_comp=[]\n"," for j in list(t): \n"," e_comp.append(np.exp(complex(0,i*wo*j)))\n"," e_comp=a[count]*np.array(e_comp) #multiplying the exponential component by the coefficient from 'a' array\n"," recon_signal=np.add(recon_signal,e_comp) \n"," count=count+1\n"," e_comp=[]\n"," for j in list(t): \n"," e_comp.append(np.exp(complex(0,-i*wo*j)))\n"," e_comp=a[count]*np.array(e_comp)\n"," recon_signal=np.add(recon_signal,e_comp)\n"," count=count+1\n"," return recon_signal\n","\n","N=2\n","samples_per_len=500\n","t=np.linspace(0,N,samples_per_len*N)\n","rect=c_rect(t) # generating the rectangle function\n","To=2\n","number_of_coefficients=[1,5,10,30,50,75,100,300,400] # various number of coefficients to try out\n","for k in number_of_coefficients:\n"," recon=g(rect,0,To,t,num_comp=k)\n"," plt.rcParams.update({'font.size':14})\n"," plt.figure(figsize=(25,4))\n"," plt.plot(t,(recon.T).real)\n"," plt.xlabel('t(sec)')\n"," plt.title(f'Reconstructed with {k} components: 1 dc component and {k-1} sinusoidal signals')\n"," plt.grid()\n"," plt.show()\n"],"execution_count":96,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"OsZaRaeW8pyC"},"source":["(b) What happens to the Gibbs phenomenon when the number of\n","terms becomes large?\n","\n","**Ans** For continuous periodic signals, a sufficiently large number of harmonics can be used to approximate the signal reasonably well. For periodic signals with discontinuities, however, such as a periodic square wave, even a large number of harmonics will not be sufficient to reproduce the square wave exactly. This effect is known as Gibbs phenomenon and it manifests itself in the form of ripples of increasing frequency and an overshoot (as well as an undershoot) closer to the transitions of the square signal.\n","\n","The overshoot does not die out as the number of coefficient increases, but approaches a finite limit.The value of t where the maximum overshoot is achieved moves closer and closer to the discontinuity as the number of terms summed increases. \n","\n","From a signal processing point of view, the Gibbs phenomenon is the step response of a low-pass filter, and the oscillations are called ringing. Truncating the Fourier series of a periodic signal corresponds to filtering out the higher frequencies by an ideal (brick-wall) low-pass filter. This can be represented as convolution of the original signal with the impulse response of the filter, which is the sinc function. Thus, here the Gibbs phenomenon can be seen as the result of convolving a rectangular function with a sinc function: the oscillations in the sinc function cause the ripples in the output."]},{"cell_type":"markdown","metadata":{"id":"1niS7Dut_M9I"},"source":["**2.** A signal is given as x(t) = cos2πf t where f = 30 Hz. The signal is\n","sampled at 100 times per second for 0.99 seconds and then its DFT is\n","computed."]},{"cell_type":"code","metadata":{"id":"2_hYzq7DCJrS","executionInfo":{"status":"ok","timestamp":1613843225330,"user_tz":-330,"elapsed":10749,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["import numpy as np\n","\n","def dft(x,N):\n"," num_coeff=N\n"," twiddle_power=complex(0,-(2*np.pi)/N)\n"," Wn=np.exp(twiddle_power) # defining the twiddle factor Wn\n","\n"," dft_coeff=[] # an empty array to store the dft coefficients\n"," for k in range(num_coeff): # calculating the N DFT coefficients\n"," sum=0\n"," for i in range(N): # summing over the N signal sampeles\n"," sum=sum+(x[i]*(Wn**(i*k))) # multiplying by the twiddle factor\n"," dft_coeff.append(sum) # adding the calculated dft coefficient to the list\n","\n"," dft_coeff=np.array(dft_coeff)\n"," return dft_coeff\n","\n","def idft(X,N):\n"," num_coeff=N\n"," twiddle_power=complex(0,-(2*np.pi)/N)\n"," Wn=np.exp(twiddle_power) # defining the twiddle factor Wn\n","\n"," xn=[] # an empty array to store the signal samples\n"," for i in range(N): # calculating the N samples\n"," sum=0\n"," for k in range(num_coeff): # summing over the N dft coefficients\n"," sum=sum+(X[k]*(Wn**(-i*k))) # multiplying by the twiddle factor\n"," xn.append(sum) # adding the calculated sample to the list\n","\n"," xn=np.array(xn)/N\n"," return xn\n"],"execution_count":97,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"_Zi0o1hkY_to"},"source":["(a) Plot the power spectral density and visualize the Spectral Leakage."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"SttdsSqH82Yx","executionInfo":{"status":"ok","timestamp":1613844015375,"user_tz":-330,"elapsed":2125,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"376636d4-26df-4549-8f0b-3debb98de20b"},"source":["f=30\n","start=0\n","end=0.99\n","samplesPerSec=100\n","t=np.arange(start,end,1/samplesPerSec)\n","x=np.cos(2*np.pi*f*t) # defining the 30 Hz cosine signal for 0.99 seconds\n","\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(25,15))\n","plt.stem(t,x,use_line_collection=True)\n","plt.xlabel('n (samples)')\n","plt.ylabel('x[n]')\n","plt.title(f'Sampled signal from cos(2$\\pi$ 30 t)')\n","plt.grid()\n","plt.show()\n","\n","\n","Xk=dft(x,np.shape(x)[0]) # calculate dft of the signal\n","N=int((end-start)*samplesPerSec)\n","fs=samplesPerSec\n","\n","# source: http://www.ee.iitm.ac.in/~skrishna/ee471/dft_lab2.pdf\n","k=np.arange(0,N,1,dtype=int)\n","wk=(2*np.pi*k)/N # relation between omega_k and k\n","psd=[]\n","for i in list(k):\n"," psd.append(abs(Xk[i])**2) # psd is taken proportional to square of dft coefficients\n","M=0 # to store mean square value\n","for i in range(N):\n"," M=M+(x[i]**2)\n","M=M/N\n","psd=np.array(psd)/(N*M)\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(25,15))\n","f=(wk*samplesPerSec)/(2*np.pi)\n","plt.stem(f,psd,use_line_collection=True)\n","plt.xlabel('Frequency (Hz)')\n","plt.ylabel('S(f)')\n","plt.title(f'Power spectral density S(f) of cos(2$\\pi$ 30 t) (sampling for 0.99 seconds)')\n","plt.grid()\n","plt.show()"],"execution_count":109,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"THX_C5TAuKZy"},"source":["**Ans** Here, spectral leakage around f=30 Hz is visible clearly. Spectral leakage occurs when a non-integer number of periods of a signal is sent to the DFT. Spectral leakage lets a single-tone signal be spread among several frequencies after the DFT operation. This makes it hard to find the actual frequency of the signal."]},{"cell_type":"markdown","metadata":{"id":"H0Oyf8jAyCXm"},"source":["(b) Using various window functions such as Hanning, Hamming, Bartlett\n","etc. try to minimize the Spectral Leakage. Show the plots in each\n","case."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":943},"id":"oRWRZNE0xJTf","executionInfo":{"status":"ok","timestamp":1613843226070,"user_tz":-330,"elapsed":11460,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"8a496925-e1f5-4163-9dc0-284a323bc385"},"source":["def hanning(M): # returns a hanning window\n"," H=[]\n"," for i in range(M):\n"," H.append(0.5-0.5*np.cos( (2*np.pi*i)/(M-1) ))\n"," return np.array(H)\n","\n","windowed=np.multiply(x,hanning(np.shape(x)[0])) # applying the window to the signal\n","Xk=dft(windowed,np.shape(x)[0]) # calculate dft of the signal\n","N=int((end-start)*samplesPerSec)\n","fs=samplesPerSec\n","\n","# source: http://www.ee.iitm.ac.in/~skrishna/ee471/dft_lab2.pdf\n","k=np.arange(0,N,1,dtype=int)\n","wk=(2*np.pi*k)/N\n","psd=[]\n","for i in list(k):\n"," psd.append(abs(Xk[i])**2)\n","M=0\n","for i in range(N):\n"," M=M+(windowed[i]**2)\n","M=M/N\n","psd=np.array(psd)/(N*M)\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(25,8))\n","f=(wk*samplesPerSec)/(2*np.pi)\n","plt.stem(f,psd,use_line_collection=True)\n","plt.xlabel('Frequency (Hz)')\n","plt.ylabel('S(f)')\n","plt.title(f'Power spectral density S(f) (applying Hanning window)')\n","plt.grid()\n","plt.show()\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(25,8))\n","plt.stem(np.arange(0,0.99,0.01),hanning(N),use_line_collection=True)\n","plt.xlabel('n (samples)')\n","plt.ylabel('h[n]')\n","plt.title(f' Hanning window')\n","plt.grid()\n","plt.show()"],"execution_count":99,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":943},"id":"zaBvNCtWzFoP","executionInfo":{"status":"ok","timestamp":1613843227201,"user_tz":-330,"elapsed":12574,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"82c839bb-9850-4ed8-e30b-d4101bc3741e"},"source":["def hamming(M): # returns a hamming window\n"," H=[]\n"," for i in range(M):\n"," H.append(0.54-0.46*np.cos( (2*np.pi*i)/(M-1) ))\n"," return np.array(H)\n","\n","windowed=np.multiply(x,hamming(np.shape(x)[0]))\n","\n","Xk=dft(windowed,np.shape(windowed)[0]) # calculate dft of the signal\n","N=int((end-start)*samplesPerSec)\n","fs=samplesPerSec\n","\n","# source: http://www.ee.iitm.ac.in/~skrishna/ee471/dft_lab2.pdf\n","k=np.arange(0,N,1,dtype=int)\n","wk=(2*np.pi*k)/N\n","psd=[]\n","for i in list(k):\n"," psd.append(abs(Xk[i])**2)\n","M=0\n","for i in range(N):\n"," M=M+(windowed[i]**2)\n","M=M/N\n","psd=np.array(psd)/(N*M)\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(25,8))\n","f=(wk*samplesPerSec)/(2*np.pi)\n","plt.stem(f,psd,use_line_collection=True)\n","plt.xlabel('Frequency (Hz)')\n","plt.ylabel('S(f)')\n","plt.title(f'Power spectral density S(f) (applying Hamming window)')\n","plt.grid()\n","plt.show()\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(25,8))\n","plt.stem(np.arange(0,0.99,0.01),hamming(N),use_line_collection=True)\n","plt.xlabel('n (samples)')\n","plt.ylabel('h[n]')\n","plt.title(f' Hamming window')\n","plt.grid()\n","plt.show()"],"execution_count":100,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":943},"id":"n7OpDKWs0_Ru","executionInfo":{"status":"ok","timestamp":1613843228607,"user_tz":-330,"elapsed":13965,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"0f95bf9f-9637-4470-ade8-dd7018a914d1"},"source":["def bartlett(M): # returns a bartlett window\n"," B=[]\n"," m=(M-1)/2\n"," for i in range(M):\n"," B.append( (1/m)*(m-abs(i-m)) )\n"," return np.array(B)\n","\n","windowed=np.multiply(x,bartlett(np.shape(x)[0]))\n","\n","Xk=dft(windowed,np.shape(windowed)[0]) # calculate dft of the signal\n","N=int((end-start)*samplesPerSec)\n","fs=samplesPerSec\n","\n","# source: http://www.ee.iitm.ac.in/~skrishna/ee471/dft_lab2.pdf\n","k=np.arange(0,N,1,dtype=int)\n","wk=(2*np.pi*k)/N\n","psd=[]\n","for i in list(k):\n"," psd.append(abs(Xk[i])**2)\n","M=0\n","for i in range(N):\n"," M=M+(windowed[i]**2)\n","M=M/N\n","psd=np.array(psd)/(N*M)\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(25,8))\n","f=(wk*samplesPerSec)/(2*np.pi)\n","plt.stem(f,psd,use_line_collection=True)\n","plt.xlabel('Frequency (Hz)')\n","plt.ylabel('S(f)')\n","plt.title(f'Power spectral density S(f) (applying Bartlett window)')\n","plt.grid()\n","plt.show()\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(25,8))\n","plt.stem(np.arange(0,0.99,0.01),bartlett(N),use_line_collection=True)\n","plt.xlabel('n (samples)')\n","plt.ylabel('h[n]')\n","plt.title(f' Bartlett window')\n","plt.grid()\n","plt.show()"],"execution_count":101,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABbAAAAH8CAYAAAAADYm/AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdf5Tk610X+PeTpgMFqI1EL3RjDLhSEInLbK4/JnLj8CM2srLOAhqVX9mFzUgOsG4ONdiKCP7KcUtgY1YCl2UJCToOjm0vuoQKEodcSOKQ0DodD5Qsm2TWahIMoTjepMJ0ar77R1XP7e7MvdPVM/X79TqnT99+6vut+lR31VPP857vfZ5SVVUAAAAAAGDWPGvaBQAAAAAAwL0IsAEAAAAAmEkCbAAAAAAAZpIAGwAAAACAmSTABgAAAABgJgmwAQAAAACYSQJsAAB4QKWU66WU69Ou4yxKKS8rpVSllOc9xPu8MLzPCw/rPgEAWE4CbAAA5tIwID369eFSyi+XUr67lPI7xvB4LyqlfFcpZe0h3+8rSikvu0f7+vDxPv9hPh4AAMwTATYAAPPszUm+dvj1vyR5e5K/keTHx/BYL0ryN5M81AA7ySuSvOwe7evDxxt3gP2GJLUk7x3z4wAAwMg+btoFAADAA/iVqqp+7MjPP1hKqZK8rJTyu6uq+uCDPkAp5ZOqqvrQg97PrKqqqp+kP+06AADgXlyBDQDAonnf8PvBYUMp5bFSytVSyntLKb9dSvm1UsoPlVJ+99ETh0t2VKWUzyulvKGU8sEk7yqlfFeS5vCwdx9ZtuTC0xVRBr6llLJXSvlIKeXXSyk/XEp5zpFj3pPkDyX5k0fu8z3D+/2F4WE/cuS273qGx/pAKeU1J9r3h+dtHGn7hmHbZw5//pg1sIdrev9yKeX5pZQ3D5dn6ZRSLt/jsT+jlLJTSvnQ8Dl+X5KPf5o6v7KU8o5SSq+U8hullCullOceuf3Lh7W88Ejb5rDtp0/c1xOllJ+91+MAALA4XIENAMA8+4QjgfAnJvljSS4l+RdVVf2XI8f9uSS/K8njSX49yR9O8o1JPq+U8qKqqqoT93s1ybuTfEeSZ2ewVMlnJ/mLGSxV8oHhcb/0DLW9Nsk3JPnRJP97kt+X5FuS/NFSyh+pquojSf5KktckeTLJ3x2e9+Twfr8zyd8a1vzE8Lab93qgqqqqUsrPJXnxYVsp5Q8m+fQkd4btV4Y3PZbkP1VV9e5nqD0Z/L7emORfJPlnSb4qyd8vpexVVfXG4WPUkvxMkucm+YdJ9pN8dZIvOnlnpZSvyWC5kncm2Urye5J8a5IvKKWcq6rqA0l+Pkk1rPedw1NfPHwO50spH1dV1UdLKR+f5I/kqX9UAABgQQmwAQCYZ18//DrqJ5N8zYm2v1pV1YePNpRS3pbkHyf5E0l+7sTxv1xV1VeeOP4XMwiwd6qqes8zFVVKeVEGQfrXV1X1+iPtP5VBGP11SR6vqmqnlPJ3knzgxFIoKaW8MYMA+20nb3saTyT58lLKWlVV3QyC6t/MIAg+GWC/5RT392lH6y+l/HAG62R/QwbBdpK8PINg/6VVVf348LjHk+yeeC6rSf5BBsH8Y1VV9YbtP53k3yT5q0m+raqqD5ZS/sOw3u87Uu+1JH8+yQuT/NskfzSDq7xP8zwAAJhjlhABAGCe/askLxl+/dkkfy/JFya5UkophwcdhtfDpTZ+5/Cq7bcOb35hPtZrH7CuP5/BldQ/VUp5zuFXkl9O8v5hjQ/bExmM7x8b/vziDIL5nx3+d0op60k+K09d0f1MeknuBudVVd1OcmN4/qEvy+D5XDtyXC/J/3Hivh5N8kiS1x6G18Njr2cQsP+3J57HFwz/Vh+fQVh9Ncmv5qkrzB9L8tEkbzvF8wAAYI4JsAEAmGedqqr+9fDrJ6qq+utJ/nqS/y7Jlx8eVEr5faWUf5rkt4Zf/zmDJUKSwVIZJ/3qA9b12Uk+OYNw9z+f+Hokye99wPu/l19M8qEcD3nfMvz63GGAfhhun+bK5U5VVXdOtP1mkk858vPvT/Kr9zjuP574+fcPv7fv8Ti/lOR5R35+Islzkjw/T11p/cSw5qPPbbeqqifv/zQAAJhnlhABAGDRvHn4/bEkP1FKWUnypgzWXH5VBoHphzK4mOOncu+LOnr3aBvFs5L8RpK/8DS3/+YD3v/HGK4N/bYkLx5u2vhZGYS+N5PczuD38VgG63c/09rdh/pP016epv1hOQzXX5zkdyf5paqq/nMp5Ykk31NK+bgkL8rHXuUNAMACEmADALBoVoffP3n4/QVJPifJy6qq+tHDg4abHI7i5EaPz+RXM1jW5O2nuEr46e53lMc79ESSv5HkT2ewhMkvDoPtX8ggEH4syc/dY9PKs3pvkv+6lPKsE1dhf/Y9jkuSegb/mHDU5yR5z+EPVVV1SinvHtb7KXkq0H7L8OevSfI7Y/1rAIClYAkRAAAWzZcNv//74ffDK4lPXjn8bSPe74eG3z/lGY8auJrBWPs7T95QSlkppRy9jw89zX2O8niHnsjgIpVvy2Dzx48eaf8zST4vDzf4/ckMlkT5qsOGUkotyTeeOO4dGSyncqmU8glHjn0sg/Wx/9WJ459I8iczuNL6LUlSVdWvJtnPYMPHKqdbxxsAgDnnCmwAAObZHyylfM3wv2tJ/liSlyX5f5K8Ydj+y0l+JYPlJz4jyQczuEL5M0Z8rHcMv7+qlPJPMliW481VVf36yQOrqnpLKeUfJWmUUv5wklaS307yX2UQ9n5nktcdud9XlFL+ZgZrRz9ZVdW/zOAq7t9M8k2llCeT/Jck76qq6l3PUOO/TXKQwZXOP3ak/S1Jtob//TCD3x9K8s1JfrSU8sIknQyukP7towdVVXVQSmkkeX2SJ0opP5bBki7fOjzn75+43yeSfN2R2o+2vzTJf6iq6oMP8XkAADCjBNgAAMyzLxp+JYMrrX8tyQ8n+RtVVX0ouRuefnmSVydpDI/7qSRfmuR9p32gqqreUUrZSvKKJD+SwRXWX5jkYwLs4fHfXEr5xSR/OcnfTfLRJLeS/HieWqc7Sf5Wkt+X5JUZLI3x3iT/clj312awbvc/ymBplO9O8rQBdlVVHy6lvDPJH8/x4Petw+f94SS7p33O9zN8vC9O8poMguwPJ/nHSd6Ywe/46LFvKKV8OIMg/e8Pj/3JJN9eVdUHTtz1Ycj+7qqq/tOJ9pfG8iEAAEujPLzl7wAAAAAA4OGxBjYAAAAAADNJgA0AAAAAwEwSYAMAAAAAMJME2AAAAAAAzCQBNgAAAAAAM+njpl3AuDznOc+pnve85027jKn50Ic+lE/6pE+adhnAlOkLAP0AkOgLgAF9AZDMZl/wzne+8wNVVf2ee922sAH28573vLzjHe+YdhlTc/369Vy4cGHaZQBTpi8A9ANAoi8ABvQFQDKbfUEp5b1Pd5slRAAAAAAAmEkCbAAAAAAAZpIAGwAAAACAmSTABgAAAABgJgmwAQAAAACYSQJsAAAAAABmkgAbAAAAAICZJMAGAAAAAGAmCbABAAAAAJhJAmwAAAAAAGaSABsAAAAAgJkkwAYAAAAAYCYJsAEAAAAAmEkTDbBLKS8upfxEKaVTSqlKKS87xTkvKKX8bCmlNzzvO0spZQLlAgAAAAAwRZO+AvuTk7wryf+cpHe/g0spvzPJTyd5f5I/MjyvkeSVY6wRAAAAAIAZ8HGTfLCqqn4yyU8mSSnldac45auTfGKSr6+qqpfkXaWUz0nyylLK91ZVVY2tWAAAmCE7u500W+3sd3tZX6ulsVnPxXMb0y4LAADGatbXwD6f5IlheH2olWQ9yfOmUhEAAEzYzm4nW9t76XR7qZJ0ur1sbe9lZ7cz7dIAAGCsZj3A/rQMlg856v1HbgMAgIXXbLXTO+gfa+sd9NNstadUEQAATMZElxAZt1LKy5O8PEkeeeSRXL9+fboFTdGTTz651M8fGNAXAPqBxdDp3nv7mE635+/LqegLgERfAAzMW18w6wH2+5I8cqLtkSO3HVNV1eNJHk+SRx99tLpw4cJYi5tl169fzzI/f2BAXwDoBxbDxtvffM8Qe2Ot5u/LqegLgERfAAzMW18w60uIvC3JY6WUTzjS9pIk+0neM5WKAABgwhqb9dRWV4611VZX0tisT6kiAACYjIkG2KWUTy6lfH4p5fOHj/3c4c/PHd7+qlLKzxw55Z8k+XCS15VSPq+U8hVJ/mqS762qqppk7QAAMC0Xz23kVV/xgjx7ZTB831ir5VVf8YJcPLcx5coAAGC8Jr2EyKNJ/s2Rn797+PWjSV6W5NOT/IHDG6uq+q1SykuS/KMk70jym0m+J8n3TqheAACYCRfPbeTKjVtJkquXzk+5GgAAmIyJBthVVV1PUp7h9pfdo20vyYvHVxUAAEzWzm4nzVY7+91e1tdqaWzWx3I19aQeBwAAxmXWN3EEAICFsrPbydb2XnoH/SRJp9vL1vZekjzUcHlSjwMAAOM065s4AgDAQmm22ndD5UO9g36arfZcPg4AAIyTABsAACZov9sbqX3WHwcAAMZJgA0AABO0vlYbqX3WHwcAAMZJgA0AABPU2KyntrpyrK22upLGZn0uHwcAAMbJJo4AADBBhxsoXr52M7f7d7KxVktjs/7QN1ac1OMAAMA4CbABAGDCLp7byJUbt5IkVy+dn/vHAQCAcRFgAwDAA9jZ7aTZame/28v6AlzlvGjPBwCA+SbABgCAM9rZ7WRrey+9g36SpNPtZWt7L0nmMvRdtOcDAMD8s4kjAACcUbPVvhv2Huod9NNstadU0YNZtOcDAMD8E2ADAMAZ7Xd7I7XPukV7PgAAzD8BNgAAnNH6Wm2k9lm3aM8HAID5J8AGAIAzamzWU1tdOdZWW11JY7M+pYoezKI9HwAA5p9NHAEA4IwONza8fO1mbvfvZGOtlsZmfW43PFy05wMAwPwTYAMAwAO4eG4jV27cSpJcvXR+ytU8uEV7PgAAzDcBNgAADO3sdtJstbPf7WXd1cen5vcGAMC4CLABACCDEHZrey+9g36SpNPtZWt7L0mEsc/A7w0AgHGyiSMAACRpttp3Q9hDvYN+mq32lCqaD35vAACMkwAbAACS7Hd7I7Uz4PcGAMA4CbABACDJ+lptpHYG/N4AABgnATYAACRpbNZTW1051lZbXUljsz6liuaD3xsAAONkE0cAAMhTGw5evnYzt/t3srFWS2OzbiPC+/B7AwBgnATYAAAwdPHcRq7cuJUkuXrp/JSrmR9+bwAAjIsAGwCAhbSz20mz1c5+t5d1VwXPHH8fAABOQ4ANAMDC2dntZGt7L72DfpKk0+1la3svSYSkM8DfBwCA07KJIwAAC6fZat8NRw/1DvppttpTqoij/H0AADgtATYAAAtnv9sbqZ3J8vcBAOC0BNgAACyc9bXaSO1Mlr8PAACnJcAGAGDhNDbrqa2uHGurra6ksVmfUkUc5e8DAMBp2cQRAICFc7gR4OVrN3O7fycba7U0Nus2CJwR/j4AAJyWABsAgIV08dxGrty4lSS5eun8lKvhJH8fAABOQ4ANAMDM29ntpNlqZ7/by7qrdZeW1wEAwPIRYAMAMNN2djvZ2t5L76CfJOl0e9na3ksS4eUS8ToAAFhONnEEAGCmNVvtu6Hlod5BP81We0oVMQ1eBwAAy0mADQDATNvv9kZqZzF5HQAALCcBNgAAM219rTZSO4vJ6wAAYDkJsAEAmGmNzXpqqyvH2mqrK2ls1qdUEdPgdQAAsJxs4ggAwEw73KDv8rWbud2/k421WhqbdRv3LRmvAwCA5STABgBg5l08t5ErN24lSa5eOj/lapgWrwMAgOUjwAYAYKJ2djtpttrZ7/ay7ipaxshrDQBg/gmwAQCYmJ3dTra299I76CdJOt1etrb3kkSwyEPltQYAsBhs4ggAwMQ0W+27geKh3kE/zVZ7ShWxqLzWAAAWgwAbAICJ2e/2RmqHs/JaAwBYDAJsAAAmZn2tNlI7nJXXGgDAYhBgAwAwMY3NemqrK8faaqsraWzWp1QRi8prDQBgMdjEEQCAiTncPO/ytZu53b+TjbVaGpt1m+rx0HmtAQAsBgE2AAATdfHcRq7cuJUkuXrp/JSrYZF5rQEAzD8BNgAAZ7az20mz1c5+t5d1V7iyALymAQBmiwAbAIAz2dntZGt7L72DfpKk0+1la3svSQR+zCWvaQCA2WMTRwAAzqTZat8N+g71DvppttpTqggejNc0AMDsEWADAHAm+93eSO0w67ymAQBmjwAbAIAzWV+rjdQOs85rGgBg9giwAQA4k8ZmPbXVlWNttdWVNDbrU6oIHozXNADA7LGJIwAAZ3K4qd3lazdzu38nG2u1NDbrNrtjbnlNAwDMHgE2AABndvHcRq7cuJUkuXrp/JSrgQfnNQ0AMFsE2AAAJEl2djtpttrZ7/ay7spTODXvHQCA8RFgAwCQnd1Otrb30jvoJ0k63V62tveSRBAHz8B7BwBgvGziCABAmq323QDuUO+gn2arPaWKYD547wAAjJcAGwCA7Hd7I7UDA947AADjJcAGACDra7WR2oEB7x0AgPESYAMAkMZmPbXVlWNttdWVNDbrU6oI5oP3DgDAeNnEEQCAu5vNXb52M7f7d7KxVktjs24TOrgP7x0AgPESYAMAkGQQxF25cStJcvXS+SlXA/PDewcAYHwE2AAAC2hnt5Nmq51Ot5eNt7/ZFaEwYw7fo/vdXtZdtQ0A8LQE2AAAC2Znt5Ot7b30DvpJkk63l63tvSQRkMEM8B4FADg9mzgCACyYZqt9Nxg71Dvop9lqT6ki4CjvUQCA0xNgAwAsmP1ub6R2YLK8RwEATk+ADQCwYNbXaiO1A5PlPQoAcHoCbACABdPYrKe2unKsrba6ksZmfUoVAUd5jwIAnN7EA+xSyitKKe8upXyklPLOUspj9zn+L5VS/l0p5cOllPeVUn6slPJpk6oXAGDeXDy3kVd9xQvy7JXBUG9jrZZXfcULbA4HM8J7FADg9D5ukg9WSnlpklcneUWSnxt+f2Mp5flVVd26x/F/Iskbknxbkp0kjyT5/iT/OMkXT6puAIB5c/HcRq7cuJVut5vWt3/RtMsBTjh8jybJ1Uvnp1wNAMDsmmiAneSVSV5XVdUPDX/+llLKlyb5piRb9zj+fJL/VFXV9w1/fncp5TVJXjP+UgEAZsPObifNVjv73V7W12ppbNZdqQlLSF8AACyjiS0hUkp5dpIXJnnTiZvelORFT3Pazyf59FLKl5eB5yT5C0l+cnyVAgDMjp3dTra299Lp9lIl6XR72drey85uZ9qlAROkLwAAltUkr8B+TpKVJO8/0f7+JF9yrxOqqnpbKeUvZLBkSC2Den86ydff6/hSysuTvDxJHnnkkVy/fv2hFD6PnnzyyaV+/sCAvgDm39++/uH0Dqpjbb2Dfv72//Xvs/Zbv/KM53a7vfT7/ZH6gW63lyTOcc7CnDOrdY16zoP0BYkxATCgLwCS+esLJr2EyEhKKc/PYLmQv52kleTTkzST/GCSrzt5fFVVjyd5PEkeffTR6sKFCxOrddZcv349y/z8gQF9Acy/D/7U/33v9o9U931/v7b9tnS73ZH6gde235YkuXDh9GvyOsc5s3zOrNY16jkP0hckxgTAgL4ASOavL5jYEiJJPpCkn8FGjEc9kuR9T3POVpIbVVU1q6q6WVVVK4ONH7+2lPIZ4ysVAGA2rK/VRmoHFpO+AABYVhMLsKuqup3knUlecuKmlyR569Oc9okZhN5HHf48yfAdAGAqGpv11FZXjrXVVlfS2KxPqSJgGvQFAMCymvQSIt+b5A2llBsZbND4l5OsJ/mBJCmlvD5Jqqo6XB7kXyb5oVLKN+WpJUT+tyS/WFXVrQnXDgAwcRfPbSRJLl+7mdv9O9lYq6WxWb/bDiwHfQEAsKwmGmBXVXW1lPKpSb4jgzD6XUm+rKqq9w4Pee6J419XSvkdSb45yfck+a0kb07y7ZOrGgBgui6e28iVG4N/u7966fTr6wKLRV8AACyjiW/iWFXV9yf5/qe57cI92l6TwUaOAABzb2e3k2arnf1uL+uuoATG7LDP6XR72Xj7m/U5AMDcmXiADQCwrHZ2O9na3kvvYLClR6fby9b2XpIIlICHTp8DACwCGyECAExIs9W+GyQd6h3002y1p1QRsMj0OQDAIhBgAwBMyH63N1I7wIPQ5wAAi0CADQAwIetrtZHaAR6EPgcAWAQCbACACWls1lNbXTnWVltdSWOzPqWKgEWmzwEAFoFNHAEAJuRw07TL127mdv9ONtZqaWzWbaYGjIU+BwBYBAJsAIAJunhuI1du3EqSXL10fsrVAIvusM/pdrtpffsXTbscAICRWUIEAAAAAICZ5ApsAIAHsLPbSbPVzn63l3X/ez6wAPRrAMAsEWADAJzRzm4nW9t76R30kySdbi9b23tJIuwB5pJ+DQCYNZYQAQA4o2arfTfkOdQ76KfZak+pIoAHo18DAGaNABsA4Iz2u72R2gFmnX4NAJg1AmwAgDNaX6uN1A4w6/RrAMCsEWADAJxRY7Oe2urKsbba6koam/UpVQTwYPRrAMCssYkjAMAZHW5odvnazdzu38nGWi2NzbqNzoC5pV8DAGaNABsA4AFcPLeRKzduJUmuXjo/5WoAHpx+DQCYJQJsAIChnd1Omq129ru9rLvqEODU9J8AwLgIsAEAMghftrb30jvoJ0k63V62tveSRAgD8Az0nwDAONnEEQAgSbPVvhu+HOod9NNstadUEcB80H8CAOMkwAYASLLf7Y3UDsCA/hMAGCcBNgBAkvW12kjtAAzoPwGAcRJgAwAkaWzWU1tdOdZWW11JY7M+pYoA5oP+EwAYJ5s4AgDkqY3GLl+7mdv9O9lYq6WxWbcBGcB96D8BgHESYAMADF08t5ErN24lSa5eOj/lagDmh/4TABgXATYAsJB2djtpttrZ7/ay7mpAgJmjnwYATkOADQAsnJ3dTra299I76CdJOt1etrb3kkQ4AjAD9NMAwGnZxBEAWDjNVvtuKHKod9BPs9WeUkUAHKWfBgBOS4ANACyc/W5vpHYAJks/DQCclgAbAFg462u1kdoBmCz9NABwWgJsAGDhNDbrqa2uHGurra6ksVmfUkUAHKWfBgBOyyaOAMDCOdwA7PK1m7ndv5ONtVoam3UbgwHMCP00AHBaAmwAYCFdPLeRKzduJUmuXjo/5WoAOEk/DQCchgAbAJh5O7udNFvt7Hd7WXeVHsDS8nkAAMtHgA0AzLSd3U62tvfSO+gnSTrdXra295JEaAGwRHweAMBysokjADDTmq323bDiUO+gn2arPaWKAJgGnwcAsJwE2ADATNvv9kZqB2Ax+TwAgOUkwAYAZtr6Wm2kdgAWk88DAFhOAmwAYKY1Nuupra4ca6utrqSxWZ9SRQBMg88DAFhONnEEAGba4cZcl6/dzO3+nWys1dLYrNuwC2DJ+DwAgOUkwAYAZt7Fcxu5cuNWkuTqpfNTrgaAafF5AADLR4ANAEzUzm4nzVY7+91e1l09B8CY+dwBgPkmwAYAJmZnt5Ot7b30DvpJkk63l63tvSQRJgDw0PncAYD5ZxNHAGBimq323RDhUO+gn2arPaWKAFhkPncAYP4JsAGAidnv9kZqB4AH4XMHAOafABsAmJj1tdpI7QDwIHzuAMD8E2ADABPT2KyntrpyrK22upLGZn1KFQGwyHzuAMD8s4kjADAxhxtmXb52M7f7d7KxVktjs24jLQDGwucOAMw/ATYAMFEXz23kyo1bSZKrl85PuRoAFp3PHQCYbwJsAODMdnY7abba2e/2su6qNgAWhM83AJgdAmwA4Ex2djvZ2t5L76CfJOl0e9na3ksSk3wA5pbPNwCYLTZxBADOpNlq353cH+od9NNstadUEQA8OJ9vADBbBNgAwJnsd3sjtQPAPPD5BgCzRYANAJzJ+lptpHYAmAc+3wBgtgiwAYAzaWzWU1tdOdZWW11JY7M+pYoA4MH5fAOA2WITRwDgTA43srp87WZu9+9kY62WxmbdBlcAzDWfbwAwWwTYAMCZXTy3kSs3biVJrl46P+VqAODh8PkGALNDgA0AJEl2djtpttrZ7/ay7mozABiJz1EAGA8BNgCQnd1Otrb30jvoJ0k63V62tveSxOQbAO7D5ygAjI9NHAGANFvtu5PuQ72Dfpqt9pQqAoD54XMUAMZHgA0AZL/bG6kdAHiKz1EAGB8BNgCQ9bXaSO0AwFN8jgLA+AiwAYA0Nuupra4ca6utrqSxWZ9SRQAwP3yOAsD42MQRALi7wdTlazdzu38nG2u1NDbrNp4CgFPwOQoA4yPABgCSDCbfV27cSpJcvXR+ytUAwHzxOQoA4yHABoAFtLPbSbPVzn63l3VXgQHATPJ5DQD3J8AGgAWzs9vJ1vZeegf9JEmn28vW9l6SmBQDwIzweQ0Ap2MTRwBYMM1W++5k+FDvoJ9mqz2ligCAk3xeA8DpCLABYMHsd3sjtQMAk+fzGgBOZ+IBdinlFaWUd5dSPlJKeWcp5bH7HP/sUsrfGp7z26WUW6WUb51UvQAwb9bXaiO1AwCT5/MaAE5nogF2KeWlSV6d5O8lOZfkrUneWEp57jOc9k+TfGmSlyepJ/lzSW6OuVQAmFuNzXpqqyvH2mqrK2ls1qdUEQBwks9rADidSW/i+Mokr6uq6oeGP39LKeVLk3xTkq2TB5dS/lSSL07yB6qq+sCw+T2TKBQA5tXhxk+Xr93M7f6dbKzV0tis2xAKAGaIz2sAOJ2JBdillGcneWGSf3DipjcledHTnHYxyS8keWUp5euS9JK8Mclfq6rqyXHVCgDz7uK5jVy5cStJcvXS+SlXAwDci89rALi/SV6B/ZwkK0nef6L9/Um+5GnO+awkX5Dkt5N8ZZK1JK9Jsp7kq04eXEp5eQZLjeSRRx7J9evXH0bdc+nJJ59c6ucPDOgLFsNb9w/yz//jQX7jI1U+9RNKvvKzV/Oi9dX7ntcdbgI1ymvAOZM5Z5J19fv9mXv+znHOJM+Z1bomfY6+YHHOOeu4AGFSn+EAACAASURBVBLzA2Bg3vqCSS8hMqpnJamS/KWqqn4rSUop35ykVUp5pKqqY2F4VVWPJ3k8SR599NHqwoULEy53dly/fj3L/PyBAX3B/NvZ7eQNP7OX3kGVJPmNj1R5wy/18/zPff59/xfj17bfliS5cOH0V3Q5ZzLnTLKubrc7Uj8wq78z5zjnrOfMal2TPkdfsBjnPMi4ABLzA2Bg3vqCSW7i+IEk/SSPnGh/JMn7nuacX0vSOQyvh35p+P2ZNn4EgIXQbLXTO+gfa+sd9NNstadUEQAwLcYFACyjiQXYVVXdTvLOJC85cdNLkrz1aU77+STrpZRPPtL22cPv7324FQLA7Nkf/m/Fp20HABaXcQEAy2iSV2AnyfcmeVkp5RtLKZ9bSnl1ButZ/0CSlFJeX0p5/ZHj/0mS30jyI6WUP1RK+RNJXp3kWlVVvz7h2gFg4tbXaiO1AwCLy7gAgGU00QC7qqqrSf5Kku9I8u8y2KDxy6qqOrya+rk5sjRIVVVPZrDB4+9K8gtJfjzJzyb5HydYNgBMTWOzntrqyrG22upKGpv1KVUEAEyLcQEAy2jimzhWVfX9Sb7/aW67cI+2dpI/NeayAGAmHW7IdPnazdzu38nGWi2NzbqNmgBgCRkXALCMJh5gAwCjuXhuI1du3EqSXL10fsrVAADTZFwAwLIRYAPABO3sdtJstbPf7WXdVVMAwAQYfwAwzwTYADAhO7udbG3vpXfQT5J0ur1sbe8liUkkADAWxh8AzLuJbuIIAMus2WrfnTwe6h3002y1p1QRALDojD8AmHcCbACYkP1ub6R2AIAHZfwBwLwTYAPAhKyv1UZqBwB4UMYfAMw7ATYATEhjs57a6sqxttrqShqb9SlVBAAsOuMPAOadTRwBYEION0q6fO1mbvfvZGOtlsZm3QZKAMDYGH8AMO8E2AAwQRfPbeTKjVtJkquXzk+5GgBgGRh/ADDPBNgAcEY7u500W+3sd3tZdzUTALBAjHMAmBUCbAA4g53dTra299I76CdJOt1etrb3ksTkDgCYa8Y5AMwSmzgCwBk0W+27k7pDvYN+mq32lCoCAHg4jHMAmCUCbAA4g/1ub6R2AIB5YZwDwCwRYAPAGayv1UZqBwCYF8Y5AMwSATYAnEFjs57a6sqxttrqShqb9SlVBADwcBjnADBLbOIIAGdwuIHR5Ws3c7t/JxtrtTQ26zY2AgDmnnEOALNEgA0AZ3Tx3Eau3LiVJLl66fyUqwEAeHiMcwCYFQJsAEiys9tJs9XOfreXdVcZAQCMxFgKgHERYAOw9HZ2O9na3kvvoJ8k6XR72dreSxITLwCA+zCWAmCcbOIIwNJrttp3J1yHegf9NFvtKVUEADA/jKUAGCcBNgBLb7/bG6kdAICnGEsBME4CbACW3vpabaR2AACeYiwFwDjddw3sUspPnOF+/6eqqt5/hvMAYOIam/Vj6zYmSW11JY3N+hSrAgCYD8ZSAIzTaTZx/DNJfjzJaf/fn7+U5JPOXBEATNjh5kKXr93M7f6dbKzV0tis23QIAOAUjKUAGKfTBNhJ8q1VVf36aQ4spXzVA9QDAFNx8dxGrty4lSS5eun8lKsBAJgvxlIAjMtpAuwvTPLBEe7zTyfpnK0cAHhwO7udNFvt7Hd7WXcFEADATDJmA+A07htgV1X1s6PcYVVVP3f2cgDgwezsdo6twdjp9rK1vZckJkQAADPCmA2A03rWWU4qpayXUj6/lPLfHP162MUBwKiarfaxDYSSpHfQT7PVnlJFAACcZMwGwGmddg3sJEkp5VySH0vyOUnKiZurJCsPqS4AOJP97r33HH66dgAAJs+YDYDTGvUK7MeT/H9JHkvyWUk+88jXZz3c0gBgdOtrtZHaAQCYPGM2AE5r1AD7+Um+taqqt1ZV9Z6qqt579GscBQLAKBqb9dRWj/8PQbXVlTQ261OqCACAk4zZADitkZYQSbKX5NOS/Mcx1AIAD+xw05/L127mdv9ONuxoDwAwc4zZADitUQPsv5bkfy2lfEcGYfbB0RurqvrgwyoMAM7q4rmNXLlxK0ly9dL5KVcDAMC9GLMBcBqjBtj/evj9TRls2nioxCaOAIzBzm4nzVY7+91e1l2ZAwCw1IwNAZbPqAH2F46lCgC4h53dTra299I76CdJOt1etrb3ksREBQBgyRgbAiynkQLsqqp+dlyFAMBJzVb77gTlUO+gn2arbZICALBkjA0BltOz7ndAKeX3jnKHpZTnlFLK2UsCgIH9bm+kdgAAFpexIcByum+AneTXRgyx/98kn3nGegDgrvW12kjtAAAsLmNDgOV0miVESpK/XEp58pT3ufoA9QDAXY3N+rF1DpOktrqSxmZ9ilUBADANxoYAy+k0AfatJP/DCPf5viQHZysHAJ5yuJbh5Ws3c7t/Jxt2mgcAWFrGhgDL6b4BdlVVz5tAHQBwTxfPbeTKjVtJkquXzk+5GgAApsnYEGD5nOYK7GNKKS9N8sVJfm+Or6FdVVX1Zx9WYQAsnp3dTpqtdva7vay7YgYAgAkwBgWYbyMF2KWUZpK/kuTfJNlPUo2jKAAWz85u59iahZ1uL1vbe0liAgEAwFgYgwLMv1GvwP66JH+xqqpr4ygGgMXVbLWPbbiTJL2DfpqttskDAABjYQwKMP+edf9DPub4fzeOQgBYbPvd3kjtAADwoIxBAebfqAH240m+ZhyFALDY1tdqI7UDAMCDMgYFmH/3XUKklPIPj/z4rCRfXUp5SZKbSQ6OHltV1bc+3PIAWBSNzfqx9QeTpLa6ksZmfYpVAQCwyIxBAebfadbAfsGJnw+XEPmcE+02dATgaR2uMXj52s3c7t/Jhh3gAQAYM2NQgPl33wC7qqovnEQhACy+i+c2cuXGrSTJ1Uvnp1wNAADLwBgUYL6NugY2AAAAAABMxGmWEAGAj7Gz20mz1c5+t5d1/ysmAAALxFgXYHYIsAEY2c5u59hmOJ1uL1vbe0liYA8AwFwz1gWYLZYQAWBkzVb72E7uSdI76KfZak+pIgAAeDiMdQFmiwAbgJHtd3sjtQMAwLww1gWYLQJsAEa2vlYbqR0AAOaFsS7AbBFgAzCyxmY9tdWVY2211ZU0NutTqggAAB4OY12A2WITRwBGdrh5zeVrN3O7fycbdmYHAGBBGOsCzBYBNgBncvHcRq7cuJUkuXrp/JSrAQCAh8dYF2B2CLAByM5uJ81WO/vdXtZdYQIAACMzpgYYDwE2wJLb2e1ka3svvYN+kqTT7WVrey9JDLgBAOAUjKkBxscmjgBLrtlq3x1oH+od9NNstadUEQAAzBdjaoDxEWADLLn9bm+kdgAA4DhjaoDxEWADLLn1tdpI7QAAwHHG1ADjI8AGWHKNzXpqqyvH2mqrK2ls1qdUEQAAzBdjaoDxsYkjwJI73FTm8rWbud2/kw07pgMAwEiMqQHGR4ANQC6e28iVG7eSJFcvnZ9yNQAAMH+MqQHGQ4ANsIB2djtpttrpdHvZePubXf0BAAAz6HDcvt/tZd1V2wD3JMAGWDA7u51sbe+ld9BPknS6vWxt7yWJwTAAAMwI43aA05n4Jo6llFeUUt5dSvlIKeWdpZTHTnneF5RSPlpKede4awSYZ81W++4g+FDvoJ9mqz2ligAAgJOM2wFOZ6IBdinlpUleneTvJTmX5K1J3lhKee59zvuUJK9P8jNjLxJgzu13eyO1AwAAk2fcDnA6k74C+5VJXldV1Q9VVfVLVVV9S5JfS/JN9znvh5P8aJK3jbtAgHm3vlYbqR0AAJg843aA05lYgF1KeXaSFyZ504mb3pTkRc9w3iuSPJLk74yvOoDF0disp7a6cqyttrqSxmZ9ShUBAAAnGbcDnM4kN3F8TpKVJO8/0f7+JF9yrxNKKS9I8jeT/PGqqvqllGd8gFLKy5O8PEkeeeSRXL9+/QFLnl9PPvnkUj9/WGZrSb72c1fyf76rn4/eqfKpn/CsfOVnr2Ttt34l16//ytOe1x3+r4qj9B3OcY5zznbOJOvq9/sz9/yd45xJnjOrdU36HH2Bc7zfZu+c4+P25FM/oZxq3P4gZAVAMn99wSQD7JGUUj4+ydUk31ZV1btPc05VVY8neTxJHn300erChQvjK3DGXb9+Pcv8/GHZXUjy73/wbel2u2l9+58+1TmvbQ9Wabpw4fypH8c5znHO2c6ZZF3dbnekMcGs/s6c45yznjOrdU36HH2Bc7zfZvOcCxmM25Pk6qXTP85ZyQqAZP76gkkG2B9I0s9gOZCjHknyvnsc/+lJPjfJj5RSfmTY9qwkpZTy0SRfVlXVyeVIABbOzm4nzVY7+91e1tdqaWzWc/HcxrTLAgAApsD8AFg2Ewuwq6q6XUp5Z5KXJPlnR256SZJ/fo9TOklecKLtFcPj//sk7xlDmQAzZWe3k63tvfQO+kmSTreXre29JDFIBQCAJWN+ACyjiW3iOPS9SV5WSvnGUsrnllJenWQ9yQ8kSSnl9aWU1ydJVVUHVVW96+hXkl9P8tvDn5+ccO0AE9dste8OTg/1DvppttpTqggAAJgW8wNgGU10Deyqqq6WUj41yXdksETIuzJYCuS9w0OeO8l6AGbd/nATmNO2AwAAi8v8AFhGk74CO1VVfX9VVc+rqurjq6p6YVVVbzly24Wqqi48w7nfVVXV502kUIAZsL5WG6kdAABYXOYHwDKaeIANwOk1Nuupra4ca6utrqSxWZ9SRQAAwLSYHwDLaKJLiAAwmsONWC5fu5nb/TvZsMs4AAAsLfMDYBkJsAFm3MVzG7ly41aS5Oql81OuBgAAmCbzA2DZCLABJmhnt5Nmq539bi/rrpYAAAAm4HAe0un2svH2N5uHAHNFgA0wITu7nWxt76V30E+SdLq9bG3vJYnBIwAAMBbmIcC8s4kjwIQ0W+27g8ZDvYN+mq32lCoCAAAWnXkIMO8E2AATst/tjdQOAADwoMxDgHknwAaYkPW12kjtAAAAD8o8BJh3AmyACWls1lNbXTnWVltdSWOzPqWKAACARWceAsw7mzgCTMjhBimXr93M7f6dbKzV7P4NAACMlXkIMO8E2AATdPHcRq7cuJUkuXrp/JSrAQAAlsHhPKTb7ab17V807XIARiLABjijnd1Omq129ru9rLuKAQAAWCDmO8CsEGADnMHObidb23vpHfSTJJ1uL1vbe0liUAcAAMw18x1gltjEEeAMmq323cHcod5BP81We0oVAQAAPBzmO8AsEWADnMF+tzdSOwAAwLww3wFmiQAb4AzW12ojtQMAAMwL8x1glgiwAc6gsVlPbXXlWFttdSWNzfqUKgIAAHg4zHeAWWITR4AzONy45PK1m7ndv5MNu3IDAAALwnwHmCUCbIAzunhuI1du3EqSXL10fsrVAAAAPDzmO8CsEGADJNnZ7aTZame/28u6qwsAAABGZl4FjIMAG1h6O7udbG3vpXfQT5J0ur1sbe8licEWAADAKZhXAeNiE0dg6TVb7buDrEO9g36arfaUKgIAAJgv5lXAuAiwgaW33+2N1A4AAMBx5lXAuAiwgaW3vlYbqR0AAIDjzKuAcRFgA0uvsVlPbXXlWFttdSWNzfqUKgIAAJgv5lXAuNjEEVh6hxuKXL52M7f7d7Jht2wAAICRmFcB4yLABshgsHXlxq0kydVL56dcDQAAwPwxrwLGQYANLJyd3U6arXb2u72s+1d/AACAmWX+BtyPABtYKDu7nWxt76V30E+SdLq9bG3vJYlBEAAAwAwxfwNOwyaOwEJpttp3Bz+Hegf9NFvtKVUEAADAvZi/AachwAYWyn63N1I7AAAA02H+BpyGABtYKOtrtZHaAQAAmA7zN+A0BNjAQmls1lNbXTnWVltdSWOzPqWKAAAAuBfzN+A0bOIILJTDjT4uX7uZ2/072bCLNQAAwEwyfwNOQ4ANLJyL5zZy5catJMnVS+enXA0AAABPx/wNuB8BNjDTdnY7abba2e/2su5f4wEAAJaeeSIsFwE2MLN2djvZ2t5L76CfJOl0e9na3ksSgxMAAIAlZJ4Iy8cmjsDMarbadwclh3oH/TRb7SlVBAAAwDSZJ8LyEWADM2u/2xupHQAAgMVmngjLR4ANzKz1tdpI7QAAACw280RYPgJsYGY1Nuupra4ca6utrqSxWZ9SRQAAAEyTeSIsH5s4AjPrcAOOy9du5nb/TjbsLg0AALDUzBNh+QiwgZl28dxGrty4lSS5eun8lKsBAABg2swTYbkIsIGJ2dntpNlqZ7/by7p/JQcAAGBCzEdhfgmwgYnY2e1ka3svvYN+kqTT7WVrey9JDBoAAAAYG/NRmG82cQQmotlq3x0sHOod9NNstadUEQAAAMvAfBTmmwAbmIj9bm+kdgAAAHgYzEdhvgmwgYlYX6uN1A4AAAAPg/kozDcBNjARjc16aqsrx9pqqytpbNanVBEAAADLwHwU5ptNHIGJONwY4/K1m7ndv5MNuz4DAAAwAeajMN8E2MDEXDy3kSs3biVJrl46P+VqAAAAWBbmozC/BNjAmezsdtJstbPf7WXdv14DAACwYMx7YTYIsIGR7ex2srW9l95BP0nS6fay9f+3d/9Rdp91ncDfH4ago1WzCAYyWkHUKD+EQFktvxo81nCAxWxREWURjyylCOhhSSV7kD3+OCI7iCBHBHSllB+lSxqjKOzgigXEQmwJJiw64qESnQBSYZDChaTTZ/+4d+okzTS507k/Zu7rdc49yX2+z3Pv57a5z8z3fb/3eQ4cTRI/zAEAANjwnPfC+LCJI9C32bn5236IL+ucXMrs3PyIKgIAAID147wXxocAG+jb8cVOX+0AAACwkTjvhfEhwAb6tn3rdF/tAAAAsJE474XxIcAG+rZ3945Mb5k6pW16y1T27t4xoooAAABg/TjvhfFhE0egb8sbVly+/0hOLN2aGbsxAwAAsIk474XxIcAG1mTPzplcdehYkuTqSy8ccTUAAACwvpz3wngQYAM5eHghs3PzOb7YyXafKgMAAMCaOL+G9SfAhgl38PBC9h04ms7JpSTJwmIn+w4cTRI/ZAEAAOAcOb+GwbCJI0y42bn52364LuucXMrs3PyIKgIAAICNx/k1DIYAGybc8cVOX+0AAADA7Tm/hsEQYMOE2751uq92AAAA4PacX8NgCLBhwu3dvSPTW6ZOaZveMpW9u3eMqCIAAADYeJxfw2DYxBEm3PJGEpfvP5ITS7dmxi7JAAAA0Dfn1zAYAmwge3bO5KpDx5IkV1964YirAQAAgI3J+TWsPwE2bDIHDy9kdm4+xxc72e7TXgAAABhrzuPhjg19Deyqek5V3VhVX6mqG6rq0XfQ95KqendVfbaqvlhVH6qqJw2zXthIDh5eyL4DR7Ow2ElLsrDYyb4DR3Pw8MKoSwMAAABO4zwezm6oAXZVPSXJq5L8epKdSf4qybuq6vxVhlyU5D1JntDr/84kf3hHoTdMstm5+XROLp3S1jm5lNm5+RFVBAAAAKzGeTyc3bCXEHlBkitaa7/Xu/+8qnpcksuS7Du9c2vt509r+uWqekKSPUneP9BKYQM6vtjpqx0AAAAYHefxcHZDuwK7qu6W5GFJ3n3aoXcneUQfD/UNST6/XnXBZrJ963Rf7QAAAMDoOI+HsxvmFdj3SDKV5DOntX8myQ+dywNU1c8l+dYkb1rl+LOSPCtJtm3blmuvvXattW54N99880S//kn1hPOXcsW/JSdu/fe2u92l2362fw+LvU93+/l3Y8z4j1laOvv/+1HUZYwxkz5mXOeBYddmjDGb7f02zmPMBcZ4vxljLhjPMXfmPB7WaqPlhsNeQmTNqurJSWaTPKW19skz9WmtvT7J65PkggsuaLt27RpegWPm2muvzSS//km1K8n9Dy/k8v1HcmLp1sz0sXvx785f132MXRee8/MZM/5jFhcXz3kuGPfXYowxm2nMuM4Dw67NGGM22/ttnMeYC4zxfjPGXDCeY3Zl7efxsFYbLTccZoB9U5KlJNtOa9+W5NN3NLCqfjTJlUme3lp7x2DKg81hz86ZXHXoWJLk6kvP/QcsAAAAMHzO4+GODS3Abq2dqKobklyc5O0rDl2c5JrVxlXVjyd5Y5Kfbq3tH2yVMF4OHl7I7Nx8ji92st2nsAAAADDxZAVMmmEvIfKKJG+qqkNJPpDk2Um2J3ltklTVlUnSWnt67/5PpLve9QuTvK+q7tV7nBOttc8NuXYYqoOHF7LvwNF0Ti4lSRYWO9l34GiS+MEEAAAAE0hWwCS6yzCfrLV2dZJfSPLiJB9J8qgkj1+xpvX5vduyZ6cbsr8yyadW3A4Mq2YYldm5+dt+IC3rnFzK7Nz8iCoCAAAARklWwCQa+iaOrbXXJHnNKsd23dF9mCTHe7sWn2s7AAAAsLnJCphEQ70CGzh327dO99UOAAAAbG6yAiaRABvG1N7dOzK9ZeqUtuktU9m7e8eIKgIAAABGSVbAJBr6EiLAuVnefOHy/UdyYunWzNhZGAAAACaarIBJJMCGMbZn50yuOnQsSXL1pReOuBoAAABg1GQFTBoBNgzJwcMLmZ2bz/HFTrb7hBQAAAAYEpkEG5kAG4bg4OGF7DtwNJ2TS0mShcVO9h04miR+YAAAAAADI5Ngo7OJIwzB7Nz8bT8olnVOLmV2bn5EFQEAAACTQCbBRifAhiE4vtjpqx0AAABgPcgk2OgE2DAE27dO99UOAAAAsB5kEmx0AmwYgr27d2R6y9QpbdNbprJ3944RVQQAAABMApkEG51NHGEIljdFuHz/kZxYujUzdvwFAAAAhkAmwUYnwIYh2bNzJlcdOpYkufrSC0dcDQAAADApZBJsZJYQAQAAAABgLLkCG9bg4OGFzM7N5/hiJ9t99QYAAADYZGQfjAsBNvTp4OGF7DtwNJ2TS0mShcVO9h04miQmcgAAAGDDk30wTiwhAn2anZu/bQJf1jm5lNm5+RFVBAAAALB+ZB+MEwE29On4YqevdgAAAICNRPbBOBFgQ5+2b53uqx0AAABgI5F9ME4E2NCnvbt3ZHrL1Clt01umsnf3jhFVBAAAALB+ZB+ME5s4Qp+WNyu4fP+RnFi6NTN24gUAAAA2EdkH40SADWuwZ+dMrjp0LEly9aUXjrgaAAAAgPUl+2BcCLCZeAcPL2R2bj7HFzvZ7hNFAAAAgDWRsTAIAmwm2sHDC9l34Gg6J5eSJAuLnew7cDRJTLAAAAAA50jGwqDYxJGJNjs3f9vEuqxzcimzc/MjqggAAABg45GxMCgCbCba8cVOX+0AAAAA3J6MhUERYDPRtm+d7qsdAAAAgNuTsTAoAmwm2t7dOzK9ZeqUtuktU9m7e8eIKgIAAADYeGQsDIpNHJloy5sIXL7/SE4s3ZoZO+QCAAAA9E3GwqAIsJl4e3bO5KpDx5IkV1964YirAQAAANiYZCwMggCbTeXg4YXMzs3n+GIn233SBwAAADDWZDmcjQCbTePg4YXsO3A0nZNLSZKFxU72HTiaJCY+AAAAgDEjy+Fc2MSRTWN2bv62CW9Z5+RSZufmR1QRAAAAAKuR5XAuBNhsGscXO321AwAAADA6shzOhQCbTWP71um+2gEAAAAYHVkO50KAzaaxd/eOTG+ZOqVtestU9u7eMaKKAAAAAFiNLIdzYRNHNo3lxf0v338kJ5ZuzYydawEAAADGliyHcyHAZlPZs3MmVx06liS5+tILR1wNAAAAAHdElsPZCLAZWwcPL2R2bj7HFzvZ7hM4AAAAACIzmjQCbMbSwcML2XfgaDonl5IkC4ud7DtwNElMSAAAAAATSmY0eWziyFianZu/bSJa1jm5lNm5+RFVBAAAAMCoyYwmjwCbsXR8sdNXOwAAAACbn8xo8giwGUvbt0731Q4AAADA5iczmjwCbMbS3t07Mr1l6pS26S1T2bt7x4gqAgAAAGDUZEaTxyaOjKXlRfcv338kJ5ZuzYwdZQEAAAAmnsxo8giwGVt7ds7kqkPHkiRXX3rhiKsBAAAAYBzIjCaLAJuhOXh4IbNz8zm+2Ml2n44BAAAAMCRyqY1LgM1QHDy8kH0HjqZzcilJsrDYyb4DR5PEZAEAAADAwMilNjabODIUs3Pzt00SyzonlzI7Nz+iigAAAACYBHKpjU2AzVAcX+z01Q4AAAAA60EutbEJsBmK7Vun+2oHAAAAgPUgl9rYBNgMxd7dOzK9ZeqUtuktU9m7e8eIKgIAAABgEsilNjabODIUywviX77/SE4s3ZoZu70CAAAAMARyqY1NgM3Q7Nk5k6sOHUuSXH3phSOuBgAAAIBJIZfauATYrMnBwwuZnZvP8cVOtvvUCgAAAIBNRv41HgTY9O3g4YXsO3A0nZNLSZKFxU72HTiaJN7EAAAAAGx48q/xYRNH+jY7N3/bm3dZ5+RSZufmR1QRAAAAAKwf+df4EGDTt+OLnb7aAQAAAGAjkX+NDwE2fdu+dbqvdgAAAADYSORf40OATd/27t6R6S1Tp7RNb5nK3t07RlQRAAAAAKwf+df4sIkjfVteqP7y/UdyYunWzNiFFQAAAIBNRP41PgTYrMmenTO56tCxJMnVl1444moAAAAAYH3Jv8aDAJscPLyQ2bn5HF/sZLtPkwAAAABgTeRs60+APeEOHl7IvgNH0zm5lCRZWOxk34GjSeLNBQAAAADnSM42GDZxnHCzc/O3vamWdU4uZXZufkQVAQAAAMDGI2cbDAH2hDu+2OmrHQAAAAC4PTnbYAiwJ9z2rdN9tQMAAAAAtydnGwwB9oTbu3tHprdMndI2vWUqe3fvGFFFAAAAALDxyNkGwyaOE255AfnL9x/JiaVbM2N3VAAAAADom5xtMIZ+BXZVPaeqbqyqr1TVDVX16LP0v6jX7ytV9Ymqevawap0Ue3bOZOf5W/P99717PvCiH/SmAgAAAIA1kLOtv6FegV1VOlKSOwAAD4lJREFUT0nyqiTPSfKXvT/fVVX3b60dO0P/+yZ5Z5I/SPK0JI9K8pqq+mxr7ZrhVb5xHDy8kNm5+SwsdjLzwff4lAcAAAAAxthynnd8sZPtrtq+nWEvIfKCJFe01n6vd/95VfW4JJcl2XeG/s9Ocry19rze/b+tqu9P8sIkAuzTHDy8kH0HjqZzcilJsrDYyb4DR5PEP3oAAAAAGDPyvLOr1tpwnqjqbkm+nOSprbW3r2j/nSQPbK1ddIYx70tytLX2cyvafizJW5N8XWvt5GrPd8EFF7Trr79+PV/C2Hvkb7wnC4udXHrkj/IdX1i4rf1r7jqVnedvvcOxH/vUvyVJ7n/vbzzn5xvGmHGtyxhjNsqYW265Jd/3bXcfu7qMMWbSx4zrPDDs2owxZrO938Z5jLnAGO83Y8wFm2vMuNZlTP9jDh9bzFdv6YbXn/immbzu+34kSTKzdTofeNEPnvNz9uPaa6/Nrl27BvLYa1VVN7TWLjjjsSEG2NuTLCS5qLX2vhXtL0nyU621223HWVV/n+TNrbVfWdH2mCTvTbK9tfap0/o/K8mzkmTbtm0Pe9vb3jaQ1zKunvF/vpQktwuwk+R77j51piHAJre0tJSpKe9/mGTmASAxFwBd5gIYP3/3uaXb/r4ywE6SKx739QN5zptvvjnnnXfeQB57rR772MeuGmAPewmRgWqtvT7J65PuFdjj9knCoM18sHsF9sp/6MlgP7EBxts4fqoKDJd5AEjMBUCXuQDGz3N6Kyqcbmbr9MDerxttLrjLEJ/rpiRLSbad1r4tyadXGfPpVfrf0ns8Vti7e0emt5z6Ser0lqns3X27i9sBAAAAgBGT553d0ALs1tqJJDckufi0Qxcn+atVhl23Sv/r72j960m1Z+dMXnrJgzKzdTpJ95Oal17yIAu+AwAAAMAYWpnnVeR5ZzLsJURekeRNVXUoyQeSPDvJ9iSvTZKqujJJWmtP7/V/bZLnVtUrk7wuySOTPCPJU4db9saxZ+dM9uyc2XBfBQAAAACASbSc53FmQw2wW2tXV9U3J3lxknsn+WiSx7fWPtnrcv5p/W+sqscn+a0klyU5nuT5rbVrhlg2AAAAAAAjMPRNHFtrr0nymlWO7TpD23uTPHTAZQEAAAAAMGaGuYkjAAAAAACcMwE2AAAAAABjSYANAAAAAMBYEmADAAAAADCWBNgAAAAAAIwlATYAAAAAAGNJgA0AAAAAwFgSYAMAAAAAMJYE2AAAAAAAjCUBNgAAAAAAY0mADQAAAADAWBJgAwAAAAAwlgTYAAAAAACMpWqtjbqGgaiqzyb55KjrGKF7JLlp1EUAI2cuAMwDQGIuALrMBUAynnPBt7fW7nmmA5s2wJ50VXV9a+2CUdcBjJa5ADAPAIm5AOgyFwDJxpsLLCECAAAAAMBYEmADAAAAADCWBNib1+tHXQAwFswFgHkASMwFQJe5AEg22FxgDWwAAAAAAMaSK7ABAAAAABhLAmwAAAAAAMaSAHuDqqrnVNWNVfWVqrqhqh59lv4X9fp9pao+UVXPHlatwGD0Mw9U1SVV9e6q+mxVfbGqPlRVTxpmvcBg9Ps7wYpxj6qqW6rqo4OuERi8NZwf3K2qfqU35qtVdayqnj+seoHBWMNc8JNV9ZGq+nJVfbqq3lxV9xpWvcD6qqrHVNUfV9VCVbWqesY5jHlQVb23qjq9cS+pqhpCuedMgL0BVdVTkrwqya8n2Znkr5K8q6rOX6X/fZO8s9dvZ5KXJnl1VT15OBUD663feSDJRUnek+QJvf7vTPKH5xp0AeNpDXPB8rj/kOTKJH8+8CKBgVvjXPC2JI9L8qwkO5L8WJIjAy4VGKA1ZAWPTPKmJG9M8oAke5LcP8lbhlIwMAjnJflokp9P0jlb56r6xiR/luQzSR7eG7c3yQsGWGPfbOK4AVXVh5Icaa391xVtH0+yv7W27wz9X5bkktbad61o+/0kD2itXTiMmoH11e88sMpjHEry/tbafxtQmcCArXUuqKoDSf4mSSX50dbaAwdeLDAwazg/+OEkb09yv9baTcOrFBikNcwFL0zyvNbat69o+5kkr26tnTeMmoHBqaqbkzy3tXbFHfS5LMnLkmxrrXV6bS9OclmSb21jEhy7AnuDqaq7JXlYknefdujdSR6xyrALz9B/LskFVbVlfSsEBm2N88CZfEOSz69XXcBwrXUuqKrnJNmW5NcGVx0wLGucC/Yk+eskL6iqf66qj1fVb1eVwAo2qDXOBR9Icu+q+k/VdY8kP5HutzWByXBhuhe2rbxaey7J9iT3GUlFZyDA3njukWQq3Uv7V/pMktXWqbrXKv3v2ns8YGNZyzxwiqr6uSTfmu5XBoGNqe+5oKoelOR/JHlaa21psOUBQ7KW3wu+I8mjkjw4yZOTPDfd5USuGEyJwBD0PRe01q5LN7B+S5ITST6b7rezfnpwZQJjZrXMcPnYWBBgA0yY3vr3s0l+srX2yVHXAwxHVX1NkquTvLC1duOo6wFG6i5JWrq/C3yotTaXboj95KraNtrSgGGpqvsneXWSX0336u3HpRtYvW6UdQGc7q6jLoC+3ZRkKd2v/q60LcmnVxnz6VX639J7PGBjWcs8kCSpqh9Nd+O2p7fW3jGY8oAh6XcuuHeS703yhqp6Q6/tLkmqqm5J8vjW2ulfOwbG31p+L/hUkoXW2hdWtP1t78/zc/srsYDxt5a5YF+SQ6212d79I1X1pSTvr6r/3lr758GUCoyR1TLD5WNjwRXYG0xr7USSG5JcfNqhi9PdYfhMrlul//WttZPrWyEwaGucB1JVP57ukiHPaK3tH1yFwDCsYS5YSPKgJA9ZcXttkn/o/X3V+QMYX2v8veADSbaftub1d/f+9O0s2IDWOBd8Xbqh90rL9+VFMBmuS/LoqvraFW0XJzme5B9HUtEZmJA2plckeUZVPbOqvreqXpXu4uqvTZKqurKqrlzR/7VJZqrqlb3+z0zyjCQvH3bhwLrpax6oquW17V6U5H1Vda/e7e6jKB5YN+c8F7TWTrbWPrryluRfkny1d//mkb0K4M7q9/zgrUn+Nd1vZDygqh6Z5FVJ9rfW/mXYxQPrpt+54B1JfqSqLquq7+jNBb+d5MOttWNDrx6406rqvKp6SFU9JN3c9/ze/fN7x19aVX++Yshbk3w5yRVV9cCquiTd3OAVrbU29BewCkuIbECttaur6puTvDjdrwN/NN2v/S5fLXH+af1vrKrHJ/mtJJel+ynK81tr1wyxbGAd9TsPJHl2unP+K3u3Ze9Nsmuw1QKDsoa5ANiE1nB+cHNV/VC6a9/+dZLPJzmY7gkrsEGtYS64oqq+Id018H8zyReSvCfJLw6vamCdXZDkL1bc/+Xe7Y3pXsx67yT3Wz7YWvtCVV2c5HeSXJ/u7wS/me4HYmOjxihMBwAAAACA21hCBAAAAACAsSTABgAAAABgLAmwAQAAAAAYSwJsAAAAAADGkgAbAAAAAICxJMAGAAAAAGAsCbABAGAdVNUbquolo67jbKpqV1W1qrrHOj3eE6rqI1Xl3AIAgHXnl0wAALiTqupBSfYkeeWoaxm21tqfJllK8lOjrgUAgM1HgA0AAHfe85Jc01r7t1EXMiJvSPL8URcBAMDmI8AGAICeqrq2ql5TVb9eVTdV1b9U1cvvaHmMqppK8uNJ3nFa+yVVdaSqOlX1uap6b1Vt6x27X1X9UVV9uqq+VFUfrqonnjb+H6vqJVV1RVV9sar+qaqeUlVbq+ptVXVzVX28qn54xZjl5UGe2FvW4ytVdUNVPewsr/sRvfq+XFULVfW7VfWNK44/pqo+2HvOL1TVoap64IqH+OMkF1TVd57Lf2cAADhXAmwAADjVTyW5Jckjkjw3yS8kecod9P++JN+U5Prlhqq6V5K3JXljku9N8pgkb1ox5rwk70pycZIHJ7kmyYGq+p7THvsXkhxK8tAk/7v3eG9N8s4kD0nyviRvrqqvPW3cy5P8YpILknwiyZ9U1dedqfje8ifvTjeEfnCSS3qP/Qe943dN8kdJ/rJ3/PvTXSplafkxWmvHknwmyUWr/UcCAIC1qNbaqGsAAICxUFXXJvma1tqFK9r+LMknW2vPXGXMniQHkty1tXZrr+2hSW5Icp/W2ifP8bk/mORPWmu/1rv/j0mua609tXf/vCRfTPLq1trze233SXJjkoe31q6vql1J/iLJ01prb1kx7p+TvLC19vsr+tyztXZTVV2Z5GRr7WdX1PKQJIeTbEs3zP/XJLtaa++9g/o/nORPW2u/dC6vFwAAzoUrsAEA4FRHTrt/PMm33EH/6XQD4FtXtP1Nkv+b5KNVdU1VXVZV91w+WFVfX1X/s6o+VlWfr6qb071a+vzVammt3Zzky0mOrjj+md6fp9d33Wnjjia5/yr1PyzJ03rLg9zcq+UDvWP3a619LskVSeaq6k+r6gVVdXqdSdLp/bcAAIB1I8AGAIBTnTztfssd/958U5K7rVyio7W2lOSHe7cjSX42ycer6sG9Li9P8mNJfindZTceku5SIXc7h1pOnnY/Z6nvbO6S5Pd7NSzfHpzku5J8pPd6fibdpUPel+RJSearavdpj3P3JJ+9E3UAAMDtCLABAODO+Ujvz1OucG5d17XWfjnJw9O9knt5Le1HJbmytXZNa+1Iukt83G8da/qB5b9U1dcneWCSv12l74eTPKC19g9nuHVWvJ6/aa29rLW2K8m1SX56xXN8ba/+D6/jawAAAAE2AADcGa21z6Yb3D5qua2qfqCqXlxVD+8tt/GkJN+W5GO9Ln+f5D9X1UN7myi+OcnpGzHeGS+uqour6gHpbsZ4It3NH8/kZUn+Y1W9tqp2VtV3VtUTq+p1vddy36r6jap6RFV9e1U9Nt2NKz+24jF+IMlX8+9LjwAAwLq466gLAACATeD1SZ6Z5JW9+19I8sgkz0uyNck/JfnV1tqbe8dfkOR/JXl/ks/3xq1ngP2iJL+ZZEeS/5fkia21L52pY2vtSFU9JsmvJXlvkqkkn0jyh70uX07y3UnenuQe6a67/ZZ0g+9lT03yltbal9fxNQAAQKq1dvZeAADAqnpLaPxdkv/SWnv/COvYleQvktyztXbTkJ7zW9JdnuSC1tqNw3hOAAAmhyVEAADgTmqtfSXJ09PdyHDS3CfJc4TXAAAMgiVEAABgHbTW3jfqGkahtXYoyaFR1wEAwOZkCREAAAAAAMaSJUQAAAAAABhLAmwAAAAAAMaSABsAAAAAgLEkwAYAAAAAYCwJsAEAAAAAGEsCbAAAAAAAxtL/B8bMuHuqJVxHAAAAAElFTkSuQmCC\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"77eIYX2j6XNv"},"source":["**3.** Consider the signal x(t) = cos(2πf1t) + cos(2πf2t) where f1 = 10 Hz and\n","f2 = 30 Hz. The signal y(t) is the noisy version of x(t) when x(t) is\n","corrupted with white noise having zero mean and unit variance."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":553},"id":"PIgds0RS5pj-","executionInfo":{"status":"ok","timestamp":1613844318602,"user_tz":-330,"elapsed":3791,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"85cf423e-754c-4196-d9e8-8347b800ddb6"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","\n","start=0\n","end=10\n","samplesPerSec=100\n","t=np.arange(start,end,1/samplesPerSec)\n","f1=10\n","f2=30\n","x=np.cos(2*np.pi*f1*t)+np.cos(2*np.pi*f2*t)\n","\n","# generating white noise and adding it to x\n","mean = 0\n","std = 1\n","num_samples = (end-start)*samplesPerSec\n","white = np.random.normal(mean, std, size=num_samples)\n","y=x+white\n","\n","plt.figure(figsize=(25,4))\n","plt.plot(t,x)\n","plt.xlabel('t(sec)')\n","plt.title(f'x(t) = cos(2$\\pi$ 10 t) + cos(2$\\pi$ 30 t) ')\n","plt.grid()\n","plt.show()\n","plt.figure(figsize=(25,4))\n","plt.plot(t,y)\n","plt.xlabel('t(sec)')\n","plt.title(f'y(t) = x(t) + white noise (with zero-mean and unit variance) ')\n","plt.grid()\n","plt.show()\n"],"execution_count":112,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"9OI_ez09EBLd"},"source":["(a) Find the power spectral density of y(t) and show a labelled plot."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":480},"id":"Z_xsRXxH6Zed","executionInfo":{"status":"ok","timestamp":1613844320805,"user_tz":-330,"elapsed":5481,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"9a815ed4-23f8-44dc-d6ec-5e4ef6df3f12"},"source":["\n","Yk=dft(y,np.shape(y)[0]) # calculate dft of the signal\n","N=int((end-start)*samplesPerSec)\n","fs=samplesPerSec\n","\n","k=np.arange(0,N,1,dtype=int)\n","wk=(2*np.pi*k)/N\n","psd=[]\n","for i in list(k):\n"," psd.append(abs(Yk[i])**2)\n","M=0\n","for i in range(N):\n"," M=M+(y[i]**2)\n","M=M/N\n","psd=np.array(psd)/(N*M)\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(25,8))\n","f=(wk*samplesPerSec)/(2*np.pi)\n","plt.stem(f,psd,use_line_collection=True)\n","plt.xlabel('Frequency (Hz)')\n","plt.ylabel('S(f)')\n","plt.title(f'Power spectral density S(f) of y(t) = cos(2$\\pi$ 10 t) + cos(2$\\pi$ 30 t) + white noise')\n","plt.grid()\n","plt.show()\n"],"execution_count":113,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"WupV46oKYW0s"},"source":["(b) From the PSD, find a suitable threshold for denoising the signal.\n","\n","\n","**Ans** We can choose 20% (approx. 28 in the plot above) of the maximum value of the PSD to be the threshold for filtering the white noise. "]},{"cell_type":"markdown","metadata":{"id":"7RWwXKxPYZ0g"},"source":["(c) Recover x(t) from y(t) using the threshold. Show the necessary plots."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":763},"id":"aV5SabwaEJnB","executionInfo":{"status":"ok","timestamp":1613844351156,"user_tz":-330,"elapsed":4126,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"0b5614bf-806b-45f5-8389-9e0c4d797cbc"},"source":["percentage=0.2 # choosing 20 % as the threshold\n","threshold=np.max(psd)*percentage\n","Yk_hat=Yk\n","for i in range(N):\n"," if(psd[i]"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"id":"XmoobzIBnGF3","executionInfo":{"status":"ok","timestamp":1613844322980,"user_tz":-330,"elapsed":7348,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":114,"outputs":[]}]} \ No newline at end of file diff --git a/Assignment6/204102311_SatyakiGhosh/Assignment_6.ipynb b/Assignment6/204102311_SatyakiGhosh/Assignment_6.ipynb new file mode 100644 index 0000000..939d680 --- /dev/null +++ b/Assignment6/204102311_SatyakiGhosh/Assignment_6.ipynb @@ -0,0 +1 @@ +{"nbformat":4,"nbformat_minor":0,"metadata":{"colab":{"name":"Assignment_6.ipynb","provenance":[],"mount_file_id":"1JF9TL1ZmuvzoOqXAdF-FOPmDrYzCoAHI","authorship_tag":"ABX9TyNaRQK2mdMXNU7TaL9PRiBu"},"kernelspec":{"name":"python3","display_name":"Python 3"},"language_info":{"name":"python"}},"cells":[{"cell_type":"markdown","metadata":{"id":"-Zj4y5N_Md1s"},"source":["**1. Generate a real chirp signal. Compute the subband decomposition of this\n","signal upto three levels and plot the approximate and detail components.**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":222},"id":"Hk766Nj1ErDW","executionInfo":{"status":"ok","timestamp":1616512530900,"user_tz":-330,"elapsed":5130,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"ebed4413-fb55-430c-cf18-66c3bf3d8b41"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","import warnings\n","warnings.filterwarnings(\"ignore\")\n","\n","# https://en.wikipedia.org/wiki/Chirp#:~:text=A%20chirp%20is%20a%20signal,as%20in%20spread%2Dspectrum%20communications.\n","\n","phiO=0 # the variables are used as per the equation given in the above link\n","f1=1 #starting frequency\n","f2=60 # ending frequency\n","T=1 # duration\n","fs=100*f2\n","\n","c=(f2-f1)/T\n","t=np.linspace(0,T,fs*T)\n","phi_t= phiO + 2*np.pi*((c/2)*(t**2) + f1*t)\n","chirp=np.sin(phi_t)\n","\n","\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(25,4))\n","plt.plot(t,chirp)\n","plt.xlabel('t(sec)')\n","plt.title(f'Chirp signal starting from {f1} Hz and moving to {f2}Hz in {T} sec')\n","plt.grid()\n","plt.show()"],"execution_count":56,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":846},"id":"GeR87yEYW5Zc","executionInfo":{"status":"ok","timestamp":1616513084779,"user_tz":-330,"elapsed":3602,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"2db00e3c-f45b-4d82-f70c-3a1a88329fbd"},"source":["# 3 LEVEL WAVELET DECOMPOSITION\n","\n","import pywt\n","\n","db1 = pywt.Wavelet('db1') # defining mother wavelet as Daubechies1\n","#print(np.shape(chirp))\n","ca3, cd3, cd2, cd1=pywt.wavedec(list(chirp), db1, level=3) # 3 level decomposition\n","\n","c=[ca3, cd3, cd2, cd1]\n","\n","for (i,coeff) in enumerate(c): # plotting each subband level coefficients with time\n"," n=np.linspace(0,1,np.shape(coeff)[0])\n"," plt.rcParams.update({'font.size':18})\n"," plt.figure(figsize=(25,4))\n"," plt.plot(n,coeff)\n"," plt.xlabel('Time index n ')\n"," plt.ylabel('Wavelet coefficients')\n"," if i==0:\n"," plt.title(f'Approximate coefficients (Level 3)')\n"," if i==1:\n"," plt.title(f'Detail coefficients (Level 3)')\n"," if i==2:\n"," plt.title(f'Detail coefficients (Level 2)')\n"," if i==3:\n"," plt.title(f'Detail coefficients (Level 1)')\n"," plt.grid()\n"," plt.show()"],"execution_count":71,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"fDC2xM0VvB-i"},"source":["The reconstruction using approximate level very closely resembles the original signal. "]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"wU89J2dP6HF5","executionInfo":{"status":"ok","timestamp":1616512532670,"user_tz":-330,"elapsed":6872,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"e4d7418d-921f-4a5c-e857-08df5a67e420"},"source":["# RECONSTRUCTING USING ONLY ONE OF THE SUBBANDS\n","\n","for i in range(len(c)): # running a loop over all the subbands. we consider only \n"," L=len(c) # one level as nonzero and the rest zero\n"," c_hat=[]\n"," for j in range(L):\n"," x=np.ndarray(shape=np.shape(c[j]))\n"," for k in range(np.shape(c[j])[0]):\n"," if i==j:\n"," x[k]=c[j][k]\n"," else: \n"," x[k]=0\n"," c_hat.append(x)\n","\n"," chirp_hat = pywt.waverec(c_hat,db1) # taking idwt of the coefficients\n"," plt.figure(figsize=(20,4))\n"," plt.rcParams.update({'font.size':14})\n"," plt.plot(t,chirp_hat)\n"," plt.xlabel('t (sec)')\n"," plt.grid()\n"," if i==0:\n"," plt.title(f'Reconstruction using only Approximate coefficients (Level 3)')\n"," if i==1:\n"," plt.title(f'Reconstruction using only Detail coefficients (Level 3)')\n"," if i==2:\n"," plt.title(f'Reconstruction using only Detail coefficients (Level 2)')\n"," if i==3:\n"," plt.title(f'Reconstruction using only Detail coefficients (Level 1)')\n"," plt.show()\n"],"execution_count":58,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"xMVr3NzSgVbM"},"source":["**2. Consider the sample HeaviSine signal in PyWavelets library. The signal\n","is added with AWGN and a noisy signal is generated with SNR of 15 dB.\n","(a) Perform Wavelet decomposition on this signal.\n","(b) Use an appropriate thresholding method to denoise the signal.\n","(c) Reconstruct the signal and compare it with the original signal.**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":223},"id":"InCLZvrNgWe8","executionInfo":{"status":"ok","timestamp":1616512532671,"user_tz":-330,"elapsed":6861,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"74552c84-fc6b-4d48-909e-fffe9dbbb0d3"},"source":["import pywt\n","import numpy as np\n","import matplotlib.pyplot as plt\n","\n","N=5000 # number of data points to consider\n","heav=pywt.data.demo_signal(name='Heavisine',n=N) # using the signal from library\n","#heav=np.reshape(heav,(N,1))\n","t=np.linspace(0,1,N)\n","plt.rcParams.update({'font.size':14})\n","plt.figure(figsize=(25,4))\n","plt.plot(t,heav)\n","plt.xlabel('t(sec)')\n","plt.title(f'Heavisine function')\n","plt.grid()\n","plt.show()"],"execution_count":59,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":308},"id":"GwxnQa0Yg9c3","executionInfo":{"status":"ok","timestamp":1616512532673,"user_tz":-330,"elapsed":6853,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"a92d0f37-c538-4515-e3e1-12ce3c4d57b5"},"source":["# ADDING 15 dB NOISE\n","\n","snr_db = 15\n","sig_pwr=np.array(heav,dtype='int64')**2\n","sig_avg_watts=np.mean(sig_pwr) # calculate signal power \n","sig_avg_db = 10 * np.log10(sig_avg_watts) # signal power to dB\n","\n","noise_avg_db = sig_avg_db - snr_db # SNR = signal dB - noise dB\n","noise_avg_watts = 10 ** (noise_avg_db / 10) # calculate average noise energy = noise variance\n","\n","# defining the noise signal\n","mean_noise = 0 # zero mean gaussian noise \n","\n","noise = np.random.normal(mean_noise, np.sqrt(noise_avg_watts), N)\n","noisy=noise+heav # noisy signal = Heavisine + noise\n","\n","# Plot signal with noise\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(t,noisy, label='Noise + HeaviSine')\n","plt.xlabel('t (sec)')\n","plt.grid()\n","plt.title('Signal with 15 dB noise')\n","plt.legend(loc='upper left')\n","plt.show()\n","print(f'Noise power in db = {noise_avg_db}')\n","print(f'Signal power in db = {sig_avg_db}')"],"execution_count":60,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Noise power in db = -6.793257389269433\n","Signal power in db = 8.206742610730567\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"hfSvpexgl8vf","executionInfo":{"status":"ok","timestamp":1616512534365,"user_tz":-330,"elapsed":8535,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"4b10180e-6963-4328-eac4-24050140f949"},"source":["# WAVELET DECOMPOSITION , THRESHOLDING AND SIGNAL RECONSTRUCTION\n","\n","def snr(s,sn): # a function to calculate the SNR from the original and the noisy signal\n"," n=sn-s\n"," sig_pwr=np.array(s,dtype='int64')**2\n"," sig_avg_watts=np.mean(sig_pwr) \n"," sig_avg_db = 10 * np.log10(sig_avg_watts) #signal power in dB\n"," noise_pwr=np.array(n,dtype='int64')**2\n"," noise_avg_watts=np.mean(noise_pwr) \n"," noise_avg_db = 10 * np.log10(noise_avg_watts) #noise power in dB\n"," return sig_avg_db-noise_avg_db\n","\n","\n","def newfn(coeff,alpha,m,thr): # calculating the value to replace for the original coefficient as proposed in the paper\n"," num=(alpha+1)*(thr**(m+1))\n"," den= (alpha * abs(coeff)**m) + (thr**m)\n"," return np.sign(coeff)*(abs(coeff)-(num/den))\n","\n","def mltf(c,thr): # MLTF = multi level threshold function\n"," alpha=0.45 # this function takes the original coefficients and returns the thresholded coefficients\n"," m=10\n"," L=len(c)\n"," c_hat=[]\n"," for j in range(L):\n"," x=np.ndarray(shape=np.shape(c[j]))\n"," for k in range(np.shape(c[j])[0]):\n"," if abs(c[j][k])>thr:\n"," x[k]=newfn(c[j][k],alpha,m,thr)\n"," else:\n"," x[k]=0\n"," c_hat.append(x)\n"," return c_hat\n","\n","db1 = pywt.Wavelet('db1')\n","ca5,cd5,cd4,cd3,cd2,cd1=pywt.wavedec(list(noisy), db1,level=5) # 5 level decomposition\n","\n","c=[ca5,cd5,cd4,cd3,cd2,cd1]\n","sigma=np.std(noise)\n","K=N\n","threshold=np.sqrt((sigma**2) * 2 * np.log10(K)) # proposed method in paper for calculating the threshold\n","modes=['soft', 'hard', 'garrote', 'greater', 'less','MLTF'] # all the different modes in pywt + the proposed method MLTF\n","\n","for mode in modes:\n"," c_hat=[]\n"," if mode=='MLTF':\n"," c_hat=mltf(c,threshold)\n"," else:\n"," for coeff in c:\n"," c_hat.append(pywt.threshold(data=coeff,value=threshold,mode=mode))\n","\n"," heav_hat = pywt.waverec(c_hat,db1)\n"," plt.figure(figsize=(20,4))\n"," plt.rcParams.update({'font.size':14})\n"," plt.plot(t,heav_hat, label=f'Using threshold = {threshold}')\n"," plt.xlabel('t (sec)')\n"," plt.grid()\n"," plt.title(f'Reconstructed HeaviSine using \"{mode}\" thresholding')\n"," plt.legend(loc='upper left')\n"," plt.show()\n"," print(f'Mean Absolute Error using \"{mode}\" thresholding= {(np.sum(abs(heav-heav_hat)))/N}')\n"," print(f'SNR using \"{mode}\" thresholding = {snr(heav,heav_hat)} dB') # showing the SNR for the reconstructed signal\n"],"execution_count":61,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Mean Absolute Error using \"soft\" thresholding= 0.21519671863682532\n","SNR using \"soft\" thresholding = 38.206742610730565 dB\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Mean Absolute Error using \"hard\" thresholding= 0.10249419841304758\n","SNR using \"hard\" thresholding = 33.15524282753151 dB\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Mean Absolute Error using \"garrote\" thresholding= 0.09091783522805767\n","SNR using \"garrote\" thresholding = 45.19644265409075 dB\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABIYAAAEiCAYAAACMWtlfAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdd3hUZdoG8PuZkt4oSYBQQu/FBUUUJawgFmzrKn6ggo3FsqKsBayoi2VlXXXVVXEFLAtYsSyiUoJio7hIF5Dee3oymZn3++M9M0xNJslMJuX+Xde5YE59Tp2cZ94iSikQEREREREREVHjY4p2AEREREREREREFB1MDBERERERERERNVJMDBERERERERERNVJMDBERERERERERNVJMDBERERERERERNVJMDBERERERERERNVJMDBEREdUBIpIrIrnRjiOcRGSniMyq5rJTRUSFOaRaJyLjRESJSHa0Y6Hw8zi/Z0Y7FgAQkRwjnmvCuM6Q70Xfe94jnpxwxUNEROHHxBARUT3l8ULiGuwisk9EZolIVrTjCzcRuU1ExkU5hotEZGqUY8gVkc1BpjU3roWptRxWyESkmYhMF5FNIlIsIkdF5GcReUFEWkU7vobE46U82xjqxQu6iDwgIpdHcP3K9SwxEhlTox0TERFRNDExRERU/00FcB2ACQC+AHAtgGUiEhfNoCLgNgDjohzDRQAejXIM9UlXALe4PohIEwCrjXFfArgLwDMA1gIYA6CLx7J/BRBfa5FGztvQ+7Er2oHUIw8AqGtJmLoYU33wDfT1/020AyEiouAs0Q6AiIhq7Eul1I/G/98QkaMA7gdwKYD3ohdW9IhIDACnUsoe7VgaM6VUmc+omwG0AzBUKZXrOcFIZMZ4LGsHUO/Pn1LKAcAR7TjCTUTMACwBznGdVFfjFZEEpVRxtOOIFKWUE0BptOMgIqKKscQQEVHD863xb0fPkSLSRUTeE5FjIlIqIv8TkT/6LiwiqSLyrIhsF5Eyo3rafzyrpxlVll4XkYPGutaLyC0+63FVXZksIreIyG/G+laKyOk+82aKyBsisseY55CIfCEiPY3pOwH0BDDEo+rcTmOaq7rMGKMtjN0ASgC0Dta+S7B2L0RkgIh8JiLHjWpO60VkijFtFoDbjf97VuHL9lh+tLF/JSJyQkTeF5H2AY7xeON4lIjIChE5x3eecDLO6XMisltEbMa5fdh4Wfac7y8istyo3lUqIutE5GafeT431iMBtrNIRHZ4fPZtY6gjAAVgue+ySqlSpVS+x7J+7ZoY61soIoON41Zq7Mv11d3nIMcrYNtIEqAdKNFVHNeJSJGI5InIGhH5k8d0v2vQWM9mEekhIkuMa22fiNwXYJvtRORTY/2HReR5ERkR6PqtDhG5SkQ2etzHfxBdHXWnxzye9/IdIrIVQBmAQcb0lsb9e9C4fzeJyK0+24kRkceM++OEx7V/uc98CkAigLEe91iux/RKz2tl8VbjGFUYkyHWiOuIca4+FpF0n/W4rt/zROQnESkFcJ8xLVZEHhWRrXLqufsPEUnwWcd5IvKNcQyLRT9HXgoctjwgInuNc7tYRDoFmOlKEVllnI9jIjJHRNqGcExERB4y1l8sIkvFeF77zOf3rDWur1IRyRKR+SJSaBy36eL/TGomIm+LSL6InBSR2SLSTzyqAxIRUc2xxBARUcOTbfx7wjVCRLoD+B7AQQB/A1AI4AoA74vIdUqpd4z5EgEsA9ALwCwAqwA0g65C1QnAPtElO5YC6AbgZQC/QVexeF1EmimlnvaJZxSAJACvQScF7gPwkYh0UEqVG/N8AKA3gJcA7ACQDmAIdNWiDdBVjv5pxD3NWKbQZzsPAHACeAGABJheIRE5D8B/ARw2trUfuirUpQCeMuJvBWA4dNU9lyPG8pMBPGnsy0wATQDcAeA7EemrlHLNd5Oxru+NWNsB+AT6fO0JMVyziDQPML5pgP2Khz5f2QBeBbATwBnQVRDbQZficbkbwOfQJc0UgMsAzBARi1LqVWOeuQAuhn7J/t5jOxkAcgBMryDundDnZiyAf1e0gxVoD32M/w1gNoAbAcwSkdVKqQ1GLFXZ52ozzuXLRjwvAbBCJzDPgj7HFUmFrvr5MYD3AfwRwDMisk4p9YWx/kQAS6Cvuxegr8kxAIaGKf6LAcwDsB76/kkD8AaAfUEWuQ46QfI6gAIAB4zz/iMAM4BXoO+f8wC8YjwP/mosmwLgT9DXz0wAcQBGA/hYRC5y7bOxjTcArDC2AwCHjHirel794g3x0ATa74AxeXgewHEAjxnx3QV9TYzyma8T9PUyA/oadiVZP4Z+5s0AsBFAd+jqsz1FZIRSSolID+hn1Dpjn4uhk60jAsR8H3RJtenQ19p9AN4FMNA1g4hcC13VcTWAKdDP3TsBDBaR05RSRys4Jo8DeAjAAmPoB109NLaCZTyZACyEPqb3ABgG4C/Q3yf/MuIzAfjMiPlfADZBP49nh7gNIiIKlVKKAwcOHDjUwwG6vR0F/VLQHEBrAFdCv5iVAmjtMe9X0AmWeJ91fAVgLwAxPk811nlVgO255rnTmGesxzQzgEXGdpsZ47KN+Y4CaOIx76XG+JHG5zTj8z2V7O96ALkBxucYy+8GkBjkGGUHWSbH+GyCfiHZA6BpoP02/v+S/ur0i6EtgHIAj/iM72gckyeNz1boF8r/AYjxmO9GIx6//QuwrVxj3oqGqR7zPwD9AtnNZz0PGvN29RiXEGB7XwHY5vE52VjfCz7z3Wasr5/HuJ0AZnl8TjeuTwVgC/RL9vUAmgfY7lTfY22sTwE412edpQCmV2efgxxjr7h9jn2ux+ePAawP8T7N9lmPAnC9x7gY6MTFBx7jJhnzXekxLg76Bdl9/VZ3gG7baR+AZI9xQ4x17/QYl22MKwTQ0mcdr0MnnNN9xs8wzkGa8dkMINZnnhjo+3qRz/jCIMc/pPNaUbw1OFbBYnKd30XwflY8B10VMjXA9XupzzpGQye1h/iMH2PMf77xeaLx2e9+8Vgmx5hnE7yfMa7ndi/js9U4bxvh8b3gsbzn/TQVHvci9D1XBp1E9tznx41lZwVYX47HuFnGON/n5c8AVnl8/oMx3188xpkALDbGjwvHueXAgQMHDopVyYiIGoCF0KVW9kD/El0I/eKxFwBEpCn0r7HvAUgUXQ2suVHiZCGALJxq9PePADYopd733YhSShn/vdjY3jse0xzQv5jHGtvy9KFS6oTHZ1dVtw7GvyUAbAByjFir6y2lVFE1l/2dEc8LSqnjnhM89rsif4AuhTvP5/jmQf+67yrhMQBABoAZSimbZ+wATlYh3j3QJZd8hysDzHs1dNWtoz6xLTKm57hmVEZbJyJiFZGmxnxLAXQUkVRjngLoEgJXGb/ou4wCsFkptSZY0EqXmhoAnUxIg26EejaAg0a1mZhgy3rYopRyN2RrrPNXnLqeqrTPNZQHXWXx9Ern9FcC73vIBl16wnM/LoB+ef/IY75S6KRLjYjuAa43gHeMc+pa/zLoazaQ+Uopd6kbo6TLH6FLsSifY/0VdKPDA431OpTRvo/oamVNoUsRfQOgf4hhV/W8esUbYf/2eVZ8C50Ma+cz316l1Kc+466GTpRu8NmvZdAJENfzI8/493Kfey+Qt3yeMb7P3QEAMgH8SylV4ppJ6ba/VkM/54MZBp3Ue8Vnn1+sJCZfvtfxt/C//h3wKH2ndJtFL1dxO0REVAlWJSMiqv/uhP51OBX61+tzoV86XTpBV9+ZagyBZEC/XHeErtZUkXbQJUh8G9TdZPyb7TN+t+cHpdQJ/T6JJsbnMhG5H7rKwyER+Qk68fC2UirUqlWALvFTXa72mNZXc3lXYi1gN/IAthv/ul4St3pOVErZxaNtnhAUK6UW+Y4MUr2sC4C+MKq8BZDhsfxlAB6Grhbi2xZPKk69mM6FTkKdCyDXSDIMBvBEZYErpXYD+JOITIC+Vs4DcC901ZsCAI9UsordAcadgHE9GULe5xp6Bjr+FSKyHcDXAOYppZaGsOw+4yXX0wkAfTw+twOwPUByclt1A/ZZd7B1bYNOlvryvcfSoY/7jcYQiOf1dTN0dcXu0M8kl1CSr0DVz2tNnglV5XtdupLhTXzGb4e/LtDVVivbr3kAboJOqDwtIksAzAfwnvJvaL+yeFzn/9cA29sEnfALJthz7KiInAgwfyDlAZJ2vvdxOwCHlFK+1YLDcf0TEZEHJoaIiOq/lcrolUxE5kP/yjxHRLoaJWhcvyz/AzrhEkh1EyKhCNYjk/vFUCn1vIh8At2mzXDo5MQDIjJS+fReVYGSAOOCvXBW2gBxFbmO8YUI3JNWoNhqiwm6nZqngkzfDgAiMhi6atRyABOg27OxQbcvdTe8O6z4L3QSZxR0tairjelzQw3KSHbsgO5J7yMjjmtReWKo0usJIe5zReEFGW/23L5SapOIdIU+RiMAjIROer2ilLq9km2Esh91je917Lom5gB4M8gyrnafxkAnND6DTqgdhr5XboCuShWKqp7X2rzvQj2fgWIyQVfpmhhkHfsBQClVIiJDoBOyrmvuXQCTROQcz5I/VYgnWnyTokREFEVMDBERNSBKKYfRCPK3AP4M4GmcelmyBypl4uM36IanK7ILwGkiYvYpNdTN+Hdn1aLWlFI7oKujPS8irQGsgW47JNc1SzVW6/r1Os1nvG/1DlfJgl7Q1euChhlkvGv53UqpjRUsv8v4tzN06RIAgIhYoBtV/qWCZavrN+g2ZCo793+EbqvnfKO6kis2v4aOjRfUTwFcKSJ3QCeIflFKBSsxVSGl1HER2YbKr71QhbrPwZyA/zUDGCV4PEcY1e8+APCBcR5nAbhNRJ5USgVrxDlUuwD0FhHxKTXk17tUNdcdbF2hrv8IdILQEsKxvgr62F3muS8ickOAeSu6z2pyXmuiOs+fUP0GXZ1ucWVVV41SZrnGcJ/o3t9ega7O+m4Vtuk6/12hq/156oaKn+OezzF3qSGjxKJvCama2AXgPBFJ8ik1FI7rn4iIPLCNISKiBkYptRzADwDuEpE4pdRh6HZibhGPLuddxLtL5Q+ge8G5KsB8rl+aP4euQjLaY5oJ+tfuMpxq7yMkIpJg9DbkuQ97oUsUeL6cF6HqLx2uhM25HtszAxjvM9/P0C+tE33bOfLYb1cMEBHfOD6E/oX+EZ/5XetwVfFaBf0yfYtPezrXI3AiIhzmAThdRC4KEFeyiLh6EXJAv/yaPKa7qgkFMhf6OrgBwJkIobSQiJwpIskBxrcD0APBq+JVVaj7HMxvAM70PEciMhJAG591NfP8bFTncbXPE47z+SWAFtAv/a5txkG3zVQjSqn90CUFr/U8J0aJlN4hrsMB/cy4XET6+k73eba4ksjiMb0DdO+IvoLd6zU9rzVRnedPqOZBt/dzq+8E0d3YJxv/b+Y7HfrZBVT9elsF3RD+n4xryrW9c6DbH/q8gmUXQTe2f5vP8+7OKsZQmS+hS+n9ySM+E4DKSuMREVEVscQQEVHDNB06WXEj9K/JtwL4DsBaEZkB/eKbAd0wbA+c+gX2Wei2Y+aIyPnQjZCmQVeRegS6mtoM6MTKv0XkNOiEyuXQba1MUUodq2KsXQAsEZH3oaudlEFXk+gO3Y2xyyroF5FHoRtqLVRKfVbRipVSG0TkRwBPGQmf4wCugc/3n1LKabR5818Aa0TkTejqG50AnG0MrhgA4CUR+QK6KsxnSqntRkmtZwG0M6r0nYQuBXQZ9IvfVKVUuYg8BN2Y6lIRmQvdzs4NqLx6U3U9C+ASAJ+IyGzocxoPXTrnKugkwE7oKj6TAHwtIm8DaAqdgDgInZzw9SV0yZrnjM+hVCO7FsB1IvKxEYeru+0boBsuf7TquxdQqPsczBvQJagWish7RozXwr/Nmq9E5DB09buD0NfLn6F7+9qEmnsNwB0A3jYauHZ1V+8q0VXTUiwPQLcp9p2IzIS+1++AThglhbiOydCNPv9gPFs2QCdQ+kEnfVxJh0+hE1yfGqXNsqB7svvVmNfTKgDDROQe6F4TDyullqDm57UmgsUUDu9AX28vG4m55dAJtK7Q1TSvgi4h9LCI5EA/p3ZCH+cJ0EmrihI5foxn0b3QDd9/KyLv4FR39fugq/sFW/aIiEyH7uL+cxFZAN3200XQvVCGy3zoBtn/JiLtcaq7elfyPpKluIiIGpdodYfGgQMHDhxqNuBUN8lnBphmgi7ivwO6mgegExAzcartmH3QbQ79n8+yTQC8AN3zlQ36JehdAK085mkOnSA6BJ3I2QDgFp/1ZBvxTQ4Qn4LRpTqAZgD+Cd3GRgGAfOiXsBt9lsmAfonNg0d32jjVHfI1QY5TB+hqW6XQL+/ToHvV8evuG8Ag6Kpk+dAvW+sA3O9zXP9hrMcJ/27IL4NOnhUYy/8K4F8Aevps51boRFApgJUAzoFPV+gVnPdc6N6/Ak1r7nlsPcYnAvgrdEKtDPrl7QcA9wGI85jveuiXr1LoBl4nQSdtvPbTY/43jGk/BolnJ7y7ru4N/cLpKjlVblxDn8CjC3pj3qkI3F39wiDHJLc6+1zBcZ4Efe2XQr+o9/fdDnSCNNfYlzLo++1FABkB7tNsn3j9ziF0NbSdPuPaQ7/0F0OXopsOnbxVAAaG4TkyyuOcr4N+8f4AwKZQ7mVjerqx37ugnxkHoUsp3u4z3704dd2vh062BTrPnaHbEio0tut5zCs9r5XFW83jFDAmBHkOI3A37QGvX2OaBToRvs44PiegE1+PAWhqzDMUuoe6vca+74f+AaBvgO1e47N+1zEZ5zP+Suj7sRQ6cT4XQLsQ7kUT9I8F+41rcymAnvC/5wMdh1kASgMcg0DbaQ79/ZMPnWyfCZ2oVwBGhev8cuDAgUNjH0QpJtuJiIiI6gsRuQs6Qdla1bwdo0DrXwPgiFJqeLjXTVRTInI5dEP5g5VS30U7HiKihoBtDBERERHVUb7tbxntwfwJwNaaJoVExGo0mO05Lge6WlBuTdZNFA4Brn8zdHXNfJxqX4mIiGqIbQwRERER1V0fichu6F76UqGrX3WDbmuoprIALDLal9lvrHcCdFWwV8OwfqKa+qeRHPoBuh2yPwA4C8ADSqmSqEZGRNSAMDFEREREVHd9CeBm6ESQGbotrmuUUvPCsG5XOzY3Q7cTVATdsPFkVfVG5IkiYQmAvwAYCd2Q+TYAf1ZKvRTVqIiIGhi2MURERERERERE1EjVqRJDzZs3V9nZ2dEOIyyKioqQmJgY7TCI6jTeJ0Sh4b1CFBreK0Sh4b1CFJqGdK+sXr36qFIqPdC0OpUYys7OxqpVq6IdRljk5uYiJycn2mEQ1Wm8T4hCw3uFKDS8V4hCw3uFKDQN6V4RkV3BprFXMiIiIiIiIiKiRoqJISIiIiIiIiKiRoqJISIiIiIiIiKiRoqJISIiIiIiIiKiRoqJISIiIiIiIiKiRqpO9UpWmfz8fBw+fBjl5eXRDqVSqamp2LRpU7TDIKrTeJ9EltVqRUZGBlJSUqIdChERERER1VERTwyJyBQATwJ4WSl1R3XXk5+fj0OHDiErKwvx8fEQkfAFGQEFBQVITk6OdhhEdRrvk8hRSqGkpAT79u0DACaHiIiIiIgooIgmhkTkTADjAayt6boOHz6MrKwsJCQk1DwwoigqKC1HUZkj4LQ4qwlpCTG1HBE1RCKChIQEZGVlYf/+/UwMERERERFRQBFLDIlIKoB3AdwI4NGarq+8vBzx8fE1josoGKVUhdOrUkrN6VQ4UWyDM8AqjxSUwe50Bt4GBKnx1jpfIo7qj/j4+HpR/ZaIiIiIiKJDKnsZrvaKReYB2KmUul9EcgGsD1SVTETGQ5cqQmZmZv+5c+cGXF9qaio6deoUkVgjweFwwGw2RzsMqoLDxU4UlQe+H6wmQVaShJywKbIpHC4JnPwBgObxJiTHeK8rr0zheKkT7VJMMDWSxBDvk9qxbds25OXlRTsMqoHCwkIkJSVFOwyiOo/3ClFoeK8QhaYh3StDhw5drZQaEGhaREoMicgtADoBuLayeZVSrwN4HQAGDBigcnJyAs63adOmetUWCdtOqX/2FxUgzgqkxlu9xhfbHCgoLUdSUgpMJu+EzYG8EuSX2P3W5TByQt1bpsDkl+MRmP1HotxUhuOlJTDHJsDqO12AGLOpwZUk4n1SO+Li4nDaaadFOwyqgdzcXAT7fiSiU3ivEIWG9wpRaBrLvRL2xJCIdIVubHqwUor1F6jecCqF5BgLMlPivMYfKyxDQWk5HErBBO/ETH5JOZQCEmL8b6VYqwlWsynk7VtMet7tRwoDTs9qEo9mibEhr4+IiIiIiIioMqG/tYZuEIDmADaIiF1E7ACGALjN+Mw32yrauXMnRASrVq2KyvanTp2KXr161fp2w7Xf48aNw8iRIwHodoSKbXYUlnkPF1x0MSZPnOBXIgiAe1xhmR2Fpd6D3aGQHG9F22YJfoNvgqkySXEWtGuWiLZNE7yGNk11g+t2R2SqfRIREREREVHjFYnE0HwAvQH08xhWAZhr/N8WgW3WWTk5ObjjDr+mlTBr1qyQ6yq2adMGBw4cQL9+/cIdnh8RwQcffBDx7URLkc2BbYcLsf2I91BsswMKsJj9E0Oual17jhdj+9FCr8GhFGICLBPItGnTcPbZZyMxMTFglTCT6Ian0xJi3MO/Xvg7zh9yNs7q3hY9OrTBJZdcgvXr13stN27cOIiI13DmmWd6zXPLLbegY8eOiI+PR3p6Oi677DJs2rTJa56ff/4Zw4cPR1paGpo1a4bx48ejsNC79NLEiRMxYMAAxMXFITs7O+B+rlu3DkOGDEF8fDyysrLw+OOPezXsnZOT444zJSXF/f+ePXsGXN+cOXMgIu7knss333yDSy+9FFlZWRARzJo1y2/Zjz76CCNGjEB6ejpEBLm5uQG3Aeik4YUXXljhPVBaWoq+ffsGTFj6ngMRwauvvlqlY/P+++9jwIABSEtLQ2JiIvr164fZs2d7reOpp57C6aefjpSUFKSnpwe8JoiIiIiIiEIV9sSQUuqkUmq95wCgCMBx4zOLPVSR2WxGixYtYLFErBO5sLPZ6mb+z2F0E5bVJB4dmie6h4QYC5LiLGie5F+gLTHWgk4ZSejQ3H/omJ6EZgGWCaSsrAx/+MMfcNddd4Ucb25uLm677Ta8M/9LvPfJAlgsFgwbNgzHjx/3mm/YsGE4cOCAe1iwYIHX9AEDBmDWrFnYtGkTvvzySyilMGzYMHdvVfv378ewYcPQoUMH/PTTT1i4cCE2bNiAcePGea3H6XRi7NixuP766wPGm5+fj+HDhyMzMxMrV67ECy+8gGeffRbPPfece56PPvrIHefWrVuxc+dOJCcn4+qrr/Zb3/bt23HvvffinHPO8ZtWWFiIXr164YUXXgjaY2FRURHOOussr+0H8/e//x0mU8WPxHvuuQetW7cOOn3GjBle52Hs2LHuaaEcm2bNmuGhhx7Cjz/+iLVr1+KGG27ATTfd5HU+XdfE999/jyVLlgS9JoiIiIiIiEKilIr4ACAXwEuVzde/f38VzMaNG4NOq4vy8/OVUkoNGTJE3X777X7TZ86cqRITE92f165dq37/+9+r5ORklZiYqPr06aOWLFmilFJqx44dCoBauXKlUkqppUuXKgBq0aJF6owzzlDx8fGqf//+avXq1V7b+Pe//63atGmj4uPj1ciRI9XLL7+s9CkPrF27dgqAe2jXrp1SSqlHH31U9ezZU82ZM0d16NBBJSUlqcsuu0wdOXLEvezYsWPVxRdfrJ5++mmVlZWl0tPTlVJK7d27V40aNUqlpaWptLQ0ddFFF6ktW7a4l9u9e7e69NJLVZMmTVR8fLzq2rWrmjNnjtd+f/DBB2rYsGEqPj5ede/eXX311VdKKaWcTqfKK7apz79cpPoPOF3Fxsaq9PQMdevtf1aHThSocofDKzallDpRVKZ+3LJPXXvd9SoxMVFlZGSoadOmqYsvvliNHTu2krMaHu+//36F5yGQDfvy1N7jRaqgoECZTCb16aefuqd57l+ofvnlFwVAbd68WSml1GuvvaaaNWum7Ha7e561a9cqAGrr1q1+yz/77LPu68PTK6+8opKTk1VxcbF73BNPPKFatWqlnE6n3/z5+fnqnXfeUWazWe3evdtrms1mU2eccYaaNWtWpfuYmJioZs6cGXT6kSNHFAC1dOnSgNNXrFihWrdurQ4dOqQAqPfff99vnvnz56sePXqojRs3et2PLsGWc6nqsXE57bTT1OTJk4NOD3RN+Kpvz0/yF+zaJSJvvFeIQsN7hSg0DeleAbBKBcnF1EoRFKVUTrjX+dhnG7Bxf364V1uhHq1S8Oglgau71NTo0aPRt29frFixAhaLBevWrUNcXMVt1EyZMgXPPPMMWrZsiYkTJ2LMmDHYuHEjRAQ//PADbr75Zjz11FO44oorsGzZMjzwwAMVrm/lypXIyMjAjBkzMHLkSK9uxHfu3Il58+bh448/RlFREa655ho8+OCDeO2119zzLFu2DKmpqVi4cKFuy6e4GEOHDsVZZ52FZcuWISYmBtOnT8ewYcOwadMmJCQk4LbbbkNpaSmWLl2KlJQU/Prrr35xPfjgg3j22Wfxyiuv4K9//SuuueYa7Nq1C7DE4qf1W/HHyy/FyCuvxkN/+yf27NqBx+6biEKbE89On+7Xzo9SwHNPPIzlixfhww8/RFZWFh577DF88803+MMf/hD02OzevRs9evSo8Phde+21flWHwkUEcCrdi5fT6USTJk28pi9fvhwZGRlIS0vDkCFDMG3aNGRkZARcV1FREWbOnIm2bdu6q4OVlZXBarV6nXNXKZzly5ejU6dOIcX5ww8/4JxzzvEqwTNixAg8/PDD2LlzJ9q3b++3zIwZM3DBBRegTZs2XuMffPBBZGdnY+zYsVi6dGlI26+OgoICjB49Gq+//nrQY7Z3717ceuut+OKLL4KWTgJ0VbsJEyagffv2uOmmmzB+/Hh3KaSqHhulFJYsWYJff/0V06ZNqzD+QNcEERERERFRKOpP3aQGbteuXbjnnnvQrXa1OhoAACAASURBVFs3AAjpRfyJJ57A0KFDAQCPPPIIBg8ejH379qF169Z48cUXcf755+P+++8HAHTp0gUrV67EjBkzgq4vPT0dAJCWloYWLVp4TbPb7Zg1axZSU1MBAOPHj8fMmTO95omLi8Obb76J2FhdterNN9+EUgozZ850t6nz2muvISMjA59//jmuvvpq7Nq1C1deeSX69u0LAAETB3fffTcuueQSAMCTTz6Jt956C2vWrEHv/gPx3lv/RqtWrTD7jdf1C/jgAYhzFOP2227Fo1Mf80sMFRQW4ON572DGjDcwYsQIAMDMmTMrrB4EAK1atcKaNWsqnCclJaXC6TVhEkGxzYF7b7sDPXv3QXaPfjiQVwIAOGvIebjoksvQLjsbu3ftwuNTH0HO0N/jux9/QlpSgvvYv/LKK7jvvvtQVFSErl27YvHixe5z9fvf/x6TJk3C008/jUmTJqGoqAiTJ08GABw4cCDkOA8ePOh3LDMzM93TfM/v1q1bsWzZMsyfP99r/FdffYX33nuv0mMeDhMmTMAFF1yACy+8MOB0h8OBMWPG4C9/+Qv69u2LnTt3Bpzv8ccfx9ChQ5GUlITFixfjL3/5C44ePYqHHnoIQOjHJi8vD1lZWSgrK4PZbMbLL78cNDZAJ6P69euHQYMGVXXXiYiIiIiI6m9iKFIld6Jl0qRJuPnmmzF79mycd955uPLKK91JomD69Onj/n+rVq0AAIcPH0br1q2xefNmdzLFZeDAgRUmhirSrl07d1LItb3Dhw97zdOrVy93ogEAVq9ejR07diA5OdlrvuLiYvz2228ATpWwWLhwIc477zxcccUV6N+/f0j7qZTC9m1bMHDgQCTEWt3zDB1yLsptNmzfvg0dWzXzWtf27dtRbrPhTI+X6KSkJPTu3bvC/bdYLCGXmomEhBgzHpx8L3784XvM/mghTpY4ADjgVApnDj91nrtmtsc/3pyHCwf1wcy5H2Hs/13tbgNpzJgxGD58OA4cOIDp06fjqquuwnfffYeEhAT07NkTs2fPxqRJk/Dggw/CYrHgzjvvRGZmZqXt7tTE7Nmz0bJlS1x88cXucUeOHMG4ceMwZ84cpKWlRWzbAPD222/jl19+qbDnuyeffBIxMTGYNGlShet6+OGH3f/v168fHA4Hpk2b5k4MhSo5ORlr1qxBYWEhFi9ejEmTJiE7OxvnnXee37yTJk3C8uXLsXz5cq/SXkRERERERKGqt4mh+iIlJQV5eXl+40+ePOmVaJk6dSrGjBmDL774Al9++SUee+wxvPrqq7jxxhuDrttqPZUMcZUKcTqdYYw+8LZc2/PdVmJiotdnp9OJfv36Ye7cuX7ra9q0KQDgpptuwogRI7BgwQIsWrQIZ511FqZMmYKpU6cG3LbnfjqNdsyD9wnmP8XV9HmATsEqFO2qZM898SC+/uwjfJO71CthqJRCsc3hPhYA0L55J2RltcbuHb/B7jw1PjU1FampqejcuTPOPPNMNGnSBB9++CGuu+46ALo64+jRo3Ho0CF3z2nPPfccOnToEHKcLVq0wKFDh7zGuT77lkKz2Wz4z3/+g/Hjx3s1rL5hwwYcOHDAKxHiutYsFgs2bNiArl27hhxTRRYvXoyNGzf69RA4atQoDBo0CMuXL8fixYvx7bff+t0DZ555JkaNGoV333034LoHDhyI/Px8HDp0CJmZmSEfG5PJ5E5C9uvXD5s2bcKTTz7plxi6++67MXfuXCxdurRK54iIiIiIiMgTE0MR1rVrVyxYsABKKa8uyn/++We/l9vOnTujc+fOuPPOO3HrrbfijTfeqDAxVJFu3bph5cqVXuNWrFhR6XJWqxUOh6Na2/T1u9/9DnPmzEHz5s0rLPnRunVrjB8/HuPHj8czzzyDF154wSsxFIxTAR06dUHuwk/hdDrdJVuWL18Oa0wMstq2h83ugNOp4FQKNrsDbdplw2K1YsWPP6GL8fJdVFSE9evXo2PHjkG3Fc2qZBMnTsS8efOwdOlSv1JkIoLEWO/b+OjRo9i/fx8yMlt4JYw8uRoZKysr85vmqt705ptvIi4uDsOHDw851kGDBuH+++9HaWmpu42sr7/+Gq1atfLr3n7+/Pk4duwYbrrpJq/xp59+OtatW+c17qGHHsKJEyfw8ssvB6xuWF3Tpk3DPffc4zWud+/emD59Oi677DIAuqphUVGRe/r+/fsxYsQIvPvuuzj77LODrnvNmjWIi4tzX/tVOTaenE6n33mq6JogIiIiIiKqCiaGIuzWW2/FSy+9hD//+c+45ZZbEBcXhwULFmDOnDn49NNPAQAlJSW45557cNVVVyE7OxuHDh3C8uXLMXDgwGpv984778TgwYPx7LPP4vLLL8c333yDjz/+uNLlsrOzsXjxYgwZMgSxsbE1atB2zJgx7hfsxx9/HG3btsWePXvwySefYMKECejcuTMmTpyICy+8EF26dEF+fj4WLlzoVzKnzO7A8SKb17jCUjuKy+y4+vqb8J83X8Vtt92GiRMnYvv27Zg8eTKuu3E87CYrNh8sQF5JOQrL7Nh8sACAFVdccx2mTJmMzMwMtGrVCo8//nilybBwVCXbvXs3jh8/7m6jxpVo6tSpk7vESrdu3XDHHXfgjjvuAADcfvvtePvttzF//nw0adIEBw8eBKCrvyUlJaGwsBBTp07FlVdeiZYtW2Lnzp2YMmUKMjIyMPzCS+B0Kmzbtg0ffvghhg0bhvT0dOzduxdPP/00YmNjMXLkSHd8L730EgYNGoTk5GR8/fXXuPfee/H00097JfW2bduGwsJC7N+/Hzabzb0PPXr0QExMDEaPHo3HHnsM48aNw0MPPYQtW7bg6aefxqOPPuqVGAWA119/HTk5OX6lXRITE9GrVy+vcWlpabDb7V7jCwsLsW3bNgA6ebJ7926sWbMGTZs2Rdu2bQEAx48fx+7du3Hy5El3/K42tFq0aIGsrCxkZWX5nas2bdq44/JNRLnOVceOHd1tBn322Wc4ePAgBg0ahPj4eCxduhSPPPIIxo8f765eGcqxmTZtGgYOHIgOHTqgrKwMCxYswNtvv41//vOf7u1Xdk0QERERERFVSbDuyqIxNMTu6pXSXWGff/75KiMjQ6WkpKgzzjhDffzxx+7pZWVl6v/+7/9Uu3btVExMjGrZsqW65ZZbVF5enlIqeHf1nt3F+86jlO6uvnXr1iouLk6NHDlSTZ8+XcXFxVUY96effqo6deqkLBaLX3f1nmbOnKkSExPdn4N1J37w4EE1btw4lZ6ermJiYlR2dra64YYb3LHfcccdqlOnTio2NlY1b95cjRo1Su3du9drnz7+apn6Zc8J9wBATX91lvplzwm1ft9JlZubq8444wwVExOjMjIy1F133aVOFhSpY4Vl6lhhmbpmzHXq/AsudH8+eOykuu6661RiYqJKT09Xjz/+eK10Vz927FgFwG/w7AIRgHr00Ue9PgcaXPMUFxer888/X6Wnpyur1aratm2rxo4dq3bv3q027c9Tvx7MV6vWb1FDh52vmjXX87TKylJ/vPoatWnTJq/4rrvuOtW0aVMVExOj+vTpo9566y2/fRgyZEjAeHbs2OGeZ+3ateqcc85RsbGxqkWLFmrq1Kl+3bH/9ttvSkTUrFmzQj52vteX6z7wHTzP48yZMys8foGgkm7nA91rX3zxherXr59KSkpSCQkJqlevXur5559X5eXlXstWdmwmT56sOnXqpOLi4lSTJk3UoEGD1H/+8x+/+Kq6T/Xt+Un+GlJXqUSRxHuFKDS8V4hC05DuFVTQXb2oIFVNomHAgAEqWCOwmzZtQvfu3Ws5ouorKCjwa3Q52u6++24sWrTIr5pOXbf1UAHMJkHrJv7dhJtNJphNVWwwqJHYdawI+SV2v/EKCiYR9MpKDbBU7aqL90lDVN+en+QvNzcXOTk50Q6DqM7jvUIUGt4rRKFpSPeKiKxWSg0INI1VyRqwZ599FsOHD0dSUhIWLVqEV199FU8++WS0w6oypwJiTIIYC3tdqop2zRIDjj+YV4IjBbaA04iIiIiIiKhxYWKoAVu1ahWmT5+OvLw8tG/fHk899RQmTpwY7bCqzFXChcJDRKCg/BpEJyIiIiIiosaHiaEGbN68edEOISyUqnr38hSc61Aqj/8TERERERFR42SKdgBElXGyZEtYuQ5lHWpejIiIiIiIiKKkXpUYYtWXhsvpVDhcWAbl9M9WOBUzmOHkuod0w/O8nxq6utTBABERERER1T31JjFktVpRUlKChISEaIdCEVBks+NwfmnAtoRMAOJj2PB0uHhWJaOGr6SkBFarNdphEBERERFRHVVvEkMZGRnYt28fsrKyEB8fz5JDDYyroFDH9ETEx9Sby7Je8i4xRA2VUgolJSXYt28fMjMzox0OERERERHVUfXmDTwlJQUAsH//fpSXl0c5msqVlpYiLi4u2mHUG8U2O44XlUNOxsJiZsWxSHId67wDpoAltJLjLLDW0jngfRJZVqsVmZmZ7ucnERERERGRr3qTGAJ0cqi+vODk5ubitNNOi3YY9cbcFbsx+dN1+H7y79EqLT7a4TRo2w4X4OE5a1Bmd3iNVwrYfrQI91/QDbfmdKyVWHifEBERERERRVe9SgxR/aeUQoD2pVFs00mKOCvbEoq0ThnJWDDxHL/xdocTnR78Aja7MwpRERERERERUTQwMUS1avKH6zBv1Z6g0+OZGIoai9kEs0lgczgqn5mIiIiIiIgaBCaGqFZtPlSA9s0TccVpWX7T2jZNYO9jURZrMaGsnCWGiIiIiIiIGgsmhqhWlZU70CkjCXee1znaoVAAsRYTbA4mhoiIiIiIiBoLdv9EtcpmdyLWwsuurophiSEiIiIiIqJGhSWGqFaV2Z2ItbC6WF0VazHj87X78dOOY37T+rZJwwvXsAcxIiIiIiKihiTsiSERuR3AnwBkG6M2APirUuq/4d4W1T9ldgdirSwxVFfdPrQjvv/NPym0cX8+Fq4/GIWIiIiIiIiIKJIiUWJoL4D7AWyFrqo2FsB8EemvlFobge1RHVPucOLD1XtRZPPv3aqg1M6qZHXYqNPbYtTpbf3GP/f1Fry4eCuUUhCRKERGREREREREkRD2xJBS6hOfUQ+KyK0ABgFgYqgR+HnXCUz+aF3Q6dnNEmsxGgqHOKOUV5ndiTgrqwISERERERE1FBFtY0hEzACuApAE4PtIbovqjlK7brz4rRvPQN82aV7TTAIkx1mjERbVQLyRDCotdzAxRERERERE1ICIUir8KxXpDeAHAHEACgGMCdbGkIiMBzAeADIzM/vPnTs37PFEQ2FhIZKSkqIdRlSsOWzH8z+X4ZFBceiQyiRCQ5C7pxyzNtgwoU8skmK8q5KZBWiZKLCY/KuYxVkQcLxLY75PiKqC9wpRaHivEIWG9wpRaBrSvTJ06NDVSqkBgaZFqsTQrwD6AUgF8EcAs0UkRym13ndGpdTrAF4HgAEDBqicnJwIhVS7cnNz0VD2papK1x8Efl6NMwYMQK+s1GiHQ2Fg23AQszasxqtry6q0XLcWyVh417lBpzfm+4SoKnivEIWG9wpRaHivEIWmsdwrEUkMKaVsALYZH1eLyOkA7gZwUyS2R3WLw6lLoVnNbGS6oRjWPROf3TEYZXb/BsUP5ZfhSEGp3/iFGw5iw7782giPiIiIiIiIqimibQx5MAGIraVtUZTZnbqNIYuZvVc1FCaToHfrqpX+2neyBGv35kUoIiIiIiIiIgqHsCeGRORpAP8FsAdAMoDRAHIAXBzubVHdZHfoEkMVtS1DDZ/ZZILdGf42zIiIiIiIiCh8IlFiqAWAd4x/86C7qL9QKfVlBLZFddCpEkOsStaYmU2nqhUSERERERFR3RT2xJBSaly410n1S7lRYsjKEkONmtlkgsOpoJSCCK8FIiIiIiKiuohFOijsXKVEzEwMNWpmIxnEQkNERERERER1V201Pk0NkN3hxLEim9/4E8V6HKuSNW6uxsftTifMJnOUoyEiIiIiIqJAmBiiartr3hp8vvZAwGkmAWItTAw1Zq4SY0aTU0RERERERFQHMTFE1bbrWDG6tUjG9YOy/aa1SotDnJWlRBozV1Uy3Rg5rwUiIiIiIqK6iIkhqrbCMjt6ZaVi9MC20Q6F6iBXiSH2TEZERERERFR3MTFEFXI6Fb7ddhRFZXa/aceLbEiK5SVEgTExREREREREVPfxrZ4q9Mvekxj75oqg01umxtViNFSfMDFERERERERU9zExRBUqNEoK/f2qvuiVleo1zSRAh/SkaIRF9YDF5GpjiIkhIiIiIiKiuoqJIapQuUN3KdUxIwldWyRHORqqT0xGYuih+esRH+Pd+LTVJJg4rEs0wiIiIiIiIiIPTAxRhcodurSH1SxRjoTqmz6tU9G9ZQp2HSvyGu9UwI6jRejdOg0doxQbERERERERaUwMUYVcJYasZlOUI6H6pluLFHwx8Ry/8SU2B7o/shA2uzMKUREREREREZEnJoaoQkwMUbjFWPS1VGZ3VPgEUkrh1WXbcaywDIM7N0dO14xaipCIiIiIiKjxYGKIKsSqZBRuZpPAbBJdYqiCJ9DeEyV4ZuFmAMDKXSeYGCIiIiIiIooAFgOhCrHEEEVCrMVUaVUym+PUdCd7NiMiIiIiIooIlhgiAEBpuQN7TxT7jT9wshQAE0MUXjEWk1fiJxC741QyyMHEEBERERERUUQwMUQAgEnvrcGCdQcDTjObBHFWJoYofGLMJvyy5yRKj9mwWX7zmta9ZQqGdEmH3elRYkgxMURERERERBQJTAwRAOBogQ2dM5Jw53md/aa1TI1DQgwvFQqfjulJ+GH7MfwCAFs2e01rnhSDVQ8Nd5cYirGYwLwQERERERFRZPBtnwAAdqcTLVLjcEnfVtEOhRqBd28eiDK7E998+w3OPedc9/hnFm7G+6v2AADsRvWxGLOJJYaIiIiIiIgihIkhAqBfws0m9jxGtcNkEsTHmBFr1v+6xMeY3W0PudoVspqFiSEiIiIiIqIIYWKIAOhu6S0mtiNE0WU1m1DuUHA6FexGgijGYgLbniYiomjIKy7HlI/XorDMAQCIt5rwxGW9kJESF+XIiIiIwoeZAAIAOJxOWFhiiKIs1qIfSeVO56mqZBZWJSMioujYcCAPC9YdxP6TJTiUV4ovNxzCmj0nox0WERFRWDExRAB01+AWMxNDFF0xZv1IstmdHlXJmBgiIqLoKDc6Qnjmyj74x6h+ANhTJhERNTxhTwyJyBQRWSki+SJyREQ+E5Fe4d4OhZfdqWA1M09I0WU1kpM2uxPlrqpkZhM8eq4nIiKqNeV2/QVkNYu7LUZHLXwnPb9oCybO/R9mf78z8hsjIqJGLxJtDOUAeAXASgAC4HEAi0Skh1LqeAS2R2FgdzjZ+DRFXYxFN0T9xOcbcazIZowzQfHXWSIiqqG84nLc88EvKCqz+00TAW7L6YSzOzX3Gu/6kcJqNsH1+5kjwt9JNrsTzy/aCgD4/rdjGHtWdkS3R0REFPbEkFJqhOdnEbkOQB6AswF8Fu7tUXjoEkNMDFF09WiVgqy0eHz32zEAQOeMJLRuEo9D+aVRjoyIiOq79fvz8PXGQ+jWIhnJcd5/Av9v90l0TD/olxiyeSSGXL+fOSPcI4LDY/38YYSIiGpDbfRKlgxdZe1ELWyLqsnuZK9kFH392qThu8m/9xo35aN1cCrgu21HsW5fnnv8sO4Z6JSRXNshEhFRPVVQqksK/f3qvujZKtVr2llPLUaxzeG3jN1oYyjGbIKC/r8jwokhu0f96Uhvi4iICKidxNALANYA+CHQRBEZD2A8AGRmZiI3N7cWQoq8wsLCOrcv+WUKL/6vFKV2/z8yThQpHDywD7m5R6MQGTVWodwnBw+UoazMjjvfWYFjpaeu3dw1WzGhL7sLpsahLn6nENVFhYWFWLJ0KQps/tNWHNCJoQ1rVuPIFu8fw5z2MuzedwC5ud6/Y67fUw4AWLXyR3fbQhs3bUKzgm1BY7A7FZbvs6PUv8YaRIDTW5jRNC74j3GFtlPfdbbyct77FBH8XiEKTWO5VyKaGBKR5wAMBjBYKeX/MwwApdTrAF4HgAEDBqicnJxIhlRrcnNzUdf25fttR7Ft6U84o31TNE2I8ZrWW4Cbz2mP/u2aRik6aoxCuU8Wn1yPX44fgAgwakAmpl7aE5e+tBxpTZOQk9O/dgIlirK6+J1CVBfl5ubi08Np+OjnfUHnGZFzDlITrF7jmq/7FknJccjJOd1r/J4fdgIbNuCcs8/W7Q19swSdu3RFzhltg67/261HMOurFUGnf7bDghsHt/cb37ZpAv7YvzWOFJQBSxbBahaYzGbe+xQR/F4hCk1juVcilhgSkX8AuAbAUKXU9khth0JXUq5zcw9d3B19WqdFORqi0JhEF6W3K4X4GDPiY8ywmE2ws3g9EREFsO1wIbq1SMaYM9v5TWuZEueXFAKAeKsZP+8+gbFveid09p4oBmD0kGm09+NqfPp/u0/gu23+Ja03HsgHAHx517loleZdsnXyh+vw33UH8OLirQFjH9mnpbv6mNVsApsYipxJ761Bfokdb4wdEO1QiIiiLiKJIRF5AcAo6KTQ5khsg6rOVXc+3mqOciREoRMROJWCw6lgMVr+tJjE/Qc6ERE1Pg6nwidr9qHQp4exLbvKsfdEOYZ0Scd1ARJDwVzStxU+/HkfTpaUe41PirPi4j4tkRxnga1I1yVzNT79t4W/4oftxwKur2VqHNo3T0SMxbvK2MtjfoeXA8z/7+U78MTnG1FW7nS3MRRjMcFmdwaYm8KholJlRESNTdgTQyLyMoDrAFwO4ISItDAmFSqlCsO9PQqdq8RQHBNDVI+YRKCUbgDUYvQVbDIJSwwRETVia/eexKT3fgk6vVNGUpXWd/2gbFw/KLvCeczGjxOuEj0l5Q6c07k53hx3uv+8IjCZQu/tNcboGbbM4fAqMVRaHrAlBiIiorCKRImh24x/F/uMfwzA1Ahsj3y8/eMufLB6r9/4owVlAID4GCaGqP5wVSUrdzrdfzhbTBLx7oKJiKjuyjNK9swcdzr6tD7Vw9h333+PwWefjSYBqovVlFmMxJDx9WOzOxFrMcFqrnmvrq6SRTa70/3Dh66+VuNVExERVSrsiSGlVOg/j1BEfLHuAHYcKcRpbZt4jU+Lt+LcLul+DU8T1WVmk8DudEIpuEsMmUW8uvMlIqLGpahMl6RpmRaHZkmx7vEpMYKmiZH5O8dk5H8cxvePzeH0qypWXa71lDuUR4khgWK1aSIiqgW10V091TK7Q6FHqxTMvvGMaIdCVGMignLj51mLUWLIbBL3H85ERNRw7T9Zgv/tPuk3/kejbZ/EmNr7U9ZiZIZc3dbb7E7EhKG0EADEmM3udXpWJeNXHRER1QYmhhogu9OJBAtPLTUMnk00uP4AN5sEZXa2u0BE1NA9+ukGfL3xUMBpMRZTxEoHBeIqMeTq/KDc4QxLNTLAuyqZi9XoCe3RT9bjvVX+TQQAQHbzRPz3z4Or1J4RERGRL2YPGiCHU7lLVhDVdyY5dS27eiUzmcTdxgMRETVc+SXl6J2Vir9f3ddvWlqCFYmxtfenrLuNIaMYj80evqpkcVa9nitf/d49Lsaiu6tfszcPzZJicFHvll7LrN+Xh+9/O4aCMjtS48PfphIRETUeTAw1QOWOU916E9V3A7KboGN6IswmQd82aQB0gsjBNoaIiBo8m8OJtAQrumQmRzsUd69k7/y4C4s2HcKJYlvYEkMD2jXFXcM6o9imS8PGW80otTuwZs9J2B1OdMlMxgMXdfdaZt7K3ToxVFrOxBAREdUIE0MNkMOp3PXgieq7nK4ZyOma4TXOJOJu44GIiBoum92JmIS68TeNiGD8uR2w9VABAGBo1wy/UjzVFR9jxl3DuniN++firQB0lTVzgB/8kuN0Mmjuij3ISIn1miYiuKBnC6Qnx/ot52nGN9thdyrcmtOxJuETEVE9x8RQA1TudMLMqmTUgLHEEBFR41BmdyLWWjcSQwD8Su1EkqvdoGAlwds1S4BJgJeWbgu4/NGCMtw9vEvAaQBQbLNj2oJNAIDRZ7RFagJLHRERNVZMDDVADqeClVXJqAFjr2RERI1DOHv+qm9cTeyVO5wBG5fu2SoVvzx6vleD1S6DnlqCsgDjPdk9vkcdit+pRESNGRNDDZDdoWBppH9EUeNgNgmOFtrwt4WbAQC9s1JxYZiK8xMRUe3bsD8PBaV2v/FFZXbEWsxRiCj6XJ0v2OzOoG1HuqqT+bKYKy9Z6/RIDCkmhoiIGjUmhhoguzP4HxBEDUGPVin4Yv0BzPhWt42QGm9lYoiIqJ7adrgAF7+4POj0tMTGWcXJ5FFiKFAbQxUxm8SrRFAgntNZCJeIqHFjYqieUkph44F8FAb4da3E5mB39dSgTRjSEROG6IYyn/t6C/65ZCscTlXlP5yJiCj6jheVAwAevKg7emaleE0TCPq2SY1GWFHnKjFUnd5mLSFUuWaJISIicmFiqJ7acqiwwl/XUoIULSZqaNLirVAKGPvmCr/EkNVswpSLuqFjelKUoiMiosq42sjp1zYNp2c3jXI0dYe4qpI5nDBXsbdZs8mEckfFyR7PdoWYFiIiatyYGKqn8kr0r2sPXNQNvVr5/JImQL82aVGIiqj2ndWpGU7PboKCMu/Sc3aHExv252NI13QmhoiI6jCbwwEAjbaR6WBcv3XYHU5U9dCE0nunw6sqGVNDRESNGRND9ZTd+LLv0zoNZ3ZoFuVoiKKnW4sUvD/hLL/xx4ts+N0TX3sVlSciorqnrFz/TRNjYWLIk6sqmVMBliqWGLKYK29jyDNvxLwQEVHjxm/gesr1Kw8bmSYKzFWtrLI/jImIKLpsDiaGAvH8E6+qbeiFogC9TQAAIABJREFU0saQ3SMzxBJDRESNG7+B6ynXyy4b2yUKzJU0rawoPRERRVeZ0cYQq5J5c7UxBFT9h8BQeiXzTAYxL0RE1LixKlk95XC4SgzxjyiiQFhiiIiobnnuq1/x5nc7/ca7SgzFWvk3jafU+FMdiaTEV61TEYvJ5P5bMRgHq5IREZGBiaF6iiWGiCrmLjFUyR/GRERUO1bvPoHEWDNG9mnlN61FShzSk2KjEFXddXHvlmjXLAF2p/LvaKQSoZQYYuPTRETkwsRQPeWqF24xMzFEFAhLDBER1S2l5U50ykjCwyN7RDuUesFkEvRpXb1eZi1mwYb9eZj84Vq/ae2bJ+JPQzp6JYb4TUlE1LgxMVRPOVhiiKhCIgJzCI1vEhFR7Sgtd6BJQtWqRFH1DOrQDPPX7MPSXw97jS8uc6CgzI6xZ2XDoVhiiIiINCaG6im7UT3GyjaGiIIKpSg9ERGdUlBajm+3HsXwHpmwhrkx6JJyB2Kt5rCukwKbclF3TLmou9/4t3/chYfnr0d+abl3iSEmhoiIGjUmhuopd4khViUjCkp319uweyVbs+ckumYmIz6GL1tEVHMvLd2G15Ztx6wbTkdO14wqL+90KsxbtQf5JeV+044V2hBn4bMqmlLi9J/+y349gvxSu3v8D9uP43BBGX7Xtgni6lHyTimFnceKUVru8JtmMQk6pifBxNL1RESVYmKonnKVgqhq96VEjUlDLzF0pKAMl7/8HS7u0xIvj/5dtMMhogZg19FiAECxzf9FOxSbDuZjykfrgk7vlJFUrfVSeGSmxAEA7v3Au+2hh+evBwDcf0E33JrTsdbjqq7vth3Dtf/+Kej0J6/ojdED29ZiRERE9RMTQ3Xc52v3Y/7/9vuN33tC/+HGNoaIgrM08DaGisr0r73r9uZFORKi6Fqy+RB2HSvGDWe3j3Yo9Z4ymiGu7l8XrpIbM64fgLM7NfOaJhCWboyyge2b4vM/D0aJcZ6+2XIE/1yyzT39ZLEtWqFVywkj3kcv6YGWqXHu8Q4ncPt/fsaxwrJohUZEVK9EJDEkIucCuAdAfwCtANyglJoViW01dPNW7sGqnSfQvnmi13iTCIZ1z0BaPBtxJArGbDIh99cjuP0/P/tN69+2CW4cXL9fIl0pL2F+mBq5G2etAgAmhsLA1dSMVPPBUm60gZgYa0ZCDH9/rGtEBL2yUt2fPRMnZpMErJJVl7kazT63Szo6pp8qjaaUgghgczTs6uREROESqW/sJADrAbxlDFRNNrsTvVun4r0/DYp2KET1zoiemfhx+zFsPpDvNf5IQRl+2n6s3ieGXJgXatwO5JVg0FNL8MGEQRiQ3TTa4VA953Qnhqq3fLnxIh4T5oarKTI8E4AJVjNKy+tXIsWdyPQZLyKwmk1MDBERhSgiiSGl1AIACwBARGZFYhuNhd2pEGflH1dE1THtit4Bx0/9dAM+/HlvLUcTfuxFhgDg+23HAADv/rSbiSEKg5pVJXMlhixMDNULJs/EUKwZpfb6WWLIFCCTGWs2wWZvfImhA3klcCogKy0+2qEQUT3CMr51nN3hhCWWp4konGItDeOPxVNVyVhmqDFzXwdRjYIainBVJbOy19R6wfMsJcZYsPt4MRauP+A3X+smCV5V0OoKVwm3QImhGIvJnahsTAY9tQQAsPPpi6McCTVEb3y7HS8u3oq1U0dEOxQAuifM/NJypCXERDuUei/qGQcRGQ9gPABkZmYiNzc3ugGFSWFhYVj25XheCUw2aTDHhchTuO6Tqjqwzwab3YmlS5fWu6TKpmMOdGpigtUkOFCo/+AtKS7mM6KBq+he2bxPdwt+6NChRn8dNPb9D4ejx0oBAOvXr4P18KYqL//LAd0o/pqfV+PIltovNRSt75X6av3hU13WxzpL8L/dRZjwjn+7fFYT8NrwhIAJmGjatFc//3766UdsT/C+3pz2cuzasx+5uccqXEdDvV4q2y/eK1Qdf11YBKDu3Def/mbDR1vL8XxOPNLiIvOd01julagnhpRSrwN4HQAGDBigcnJyohtQmOTm5iIc+xL38zK0yEhCTk7/mgdFVMeE6z6pqvXOrVC/bcHZ5wxBjKX+VHfYsD8P415cjrGD2uGxy3ph2+FCYPkyJCQkROU4Uu2p6F45smoPsG4tMltkIienX+0GVlcs/C8A8D4Ig9k7VgBHjqBnz17I6dmiysuf+N9e4JdfcNaZA/06zqgN/9/evcfJVdf3H39/ZmZ3k+wmkBDYBEKMBgjXChJSuUcxgFiVYmnRn61YLT/xAq3VVq2tVbH1VhWt/NCWGlFRf7W2IheFFhYlIFcJxIAhCSEJIZCQ6yab3Z2Zb/+YOTNnd/Yyl3PmnDPzej4eeWx25syc7+7O95zv+ZzP9/ON6rySVO6pF6VHH5Ik/fvVy7Rpx/6KbX70yGbdcO8zOv3Mc2K3qtyLD22SVj2uM844vWLq1PQH71Z+6lSlj1hY8bp5M6dJP+uT1ILHjSqPh/QV1CVm59svPP5LScNaeOKpOmleOFmN7dJXIg8MYWLZvGOePhAwLxg0lMsnKjC0c1/hzujabf3FR8apuom2Up5KxgcBjfOm5kxWwWz3wLCeHFXYX5J+u7VwfGIqWTL4E4B6ujI6bu6Mim3mz5omSRoYzsUuMFSuMVT53MxpHVqx9iWtWFuZMTS7h2knQCtwDS6YgDICQzE3nMszuAIC1pUpDGy/dtfTmtoxcpDbkU7p//zu/FjOVXajLtXGW40FbYZBEQLkHWUmq23/dz9ZpZ88tmXM51JWCDIg/qqZGuadJwdiuJR9aRW9Mc6EN1x+mjZs31fx+Pce2KhbH6+sowQgeViGJTihnLXNrEfSUcVvU5Lmm9nJknY45zaGsc9WNZzLqyOVnIwGIAkWHtqjjrTpG/esH/P5Q3u69IenHdnkVlWPzBCMhU8FguCtdjjZqoc79g3pqMN69Kk3n1Dx3OyerlgG11GpmoDylGKW0JZdAxU3K9NmOqSnK4ymVWWijKHZPV2aPUbb7lmzTdl8+xWlBlqRd67i5ljjwrqds1jS3b7vP1n8921Jl4e0z8TatndQl15/n/YeyFY8t2P/kDoyfNKBIJ119Gytueb1FY9v6x/Uks/8jwZjuorJ6HRZViWDVJlJBgRhsk/VUDavWd2dOmPh7Ka0B+GoJmNo+pTC5cKl198/5vOfveQkXbZkfqDtqlb5orD682AmlSplGgFoDdw0bVwogSHnXJ+4eVm1jTv2a8NL+3XesYdp7sFTRjxnMl22JL6ZC0BSjTWI9LLzcjENDOUdU8lQifn1qMfNK7do0479es+5C5X2pVvkSxlDE79+KJdnulgLqOawcebC2fqnS1855lSyv/3JKj23ayD4hlXJ+5iOlTE0ngwlGgCgAmf0GMgWL0LfddbLdcZR3HkDopIuDhazMb+VODqoRUCgvVF8GvW46vu/liSdd9xhOnZOueCwKxWfnvg4OJTNq3MaU92TrppMm85MSm85dd6Yz336ltUaivBmSj7vTSWrJWOIYyXQKrg5FhzO6DHgXYSmOVEBkfIGi7mYBoZGt4opRPBjUIR6jD7eeYPsyQ6DQ9lkreqIsTU69OxMpzScje5c5H1OawoMsdov0LDJ6tA1izcWZgzUOI6MMTBcvNPCiQqIlhecjW3G0KipY+WpZJwN21lMxmZIqNGfH2+QPdmgfyhHYKgVNFqjriOTKo1jo5CvY041GUNA4+I29mAs3DimksVANlfoWSxLD0Qr49UYimlgaLy7ItwlaW/cLUMjRg/u/Ye/3fuH9SffelB7B4YrXrd554CWLJgVcusQtkaz1TvSFmlgyJUyhqp/DTWGgNbBVLLgEBiKAW/JzAzL0gOR8gaW2ZgWnx59YzRud2sQjfLngFERaje6qL2XmeictHbbXq3ctEunv+IQzZ4+ctnvE484SJcuZnGMpDvxiBm6+ryjdUzv9Lpen0mloq0xVFquvvrjXwfjbaBhcRmCxqUdrYDAUAwMkzEExIKZKZOy+E4lK/JS/6kxBD/ulqEe49UuyzunPQeykqQPX7hIr5o/s8ktQzN0ZdL6i2XH1P36zkyqNI6NQnlVsuoPgKOzpH67de+I5xYe2t3wFDug1RWmG8enn8SnJclFYCgGqDEExEcmbfGdSjZOKQUGsO0tnp9WxFned4zLO6e1L/brnjXbJElPv9gvSfq3Fc9oUW9htbIZUxguYmwdadMz2/v1gwc3Vjz3skO6dfrCQ0Ldv5cxVMtpsGfU5/mCr/xixPeff8vv6A9PIxsOmEhcxh6ujmMAxsaZPga8GkMUwwOil0mlYpsxVHFnP57NRLN5g6KIm4Hk8B/jnHP60p2/1W1PbB2xzarn9mjVc3s0vSujw2ZMaXYTkRBzD5qqe9Zs00d+/ETFc52ZlH776QtDvXlRrjFU/T5ee+xhuuEdi/Wubz8sSfrYRcdq3sxpGsrm9ec/fEwv7RsKo6kAQlA+mzEKahSBoSZat61f963dXvH4Qxt2SpI6yBgCIpdOxTdjyFNRfDqaZiAmvE8rd8tQLX9dIeek/UM5HT93hr5/xau1eed+veGr90qSVn7ifE3pSKkrk46qqYi5f/mTxXpp32DF48tXbNA3frFe2bwLtVSCl/1Wy/GvI53Secf1avqUjPYeyOrsow/VcXNnlAJDuXw86wwCcRKbm5MUnw4MgaEm+uztT+nO1S+M+dz0roxmTOXPAUStI236/oMbdcvjWyqeO/Oo2br2slMiaFVBefnokWc/ToYAapEbMZWskLk8tTOtg6Z2aO+BjtJzB03tGOvlQElnJqW5B02teHxWd6ckaSibD/XGZ76OjKGSUa/1MvfjmjXcbt73vUd1x+qt+ukHztKxc2ZE3RyMEpc6l/FoRWsgEtFEB4ZzOuHwGfr2ny6peK67M6OpndyRA6L21xceq8c27ap4/FfrX9Kv1r8UQYvK8qPuijRyt+a3W/fqy3euKQ2A5xzUpU+96USlmNKaOOXaU/ztUJ3RU8mGcvlSVgc1yxAELxgU9lL25VXJan/t6GzLVMqUsnKJB0Tr1ieelyRt2jFAYCiGYpMxVBS39iQRgaEmyjunKR1pze7pmnxjAJG4dPGRYy7B/NEfPzFuxl+zlZarV/0F9+566kX97Ddbdeyc6do9MKz/fvKArnrt0dQSSSAKL6JW+VEZQ8O5vHq6CkNCPkYIQmemEBgayoYbGCoHd+r/5PpfmUnHt85gu8pzxY8JOD4fgaGoTRPl8k5pRu5AIqVTcRicjB0AqCdTxKuhcPP7z9LV5x0tifT5pOPsgmrl3MiMoeFcebpPXVNygFG8wNBg2IEh5+rKFvJeK408p2ZSpmzIWU6oTeRDL8SaG+N/qA+BoSbK56UUv3EgkTKpVOwGi40MlrwfJZ0yZYoXhHEvuo2x8VdDrfwZQ07ScNb5ppJF1Ci0lC4vY6gJU8kaD2aWX59JGTdJYoaMkHiKy5/Fa0dc2pNkTCVropxz6iAyBCRSykzVjBW/dMdv9dW71qqnK6NbPnCWFszuDqwNo2vJNLIalZcxlLJywc2wa0EgHKXPBVf0qFJ2xFSykRlDfIoQBO/z9Fc/elzTRtXQTKdMf7lskU6ad1DD+8m7+oOZY51DM+kUN0liptG/xn88sllPbd2jY3qnj1kqAPWJS/FpT7xak0wEhpoolw/irgaAKKRT1WXUPLV1rySpfzCrLbsHgg0MFb96hxFvals9R5Wcc0qnTGamTDFTgMFwMvFXQzXyeafl923Q7oFh7TkwXHr8+nvWaXv/oDq9laMYpiAArzzyYJ2x8BANDOfUP5gtPe6c9NimXXrV/Jk1BYb+89eb9cunt1c8vuq53Q0Hxf1j80LGUDxvkvz8N1tHLI5x0YlzAwmuxV2j0/j/7iertG8op85MisBQgOKSoeMFqOLSniQjMNREuXzhQgxA8qRSNqIux3hG3IkPeGxZzgwZ+X09sr7jUTljiLNqknHfARN5+sV+feqW1RWPr1hbWG3xmDnTJVFjCME44uCpuunPXj3mcy//6K01T82+7u512rxzQIf0dFY899pFh9XVxnIWblmhxlA8z4WfvPk3en7PAXWkUhrK5bVpx37989teFXWzQtfoPStvOiM3v4IVl98mAaHgEBhqolzelS7AACRLJmUj6nKMxz8dK+hi1aVVyLypZKMjRTXI+4rhZ1LUGEoy6i+gGgeGc5KkG96xWOcd16tfb9yp37/uPknS7VefrePmFpaDZpSCsGVSpuEazzfDubzOP6FX1152SmDtGGtlz0w6pTUv7NXyFc9Iks46+lAddVhPYPtsxGA2r7ctma/P/P5JOv/L97TNObuRc1yhuH7h9dEvIIIwlGoMxSZUlVwEhpoomAJ5AKKQtuoKUmZzhSKuwzlXVYZRLUa/XWlqWR3vlfUFqtPFqWRxTZ9HdepZnQ7tw+vfmTFWH/NnM1OrCmGrZzGH4Zwr3cQImv/YOW/mVN237iWt3LxbknTBCb36xh8vDmW/tRq9emD7BIbqf633O0pZIfPIOccxLiBxuykVs+YkEoGhJmIqGZBcqWLfzedd6f9jyeWdOtMpDedy4Z00A5hKls+7UkDIK4rPSizJ1EDiGNqId9fcW33MHxjy/5+PEcKWKd48qUUhKBLsp3OsY+eNf7pEew8UaiK9/YYHNJiNzw2T4Zwb0X/bJQOmkUwQb1zTlUlrYDinvJMC/hi1rbh9+tqkO4SKJbKaKOcmvqAEEF9eds1kWUDD+bw6isv0Bl5jqPjVO4qUik/XcVjJ+qaSeQHruNZVQHU4u2Ai3jTX0upj/ukzIzKGmtostKGOOlb+yuZdaaGEMGXSKc3s7tTM7k51ZuK1Qlk2ny9l/KVT1a2U2goaGUt5x73ODFPmgxaXQIx3E5apZI0jMNRE/poeAJLFC+pONqjI5py6vAFI4FPJvECQV2Oo8Hg9R5W8K2cwdrAqWaIxGEI1vMCvFwTyD0eYSoZmStex8tdwtjyNKihjLVfvl47RdC2vVk55Kln7nLMbyYzyfkdeYKhdsqzaCX/R4IQWGDKz95rZM2Z2wMweMbOzw9pXUmSZSgYklhfUnWxQkc270gAkrKlk3lGkofTqXPl45LX37Tc8oGvGWLUI8cZUMlRjaHTGkC+knCJjCE3UkapjKlk++MCQdwodr/5nOhWfwJA3Jaqj2FdTqXaaSlY/73PWVRqXBdAgFMTkd1kqPh2T9iRZKIEhM/sjSddK+gdJp0i6T9LtZjY/jP0lRZ7AEJBYpelWk2YMlQevQY8nx1uuvp47/DlfxtDxc2fo4284TkccPFWrn98TRFPRROW73pxfML5sqcZQMePANwJMU2MITZRJ1158OpsLb2XfcTOG4hQY8jL+vKlk7VRjqIGf08tM6wwpk7udxSVbOS7taAVhZQx9UNJy59y/OOeedM59QNLzkq4MaX+JkHNMJQOSKu0rPj2RbLH4tBR+mncjU8n8xfAz6ZTeffYr9PLZ3aUlrZE8nF0wEe8Caazi00wlQzNl0rUtV++cUzbvQphKVpyePc7RM52y2AQShsfov3EJWoXBHwxq5E/gBdQ600wlC1rcfpVxa08SBb4qmZl1SjpV0hdHPXWHpDOC3l/cPLV1j/7sxod1YOCApjx414jntu0dpPg0kFDenco3fPVeTbRi7pZdB3Ti4TMkSQ9v2KEv37mmNKBr1K59w5Kknzy2RY9u3KmBocL7PvzsTp39+bsmemmF7XuHNPfgKSMem9KR0o59eV353Ue0asvuQNqMYIx1TvFs2jEgSfrGL9brtlXPN7NZsVNrP2gn+wYLQd/yVLIyf2CIYQrC1pFK6a4nX6y6v3oXfEGvSuapJmPoyef36P03PVqaktls3jDCn/HnPfb45l368x8+Viq07Jns9zvReSVq/ov8j/z4CX29b21d75MdNZXsG/es080rtzTcPkhnf/5uzezuiLoZemHPoCTpjf98r46cNTWUffRoUEuXhvLWsRLGcvWzJaUlvTDq8RckvW70xmZ2haQrJKm3t1d9fX0hNKl5tu3P68gpw8qm88p0DI94bv7cjBamXkz8zwgEpb+/PzH9Ycr+vM6Zl1E2PzzhdkfOSWvRwQNauVn6xW826Zk9eS2Zkw4kBX5up9ODW4v7mTIsTZG29/u+r8GRU0zHHzI84ve/Z+cB7diT19Mv7NGh00wLZqQbbjOCMdY5xTNzdlqPby9c9Nf6OWgVm4pfZ9igDupiXY0xTZFmHNqhp1c+oHVm2tJfvoi8/74V6u4oHKMGfbVfknJ89kvSeaVdndub1aq0Sar+ePXyaRnN2r9JfX3PBdYOLwP4/vvu08FTKo8bu3Yc0O5Bp76+Pv1y87DWbRvSqb1pdUW03vnCnoym7Vqvvr4N2rN7QEO5Qh+9e+Ow1m8b0uLetDrTVjoeHpIe0rSO8ds60XklDmam03qieG7r1qBmjfE3qsYx0zOa0blfKyXd+sgz2jmQ1+8cGsYlcHvwPl/d6ZyOnDLhpk2xo0Mq3jcNbQw0zXJtcV6JvFc4574p6ZuStHjxYre0BcJxl6pwoG6FnwUIU9L6yaVVbvfsS/v0rVV96pzaLe3Zq29d+Tp1dzV+uB3M5rTo4z+TJN101QWSpAUfuXXE9424ZdtKPbh1syTpTae+Qh95/bENvyeCMVFf2bFvSK/69J2SgvkcJNGbv75CKzft0t9ccprOWDg76uYkwvpt/dK990iSzjn7LE2fUrjze2A4J91ZOM4k6fjsSdp5pR0tjboBRXbHbZJzOuPMM3TY9Mor3Js2PqwDO/Zr6dJz9NwDz0qrVum6dy1V74zor4ZvWPeA+gezWrr0TG1Y8Yy0erW+ecVrNau7U8f8ze0ayuX1xT8+U0cdNn3c94h7X9m2d1Cnfea/JUl/9aZX6bXH9tb9Xt+5f4N+uv436prarUUzM7rpypafxBKaa25ZrX+99xm9a+kiXXHOwqibo/9e/YLefePDeu2xh+nfLj8tlH3Eva8EJYzA0HZJOUmje2+vpK0h7A8AYsWr3eGldWcCurs4Xh2EoMzxDXYpM5Ic/KlUmncw3upCqOSvJZTxzY/tSKc0u6dLb3zl3CiaBTSNlxtXzapkQ9liEeOgV0arU8qslPHkLYpROdZI9vHQn2jd6LHdO94NZnM6OBX99KdWEPaYtFqlBTgibUVrCPzo5pwbkvSIpGWjnlqmwupkANDSvFpig8WBZGaiokQ1CPua9y+WHRPuDhAKYiEMDOsx4qLLv0JZyvTgx87TJ954QvMbBTSRV+B4vOPGmIGhTDwCQ/7C2MOjCix7RbWTXi/MximQXw/v9cO54IuYtxtqPLeusKaSfUnSd8zsQUkrJL1H0uGSrg9pfwAQG974ZTCbl1njAxpP2GO8dMrUmU5pKJfnAjtB4nLXLkpeoVIWeKie/3MzesVUfo9oJ+OtxOcPvsQtMFTIGCr8v5SdXOy3pRVLE37XwH8YanRVZ/+4LKgs7nZV/nxF2w5PKcAbk/YkWSiBIefcD83sEEkflzRX0ipJFznnng1jfwAQJ2nfVLKOgLKFpCYN8szbV/i7AoLGx7Z6/j4eVPAaSJLJMg3TKSutajWUK9zoCWIhiSCkrLz0erYYGPL6sfNtk2QjgtcN/jAp31SyoLK425WLWc5QuTUJ/8DHQGjFp51z10m6Lqz3B4C48gI4Q9l8oBdczTjlWekrJ9jE4E9VQkCzejO7O9WZSWnmtI7EZxYAjRh3uXoz7R/K6o7fbNWaF/aqI52KTV/xT3Mbzjt1+tpWniIXj7bWy0ZNcW1Eyjcu6yBjqCFxy0iLWwZTkkW+KhkAtJpyynIukNXIosAJNjn4W5XvYMZloJoEPV0Zrf7kBfzO0LZKF5TjBFBmdndq5/5hXfGdRyRJhx8U/WpknlTKShlDw+NMj0p61/YXnG50emvaV/sxQ42hQMTn4zVxrTBUL5lXLAAQY95gJu8UaJHDpswk86aShb8rBIS/lf8CD7XgAgkYmZni96HzF+nNJx9eOr7MjVNgyEwDQzk9tmmXnt9zYMRYozSVLOFzyfytb7TGkP/lHQn/vQBhITAEAAHzD8YCnUrWhMhQ0lPP0d7IfgFQq/GOGp2ZlE44/KCmtqVaPV0Zbdl9QBd/fYUkad7MqaXnWiVQ7s8YCmpVMkkUn25Q3Io9M5UsOASGACBgqQTfmSqdWDnDJgbBEN+qZPwqANQoicfQv75wkc4/vrf0/YLZ3RXbpBL4c/kFWSC/u7N8ydvT1dHQe7W7yYq2N1u5PXFpUXIRGAKAgPV0ZXTJKUdo0879On3h7KibUxMb9RXxx9+KgSGA+iXxqHHwtE695tjDJtwm4XGhQANDZx89Wzf+6RINZvNasmBWgy1rbxSfbl0EhgAgYGamL/3RyVE3oy7eiZ4TLJIkbqntAJKjVY8bSf+5RhSfbvCHyaRTOueYQxttEmKovPhExA1pAVQdBACUtMoyt+2EwVAZvwsAtWrV813Sf64RxaeZJxwbBGJaF4EhAAASLOmD/yDxuwBQq1a9wE16LGVE8elW/SMlUNyKm5fbE5cWJReBIQBABcZgycHfihoDAOrXqseNuNSAqZe/+SmuWGPDq+kXl45Tbk+UrWgN1BgCAFTg/Iokisk4FUCCtGqmQdIzhsxMb3/1fO3aP6zeGVOibg5GicvHq1wCAY0iMAQAqMAFNpKkVPOAoSGAGrXq+S7pGUOSdM3FJ0XdBIzi3OTbRKEVPu9RIzEPAFBSXpWME2xS8KdiKhmA+rXqYYPjIcIRz+LTMWtOIhEYAgAgwciSAYD6teqNkEaXeAfGErdiz3HNYEoiAkMAgBLHGRYJ5H1q4zFMBZAkrXrcaNWfC9GKW4aui2kGUxIRGAIAVOAEmxz8rXzFJ/ldAKhRqx43yBhCmOLy6SpnMKFRBIYAABXikiKMyfEYvs8JAAAP+0lEQVSXAoD6tepUshb9sRAxp3hllpczmPjAN4rAEACgAufX5GAwpJgNUwEgepwaEIa4TSXzxKw5iURgCABQUlqVLOJ2ADUpRYb45AKAROYvwlGu6RePzxc3hoJDYAgAgASLx9AsWqWBKr8MAFV6x+kvi7oJoUpxPEQIXMxWe3AUGQoMgSEAQAUusJODv1UZvwoA1frkm0/Uhs++IepmhIbi02gHcctgSjICQwCAktLqTpxgE4MaQ747hgAASdw0QDhKy8NH3I6SmNY8SiICQwCACpxgkSTlqWR8cAFA4niIkMR0FbB4tSaZCAwBAICWwMAQAIDwxKzEUCmDCY0LPDBkZleY2d1mtsvMnJktCHofAIBwlFYli9mdIGAicV0+FwCAVhSX8y3n/+CEkTE0TdIdkv4+hPcGgLa3dNGhUTcBiJVyzQNGhgAAhCVuNf0oPh2cTNBv6Jz7iiSZ2eKg3xsA2t2Tn7pQHenwT36cXgEAAODnZZTHZdW7c48p3Cx92+/Oj7glyRd4YKhWZnaFpCskqbe3V319fdE2KCD9/f0t87MAYaGfBCeo32M2m5UkrVu7Vn3ZZwN5TzSu2r7Srv1pYOCAJOlXD/xK66dRPrGdcV5BuztnXka/2JydtB/QV1CP1xzstPPIjLp3rlFf39NRN0eStPzCbu1c95j61oXz/u3SVyIPDDnnvinpm5K0ePFit3Tp0mgbFJC+vj61ys8ChIV+EoCf3SpJgf0eM3f/XMpldfTRR2npmS8P5D3RuEn7SsCfg6Tp+tVd0sCATn/1q3XkrGlRNwcR4ryCdnfuuU55J6VTE2d00FdQrzddEHULmqtd+kpVt9XM7JpiIemJ/i0Nua0AgCah+HSyXH3e0fqv950ZdTMAABEzs0mDQgAwWrUZQ1+R9N1JttnYYFsAAEAd/mLZMVE3IVLzZk7Vc7sG1JVhGhkAAECtqgoMOee2S9oeclsAADFBwhCS5Pq3n6r717+kw2ZMibopAAAAiRN4jSEzmyNpjiTv9uXxZnawpI3OuR1B7w8A2t3il80M/D2JCyFJZnZ36qKT5kbdDAAAgEQKo/j0eyR9wvf9rcWv75S0PIT9AUDbevozrw9nyVBShgAAAIC2EPhkfOfc3zvnbIx/y4PeFwC0u450KpQik4SFAAAAgPZAlUYAQIkXECJhCAAAAGgPBIYAACUu6gYAAAAAaCoCQwCACsZkMgAAAKAtEBgCAFRgKhkAAADQHggMAQAqEBcCAAAA2gOBIQBABTKGAAAAgPZAYAgAUEI8CAAAAGgvBIYAABUoPg0AAAC0BwJDAICS0nL1xIUAAACAtkBgCABQgbgQAAAA0B4IDAEAKhjVpwEAAIC2QGAIAFBio74CAAAAaG0EhgAAAAAAANoUgSEAQAVmkgEAAADtgcAQAKACgSEAAACgPRAYAgCUeMvVG1WGAAAAgLZAYAgAUIGMIQAAAKA9EBgCAJQQDwIAAADaC4EhAAAAAACANkVgCABQwZhLBgAAALQFAkMAgAqEhQAAAID2QGAIAFDSmSmcFkgYAgAAANpDoIEhM5tlZl8zs6fMbMDMNpnZ/zOzQ4LcDwAgHH/7e8frklOO0JIFs6JuCgAAAIAmyAT8fodLOkLSX0laXfz/dZK+L+n8gPcFAAjYxaccoYtPOSLqZgAAAABokkADQ865VZIu8T201sw+LOkWM5vhnNsT5P4AAAAAAABQv2bUGJohaVDS/ibsCwAAAAAAAFUy51x4b252sKSHJN3unLtqnG2ukHSFJPX29p76gx/8ILT2NFN/f796enqibgYQa/QToDr0FaA69BWgOvQVoDqt1Fde85rXPOKcWzzWc1UFhszsGkl/M9l+nHN9vtf0SLpdUk7Shc65A5PtZ/Hixe7hhx+etD1J0NfXp6VLl0bdDCDW6CdAdegrQHXoK0B16CtAdVqpr5jZuIGhamsMfUXSdyfZZqNvhz2Sbit++3vVBIUAAAAAAADQXFUFhpxz2yVtr2ZbM5uuQqaQqZAp1F9/8wAAAAAAABCWQFclKwaF7lCh4PTFkrrNrLv49A7n3FCQ+wMAAAAAAED9Ag0MSTpV0quL/18z6rnXSOoLeH8AAAAAAACoU6irktXKzLZJejbqdgRktqqcfge0MfoJUB36ClAd+gpQHfoKUJ1W6isvc84dOtYTsQoMtRIze3i8it8ACugnQHXoK0B16CtAdegrQHXapa+kom4AAAAAAAAAokFgCAAAAAAAoE0RGArPN6NuAJAA9BOgOvQVoDr0FaA69BWgOm3RV6gxBAAAAAAA0KbIGAIAAAAAAGhTBIYAAAAAAADaFIEhAAAAAACANkVgqA5m9l4ze8bMDpjZI2Z29iTbn1vc7oCZrTez9zSrrUCUaukrZnaJmd1hZtvMbK+ZPWBmb2pme4Go1Hpe8b3uLDPLmtmqsNsIxEEdY7BOM/tU8TWDZrbRzK5qVnuBqNTRV95mZo+Z2X4z22pm3zWzOc1qLxAFMzvHzG42s+fMzJnZ5VW85iQzu8fMBoqv+zszsyY0N1QEhmpkZn8k6VpJ/yDpFEn3SbrdzOaPs/3LJd1W3O4USf8o6Wtm9pbmtBiIRq19RdK5ku6S9Ibi9rdJ+s9qL5CBpKqjr3ivmynpRkn/E3ojgRios6/8QNKFkq6QtEjSpZIeD7mpQKTquF45U9J3JH1b0gmSLpZ0vKTvNaXBQHR6JK2SdLWkgck2NrMZku6U9IKk04qv+7CkD4bYxqZgVbIamdkDkh53zv2Z77GnJf3IOffRMbb/nKRLnHNH+x77V0knOOdOb0abgSjU2lfGeY8HJf3SOfeXITUTiFy9fcXMfixppSST9AfOuRNDbywQoTrGYOdL+ndJC51z25vXUiBadfSVD0n6gHPuZb7H3inpa865nma0GYiamfVLer9zbvkE21wp6XOSep1zA8XHPi7pSknzXIKDK2QM1cDMOiWdKumOUU/dIemMcV52+hjb/1zSYjPrCLaFQDzU2VfGMl3SzqDaBcRNvX3FzN4rqVfSNeG1DoiPOvvKxZIekvRBM9tsZk+b2VfNjAtdtKw6+8oKSXPN7I1WMFvSZSpkbwMoO12Fm9b+7KKfSzpc0oJIWhQQAkO1mS0prULqmN8LksabgztnnO0zxfcDWlE9fWUEM3ufpHkqpDYDrarmvmJmJ0n6hKS3O+dy4TYPiI16ziuvkHSWpFdKeouk96swrWx5OE0EYqHmvuKcu1+FQND3JA1J2qZCNuo7wmsmkEjjXdt7zyUWgSEAsVOswfUFSW9zzj0bdXuAuDCzLkk/lPQh59wzUbcHiLmUJKfCueQB59zPVQgOvcXMeqNtGhAfZna8pK9J+rQK2UYXqnCR+40o2wWgeTJRNyBhtkvKqZC+79craes4r9k6zvbZ4vsBraieviJJMrM/UKGg7p84534aTvOA2Ki1r8yVdJykb5nZt4qPpSSZmWUlXeScGz19AGgF9ZxXnpf0nHNut++xJ4tf56vyri/QCurpKx+V9KBz7gvF7x83s32SfmlmH3PObQ6nqUDijHdt7z2XWGQM1cA5NyTpEUnLRj21TIVq/2O5f5ztH3bODQfbQiAe6uwrMrM/VGHq2OXOuR+F10IgHuroK89JOknSyb5/10taW/z/uP0LSLI6zysrJB0+qqbQMcWvZKOiJdXZV6apEEzy877nehEou1/S2WY2xffYMklbJG2IpEUBoaPX7kuSLjezd5vZcWZ2rQrFpq6XJDO70cxu9G1/vaQjzOwrxe3fLelySV9sdsOBJqupr5iZN7f9I5J+YWZziv9mRdF4oImq7ivOuWHn3Cr/P0kvShosft8f2U8BhK/WMdhNkl5SIcPuhOKS3NeqsDLTi81uPNBEtfaVn0p6s5ldaWavKPaVr0p61Dm3semtB5rEzHrM7GQzO1mF2Mj84vfzi8//o5n9j+8lN0naL2m5mZ1oZpeocO3ypSSvSCYxlaxmzrkfmtkhkj6uQkr/KhVS9707T/NHbf+MmV0k6csqLGO3RdJVzrn/aGKzgaarta9Ieo8Kx6SvFP957pG0NNzWAtGpo68AbamOMVi/mb1OhdopD6mwyuV/qTCIB1pWHX1luZlNV6EG1z9J2i3pLkl/3bxWA5FYLOlu3/efLP77tgrJHHMlLfSedM7tNrNlkr4u6WEVziv/pEIwNtEs4YEtAAAAAAAA1ImpZAAAAAAAAG2KwBAAAAAAAECbIjAEAAAAAADQpggMAQAAAAAAtCkCQwAAAAAAAG2KwBAAAAAAAECbIjAEAADgY2YzzewFM1vYpP19wcy+1ox9AQAAjGbOuajbAAAAECoz65O0yjn3/iq2/YKk2c65d4besML+DpW0TtLJzrn1zdgnAACAh4whAACAIjObJundkm5o1j6dc9sk3SHpymbtEwAAwENgCAAAtDQzWy7pXEnvMzNX/LdgnM0vkuQkrfC9vsPMvmpmW8xs0Mw2mdlnfc93mtnnzGyzme03s4fM7IJRbTjWzG42s91m1m9m95vZSb5Nbpb01mB+YgAAgOplom4AAABAyK6WdIykpyR9rPjYtnG2PVvSI27kXPurJP2+pMskbZA0T9Ii3/PfkrRQ0tskbVYhuPRTMzvNObfSzA6XdK8KwaZlknZJWiIp7XuPByUdYWYLnXPr6vw5AQAAakZgCAAAtDTn3G4zG5K03zm3dZLNXyZpyxiPrZH0y2LAaKOk+ySpWKD6rZIWOOc2Frf/ZzN7naT/K+m9kt4naZ+kS51zQ8Vt1ozah7fPBSrUGwIAAGgKAkMAAABlUyW9MOqx5ZLulLTGzO6QdJuk251zeUmvkmSSVpuZ/zVdku4q/v8USff6gkJjGfDtHwAAoGkIDAEAAJRtlzTT/4Bz7tFiTaILJJ0n6duSVprZMhXqNTpJp0kaHvVeA6rerOLX8aa4AQAAhILAEAAAaAdDGlnTZzy/lnT56Aedc3sl/UjSj4rFrH8l6aji9iZpjnPu7gne8+1m1jlB1tCJKgSWnqiijQAAAIFhVTIAANAONkhaYmYLzGy2mY03Bvq5pOPM7BDvATP7oJm91cyOM7OjVCgyvUfSZufcGknfk7TczP7AzF5hZovN7ENmdknxLa6T1CPp/5vZaWZ2VPH9Tvbt92wVahjtD/bHBgAAmBiBIQAA0A6+qELW0GoVpmvNH2sj59wTKqwQdpnv4b2SPlx8/FFJJ0t6vS+I804VVib7vAorn90i6RxJzxbf87ni952S7lYhg+gDkrK+fbxV0r80+DMCAADUzEauxgoAANDezOxCSddKOt45l2vC/t4g6QuSfsc5l51sewAAgCCRMQQAAODjnPuZpK9LmtekXXZLeidBIQAAEAUyhgAAAAAAANoUGUMAAAAAAABtisAQAAAAAABAmyIwBAAAAAAA0KYIDAEAAAAAALQpAkMAAAAAAABtisAQAAAAAABAm/pfdB26Hwl51cIAAAAASUVORK5CYII=\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Mean Absolute Error using \"greater\" thresholding= 1.7624979100496638\n","SNR using \"greater\" thresholding = 1.0305367984588294 dB\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Mean Absolute Error using \"less\" thresholding= 1.084942724449091\n","SNR using \"less\" thresholding = 5.846411139554208 dB\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Mean Absolute Error using \"MLTF\" thresholding= 0.08995841497733775\n","SNR using \"MLTF\" thresholding = 38.206742610730565 dB\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"MStxRqmvygO6"},"source":["In the following thresholding techniques, there is improvement in the signal-to-noise ratio compared to the SNR for original signal and noisy signal (which is 15 dB):\n","\n","\n","* Soft (38 dB)\n","* Hard (33 dB)\n","* Garotte (45 dB)\n","* Multi-level Threshold Function (39 dB)\n","\n","\n","\n","\n","\n"]},{"cell_type":"markdown","metadata":{"id":"ZhjGQ8SL0KPY"},"source":["**3. Download the NASA’s Femto Bearing Dataset from here. This is a dataset\n","containing high frequency sensor data regarding accelerated degradation\n","of bearings\n","(a) Deconstruct the signal and smooth it out (remove high frequency\n","noise) by using a suitable threshold.\n","(b) Perform the same operation using Moving Average Filter and compare the results.**"]},{"cell_type":"code","metadata":{"id":"Uc9OrrzA0Jlp","executionInfo":{"status":"ok","timestamp":1616520351163,"user_tz":-330,"elapsed":1587,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["import pandas as pd\n","import numpy as np\n","import matplotlib.pyplot as plt\n","\n","\n","nasa=pd.read_csv('/content/drive/MyDrive/IIT Guwahati/IITG_DigitalSignalProcessorsLab/FEMTO NASA dataset/temp_00001.csv',sep=';',header=None)"],"execution_count":79,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":197},"id":"3HuQQQxx_fve","executionInfo":{"status":"ok","timestamp":1616520351701,"user_tz":-330,"elapsed":1747,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"27e2edd3-de7f-4d76-d9a0-6497487e3c7d"},"source":["nasa.head() # understanding the structure of the data"],"execution_count":80,"outputs":[{"output_type":"execute_result","data":{"text/html":["
\n","\n","\n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n","
01234
091137667.094
191137767.094
291137867.126
391137967.126
491138067.157
\n","
"],"text/plain":[" 0 1 2 3 4\n","0 9 11 37 6 67.094\n","1 9 11 37 7 67.094\n","2 9 11 37 8 67.126\n","3 9 11 37 9 67.126\n","4 9 11 38 0 67.157"]},"metadata":{"tags":[]},"execution_count":80}]},{"cell_type":"code","metadata":{"id":"5iGWSMlE_xox","executionInfo":{"status":"ok","timestamp":1616520351706,"user_tz":-330,"elapsed":1691,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["df=nasa.iloc[:,4]\n","x=np.array(df) # taking the last column as the data to filter"],"execution_count":81,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"3FoBOxJfBndL","executionInfo":{"status":"ok","timestamp":1616520351709,"user_tz":-330,"elapsed":1623,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"daf6cebb-4860-48ac-dd41-f270ca56eedc"},"source":["x"],"execution_count":82,"outputs":[{"output_type":"execute_result","data":{"text/plain":["array([67.094, 67.094, 67.126, 67.126, 67.157, 67.157, 67.19 , 67.19 ,\n"," 67.221, 67.221, 67.251, 67.251, 67.285, 67.285, 67.315, 67.315,\n"," 67.348, 67.348, 67.378, 67.378, 67.41 , 67.41 , 67.44 , 67.44 ,\n"," 67.47 , 67.47 , 67.498, 67.498, 67.528, 67.528, 67.557, 67.557,\n"," 67.588, 67.588, 67.616, 67.616, 67.644, 67.644, 67.676, 67.676,\n"," 67.703, 67.703, 67.731, 67.731, 67.761, 67.761, 67.788, 67.788,\n"," 67.818, 67.818, 67.848, 67.848, 67.876, 67.876, 67.904, 67.904,\n"," 67.933, 67.933, 67.964, 67.964, 67.991, 67.991, 68.021, 68.021,\n"," 68.049, 68.049, 68.079, 68.079, 68.11 , 68.11 , 68.139, 68.139,\n"," 68.172, 68.172, 68.198, 68.198, 68.225, 68.225, 68.249, 68.249,\n"," 68.272, 68.272, 68.292, 68.292, 68.313, 68.313, 68.333, 68.333,\n"," 68.354, 68.354, 68.373, 68.373, 68.395, 68.395, 68.418, 68.418,\n"," 68.44 , 68.44 , 68.466, 68.466, 68.492, 68.492, 68.517, 68.517,\n"," 68.544, 68.544, 68.569, 68.569, 68.595, 68.595, 68.621, 68.621,\n"," 68.645, 68.645, 68.669, 68.669, 68.695, 68.695, 68.717, 68.717,\n"," 68.742, 68.742, 68.765, 68.765, 68.79 , 68.79 , 68.813, 68.813,\n"," 68.837, 68.837, 68.861, 68.861, 68.884, 68.884, 68.907, 68.907,\n"," 68.932, 68.932, 68.957, 68.957, 68.98 , 68.98 , 69.007, 69.007,\n"," 69.031, 69.031, 69.054, 69.054, 69.078, 69.078, 69.102, 69.102,\n"," 69.128, 69.128, 69.153, 69.153, 69.176, 69.176, 69.2 , 69.2 ,\n"," 69.223, 69.223, 69.248, 69.248, 69.272, 69.272, 69.295, 69.295,\n"," 69.318, 69.318, 69.344, 69.344, 69.367, 69.367, 69.39 , 69.39 ,\n"," 69.415, 69.415, 69.44 , 69.44 , 69.465, 69.465, 69.489, 69.489,\n"," 69.515, 69.515, 69.539, 69.539, 69.566, 69.566, 69.591, 69.591,\n"," 69.616, 69.616, 69.641, 69.641, 69.665, 69.665, 69.689, 69.689,\n"," 69.713, 69.713, 69.738, 69.738, 69.763, 69.763, 69.788, 69.788,\n"," 69.813, 69.813, 69.839, 69.839, 69.863, 69.863, 69.889, 69.889,\n"," 69.914, 69.914, 69.939, 69.939, 69.963, 69.963, 69.987, 69.987,\n"," 70.012, 70.012, 70.038, 70.038, 70.062, 70.062, 70.086, 70.086,\n"," 70.112, 70.112, 70.136, 70.136, 70.162, 70.162, 70.187, 70.187,\n"," 70.212, 70.212, 70.236, 70.236, 70.26 , 70.26 , 70.285, 70.285,\n"," 70.308, 70.308, 70.332, 70.332, 70.356, 70.356, 70.381, 70.381,\n"," 70.405, 70.405, 70.429, 70.429, 70.454, 70.454, 70.48 , 70.48 ,\n"," 70.504, 70.504, 70.528, 70.528, 70.554, 70.554, 70.58 , 70.58 ,\n"," 70.604, 70.604, 70.63 , 70.63 , 70.654, 70.654, 70.679, 70.679,\n"," 70.705, 70.705, 70.73 , 70.73 , 70.754, 70.754, 70.779, 70.779,\n"," 70.805, 70.805, 70.828, 70.828, 70.854, 70.854, 70.88 , 70.88 ,\n"," 70.904, 70.904, 70.93 , 70.93 , 70.957, 70.957, 70.981, 70.981,\n"," 71.007, 71.007, 71.03 , 71.03 , 71.054, 71.054, 71.079, 71.079,\n"," 71.103, 71.103, 71.128, 71.128, 71.153, 71.153, 71.178, 71.178,\n"," 71.202, 71.202, 71.227, 71.227, 71.252, 71.252, 71.277, 71.277,\n"," 71.301, 71.301, 71.325, 71.325, 71.349, 71.349, 71.374, 71.374,\n"," 71.398, 71.398, 71.424, 71.424, 71.447, 71.447, 71.471, 71.471,\n"," 71.496, 71.496, 71.519, 71.519, 71.545, 71.545, 71.567, 71.567,\n"," 71.593, 71.593, 71.614, 71.614, 71.64 , 71.64 , 71.663, 71.663,\n"," 71.688, 71.688, 71.711, 71.711, 71.736, 71.736, 71.758, 71.758,\n"," 71.784, 71.784, 71.807, 71.807, 71.832, 71.832, 71.854, 71.854,\n"," 71.879, 71.879, 71.904, 71.904, 71.926, 71.926, 71.953, 71.953,\n"," 71.977, 71.977, 72.002, 72.002, 72.025, 72.025, 72.049, 72.049,\n"," 72.073, 72.073, 72.097, 72.097, 72.122, 72.122, 72.147, 72.147,\n"," 72.17 , 72.17 , 72.197, 72.197, 72.22 , 72.22 , 72.244, 72.244,\n"," 72.269, 72.269, 72.294, 72.294, 72.318, 72.318, 72.343, 72.343,\n"," 72.367, 72.367, 72.393, 72.393, 72.415, 72.415, 72.441, 72.441,\n"," 72.466, 72.466, 72.49 , 72.49 , 72.514, 72.514, 72.535, 72.535,\n"," 72.559, 72.559, 72.581, 72.581, 72.606, 72.606, 72.628, 72.628,\n"," 72.651, 72.651, 72.676, 72.676, 72.7 , 72.7 , 72.722, 72.722,\n"," 72.745, 72.745, 72.769, 72.769, 72.791, 72.791, 72.814, 72.814,\n"," 72.837, 72.837, 72.863, 72.863, 72.885, 72.885, 72.911, 72.911,\n"," 72.935, 72.935, 72.96 , 72.96 , 72.983, 72.983, 73.006, 73.006,\n"," 73.027, 73.027, 73.05 , 73.05 , 73.072, 73.072, 73.096, 73.096,\n"," 73.117, 73.117, 73.14 , 73.14 , 73.16 , 73.16 , 73.184, 73.184,\n"," 73.206, 73.206, 73.227, 73.227, 73.248, 73.248, 73.269, 73.269,\n"," 73.291, 73.291, 73.313, 73.313, 73.336, 73.336, 73.357, 73.357,\n"," 73.382, 73.382, 73.404, 73.404, 73.427, 73.427, 73.449, 73.449,\n"," 73.471, 73.471, 73.495, 73.495, 73.517, 73.517, 73.539, 73.539,\n"," 73.561, 73.561, 73.583, 73.583, 73.605, 73.605, 73.626, 73.626,\n"," 73.647, 73.647, 73.669, 73.669, 73.691, 73.691, 73.713, 73.713,\n"," 73.733, 73.733, 73.756, 73.756, 73.777, 73.777, 73.8 , 73.8 ,\n"," 73.822, 73.822, 73.842, 73.842, 73.864, 73.864, 73.886, 73.886,\n"," 73.907, 73.907, 73.929, 73.929, 73.951, 73.951, 73.973, 73.973,\n"," 73.993, 73.993, 74.015, 74.015, 74.034, 74.034, 74.055, 74.055,\n"," 74.077, 74.077, 74.097, 74.097, 74.117, 74.117, 74.138, 74.138,\n"," 74.159, 74.159, 74.18 , 74.18 , 74.202, 74.202, 74.221, 74.221,\n"," 74.244, 74.244, 74.264, 74.264, 74.288, 74.288, 74.309, 74.309,\n"," 74.329, 74.329, 74.351, 74.351, 74.372, 74.372, 74.392, 74.392])"]},"metadata":{"tags":[]},"execution_count":82}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"jRFzNc5DCLqG","executionInfo":{"status":"ok","timestamp":1616520352822,"user_tz":-330,"elapsed":2670,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"865a296f-fecb-4a92-8d56-827764cc9e97"},"source":["t=np.linspace(0,1,np.shape(x)[0])\n","plt.figure(figsize=(25,40))\n","plt.rcParams.update({'font.size':18})\n","plt.plot(t,x)\n","plt.xlabel('t (sec)')\n","plt.grid()\n","plt.title(f'Ball bearing sensor data with high frequency noise')\n","plt.show()\n","print(f'Standard deviation of the data = {np.std(x)}')"],"execution_count":83,"outputs":[{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABZ8AAAjRCAYAAACQ8YLZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeZxddX0//tcnK0lIWMMStrDIIiBhE3ENguIKuFs3tFartd9av7V+29q61dp+2/5qbautbVXs16ooIogodUFUVAQCARRQQAJZCCEQIJCFZOb8/jhnYBhmkpnJzZxZns/H4z7uzNnu+9yzTPK6575PqaoqAAAAAADQSZPaLgAAAAAAgPFH+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAaplLKklFL1eXSXUtaUUn5cSnl7KaVjf1t7XmOww7ewnPnNPEs6VVsbSimXNeuxsO1aGFmllHOabf/mtmtpy7Ycx9vj/RvqeaiZZ2Ez32UdquHNzfLO6cTyei13QSnl26WU+5pzvPMOW9Xr3wjz264FAEaTKW0XAABj0P8kWdn8PDXJ/CTPSPLMJC8upZxVVdWQQhlgZDQB7OeSfL6qqje3W822a4Ku25PcUVXV/FaLGQdKKTsmuSjJvkmuSHJLku48ds4HAGAIhM8AMHR/U1XVZb0HlFJOSnJZkjOSnJnkgpEva9x7U5KZSe5suxBowfIkRyTZ1HYh2+DK1Ouwru1CtuCpqYPnH1dV9ey2i2FMOTX1B9LL2y4EAEYT4TMAdEBVVT8vpZyX5A1JFkb43HFVVQmdmbCqqtqU5Oa269gWVVWty+hfh32b59+0WgVjTlVVt7VdAwCMRno+A0Dn9Hwt+3Ef7pZSppZS3lhKObeU8utSykPN47pSygdKKbNGqsBSypRSyp+VUn5VStlQSllRSvl0KWWPLcxzVNMz9s5SysZSyr2llIsH6oFaSnleKeVTpZTrm56pG0opvyml/Fsp5YAB5nm0n3Mp5bRSyneaeatSyoK+02xh3pNLKZeUUu4vpawrpVxeSjl1C+t2QrMu95dS1pZSflpKeflw++uWUp5TSrmw6f3Z8179spTyr6WUg/uZfsdme1zTvP66UsriUsp7SynT+pn+0d69pZTDSylfK6Wsbt7ja0oprxmgrr1LKX/X1PJgs//d0dT6yn6mn1ZK+cNSytW96rq+lPIXTVuCvtM/2nu3lLJHs63vLKVsKqX84yDfu2nNe/HrZn2WN/vm3C3Mc0Azzw9LKcua93x1KeV/Sikv6Wf6y1K33EiSs8vj+7ef02u6k0op/18pZVEpZVWz3KWllC+UUo4azPr0Wta3m+U/q8/wo3u99hv7jJvdvHcreg17wj5ZSvlQ6pYbSXJAn/VZkn4MZb8Zwjq+sdlX1pX6uD1vgP19iz2fSym/VUq5slnOvaWUC0opx5RB9HYupexUSvlEs502llJuK6V8sJQyqIttempL8vlmUO/947Jmmke3QanP63/WHFPrSymL+yzvxaU+t6wqpTzS1PXZUspBW6jh1aWUn/da/4tKKccNtP6llA81wz80wPK22Ot7KDX23nallOmllA+XUm5t3utlpZR/LFv4W1ZKeUap/wb2HKd3l/p8+yellBnNNP/evMZ7t7Ccf2ymef9A0/SZ/tE+zKWUF5X6/gxrS30evKSUctwW5n1KKeW/S30ueqSp+eullGds7bX6DB/S+beZZ8j7DwCMVsJnAOicpzbPN/UZvmeS/0pyWpJVSb6Z5KdJDkjy4SQ/7PnP93ZWknw1yQdSX9V3YTPs7UmuLKXMe8IMpbwhyTVJzk5yX5JvpL5y8fQkl5ZS3tHP6/xrkrckeSTJD5J8J8nkJL+b5JpSymFbqPG1zfRzk1yS5PLU/VYH48VJfpRk92be21L34r6klPKEr8+XUp6f5CdJXpS6lcdFSaokX0vyvwb5mr2X99upW6+8JPXXrs9P3TM2Sd6R5MQ+0++X5Ookf5Vk76b2S1Nfefl3Sf6n9BNAN45r5j0iyfeSXJfk2CRfLqW8rs/r7J3k2iTvTTIjyfeTfKup8bQkv9Nn+hnNMj+e5NCmpm8lmZfkI0l+WkrZfYC65ia5KsnLm+eLktw/wLS9X3Ny6v3xr5rX+W6SnyV5RZKfJ9llgFnfmMfev1+m/sbBbUmen+SiUsof95n+ktTbPM10n+/1uLzXdH+V5A9S77c/S3Jx6lYRr099rAylHcOlzfNz+wzv/aFI33HPSf0h1g+2suzFqffXJHk4j1+f8/qZftD7zWCVUj6W5DOpt/O3Ur9Pr0jy41LKbkNYzgeTfDHJ8anbc3ynqfOK9Dl2+rFz6u30mmb6HyXZJ8mHknxqkCWsTP2+9bd/XNJn2klJvp7kL5IsTX1e7PkQIKWUT6U+z5+W5Nep9+0HU58XrymlPLXP8tKEqecmOSGPrf/hqf9WnDTIdRi04dTYmJb6vgd/kOQXqfejOUnenfqc199r/UXq4+vVSe5pprs29Tb669R/I5Pkk83z20sppZ/lzEz9t2hT6n1uKH439fkoSb6d+m/x6Ul+VEo5tJ/X6jmHva6p+bzUfzfPauZ552BedKjn32ae4W4bABidqqry8PDw8PDwGMQjyZLU4eTCXsOmJjkkySeacXcmmdNnvtmpA8kpfYbvlDrUqpL8ST+vV9V/qgc3fAt1z++ZJ8ldSQ7rNW5G6uCkSnJ+n/kWpA6Q709yap9xT0uyphl/WJ9xZybZqc+wyamD9irJJf3UeFmvGt88wHr0TLNwgOHdSV7ba3hJ8s/NuEv7zDOreS+qJO/tp/7NzbglQ3ifb2/meVo/4w5JcmCf2q5opv/7JNN7jds5ddhVJflIn+Wc0+t9el+fce9thv+mz/APNsM/1U9dOyY5uc+wv2+mX5xkj17D56QOUqsk5/aZ58296ro4yawhHlt/2Mx7W5L9+hwjlw+0b6QOJY/oZ3knNPvtpt7L61PrOVuo5wW9173X8N9p5r0pSRnkuh3fzPPDPsO/kWRD6nDpzj7j/qGZ5639HMdL+kzb7/Bt3W8GsV49y1uV5Kg++1TPvv2BPvMsbIZf1s927EodXD+71/BJSf6212ud02e+3vvd+Ul26DXupNTHcXeS+UNYrwH3jzz+XHp7f8tN8nvN+GuTHNJn3Dt67edTeg0/rln/DUme22f9P5GB1/9DzfAPbWW79z1uhlPjwl51/DTJLr3GHZz6eKt6b79m3Cua4WvyxL8jJckp6fX3Io8d76f1sz6/nX7OP1vZnkuaedYneU6v4VNTf4BQJflsn3n2Th32Vkl+t8+4lzX71aYkTxngteb3GjbU8++Qt42Hh4eHh8dof7RegIeHh4eHx1h59PqP5UCPLySZN8RlPqmZ96p+xlXpfPj8e/2M3y91iNyd5IBew7/SzPOWAZb7v5vx/zCEWpalDllm9xl+WQYIpvuZZuEAw7/czzy7N+M2Jpnaa/jZzfDFA7zWuRl6+PxwkjWDnPZFzfIvSz8hZhN+bEyyuvf4PBYm/ayfeaamvjq96rMdP9kMO2sQdc1I8lAz/TP6GX9I6uClK8n+vYa/udf7vP/WXqef5f6mmf/V/Yx7SrNvPiFE28oy/6qZ5119hvfUes5Q62zm/0kz/5GDnH5Ss102JpnRDJucOqz7QZJ/bJb3pF7zLG6G9f7AYn5/++RAw/tMM+T9ZhDr1XNOeUc/417ZjPtBn+ELe/b7PsM/1wz/xAD1Le1vm/Xalg8mmdvPvN9sxp89hPUacP/I48+lr+1n/OTUH2p1pU9w2Guang/7zug17LMZOKCcnvoq2f7W/0MZYvi8DTX2bLuuJE/uZ55/acZ/sM/w65rhbxrk+//aZvrz+hl3Zfr5G7CV5S1p5vmbfsad0Iy7vc/wDzTDv7uV9/U/B3it+b2GDeX8O6xt4+Hh4eHhMdof2m4AwND9Tx77OvZ/pW4RcH/q/zR/pJQyvb+ZSiknllLeV0r5ZCnlc03/zj9vRj/ha7/byX/3HVBV1dIkP0x9Fdozm1onpf5KclcG+Cp16q+2J/VV0I9T6l68v9f05/xM03v0nNRB0qTUIWZ/tuVGjd/uO6CqqtWpg7VpqYPoHj1tE74ywLK+OIzXvzrJzs26HtPf18Z7eWHzfF5VVVXfkVVV3ZXkliS7pf6Aoq++bQBS1Teku735tXcLlaub578upZzRfHV9IMenvir8tqqqftJ3ZFVVt6be7pOSPKvv+CTXVkO8MWTTfuTA1OHsE1pFVFV1fZLrtzD/jFL36f5Y0zO2Z19b2EwyrGOr1L2r31rq3s//2Wu5ew1luVVVdac+vqalOb5Sv887pb6S/HFtOZqWJk9JHSbfns4ayn4zWE847pL8aojL6zkez+07oqmvvxYivS2qquqeDtQxFBf2M2xB6v3j2uZY6U9/583nNM/9nZ83pm6X1CnDrbHHnVVV3djP8Ce8103LiaekvqL9S4Os72upW6CcWUrpOdZSSjk+9RXyN1dVddkgl9XbUPbTnv3x8+nfZ5vn5wwwvrehnH+3ddsAwKg0qBtwAACP8zd9//NbSpmTOsh8a+qrNN/ea9yOSb6cuifxQOZ0vswnuL+qqgcGGLeked63ed4tj9V0/5Zz1DzuhnCllI8m+ZPUV3ENZKD1vWNLL7QVSwcYvjbJrqmvIOyxz1Zebzh1vDN1UH9281hTSrki9YcV/1VV1Zpe0/bcNOqfSyn/vJXlzk3dmqG3La1r8vh1/XzqIPZNqQOzzaWU61Jfdf2Fqqp63yit533ZUuj5m9Rfld+nn3HDed96lrO0CWr7syTJMX0HNjf++kq2HC4O+dgqpfxekv8vyQ4dWu6lqXvFnpr6w6pTew3/ReoPeU5N8unU723JY6F0Jw1lv9mWZQ51edt6PG6P9dqSVVVVre9neM9xfXypb164Jb3Pmz3rv2SAaQcaPhzDrbHHUN7r/Zvn25sPEbaqqqpNpZR/T3318e8k+WgzqqfH8r8NZjn9eELdVVWtbf629e2tv7Xz4G/6TLclQzn/buu2AYBRSfgMAB1QVdWDpZT3pr5a+LdLKe+rqqrnRmt/kzp4/mWS/5P6Sqj7mv9kT0t9xedo0xMcP5KtX7G2uueHUsork7w/9dfg/zB1W4G7mqv3Ukr5aZKTU4dr/ekv0Bmswd6YsLeB/oM/5GVVVXVjKeXo1CHiC1JfGXx66qucP1BKeX5VVYuayXve30szcJjT495tqa8JdM8upfzf1L3HT0ny9NRX3/5RKeUvq6r6wGCXtxXbsv2GpJQyK3XYv0eS/0h9o8vbkjxUVVV3KeXtqcPcLX5y0s9yT0zdQmBz6tYy30yyrCdsLKV8MclvDXG5fW86+NzU7U2ubM4Di5Kc0lwt/9w+83TScI6RLdrCBwbDWtwAw7f2Gh1fr60YaD/vOa7vzNZvFvnzzpUzoP6+5bqtNQ7lvd5agDqQTyf5syRva25oOTv1N4vWZeCrkbdmpPeRJEM+/462/QcAOkL4DACd03M11OTUbSV6vm77yub5tVVV/aLPPAO1n9gedi6lzKmq6sF+xs1vnpc3z6tT3/xqauobLg02IO9Z1/dXVfW5fsaP5PpuyYrmef8Bxs8fzkKbq/suaR4ppeyR+oZpZ6cONE9uJu0JnL9YVdVnhvNaw6jtxiQ3JvnbUsqU1NvqnCR/Xkr5YlVVN+ex7X9Q/0t53LjlW5hmKHqWs18pZdIAYeb8foY9K3XwvKiqqrf3M364+9orUgfL/1RV1cc7sdyqqn5ZSrk7yXHNPvGM1H2Pe64GvTTJU1O3KNie4fNotSJ165X989ix2dv8Ea1m+HqO6zurqnrzEOZbnvq4OiD9H1fzB5jvkeZ5xwHG79fPsOHWOBw9r3VgKWXqEK5+XlFK+XqSV6X+4HZ+6nZAn+31oe72tDzJ4am3yRPaD2UY58BBnn9HctsAwIjR8xkAOufgXj8/3OvnXZvn/q5w/a3tV06/Xtd3QClln9Q9LqsklydJVVWbk3wvdZB+1hCWP+C6llJOzej5qvCPm+dXDzC+I9ulqqpVqa/gS+pgsUdP791XpgVVVW2uqurLqfuHliRHN6MWpd53D2paWjxOKeXg1KFvdx57D7e1lqWp2wpMT/Lyfl7zqDz+veuxpX1tWn/LavQEdgNdhLGl5R6e5NgB5tuaH6Q+nv4k9Y0de4fLPT+fnbqX9M1N3+/B2Nr6jAU9+9Jr+o4opUxN/YHAWHBl6h7zT216mQ9WTx/f/s7P0zLweaInqD+sn/l2S3JcB2scsmYfviHJzPSzbbfik83zO5L8bvPzv3aotK3p2R5vGmD8W5rnHw5n4Vs4/47YtgGAkSR8BoAOaHo+/13z661Jbu41uufn3+szz2lJ/mj7V/c4HyilPHoDu1LKDqn/kz8tyUVVVS3pNe1HUrce+FQp5QkBdCllcinllFJK7xsf9azr25rQqGfa+Rm54GAwvppkVZJjSynv6T2ilPLS1FfcDVopZWYp5T3NzeL6eknz3PtGfF9Pcm2SF5RSPt7sP32XOb+U8oah1DFAbW8qpTwhMC2l7JvH+ijfmSRNa4lPN8P+pZQyt9f0s5txU1LfKHFINxbcip6+13/d1NXzmnOSfCr9t7jo2deeW0o5rNc8U5P8Yx7/YVBvPVcrHjHA+J7lvqnp196z3N2TfC7DD3l7Auae3rXf7zXu8tTtd97ZZ9rBuCd1AL1nKWWXYdbWtk+l/vDr7b0/9GjakPxlBv6GwqjSXNn70dTn0wtLKQv6TtOcK15XStmz1+BPpv5A562llIW9pp2Uum3TQL2FL0v9vr2wlHJSr/lmJ/nP9NOXfBtqHK6/bJ7/qZRySj+vtbCUslM/df4wdT/0FyU5MvU3HK7uO9128h+p2+KcVkp5W+8RpZQzkrwh9d/Gf9ragoZ4/h3pbQMAI2IsXyEBAG35k1LKm5ufS+q705+YZJfUN106u6qq3r0uP5rk3CQfK6W8KnW4NT91C4a/SX0l5Ei4M3XgeUMp5dKm1mcl2bsZ967eE1dVdVWznp9J8vVSym1N7Q8m2TP1FaC7pA7Mrmhm+6fUV2++OMktpZQrUwcgz0l9Vdc9qftdtqqqqodKKWcn+UaSf2jW85epQ66np16Pd+exq0q3ZlqSf0jyd83NpG5NHQodlmRB6qDi//R6/e4m0P926t7Yb2nmW5b6K/RHJHlS6r6eX9imla2vAP58KWVpkuuSPJC6XcWzUt9Q7ytVVfXuH/rnqffnZyW5tZTygySbUt80a/fUgdDj9pUO+KfUfbKfl+TmUsr3U7/3p6TeT7+R5IzeM1RVdU0p5Vupw6nrmnkeSr39dk0daP+vfl7riiQrU7fAuDr1dt+U5CdNq5jPJXlP6qtGbyulXJ66/czC1FeaXpChfRugR0+gvEPqqxsfvdFYVVXrS31zyuf0mXarmp7RFyd5WZJrSyk/Sd2TeHVVVSN1btkmVVX9vNQ3Kv2LJD8qpfwwzTZKfa78t9RXwA72eGxNVVUfL6UclOT3k1xTSlmcuiVTV+q2GgtSX+V/RJK7m3muLqV8OMmHk3y/lPKjJHclOSH1OenTeezq396vtaSU8pnUN+b7YTPfI6lbuNyb+gZ3Z3aixm14P75aSvnL1Nv20lLKtan/juyS5MnN+h2Y+rzU1yfz2IeWI/bhZVVVdzV/H76U5N9LKe9MclPqffHpqc/t76qq6vpBLG5I59+R3DYAMFJc+QwAQ3d66oD17NRfy31m6qDgE0mOrKrqp70nrqrqK0lOS/3V8gOTvDT13+A3VVX1pyNYd5X6it6Ppe5be1bq8Pw/kpxUVdWyJ8xQVf+d+ivBn0r9n9/npg4B9099tebbk3yl1/S3pr6R0nmpA7uXpv4P+/9N8vzUId+oUFXVJam33SWpazwj9Qfzr0ldf9LrZopb8VDqK9u/ljo8fmHqK55nJPlskmOrqvpGn9e/M3W49IepA92npN4+J6QOjj6a+v3dVv+QOty9O3Uo9arUwcVPUrcXedxX/Zurn09LfbO9W5ufX9LM/8EkJ1dVNdj3ZVCaNi8vTR1Q3ZU6iH5G6vDsaUnWDDDry5t5fpN631yY5Kep38NrBnitjc3yL059PL4hyVvTBL9VVa1JHb5/NnWI++LUx8Bnmlr6C8kGs4635bGr3y/r8wFV8tiV0FW2frOxvt7W1Dc5dSuZt6a+QduY0dx07Q2pPyA7OfU2uqX5uXcv+lGvqqr/lXp/PC910PjS1B+szE7y5dT77W195vlI6uNxUZKTUp9Dbk19jroiA3tn6uNyeer9/9jU3+w4OcmA/ZGHU+NwNdv2lNTf+JiXuo3Icak/bHtf6g8a+vPd5vmBbP3Gtx1VVdX5qc+XX0r9Ae2rUrfEuTDJs6uqGmwYPqTzb/PaI7ZtAGAklCf+uxcAYGIrpbw/dfj7yaqqfr/temAiK6V8N/WHIK+qquq8rU0/3jTfzPhcks9PpBvR9ToP/1NVVe9uux4AYHhc+QwATEillL169xfuNfz0PHaTwP8a2apgYiqlHNa393kpZUop5U9SB8+rU1+tzgTQ3DDx3am/cfPPW5kcABjF9HwGACaqE5J8o5RyfZIlqW/4dWjqm1slyV9XVXVlS7XBRPO2JL9fSrkmydLULQaOTrJv6j7Gv920hGEcK6X8certfkqSuUn+tWnnBACMUcJnAGCiuiHJv6fu9fuc1L2a16TuAf1vVVVd2GJtMNFclLqX/FNT9z+fmrpP7heS/H1VVde1WBsj58Wpz8d3p77i+X3tlgMAbCs9nwEAAAAA6LhReeXz7rvvXs2fP7/tMlrz8MMPZ9asWW2XAYwQxzxMPI57mHgc9zCxOOZh4pnIx/2iRYtWV1U1t79xozJ8nj9/fq6++uq2y2jNZZddloULF7ZdBjBCHPMw8TjuYeJx3MPE4piHiWciH/ellDsGGjdpJAsBAAAAAGBiED4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAAAAICOEz4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAAAAICOEz4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAAAAICOEz4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAAAAICOEz4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAAAAICOEz4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAAAAICOEz4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAAAAICOEz4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAAAAICOEz4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAAAAICOEz4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAAAAICOEz4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB0nPAZAAAAAICOEz4DAAAAANBxwmcAAAAAADpO+AwAAAAAQMcJnwEAAAAA6DjhMwAAAAAAHSd8BgAAAACg44TPAAAAAAB03JS2CwAAAAAAGEuqqsoXrrgjq9ZuTJJMe2BzFrZb0qgkfAYAAAAAGIKr71iTv7jwlyklKUleMH9q2yWNSsJnAAAAAIAh+NKVd2bH6VNy5ftPzcxpU3LZZZe1XdKopOczAAAAAMAgPbB+U751w105Y8G8zJzm2t4tET4DAAAAAAzSN65bkQ2buvPaE/dru5RRTzQPAAAAALAV9697JI9s7s6Xr7wzR+w9J0fvs1PbJY16wmcAAAAAgC344a/vydmfvfLR3z98xpEppbRY0dggfAYAAAAA2IIv/vyO7L7jtLzneYdm2uRJOWPBvLZLGhOEzwAAAAAAA1jz8CO59OZVOfvk+Xn9SQe0Xc6Y4oaDAAAAAAAD+MZ1K7Kpq8orjt+37VLGHOEzAAAAAMAAzlu0LEfOm5Mj9p7TdiljjrYbAAAAAAC9rHpwQ75z491Zu2Fzblj+QD7wkie3XdKYJHwGAAAAAOjloxfflG9ctyJJsuP0KTnTDQaHRfgMAAAAANB4eOPmfPfGu/PqE/bNH59+eGZNn5yZ08Sow+FdAwAAAABofOfGlVm/qSuvOmG/zJ09ve1yxjQ3HAQAAAAAaFxw7Yrss/OMHL//Lm2XMuYJnwEAAAAAkqx+aGMuv3V1zlwwL5MmlbbLGfO03QAAAAAAJrRr7lyTRUvW5JcrHkhXd5Wzjt2n7ZLGBeEzAAAAADBhbe7qzjv+36KsWrsxSXLCAbvk0D1nt1zV+CB8BgAAAAAmrB/86p6sWrsx//K6Y7PwsD0yY+rktksaN4TPAAAAAMCEde5Vd2aP2dPzgiP3ypTJbpHXSd5NAAAAAGBCWvnAhlx686q88vh9Bc/bgSufAQAAAIAJpau7yqau7nzl6qXprpJXn7Bf2yWNS8JnAAAAAGDCWP9IV075+8uy8sENSZKnHbRr5u8+q+WqxifhMwAAAAAwYfzPL1dm5YMb8tZnHpjddpyW04/cq+2Sxi3hMwAAAAAwYZy3aFn223VG3v+iIzJpUmm7nHFNF20AAAAAYEJYcf/6/PYiEaoAACAASURBVOS21Xn5sfsKnkeA8BkAAAAAmBC+fu3yVFXyiuP2bbuUCUHbDQAAAABg3OrurvL9m1dl/aaufOXqpXnqgbtm/91mtl3WhCB8BgAAAADGre/ceHfe8YVFj/7+7lOf1GI1E4vwGQAAAAAYt751w13ZZebUfPUdJ2fq5EnZf1dXPY8U4TMAAAAAMC5t3NyVS29elRcfvXcO2WN22+VMOG44CAAAAACMS5ffsjoPbdycFx69V9ulTEjCZwAAAABgXPrWDSsze4cpefrBu7ddyoQkfAYAAAAAxp1NXd353k1353lP3jPTpohB26DnMwAAAAAwblx689352LduzsbNXXlg/aa88Ki92y5pwhI+AwAAAADjxid/cFseWL8pJx24a045bI8859C5bZc0YQmfAQAAAIBx4dd3r82iO9bk/S86Im979kFtlzPhaXYCAAAAAIwL5161NFMnl7zsuH3aLoUInwEAAACAcWDj5q6cf82yPO/Je2b3Hae3XQ7RdgMAAAAAGMNuXvlgrrjt3ty++uGsWbcprzlx/7ZLoiF8BgAAAADGrD/88uLcvHJtkuTgubPyrEN2b7kiegifAQAAAIAx6dZVa3PzyrX50xcenlefsF9mTZ+SSZNK22XRED4DAAAAAGPSRdfdlVKSlx27T3aZNa3tcujDDQcBAAAAgDGnqqp88/oVOenAXbPHnB3aLod+CJ8BAAAAgDHn5pVrc9s9D+clT5nXdikMQNsNAAAAAGDMWPXghqxauzFfvurOTJ5U8sKj9mq7JAYgfAYAAAAAxoSHN27O8z7+ozywflOS5NmHzs1uO05vuSoGInwGAAAAAMaECxYvzwPrN+Uvzzwye+00Iwv227ntktgC4TMAAAAAMOpVVZX/97M78uS95+QNTzsgpZS2S2Ir3HAQAAAAABj1rrlzTW5euVbwPIa48hkAAAAAGLU2bu7Kpq4qn//pHZk9fUrOXDCv7ZIYJOEzAAAAADAq/eaeh/KCT/w4j2zuTpKcffIBmTVdpDlW2FIAAAAAwKj0tWuWZXNXd/7PCw7PtCmT8rJj92m7JIZA+AwAAAAAjDpVVeXCxSvyzCfNzTsXHtx2OQyDGw4CAAAAAKPOojvWZNma9TnzGD2exyrhMwAAAAAw6lyweHl2mDoppx+1V9ulMEzabgAAAAAAo8aahx/JI13dufj6u3LaEXtmRzcYHLNsOQAAAABgVPjK1UvzvvOuf/T3sxa4weBYJnwGAAAAAEaF//75nTlo91l5yzMPzOzpU/Lcw/douyS2gfAZAAAAAGjdravW5rql9+fPX3xE3vi0A9ouhw5ww0EAAAAAoHXnLVqeyZNKztRqY9wQPgMAAAAArerqrvL1a5flOYfOzdzZ09suhw7RdgMAAAAAaMUd9z6cy29dneVr1ufuBzfmAy/Zt+2S6CDhMwAAAADQivd+9bpctWRNkmSP2dNz6hFuMDieCJ8BAAAAgBG3ZPXDuWrJmvzBqU/KG07aP7N3mJodpk5uuyw6SPgMAAAAAIy4869Zlkkled1T988ec3Zouxy2AzccBAAAAABGVHd3la9dszzPOGT37LWT4Hm8Ej4DAAAAACPqitvvzfL71+eVx7vB4Him7QYAAAAAMCI+/t1fZ/HS+3PHvQ9nx+lT8vwn79V2SWxHwmcAAAAAYLtbtmZdPvH9W3LAbjOz88xpecPTDsiMaW4wOJ4JnwEAAACA7e78a5YnSb7w1pOy364zW66GkaDnMwAAAACwXVVVlfOvWZanHbSr4HkCET4DAAAAANvVojvWZMm96/LK4/druxRGkLYbAAAAAMB20dVdZXN3d7569bLMnDY5LzzKDQYnEuEzAAAAANBxKx/YkOd9/IdZu2FzkuTlx+2TWdPFkROJrQ0AAAAAdNxXr16atRs2592nPik7TJ2cs46d13ZJjDDhMwAAAADQUd3dVc69emmefvBuec/zDm27HFrihoMAAAAAQEf99LZ7s2zN+rzmRDcYnMiEzwAAAABAR5179dLsPHNqTj/SDQYnMm03AAAAAIBttvKBDfnnS2/J5q4q//OLlXn90/bPDlMnt10WLRI+AwAAAADb7D9+/Jt86co7s8fsHbLPLjPyxqcd0HZJtEz4DAAAAABsk42bu3L+NcvygqP2yqdef3zb5TBK6PkMAAAAAGyT7954d9as25TXnrh/26UwigifAQAAAIBtcu5VS7PPzjPyzEN2b7sURhFtNwAAAACAIduwqSu3rnoo96/blB/fsjrvOe3QTJpU2i6LUUT4DAAAAAAM2Ycv+mW+dOXSJMnkSSWvOmHflititBE+AwAAAABDsmFTVy667q6cevgeec2J+2WvnXbIvJ1ntF0Wo4zwGQAAAAAYku/ftCoPbdyctzzjwDzzSfo80z83HAQAAAAAhuSCxcuzx+zpOfng3douhVFM+AwAAAAADNr96x7JZb9alZceMy+T3WCQLdB2AwAAAADYqlVrN+SOe9flx7++J5u6qpy1YJ+2S2KUEz4DAAAAAFvU3V3l1f/2syy5d12S5El77Jij9pnTclWMdsJnAAAAAGCLrlxyX5bcuy5/eNqTcsIBu+aQPXZMKVpusGXCZwAAAABgi762aFl2nD4lb3/2QZk5TaTI4LjhIAAAAAAwoHWPbM63brgrLzp6L8EzQyJ8BgAAAAAGdMkvVubhR7ryiuP2bbsUxhgfVQAAAAAAj1NVVT5z+e25+8EN+cGv7sl+u87IifN3bbssxhjhMwAAAADwOD+//b589OKbMn3KpEyZVPK+FxyeSZPcYJChET4DAAAAAI/zhSvuyE4zpuaKPz01M6ZNbrscxig9nwEAAACAR61auyGX/GJlXnn8voJntonwGQAAAAB41FeuWprN3VVef9L+bZfCGDeo8LmU8qFSSrWFx6YtzPvOXtPt3rnSAQAAAIBOeGRzd762aFm++PM7898/vzPPOGS3HDR3x7bLYowbbM/n85Pc2s/wpyT54yQX9TdTKWVekr9J8lASeysAAAAAjEJfu2ZZ/vT8Gx79/aNnHdViNYwXgwqfq6q6Psn1fYeXUj7d/PiZAWb9ZJLbkvwyyRuGUyAAAAAAsH19/drlOWjurHzpbU/L1MmTsuusaW2XxDgw7J7PpZRZSV6bZFmSS/oZ/7IkZyR5R5Ku4b4OAAAAALD9LL9/fa68/b6ctWCf7DlnB8EzHbMtNxx8VZI5Sc6pqupx4XIpZU6Sf0ny6aqqrtyG1wAAAAAAtqNvLF6RJDlzwbyWK2G8KVVVDW/GUn6c5BlJDq6q6vY+4/41yVlJDq+q6oFSyjlJzk4yt6qq1QMs7+1J3p4ke+655/Ff/vKXh1XXePDQQw9lxx21yIaJwjEPE4/jHiYexz1MLI55xpo/v3xdpk8u+YuTZ7Rdypg1kY/7U045ZVFVVSf0N26wNxx8nFLKYUmemeT7/QTPz0jyu0leX1XVA4NdZlVV/57k35PkhBNOqBYuXDic0saFyy67LBN5/WGicczDxOO4h4nHcQ8Ti2OeseCuB9bnWzeszNoNm7LsoVvy4TOenIVPn992WWOW475/wwqfk7y1ef7P3gNLKdNSB8jfq6rqS9tSGAAAAACwfXz04pty8fV3JUlm7zAlL37K3i1XxHg05PC5lDIlyZuS3Jvk631GvyvJ4Un+qJRySK/hs5vnA0spc6qq+s1wigUAAAAAts19Dz+S7/xyZd508gF57+mHZfqUSZk+ZXLbZTEODefK55cm2TPJJ6qq2thn3AGpb2L47QHmvTLJw0kmZgMUAAAAAGjZ+dcsy6auKq8/6YDM2WFq2+Uwjg0nfO5pufGZfsZ9Lsnl/Qx/V5KFSX47yZphvCYAAAAAsI2qqsq5Vy3NsfvvnMP2mr31GWAbDCl8LqXMS/KCJFdWVXVD3/FVVV2X5Lp+5ntJ8+NFVVWtHk6hAAAAAMDwVFWVTV1VFi+9P7eseij/9xVHt10SE8BQr3x+c5LJ6XOjQQAAAABg9HrXF6/Jt25YmSSZOW1yXvyUeS1XxEQwpPC5qqqPJfnYUF+kqqo3pw6uAQAAAIARtOrBDbnkFytz6uF75LgDdsnR++yUHacPpxsvDI29DAAAAADGsW9ctyLdVfJnLz4iB8/dse1ymEAmtV0AAAAAALD9XLh4RY7eZyfBMyNO+AwAAAAA49Rt9zyUG5Y/kDMX6PHMyNN2AwAAAADGmUc2d2f9I1356tXLUkpyxjHCZ0ae8BkAAAAAxpENm7ryrL/9Qe5ZuzFJ8oxDdssec3ZouSomIuEzAAAAAIwj37vp7tyzdmN+99kHZc85O+S5h+/RdklMUMJnAAAAABhHLrh2RfacMz3ve8HhmTyptF0OE5gbDgIAAADAOHH/ukfyw1+vyhnHzBM80zrhMwAAAACMExffcFc2dVU5c8E+bZcC2m4AAAAAwFh3/7pHsmFTd75+zfIcPHdWjpw3p+2SQPgMAAAAAGPZdUvvz1mf+kmqqv79j553aErRcoP2CZ8BAAAAYAz76qKlmT5lUj7wkiMzdXLJi5+yd9slQRLhMwAAAACMWZu6unPx9XfltCP2zOtO2r/tcuBx3HAQAAAAAMaoH/36nqxZtylnucEgo5DwGQAAAADGqAsWr8jOM6fm2YfObbsUeAJtNwAAAABgDOnurrJ0zbqs39SV7964Mq84bt9Mm+IaU0Yf4TMAAAAAjCH/8ePf5K+/ffOjv7/sWC03GJ2EzwAAAAAwRlRVlS9ftTRH77NTfudZB2anGVNzwvxd2y4L+iV8BgAAAIAx4po71+T21Q/nb1/5lJzpJoOMcprBAAAAAMAYcd6i5ZkxdXJedPTebZcCWyV8BgAAAIAxYMOmrnzzuhV54VF7ZcfpGhow+tlLAQAAAGAU++WKB/LjW1bnjnsfztqNm/OK4/dtuyQYFOEzAAAAAIxi//vc6/Kru9cmSZ60x445+aDdWq4IBkf4DAAAAACj1E13PZhf3b02H3zpk/PaE/fPtCmTMmlSabssGBThMwAAAACMUhcsXp4pk0rOXLBPZkyb3HY5MCRuOAgAAAAAo1B3d5WLFq/Isw+dm11nTWu7HBgy4TMAAAAAjEJXLrkvKx7YkDMXzGu7FBgWbTcAAAAAYBS5+Pq78uu71+Znt92bmdMm53lP3rPtkmBYhM8AAAAAMErcs3Zj/uDL16aru0qSvPnp8zNzmgiPscmeCwAAAACjxDevX5Gu7irfec+zc+ies9suB7aJns8AAAAAMEpcsHhFjth7juCZcUH4DAAAAACjwO2rH851S+/PWW4wyDghfAYAAACAUeDCxctTSnKG8JlxQs9nAAAAAGjJpq7ufPqHt+XBDZtz0XUrctKBu2bvnWa0XRZ0hPAZAAAAAFpyyS9W5u+/8+vsMHVSpkyalLNPnt92SdAxwmcAAAAAaMm5Vy3NPjvPyI/fd0omTSptlwMdpeczAAAAALRg6X3rcvmtq/OaE/cTPDMuCZ8BAAAAoAVfuXppJpXklcfv23YpsF1ouwEAAAAAI6Sqqqx8cEM2d1X56tXL8pxD52bezm4wyPgkfAYAAACAEfLVRcvyvvOuf/T3D51xZIvVwPYlfAYAAACAEfLVq5dm/m4z865TDsms6VPy/Cfv2XZJsN0InwEAAABgBCxbsy5XLVmTPz79sLzqhP3aLge2OzccBAAAAIARcOHiFUmSM46Z13IlMDKEzwAAAACwnVVVlQsXL88JB+yS/Xad2XY5MCKEzwAAAACwnWzc3JVb7l6bH/xqVX5990M589h92i4JRoyezwAAAACwnfzp+Tfk/GuWJ0mmTi558dF7t1wRjBzhMwAAAABsB+se2Zxv37Aypx2xZ846dl723WVmdp01re2yYMQInwEAAABgO7j05lVZv6krv/3M+Xn6wbu3XQ6MOD2fAQAAAGA7+OZ1d2Xu7Ok56cDd2i4FWiF8BgAAAIAOe2jj5vzgV6vyoqP2yuRJpe1yoBXCZwAAAADosO/deHc2bu7OS46Z13Yp0Bo9nwEAAACgQ37n81flytvvy4ZN3dlrzg45fv9d2i4JWiN8BgAAAIAOuOmuB/O9m1bllMPm5oDdZmXhYXMzScsNJjDhMwAAAAB0wNcWLcvUySX/8OoF2WXWtLbLgdbp+QwAAAAA22hTV3cuWLw8zz18D8EzNITPAAAAALCNfvTre7L6oUfyiuP2bbsUGDW03QAAAACAYfrVyrVZs+6RfP5nd2S3WdNyyuF7tF0SjBrCZwAAAAAYht/c81Be8Ikfparq33/nmQdm6mSNBqCH8BkAAAAAhuGi6+5KkvzHm07I7B2mZMF+O7dcEYwuwmcAAAAAGIZvXr8iJx6wa5735D3bLgVGJd8DAAAAAIAh+tXKtbll1UN5yTF7t10KjFrCZwAAAAAYom9evyKTSvLCo4TPMBBtNwAAAABgkDZ3dae7Sr55/V152kG7Ze7s6W2XBKOW8BkAAAAABuHyW1bn7M9dma7uKknytmcd1HJFMLoJnwEAAABgEM756e3ZZebUvPnp87PD1Ml5+XH7tF0SjGrCZwAAAADYipUPbMilN6/KO55zcH7/uU9quxwYE9xwEAAAAAC24rxFS9NdJa8+Yb+2S4ExQ/gMAAAAAFvQ3V3l3KuX5uSDdsv83We1XQ6MGdpuAAAAAEA/Fi+9P+detTQPbtiUpfetz3uff1jbJcGYInwGAAAAgH789bduyrVL78/OM6bmmP12zulH7tV2STCmCJ8BAAAAoI8V96/Pz2+/L//7eYfmD051g0EYDj2fAQAAAKCPb1y3Ikly5oJ5LVcCY5fwGQAAAAD6uODa5Vmw3845YDc3GIThEj4DAAAAQC+/Wrk2N69cm7Nc9QzbRM9nAAAAAEjylauX5qe3rs7tqx/O5EklLzlG+AzbQvgMAAAAwIT38MbN+eCFv8wOUydlzoypeePTDsjuO05vuywY04TPAAAAAEx4373x7qzf1JVz3nJiTjpot7bLgXFBz2cAAAAAJrwLFi/PvJ12yInzd227FBg3hM8AAAAATGirH9qYH9+yOmcs2CeTJpW2y4FxQ/gMAAAAwIR28fV3pau7ylnHusEgdJKezwAAAABMON3dVT7yzRuz4v71uX7ZAzl8r9k5fK85bZcF44rwGQAAAIAJ58ol9+Wcny7J/N1mZpdZ0/J7Cw9uuyQYd4TPAAAAAEw4Fy5enpnTJudb735WZk4TkcH2oOczAAAAABPKxs1dufj6u3L6kXsJnmE7Ej4DAAAAMKFc9qt78uCGzTlzgRsMwvYkfAYAAABgQrlw8fLsNmtannnI7m2XAuOa7xUAAAAAMO7d/eCG/PkFv8iGTV35+W/uy289db9Mmey6TNieHGEAAAAAjHv/fcUd+d5Nd+ehjZuzYP+d88aT57ddEox7rnwGAAAAYFzr7q7ytWuW55mH7J7/99aT2i4HJgxXPgMAAAAwrl1x+71Zfv/6vPL4fdsuBSYU4TMAAAAA49rXFi3PjtOn5PlP3qvtUmBC0XYDAAAAgHFpw6aurH+kK9/+xV0545h5mTFtctslwYQifAYAAABg3Pns5bfnI9+88dHfX6HlBow44TMAAAAA40pVVfmvny3J4XvNzpkL9sluO07LCQfs0nZZMOEInwEAAAAYVxbdsSZL7l2Xv3/VMW4yCC1yw0EAAAAAxpWvXbMsM6dNzguPcoNBaJPwGQAA4P9n776j9C7sO99/nhlp1AvSqEuAQEJC3YAxxtimWYANBjt2EifxxtfZNDtO2U3Ze292k41znd17k2zK5u5Nven2dVwgyDQbg8E2xYAKKghRhUYz6mVUpj6//cNcjo0pKjP6PeX1OsdHfqSR9OaPkY4+evT9AdAwevoHs2Z9Z65fNjPjRvlH/1Amn4EAAAAA1L0X9h7N+h0Hs6WzO929A/nQRc5tQNmMzwAAAADUtaIo8rP/8Hi27upOkpw7dWwuO29qyVWA8RkAAACAura583C27urOr123KNcvm5lpE0alpaVSdhY0PeMzAAAAAHXttnU7M6Klko9cenamjGsrOwd4mQcOAgAAAFC3BqtF/nXdzrz7gmmGZ6gxxmcAAAAA6tYjz+9L1+Ge3PyWOWWnAK/i7AYAAAAAdefbz+7N9n3HcsfGroxra817LpxRdhLwKsZnAAAAAOrK7u6efPSvH81gtUiS/Ohb52VMW2vJVcCrGZ8BAAAAqCu3r+/MYLXIv/zc2zP3rDGZPmF02UnAazA+AwAAAFBXblvXkaWzJ+at504pOwV4Ax44CAAAAEDdeG7PkWzYcSi3rPKAQah1xmcAAAAA6sat63amUkluWjm77BTgTTi7AQAAAEBNG6wW+eLjO3K0byBffHxH3n7e1Myc5M4z1DrjMwAAAAA17e5NXfn1L2545fVv3LC4xBrgRBmfAQAAAKhpt67tyPQJo3LXL78rI1srmTB6ZNlJwAlw8xkAAACAmnXoWH/u37onN62cnSnj2gzPUEeMzwAAAADUrDs2dqZvsJpbVs0pOwU4ScZnAAAAAGrWrWs7ct60cVk2Z2LZKcBJcvMZAAAAgJqyp7s3X3xiR3r7q3nk+f35lWsvSKVSKTsLOEnGZwAAAABqyp9+fVv+/qEXkyRj21rzwYuc3IB6ZHwGAAAAoGb0D1azZkNn3rd8Vv7wR1amtVLJiFaXY6EeGZ8BAAAAqBnf3LY3+4/25Za3zMmoEa1l5wCnwV8bAQAAAFAzbl3XkUljRubdF0wrOwU4TcZnAAAAAGrC0d6B3LNpV967fFbaRpitoN45uwEAAABAqdZuP5CvP7U7L+0/luP9g7ll1eyyk4AhYHwGAAAAoDRFUeQ3vrghT+86kpZKsmzOxLz13CllZwFDwPgMAAAAQGm2dHbn6V1H8ulbluWjl51Tdg4whBzPAQAAAKA0t63ryIiWSt63fFbZKcAQMz4DAAAAUIpqtci/rt+Zd10wLVPGtZWdAwwx4zMAAAAApXjk+f3pPNSTmz1gEBqSm88AAAAAnFFfeHxHtnQezuMvHsjYtta8Z8mMspOAYWB8BgAAAOCM2X+0L7/xxQ1pbamkrbUlH73snIxtM1FBI/KZDQAAAMAZ89XNXRmsFrntk+/IsjmTys4BhpGbzwAAAACcMXdu7Mrcs8Zk6eyJZacAw8z4DAAAAMAZceh4f771zN68d/msVCqVsnOAYWZ8BgAAAOCM+PpTu9I/WOT6ZTPLTgHOADefAQAAABhWvQOD6R8s8pUNnZk5cXRWzZ1cdhJwBhifAQAAABg2L+0/ltX/7YEc7x9Mknzs8nPT0uLkBjQD4zMAAAAAw+bLaztyvH8wv3bdoowe2ZqbV80uOwk4Q4zPAAAAAAyLoihy67qOXDp/Sj551YKyc4AzzAMHAQAAABgWGzsO57k9R3PLqjllpwAlMD4DAAAAMCxuXdeRka2VvHf5zLJTgBI4uwEAAADAkDpwtC/9g9Xcvn5nrlw0PZPHtpWdBJTA+AwAAADAkLltXUd+6XPrXnnt5AY0L+MzAAAAAEPm//vOS5kzeUx+/srzM7atNdcvc3IDmpXxGQAAAIAh0XWoJw89ty+funphfuKyc8rOAUrmgYMAAAAADInb1+9MUSS3rJpddgpQA4zPAAAAAAyJW9d1ZMXcSTlv2viyU4AaYHwGAAAA4JQNDFbz4r6j+faze7Np5+Hc7AGDwMvcfAYAAADglP3H2zbls49uT5K0tlRy04pZJRcBtcL4DAAAAMAp6ekfzJr1O/POhe35wFvmZO5ZYzN94uiys4AaYXwGAAAA4JQ88PSedPcO5KeumJ8rF00vOweoMW4+AwAAAHBK1mzozFljR+YdC9rLTgFqkPEZAAAAgJN2vG8wX9uyK9cvm5mRrSYm4Af5lQEAAACAk3bf1t051jeYG1fMLjsFqFFuPgMAAABwwj712bV56Nl9Odo7kPbxbXnb/CllJwE1yvgMAAAAwAl5Ye/R3L5+Zy4/f2rObR+Xd18wLSOc3ABeh/EZAAAAgBNy27qdqVSS3//wysyePKbsHKDG+aspAAAAAN5UURS5bV1HLj13iuEZOCHGZwAAAADe1IYdh/Lc3qP5wFvmlJ0C1AnjMwAAAABv6tZ1HWlrbckNy2eVnQLUCTefAQAAAHhN3T39ef9//1b2dPfmWN9A3rNkRiaNGVl2FlAnjM8AAAAAvKb7tu7J83uP5kMXz81ZY0fmhy+ZV3YSUEeMzwAAAAC8pns2daV9/Kj81x9akdaWStk5QJ1x8xkAAACAH9A7MJj7t+7Je5ZMNzwDp8T4DAAAAMAPeOjZfTnSO5DVS2aWnQLUKeMzAAAAAD/g7k27Mq6tNW8/f2rZKUCdcvMZAAAAgCRJURR5fu/R9A5U87Utu3LloukZPbK17CygThmfAQAAAEiS3LmxK5/4pydeeX3dMic3gFNnfAYAAAAgSfLZR7dnzuQx+c33XZhRI1vy7guml50E1DHjMwAAAADpOtSTbz2zN79w1YLcsHxW2TlAA/DAQQAAAADy5bUdqRbJBy+aW3YK0CCMzwAAAABNriiKfOHxl3LJOWfl3PZxZecADcLZDQAAAIAm9cLeo7l9/c4cOt6fZ/cczX/54HllJwENxPgMAAAA0KQ+c8eW3LN5V5Jk2oRRee8Kt56BoWN8BgAAAGhC3T39uf/pPfnY5efmP964JC2VpFKplJ0FNBDjMwAAAEAT+urmXekbqOamlbPT2mJ0BoaeBw4CAAAANKE1GzozZ/KYXHT25LJTgAZlfAYAAABoMoeO9efBbXvyvhWznNoAho2zGwAAAABNYtfhnjy7+0gefm5f+geL3OgBg8AwMj4DAAAANIGiKPITf/VItu0+kiQ5r31cls+ZVHIV0MiMzwAAAABNYGPH4WzbfSSfunpBrljQnvnt45zcAIaV8RkAAACgCdy6riMjWyv5qSvmZ/LYtrJzgCbgq+PrywAAIABJREFUgYMAAAAADW6wWuT29Ttz5aLphmfgjDE+AwAAADS4h57dl93dvbll1ZyyU4Am4uwGAAAAQIPa2HEouw735LOPbs+EUSNyzYXTy04CmojxGQAAAKABdR3qyc1/9q0MVoskyUcunZfRI1tLrgKaifEZAAAAoAHdvn5nBqtF/uZjl2Ta+NFZOGN82UlAkzE+AwAAADSgW9d1ZMXcSbl68YyyU4Am5YGDAAAAAA3mmd3d2bTzcG72gEGgRMZnAAAAgAZz69qdaakkN62cVXYK0MSc3QAAAABoANVqkXuf2p2e/sF8eW1H3rGgPdMnjC47C2hixmcAAACABrDmyc784mfXvvL6169fVGINgPEZAAAAoCH8y2MvZc7kMfnb/+WtaRvRkrOnjC07CWhyxmcAAACAOtd1qCffemZvfuGqBVk4Y0LZOQBJPHAQAAAAoO59eW1HqkXywYvmlp0C8ArjMwAAAEAdK4oiX3xiRy4556yc2z6u7ByAVzi7AQAAAFCHNnYcyl8++FyO9w3mmd1H8nsfXF52EsD3MT4DAAAA1KH/9tWn881n9mbO5DG5+JyzcuOKWWUnAXwf4zMAAABAndnT3Zv7n96Tn37nefkPNywuOwfgNbn5DAAAAFBnblvXkcFqkQ9dPKfsFIDXZXwGAAAAqDNfeHxHVs6bnAXTJ5SdAvC6jM8AAAAAdWTTzkN5qqs7H7rIu56B2ubmMwAAAEAd+N+//GS+8PiODFaLtLW25KaVs8tOAnhDxmcAAACAGnfgaF8+/9hLuejss7Lq7MlZNntSJo9tKzsL4A0ZnwEAAABq3L+u35n+wSK/ddPSLJk9sewcgBPi5jMAAABAjfviEzty4ayJhmegrhifAQAAAGrYtl3d2bDjUD508dyyUwBOirMbAAAAADXomd1HsvPg8dy2bmdGtFRy8yoPGATqi/EZAAAAoMYc7unPTX/6zRzvH0ySXLd0RtrHjyq5CuDkGJ8BAAAAasxdG7tyvH8wf/DhlTm3fWwWzXTrGag/xmcAAACAGnPbuo6cM3VsPnjRnFQqlbJzAE6JBw4CAAAA1JBdh3vy7Wf35eaVsw3PQF0zPgMAAADUkNvX70xRJDe/ZU7ZKQCnxdkNAAAAgJIVRZFvP7sv3T0D+ZfHdmT5nEk5f9r4srMATovxGQAAAKBkDz+3Pz/+V4+88vo/v39piTUAQ8P4DAAAAFCyrzy5M2NGtubzP/v2jBrZkgXe9Qw0AOMzAAAAQIkGq0Xu3rQrVy2eluVzJ5WdAzBkPHAQAAAAoESPv3gge7p7c/2yWWWnAAwp4zMAAABAie7c2Jm2ES25evH0slMAhpTxGQAAAKAk1WqRuzZ25V0Lp2X8KNdRgcbiVzUAAACAM+yR5/bld9ZsTt9ANZ2HevKrqxeVnQQw5IzPAAAAAGfY//jGs9lx4HjeNn9KVsydnOuXzSw7CWDIGZ8BAAAAzqCdB4/nG0/vyaeuWpB/5x3PQANz8xkAAADgDPqXx3YkST58ybySSwCGl/EZAAAA4AwZrBb5/GMv5YoF7Zk3ZWzZOQDDytkNAAAAgGH2wt6j+faz+9Jx8Fg6Dh7P//rexWUnAQw74zMAAADAMPu1L6zPd144kCSZMXFU3rNkRslFAMPP+AwAAAAwjHYePJ7vvHAgn7jy/Pzk5edm4uiRGTWitewsgGFnfAYAAAAYRnc82Znkuw8YnDFxdMk1AGeOBw4CAAAADKM1GzqzdPbEzG8fV3YKwBllfAYAAAAYJi/tP5Z1Lx3MjStml50CcMY5uwEAAAAwxA4e68vu7t58eW1HkuTGFbNKLgI484zPAAAAAENooFrkhj9+MJ2HepIkq+ZNzrwpY0uuAjjzjM8AAAAAQ2jj3sF0HurNL12zMBfMmJBVZ08uOwmgFMZnAAAAgCH0zY6BTB3Xll+4ekFGtnrcFtC8/AoIAAAAMEQOHuvLut2Def+q2YZnoOn5VRAAAABgiNy+fmcGiuSHLppbdgpA6ZzdAAAAADgNRVHknx7ZnoPH+vKltR2ZN6ElS2dPLDsLoHTGZwAAAIDT8PBz+/Obt2585fXHlralUqmUWARQG4zPAAAAAKfhzo2dGT2yJY/8b9dmXFtrvvngA2UnAdQE4zMAAADAKapWi9y1sStXXjA9k8aMLDsHoKZ44CAAAADAKXpi+4Hs7u7NDctnlp0CUHOMzwAAAACn6M6NXWlrbcnVi6eXnQJQc4zPAAAAAKegKL57cuOdC9szYbSTGwCv5uYzAAAAwEl4cNue/PTfP5b+wSKD1SK/fO3CspMAapLxGQAAAOAk/OPDL2Zs24h85NJ5Gds2IjetnF12EkBNMj4DAAAAnKBDx/pz31N78uOXnZ1fu25x2TkANc3NZwAAAIATdOfGzvQNVnPLqjllpwDUPOMzAAAAwAm6bd3OzG8flxVzJ5WdAlDzjM8AAAAAb6J/sJodB47l4ef35eZVs1OpVMpOAqh5bj4DAAAAvIE/uGdr/vTrz7zy+mYnNwBOiPEZAAAA4HUMVot87jsvZeXcSXnPkhmZN2Vs5rePKzsLoC4YnwEAAABexyPP78ue7t781k1LcuOK2WXnANQVN58BAAAAXseaDZ0ZM7I1Vy+eXnYKQN0xPgMAAAC8hoHBau7a2JVrLpyesW3+8TjAyTI+AwAAALyGbz+7L/uP9jm3AXCK/LUdAAAAwPf4L3c+lW8/uze7Dvdk/KgRuXLRtLKTAOqS8RkAAADgZV2HevIXDzybC2ZMyJJZE3P1hTMyemRr2VkAdcn4DAAAAPCyL63dkWqR/D8/cXHObR9Xdg5AXTuhm8+VSuW3K5VK8Qb/6/+ej/33lUrl/kql0lmpVHpf/vK+SqXygeH7zwAAAAA4PUVR5IuP78gl55xleAYYAif6zucvJXnmNb5+RZJfS3L793zdpUleSHJHkr1JpiT5cJIvVSqV/1QUxadPuRYAAABgmKzfcSjP7jma3/vgeWWnADSEExqfi6LYkGTDq7++Uqn8+cv/96+/52N/5DU+7o+SPJ7k1yuVymeKohg8tVwAAACAoXWkdyBHewfyuUe3Z9SIlrxvxayykwAawinffK5UKuOS/GiSHUnueqOPLYpioFKpdCRZnmRkEuMzAAAAULq9R3rzrv/zvhzr++5U8f6VszNx9MiSqwAaw+k8cPDDSSYm+ZPXeidzpVKZkqQ1SfvLH3t9kvuKoug5jZ8TAAAAYMjcubErx/oG8+vXL8pZY9tyzYXTy04CaBiVoihO7TtWKg8meUeS84uieP41vn1vkqkvvxxIcmuSTxRFsed1fryfSfIzSTJjxoyLP/e5z51SVyM4cuRIxo8fX3YGcIb4nIfm4/Memo/Pe6hdv/fI8RzuK/KZK8akUqkMyY/pcx6aTzN/3l911VWPF0VxyWt92ym987lSqSxKckWSe19reH7ZB5OMTjIn333n85gkE5K85vhcFMVfJPmLJLnkkkuKK6+88lTSGsL999+fZv7vh2bjcx6aj897aD4+76E27Trck6fvvje/ePXCXHXVBUP24/qch+bj8/61nerZjZ96+cu/er0PKIrige95+f9WKpXPJvlWpVJZUhTFgVP8eQEAAACGxB1PdqYokptWesAgwHBoOdnvUKlURiT5N0n2JfnySXzXv0syM999RzQAAABAqdZs6MzimROyYPqEslMAGtKpvPP5piQzkvxxURS9J/H9xrz85ZRT+DkBAAAATtvWru78/D89nt7+ajoOHs+vrh66cxsAfL9TGZ///5Mbf/3qb6hUKuPy3YcYHnnV17cm+eTLLx8+hZ8TAAAA4LR99tHt2XHgeG5aMTujRrbkRy89u+wkgIZ1UuNzpVKZneT6JI8WRfHka3zIwiTfqFQqX0iyNcn+fPeBgx9JsijJ3xVF8eDpJQMAAACcvMFqkTue7MyVF0zLH/zwyrJzABreyb7z+WNJWvP6DxrckeQfkrwzyQeSTEhyKMnaJJ9O8s+nVAkAAABwmr7zwv7s7u7NjStnl50C0BROanwuiuIzST7zBt++N8kvnG4UAAAAwFBbs2FnRo9syTWLp5edAtAUWsoOAAAAABhuA4PV3PlkV65ZPCPjRp3KI7AAOFl+tQUAAAAa1trtB/J3334h3T0D2Xe0LzeumFV2EkDTMD4DAAAADetPv/5MvvXM3syaNDqXzp+Sq5zcADhjjM8AAABAQzrSO5BvPrM3H73snPzHG5eUnQPQdNx8BgAAABrSA0/vSd9ANauXzCg7BaApGZ8BAACAhnTPpq5MGdeWi885q+wUgKZkfAYAAAAaTv9gNfc+tTvXLJ6eEa3mD4Ay+NUXAAAAaDiPPLc/3T0DWb10ZtkpAE3LAwcBAACAhvF/fGVz7tzYle6egYwZ2Zp3LmwvOwmgaRmfAQAAgIbQ3dOfv3voxVwwY3wunT8lb5s/JaNHtpadBdC0jM8AAABAQ/j6U7vTN1DNb920NG89d0rZOQBNz81nAAAAoCHctbEr0yaMysVnn1V2CgAxPgMAAAAN4FjfQO7fuifXL52ZlpZK2TkAxPgMAAAANIBvbN2T4/2DuWHZzLJTAHiZm88AAABA3Xro2X3pOHg8X3x8R6aMa8ul8916BqgVxmcAAACgLu3u7smP/9XDqRbfff2Tbz8nI1r9I2+AWmF8BgAAAOrSfU/tTrVI/v7jl2Z++7jMnjym7CQAvofxGQAAAKhL927ZndmTRuedC9tTqXjIIECt8W9RAAAAgLrT0z+YB7ftzTUXzjA8A9Qo4zMAAABQdx56bl+O9w/mmgunl50CwOswPgMAAAB1594tuzK2rTWXnTe17BQAXoebzwAAAEBdKIoi9z+9J8f7BvO1zbtzxYL2jB7ZWnYWAK/D+AwAAADUhbs37crP/ePjr7z+D8sXl1gDwJsxPgMAAAB14ctrd6R9/Kj847+9NG2tLZnfPq7sJADegPEZAAAAqHmHjvXnvqf25McvOzuLZ04sOweAE+CBgwAAAEDNu3NjZ/oGq7ll1ZyyUwA4QcZnAAAAoObdtm5n5rePy4q5k8pOAeAEObsBAAAA1KRDx/vz9ad25VjfYB5+fl9+6ZqFqVQqZWcBcIKMzwAAAEBN+u9f35a/fPD5JMmIlko+8BYnNwDqifEZAAAAqDlFUeSrm3fl8vOn5r/+0IqMGzUiU8a1lZ0FwElw8xkAAACoOc/tPZoX9h3LDctmZt6UsYZngDpkfAYAAABqzr1bdiVJrr5wRsklAJwq4zMAAABQc762ZXcunDUxcyaPKTsFgFNkfAYAAABqysFjfXn8xQO59sLpZacAcBo8cBAAAACoCR0Hj2f9SwezfsfBDFaLXL3Y+AxQz4zPAAAAQE34hX9+Imu3H0ySzJ40OivnTi65CIDTYXwGAAAASvfS/mNZu/1gfu7d5+cDb5mTGRNHpaWlUnYWAKfB+AwAAACUbs2GziTJj7/t7MybMrbkGgCGggcOAgAAAKVbs2FnVs2bbHgGaCDGZwAAAKBUz+89mk07D+fGFbPKTgFgCDm7AQAAAJSip38wh4/35wuPv5QkeZ/xGaChGJ8BAACAM65/sJqrfv/+dB7qSZK89dyzMmvSmJKrABhKxmcAAADgjPvWM3vTeagnP/3O+Tm3fVzecX572UkADDHjMwAAAHDGrdnQmQmjR+RXr1uUUSNay84BYBh44CAAAABwRvUODObuTV1ZvWSm4RmggRmfAQAAgDPqwaf3prtnIDeu9IBBgEZmfAYAAADOqDUbdmby2JG5YoE7zwCNzM1nAAAAYNjt6e7NT/7No+nu7U/nwZ586OK5GdnqPXEAjcz4DAAAAAy7L6/dkc2dh/P+lbNz2fyW/My7zis7CYBhZnwGAAAAht2ta3dm5dxJ+ZOPvKXsFADOEP++BQAAABhW23Z1Z3Pn4dy8ak7ZKQCcQcZnAAAAYFjduq4jLZXkxpWzyk4B4AwyPgMAAADDpiiK3LZuZ96xoD3TJ4wuOweAM8jNZwAAAGDIPbhtT371X9anf7DI/qN9+ZVrLyg7CYAzzPgMAAAADLl/fmR7egeqed/yWRk/ekTet8LJDYBmY3wGAAAAhtSxvoHct3V3PnzxvHz6lmVl5wBQEjefAQAAgCH1ja170tNfzQ3LZpadAkCJjM8AAADAkLpzY1emjGvLpfOnlJ0CQImMzwAAAMCQ6ekfzL1bdmX1khkZ0Wp2AGhmbj4DAAAAp+3FfUfzwLa9eXHv0RztG8wNyz1gEKDZGZ8BAACA0/YbX9yQh5/bnySZMXFU3n7e1JKLACib8RkAAAA4LbsO9+SR5/fn5688Px9/x/xMGD0ibSOc3ABodsZnAAAA4LTc8WRniiL5oYvmZtqEUWXnAFAj/DUkAAAAcFrWbOjM4pkTsmD6+LJTAKghxmcAAADglO08eDyPv3ggN62cXXYKADXG2Q0AAADgpB042peuwz25ff3OJMmNK2aVXARArTE+AwAAACdlsFrkxj/9ZjoOHk+SrJg7KedMHVdyFQC1xvgMAAAAnJSHnt2XjoPH84tXL8iS2ROzfO7kspMAqEHGZwAAAOCk3LquI+NHjcgnrlqQ0SNby84BoEZ54CAAAABwwnr6B3PXxq5cv2ym4RmAN2R8BgAAAE7YvVt250jvQG5ZNafsFABqnLMbAAAAwJt6bs+RHDzen899Z3umTxiVt58/tewkAGqc8RkAAAB4Q8/vPZpr//AbqRbfff3T75yf1pZKuVEA1DzjMwAAAPCG7niyM9Ui+bMfuygTRo/IW8+dUnYSAHXA+AwAAAC8oTue7Mxbzp6c962YVXYKAHXEAwcBAACA17V937Fs2nk4NyybWXYKAHXG+AwAAAC8rrs2dSZJbljmXc8AnBzjMwAAAPC67niyK8vmTMy8KWPLTgGgzrj5DAAAAHyfF/Yeze9+ZXN6B6pZ99LB/Np1i8pOAqAOeeczAAAA8H0+++j23Ld1T7p7BvL286bmhy6aW3YSAHXIO58BAACAVxRFkbs3deXy86fmH37qbWXnAFDHvPMZAAAAeMUzu4/khX3HsnrpzLJTAKhzxmcAAADgFfds3pUkec+FM0ouAaDeGZ8BAACAV9yzqSsr503OzEmjy04BoM4ZnwEAAIAkSeeh41m/41BWL/GuZwBOnwcOAgAAQJP7qwefyx99bVv6B6tJkuvcewZgCBifAQAAoIkVRZG//fYLmTVpdN59wbTMPWtMFkwfX3YWAA3A+AwAAABNbP2OQ9lx4Hj+rw+tyIcvmVd2DgANxM1nAAAAaGJr1u9MW2tLVju1AcAQMz4DAABAk6pWi3zlyc6864L2TBozsuwcABqM8RkAAACa1BPbD6TzUE9uXDG77BQAGpCbzwAAANBk/uKBZ/PNZ/Zlx/5jGTWiJdcumVF2EgANyPgMAAAATeTQsf78/t1PZ9qEUZk2YVQ+8JY5GT/KPADA0PO7CwAAADSROzZ2pm+wmv/xExdlxdzJZecA0MDcfAYAAIAmcuvajpzXPi7L50wqOwWABmd8BgAAgCax8+DxPPL8/ty8ak4qlUrZOQA0OOMzAAAANIl/Xb8zSXLzqtkllwDQDNx8BgAAgAY2MFjNr3x+fboOHc+23Ueyat7knNs+ruwsAJqAdz4DAABAA3v0hf25ff3O9A5Us3T2xPzytQvLTgKgSXjnMwAAADSwezbtyqgRLfncz1yWsW1mAADOHO98BgAAgAZVFEW+unlX3rlwmuEZgDPO+AwAAAANatPOw+k4eDyrl84oOwWAJmR8BgAAgAZ1z6autFSSaxZPLzsFgCZkfAYAAIAGdc/mXbnk3CmZOn5U2SkANCEHnwAAAKCBrH/pYH70Lx5O78BgqkXym++7sOwkAJqU8RkAAAAayOe+sz2VSvLJqxakrbUlP/zWeWUnAdCkjM8AAADQIHoHBvOVDZ25bunM/PvVi8rOAaDJufkMAAAADeL+rXtyuGcgN6+aXXYKABifAQAAoFHctq4j7ePbcsWC9rJTAMD4DAAAAPWud2Aw+4705mtbdufGFbMzotUf9wEon5vPAAAAUMf+5pvP53fWbH7ltZMbANQK4zMAAADUsc8/9lIWTh+fD1w0J9PGj8qqeZPLTgKAJMZnAAAAqFvP7TmSp7q6859uXJKPXzG/7BwA+D6OQAEAAECdunNjV5Lk+mUzSy4BgB9kfAYAAIA6ddfGrqyaNzmzJ48pOwUAfoDxGQAAAOrQS/uP5cmOQ7nBu54BqFFuPgMAAEAd+ea2vfnWs3vzdFd3kuSGZbNKLgKA12Z8BgAAgDoxMFjNr3x+XfYd6c2IlpZcuWhazp46tuwsAHhNxmcAAACoEw9u25s93b35849enOuWOrcBQG1z8xkAAADqxBee2JGzxo7MVYuml50CAG/K+AwAAAB14NCx/nx1867cvGpO2kb44zwAtc/vVgAAAFAHbt+wM30D1Xzo4rllpwDACXHzGQAAAGpUtVrkZ/7hsTy/92h2H+7NohkTsnT2xLKzAOCEGJ8BAACgRj2x/UC+tmV3LjtvShbPmpgfuWReKpVK2VkAcEKMzwAAAFCj7tzYlbbWlvzlv7kkE0aPLDsHAE6Km88AAABQg4qiyF0bu/LOhe2GZwDqkvEZAAAAatCGHYfScfB4rl82s+wUADglxmcAAACoQXdu7MqIlkres2RG2SkAcErcfAYAAIAaURRF7tu6O0d7B7Nmw868/fypmTy2rewsADglxmcAAACoEfdt3Z2P/+1jr7z+5WsvKLEGAE6P8RkAAABqxFc2dGXi6BH5ws9fnrbWlpwzdWzZSQBwyozPAAAAUAP6Bqr56uauXLtkRi6YMaHsHAA4bR44CAAAADXgoef25XDPQG5YNqvsFAAYEsZnAAAAqAF3bezMuLbWvHNhe9kpADAkjM8AAABQsoHBau7etCtXXzgjo0e2lp0DAEPCzWcAAAAoyYPb9uTTazand6Ca/Uf7csOymWUnAcCQMT4DAABASf7+oRez63BvrljYnisWtOfqxdPLTgKAIWN8BgAAgBIc7xvMg9v25EcumZf/fPOysnMAYMi5+QwAAAAleGDbnvT0V7N6qVMbADQm4zMAAACU4J5NuzJx9IhcOn9K2SkAMCyMzwAAAHCGDQxWc+9Tu3LNhTMystUfzQFoTG4+AwAAwBlSrRbp7hnIE9sP5OCx/qxeMqPsJAAYNsZnAAAAOEN+9Qvr86UnOpIkbSNa8q4LppVcBADDx/gMAAAAZ0BP/2Du2tiVKxa05+rF03PBjAkZN8ofywFoXH6XAwAAgDPg4ef25VjfYH7qivm5avH0snMAYNh5qgEAAACcAfdu2Z0xI1vz9vOnlp0CAGeE8RkAAACGWVEUuXfLrlyxsD2jR7aWnQMAZ4TxGQAAAIbZls7u7DzUk2svdG4DgObh5jMAAAAMk2q1SH+1mq9u3pUkbj0D0FSMzwAAADAMBqtFrv+jB7Jt95Ekycp5kzN9wuiSqwDgzDE+AwAAwDB45Pl92bb7SH7kknk5e+rYXLloWtlJAHBGGZ8BAABgGNy6tiPjR43Ib79/aca0ecggAM3HAwcBAABgiPX0D+bOJ7ty3dKZhmcAmpbxGQAAAIbYfU/tTnfvQG55y+yyUwCgNM5uAAAAwBDp6R9Mb381X1rbkWkTRuXy89vLTgKA0hifAQAAYAi8tP9YrvnDb6RvoJok+fg75qe1pVJyFQCUx/gMAAAAQ+DuTV3pG6jm169flHFtI3LTSic3AGhuxmcAAAAYAl/bsiuLZ07IJ65cUHYKANQEDxwEAACA03ToWH++88KBXHPh9LJTAKBmGJ8BAADgNN3/9O4MVotcc+GMslMAoGYYnwEAAOA03btld9rHt2XV3MllpwBAzTA+AwAAwCnqH6zmeN9g7t+6O1ctmp6WlkrZSQBQMzxwEAAAAE7BXRu78ol/ejzV4ruvndwAgO9nfAYAAIBT8IXHX8rU8aPyscvPzbi21lzrYYMA8H2MzwAAAHCSunv688C2vfmJt52TT161oOwcAKhJbj4DAADASfr6U7vTN1DNDctnlp0CADXL+AwAAAAn6a6NXZk2YVQuPvusslMAoGYZnwEAAOAkHOsbyP1b9+T6pTPT0lIpOwcAapabzwAAAHACNnYcyh1PdqbzUE+O9w/mhmVObgDAGzE+AwAAwAn4nds35zsv7s+IlkoWzZiQS+dPKTsJAGqa8RkAAADexN4jvXnsxf351NUL8+/ec0HZOQBQF9x8BgAAgDfx9S27Uy2S1UtmlJ0CAHXD+AwAAABv4u5NXZkzeUyWzp5YdgoA1A3jMwAAALyBo70DefCZvVm9dEYqlUrZOQBQN4zPAAAA8AYeeHpP+gaqWb1kZtkpAFBXPHAQAAAAXsPv3701j76wPzv2H8vksSPz1nPPKjsJAOqK8RkAAABeZe+R3vzf9z+Tc9vH5eypY/Pe5bMyotU/HgaAk2F8BgAAgFe5Z9OuVIvkz37solw4y0MGAeBU+GtbAAAAeJU7N3bm3Kljs3jmhLJTAKBuGZ8BAADgexw42pdvP7svNyyflUqlUnYOANQt4zMAAAB8j69u2ZXBapEbls0sOwUA6pqbzwAAAJBka1d39h/ty5ee2JE5k8dk+ZxJZScBQF0zPgMAAND0Xth7NNf/8QMpiu++/tl3nefkBgCcJuMzAAAATe/uTV0piuTPP3pxJo8ZmZXzJpedBAB1z/gMAABA07tn864snT0x1y115xkAhooHDgIAANDUdnf35IntB7J6ieEZAIaS8RkAAICmdu+W3SmKZPXSGWWnAEBDMT4DAADQ1O7Z1JV5U8Zk8cwJZacAQENx8xkAAICm093Tn88+uj29/dV865l9+ejbz0mlUik7CwAaivEZAACApvO5R1/KZ+54KknS1tqSm1fNLrkIABqP8RkAAICmc8/mriyeOSG3f+qKtFQqaW3wrZQcAAAgAElEQVTxrmcAGGpuPgMAANBU9h7pzWMvHsh1S2dmZGuL4RkAhonxGQAAgKZy75ZdKYpk9dIZZacAQEMzPgMAANBU7tm0K3Mmj8mSWRPLTgGAhmZ8BgAAoGkc7R3Ig8/szeqlM1KpOLcBAMPJAwcBAABoeA9u25O/+ebzOXS8P30D1axeMrPsJABoeN75DAAAQMP7k3u35bEXD2SwWuSGZTPz1nPPKjsJABqedz4DAADQ0HYf7sljLx7IL19zQX7p2oVl5wBA0/DOZwAAABra3Zu6UhTJe5c7tQEAZ5LxGQAAgIZ2x5NdOX/auCycMaHsFABoKsZnAAAAGta+I7155Pl9ee/yWWWnAEDTcfMZAACAhnPgaF+27z+W+7fuSbVIrl/m5AYAnGnGZwAAABpKURT5yF8+nKe6upMk89vHZcmsiSVXAUDzMT4DAADQULbu6s5TXd35t1fMz+ULpmbh9AmpVCplZwFA0zE+AwAA0FDWrO9MSyX5uSvPT/v4UWXnAEDT8sBBAAAAGkZRFLl9w85cfn674RkASmZ8BgAAoGFs7DicF/cdy40rZpWdAgBNz/gMAABAw1izYWdGtFRy/bKZZacAQNNz8xkAAIC61tM/mOv+6IF0HDiegWqRKxdNy+SxbWVnAUDTMz4DAABQ1x56bl9e3HcsH754bmZMHJ33r5pddhIAEOMzAAAAde7+p3Zn9MiWfPqWZRk9srXsHADgZW4+AwAAULeKosh9W/fkHee3G54BoMYYnwEAAKhbz+09mu37j+XKxdPLTgEAXsX4DAAAQN2676ndSZKrFk0ruQQAeDXjMwAAAHXrvq27c8GM8Zl71tiyUwCAV/HAQQAAAOrK/qN9+d2vbE5vfzWPPr8/H3/H/LKTAIDXYHwGAACgrnz+sZfypSc6cv60cVk4fUI+cNGcspMAgNdgfAYAAKCurNmwMyvnTc5tn3xH2SkAwBtw8xkAAIC68cLeo9nYcTg3rZhVdgoA8CaMzwAAANSNNRt2Jkneu9z4DAC1zvgMAABA3VizoTOXnHNWZk8eU3YKAPAm3HwGAACgpu0+3JOvPNmZ7p6BPNXVnd++aUnZSQDACTA+AwAAUNP+8KtP53PfeSlJMq6tNe917xkA6oLxGQAAgJo1WC3ytS278t7lM/N7H1yRUSNaMnpka9lZAMAJMD4DAABQs9ZuP5C9R/pyw7JZmTRmZNk5AMBJ8MBBAAAAatY9m3dlZGslVy6aVnYKAHCSjM8AAADUpKIocvemrlx+fnsmjPauZwCoN8ZnAAAAatK23Ufy4r5jWb10RtkpAMApcPMZAACAmnLr2o58dfOuvHTgWJLkPRcanwGgHhmfAQAAqBnVapHf/cqWDFSraR8/Kj/2trMzfeLosrMAgFNgfAYAAKBmrH3pYPYe6c0f/+iq3LxqTtk5AMBpcPMZAACAmnHP5q6MbK3kqsXTy04BAE6T8RkAAICaUBRF7tm0K5edNzUTR48sOwcAOE3GZwAAAGrCs3uO5Pm9R7N66cyyUwCAIWB8BgAAoCb8T/buPEjvwr7z/Ofpbt331a2bUzfiMvjABiMkZMA4dg7H9jhxHKfiJJvE8WbizcxUMpvZyWZqkp3ZiSe7s87YSSrX2BMnsWOwQCAjgx3MDToRlxBI6kP33fdv/7BCYUeAju7+PcfrVUWhp2nwmz+eqtbHD9/fvVs7kyS3LmsruQQAGAoeOAgAAEBpBgeL/NSXHsmL+47n8Mm+XLVgamZPGVt2FgAwBIzPAAAAlObJVw7lH188kFVLZqVt8th86Jp5ZScBAEPE+AwAAEBp1m3pyOjmpnz+Y9dkkocMAkBdcfMZAACAUhRFkXu2dOTGRTMNzwBQh4zPAAAAlGLT7iPZc/hUbl85p+wUAGAYGJ8BAAAoxbotHWlpquTWZW1lpwAAw8DNZwAAAEbM4GCRuze350RPf77xzN6867IZmTLeyQ0AqEfGZwAAAEbMAzu68qv/46nXXv/LtYtLrAEAhpPxGQAAgBHzzc0dmTy2Jd/8tRszpqU5syaNKTsJABgmxmcAAABGRG//YO7b1pE1y9syf9r4snMAgGHmgYMAAACMiIdfOpCj3f2544o5ZacAACPA+AwAAMCIWLe5PRNGN+c9i2aWnQIAjADjMwAAAMOuf2Aw67d1ZvWytowd1Vx2DgAwAtx8BgAAYNg8sKMrv/X3W9LTP5iDJ3pz+xWzy04CAEaI8RkAAIBh85cP78qpvoHcuqwtk8e15JZlrWUnAQAjxPgMAADAsDjW3ZeHnt+fn37XRfntO5eXnQMAjDA3nwEAABgW33q2K70Dg05tAECDMj4DAAAwLNZt7kjrpDG5duG0slMAgBIYnwEAABhyJ3v7s/G5rtx2xew0NVXKzgEASuDmMwAAAEPm5f0n8r2XDuSFruPp7hvMbU5uAEDDMj4DAAAwZP7l3zyTJ3YdSpLMnTI2b794eslFAEBZjM8AAAAMiT2HT+WJXYfyK6suz8ffuTBTx41OS7NrjwDQqM7qp4BKpfI7lUqleJM/+k5/X6VSqfxUpVL5cqVSeaFSqZysVCqvVCqVf6hUKu8Y3n8VAAAAynT3pr1Jkp+8bkHmTBmXcaObSy4CAMp0tp98/rskL5zh61cm+VySb5x+PSbJXyR5OsmXk+xMMifJLyZ5uFKpfKIoir+8oGIAAACq0l2b2nPl/ClZOGN82SkAQBU4q/G5KIpNSTb98NcrlcoXTv/yS6f/3J/k5qIovv1D3/ffk2xN8p8qlcpfF0UxeP7JAAAAVJtdB05k0+4j+Td3LC07BQCoEud9fKtSqUxI8tEku5PckyRFUfT/8PB8+uudSb6dpPX0HwAAANSBIyf78kLXsfz1o68kSd5/5dySiwCAanEhDxz8cJLJST5fFMXAWXz//CS9SQ5fwP8mAAAAVWJgsMj7/suD6TjanSR520XTMm/quJKrAIBqUSmK4vz+xkrloSTvTnJZURQ73+J770hyd5K/KIriE2/wPZ9O8ukkaWtre9uXv/zl8+qqB8ePH8/EiRPLzgBGiPc8NB7ve2g89fq+33FwIP/h0e68/5JRWTi5KZdPbcqMcef9H9hC3ajX9zzwxhr5fb9q1aoniqK47kx/7bzG50qlsiTJs0k2FEWx5i2+d1GS7yU5leSaoij2vdU//7rrrisef/zxc+6qFxs3bszNN99cdgYwQrznofF430Pjqdf3/e/etS1//vCuPPHbazJp7Kiyc6Bq1Ot7Hnhjjfy+r1Qqbzg+n+//Jf1zp//8xbf4H74kyYYkRZLbz2Z4BgAAoPoVRZH12zpzw+UzDM8AwBmd8/hcqVRaknwiyYEkf/8m33dxkgeSTExya1EUm88vEQAAgGqzo/NYXjl4MmuXzy47BQCoUufzyecPJGlL8pdFUfSc6RtOD88bk0zJ94fnp86zDwAAgCq0fmtnKpVkzfLWslMAgCrVch5/zz+d3PjSmf5ipVK5KN//xPPUfH94fuI82wAAAKgiRVHk3q0dOXyyL197ek+uXTgtrZPGlp0FAFSpcxqfK5XK3CS3JXn0TGc0KpXKpHx/eL44yX9NsuT0wwlf776iKDrPLxcAAICyPL7rUH7xL5987fXvfOCiEmsAgGp3rp98/mSS5rzxgwZnJLnk9K9/9Q2+Z1US4zMAAECN+ebm9oxuacq9n70pE0Y3Z9akMWUnAQBV7JzG56Iofi/J773JX385SeUCmwAAAKgyg4NF7tnSkZsWzcolMyeUnQMA1IDzeeAgAAAADeaZ3YfTfqQ7d6ycXXYKAFAjjM8AAAC8pXu2dGRUcyWrl7WVnQIA1AjjMwAAAG+qKIp8c0t7brhsZqaMG1V2DgBQI871gYMAAAA0iMdfPpjPfuXp9PYPputYT35l1eVlJwEANcT4DAAAwBn97ZO7c/BEbz5w5dyMG92cO6+cW3YSAFBDjM8AAAD8M0VR5IFn9+W9i2flP/7ElWXnAAA1yM1nAAAA/pnt7cfScbQ7q5a2lp0CANQo4zMAAAD/zAM7upIkNy+eVXIJAFCrjM8AAAD8Mxt3dOWKeZPTOnls2SkAQI0yPgMAAPADjpzsyxO7DmXVEic3AIDz54GDAAAAJEmefOVQvv7UnrQf6c5gkdxsfAYALoDxGQAAgCTJv/vGtmzbeyQTxrTk6gVTc/WCqWUnAQA1zPgMAABAdu4/kWdePZx/ffvS/MJ7Lys7BwCoA24+AwAAkK8/vSeVSvIjV88tOwUAqBPGZwAAgAZXFEW+/vTevOOS6ZkzZVzZOQBAnTA+AwAANLhNu49k5/4T+dDV88pOAQDqiJvPAAAADeorj72Sx18+lB2dxzK6uSm3r5xTdhIAUEeMzwAAAA3oRE9/fvvrWzO2pSkTx7TkZ264KFPGjSo7CwCoI8ZnAACABvTQ8/vS2z+YP/vZ63PDZTPLzgEA6pCbzwAAAA1o/bbOTBk3Km+/eHrZKQBAnTI+AwAANJj+gcFs2N6V1cta09Lst4UAwPDwUwYAAECDefTlgzlyqi9rl88uOwUAqGPGZwAAgAazfmtnxrQ05abFbj0DAMPHAwcBAAAaQHffQH7mTx7NvuM92Xv4VG5cNCvjR/stIQAwfPykAQAA0AAefG5fHtl5MO9dPCtXzJ2ST73nkrKTAIA6Z3wGAABoAOu3dWby2JZ88WeuyygPGQQARoCfOAAAAOpc/8BgNmzvzOplbYZnAGDE+KkDAACgzj2+61AOnezL2uVtZacAAA3E+AwAAFDn1m/tzOiWpty0eFbZKQBAA3HzGQAAoE4d6+5L30CR9ds6cuPlMzNhjN8CAgAjx08eAAAAdejB5/blE3/y6GuvP3PLohJrAIBGZHwGAACoQ1957NVMnzA6n7nl8owZ1ZwPXjO37CQAoMEYnwEAAOrMse6+3L+9Mx+9fkE++e5Lys4BABqUBw4CAADUmXu2dKSnfzA/cvW8slMAgAZmfAYAAKgzX396bxZOH59rF04tOwUAaGDGZwAAgDowOFik/cipbNt7NP/44v588Oq5qVQqZWcBAA3MzWcAAIA68J/vey5/9MALr73+oJMbAEDJjM8AAAA1riiK/MMze3PVgqn5F29fkLbJY3N568SyswCABmd8BgAAqHE7Oo/llYMn80s3X5aPXL+w7BwAgCRuPgMAANS89Vs7U6kkq5e1lp0CAPAa4zMAAECNW7+tI9cunJbWSWPLTgEAeI3xGQAAoIbtOXwqW/YczdrlbWWnAAD8ADefAQAAatCL+45n696jeeSlA0mStStml1wEAPCDjM8AAAA1piiKfOrPHsuuAyeTJMvnTM4lMyeUXAUA8IOMzwAAADVm0+4j2XXgZP7NHUtzy9K2zJ7i1jMAUH2MzwAAADXmrk17M6q5ko9ctzBTxo8qOwcA4Iw8cBAAAKCGDA4WuXtTe25aNMvwDABUNeMzAABADXnq1UPZe6Q7779yTtkpAABvytkNAACAGnC8pz9HT/Xlq0/syeiWpty6vK3sJACAN2V8BgAAqHInevpzw3/YkKPd/UmS961oy6SxTm4AANXN+AwAAFDlHnp+X4529+czt1ye+dPG56bFs8pOAgB4S8ZnAACAKnf/9q5MHtuSX129KKOaPboHAKgNfmoBAACoYgODRR54tis3L2k1PAMANcVPLgAAAFXs6VcP58CJ3qxe1lp2CgDAOTE+AwAAVLEN2zvT3FTJzYuNzwBAbXHzGQAAoAqd6OlP38BgNmzvyvUXT8uU8aPKTgIAOCfGZwAAgCrz1CuH8uP/7R8zWHz/9W+9f1m5QQAA58H4DAAAUGX+5+OvZuyo5vzG2iUZ3dKUH71mXtlJAADnzPgMAABQRXr6B3L3pvasXd6WT73nkrJzAADOmwcOAgAAVJGNO/blaHd/PujTzgBAjTM+AwAAVJGvP70nMyaMzo2Xzyw7BQDggji7AQAAULKiKNJ5tCcne/tz//aufOz6BWlp9lkhAKC2GZ8BAABK9t8feim/981nX3vt5AYAUA+MzwAAACX7xjPtWdI2KZ96z8WZNn50rl04rewkAIALZnwGAAAo0Z7Dp7J5z5H869uX5iPXLyw7BwBgyDgiBgAAUKL7tnYkSdaumF1yCQDA0DI+AwAAlGj9ts4sap2YS2ZOKDsFAGBIGZ8BAABKcvhkbx7ZeTBrV7SVnQIAMOTcfAYAABhhrx48madfPZxNuw9nYLDI2uVObgAA9cf4DAAAMML+l796Mpv3HEmSLJg+LivnTSm5CABg6BmfAQAARtDO/Seyec+R/Ootl+eDV8/NrElj09RUKTsLAGDIGZ8BAABG0F3P7E2SfOztCzN36riSawAAho8HDgIAAIyguza157qLphmeAYC6Z3wGAAAYIc93HsuOzmO588o5ZacAAAw74zMAAMAw6+4vsvfwqfzNE7tTqSR3rDQ+AwD1z81nAACAYdQ3MJh/9dCpHL7/W0mSd146Pa2Tx5ZcBQAw/IzPAAAAw+iRlw7mcE+RX7jp0lw6a0JuuGxm2UkAACPC+AwAADCM7t3akdHNyf966+KMHdVcdg4AwIhx8xkAAGCYDA4WuW9bZ1bObDY8AwANx/gMAAAwTDbvOZKOo925ttXwDAA0HuMzAADAMFm/rSPNTZVcNcvFQwCg8fgJCAAAYAgVRZGNz+3L8e7+3L2pPe+4ZHomju4uOwsAYMQZnwEAAIbQd184kJ/908dee/3zN12anNpZYhEAQDmMzwAAAEPoH57Zk4ljWvLVX3pXxrQ056Lp4/Pgg8ZnAKDxGJ8BAACGSG//YO7Z0pFbl7dl6ezJZecAAJTKAwcBAACGyHde2Jej3f2588o5ZacAAJTO+AwAADBE7nqmPZPHtuTGRbPKTgEAKJ2zGwAAABegKIrsO96Tnr7B3LetM7ddMTujW3zOBwDA+AwAAHAB/ufjr+Y3/3bza6/vvGpuiTUAANXD+AwAAHAB7trUnvnTxuVXVl2eSWNH5aZFM8tOAgCoCsZnAACA83Ssuy/fe+lAPnnDxfno2xeWnQMAUFUcIgMAADhPDz2/P30DRVYvays7BQCg6hifAQAAztP92zszZdyoXHfRtLJTAACqjvEZAADgPAwMFtm4Y19uXjIrLc1+awUA8MPcfAYAADgHRVHk6Kn+bNpzOAdP9Dq5AQDwBozPAAAA5+Bf/e3mfOXxV5MkLU2VvHfxrJKLAACqk/EZAADgLB3t7svXnt6TmxbPys2LZ+Wy1omZMm5U2VkAAFXJ+AwAAHCW7t7Unp7+wfzLWxfnqgVTy84BAKhqnooBAABwlv72id25vHVirpw/pewUAICqZ3wGAAA4Czv3n8jjuw7lx6+dn0qlUnYOAEDVc3YDAADgTTz1yqE833U8Dz2/P02V5EevmVd2EgBATTA+AwAAvIGe/oH89JcezfGe/iTJmmWtmT1lbMlVAAC1wfgMAADwBr730sEc7+nPf/rwVXnHpdPTNtnwDABwtozPAAAAb2DD9s6MHdWU9185J2NHNZedAwBQUzxwEAAA4AyKosiG7V15z+UzDc8AAOfB+AwAAHAGOzqPZc/hU1m9rK3sFACAmmR8BgAAOIMN27uSJKuXtpZcAgBQm9x8BgAAeJ3vvrA/h0725hvP7M2V86ek1UMGAQDOi/EZAADgtKdfPZyPf/GR117/5m1LS6wBAKhtxmcAAIDTvvbUnoxuacrf/dINGTe6ORfPmFB2EgBAzTI+AwAAJOkfGMxdm/Zm9dLWXDFvStk5AAA1zwMHAQAAknznhf3Zf7w3H7x6XtkpAAB1wfgMAACQ5OtP783ksS1ZtXRW2SkAAHXB2Q0AAKBhdfcN5J4tHentH8y9WzvyI1fNzZiW5rKzAADqgvEZAABoWH/1yCv593dte+31h6+bX2INAEB9MT4DAAAN6/5tnVnUOjF/+rPXZ+yo5sycOKbsJACAuuHmMwAA0JCOnOrLYy8fzJrlbZk/bbzhGQBgiBmfAQCAhvTt5/alf7DImmWtZacAANQl4zMAANCQNmzvzPQJo3P1gmllpwAA1CXjMwAA0HD6Bwazcce+rFrSmuamStk5AAB1yQMHAQCAhrH/eE++99KBvHrwVI6c6nNyAwBgGBmfAQCAhvHbX9uSdVs6kiQTx7TkxsWzSi4CAKhfxmcAAKAhHOvuy4Znu/Lht83Pp2+6NNMmjM7EMX5LBAAwXPykBQAANIT7t3emt38wH7l+QRa1TSo7BwCg7nngIAAA0BDueqY9c6aMzbULp5WdAgDQEIzPAABA3Ttysi8PPr8v7185J01NlbJzAAAagrMbAABA3erpH8ihE325Z0t7+gaK3HnV3LKTAAAahvEZAACoWx/+/x7Opt1HkiQLpo/LVfOnlFwEANA4jM8AAEBd2rn/RDbtPpKfeNv8vO2iabl6wdRUKk5uAACMFOMzAABQl+7b1pEk+eyaRZk/bXzJNQAAjccDBwEAgLq0fmtnVsydbHgGACiJ8RkAAKg7+4715IlXDmXt8tllpwAANCzjMwAAUHc2bO9MUSRrV7SVnQIA0LDcfAYAAOrGozsPputYd/7mid1ZMH1cls6eVHYSAEDDMj4DAAB14eX9J/KTX3j4tde/+N7LUqlUSiwCAGhsxmcAAKAurNvSkST5yqffmRkTR+fiGRNKLgIAaGzGZwAAoC6s29Keq+ZPyTsunVF2CgAA8cBBAACgDuw+dDKbdh/JbVfMKTsFAIDTjM8AAEDNu+f0yY3br5hdcgkAAP/E+AwAANS8dVs6smzO5Fw8051nAIBq4eYzAABQk17oOp5f+/JT6ekfzAtdx/Prty4uOwkAgNcxPgMAADXpy4++kuc6j2Xt8tlZOW9KPnr9grKTAAB4HeMzAABQcwYHi9y9uT03LZqV/+fj15adAwDAGbj5DAAA1JwnXzmU9iPdufOqOWWnAADwBozPAABAzblrU3tGtzRlzbK2slMAAHgDxmcAAKCmDJw+ubFqyaxMGjuq7BwAAN6Am88AAEBN2LLnSP7qkV05eqo/+4715M4r55adBADAmzA+AwAANeHzG57PAzu6Mn3C6KycNyWrl7WWnQQAwJswPgMAAFWvu28gDz2/Px+5fkF+90Mry84BAOAsuPkMAABUvYdfOpBTfQNZ7QGDAAA1w/gMAABUvQ3bOzN+dHPedemMslMAADhLxmcAAKCqFUWRb23vynsun5mxo5rLzgEA4CwZnwEAgKq2rf1o9h7pzhonNwAAaooHDgIAAFXpW8925qHn92dHx7FUKsmqpa1lJwEAcA6MzwAAQNUZGCzyv311U46e6s+YUU25Y+WczJo0puwsAADOgfEZAACoOo+/fDD7j/fmj/7FNbnzyrll5wAAcB7cfAYAAKrOui0dGdPSlFVLnNoAAKhVxmcAAKCqDA4WuWdLR25aPCsTxviPNQEAapXxGQAAqCpP7z6cjqPduWPl7LJTAAC4AD5GAAAAVIXe/sGc6hvIN57Zm1HNldyytK3sJAAALoDxGQAAKF1330Bu/P0Hsu9YT5Lk5iWzMmXcqJKrAAC4EMZnAACgdN99YX/2HevJz73nksydOi5rlnnQIABArTM+AwAApVu/tTOTxrbkN29bmtEtHk0DAFAP/FQHAACUamCwyP3bO3PL0lbDMwBAHfGTHQAAUKondh3KgRO9Wbt8dtkpAAAMIeMzAABQqvVbOzK6uSnvXTKr7BQAAIaQm88AAMCIK4oij+w8mFO9A7lna0feffmMTBzjtycAAPXET3cAAMCI27hjX372zx577fVnVi8qsQYAgOFgfAYAAEbcXZvaM2lsS/78U2/P6JamLJs9uewkAACGmPEZAAAYUX0Dg7l/e2duXdaWaxZOKzsHAIBh4oGDAADAiHr4xQM5cqovt6+cU3YKAADDyPgMAACMqHVb2jNhdHNuXDSz7BQAAIaR8RkAABgxA4NF1m/tzKqlrRk7qrnsHAAAhpGbzwAAwLD7xxf35w/u3ZFTvQM5cKI3dzi5AQBQ94zPAADAsPuT7+zMi13Hc+1F07JszuTcsrS17CQAAIaZ8RkAABhWx7r78uDz+/NT77go//YDy8vOAQBghLj5DAAADKtvPduV3v7B3L5ydtkpAACMIOMzAAAwrO7Z0pFZk8bkbQunlZ0CAMAIMj4DAADD5lTvQDbu2JfbVsxOU1Ol7BwAAEaQm88AAMCQ6zrWna17jmbLniM51TeQ269wcgMAoNEYnwEAgCH3K3/1VB59+WCSZNakMXn7JdNLLgIAYKQZnwEAgCHVebQ7j758MJ+84eJ86Jp5mTtlbFqaXfwDAGg0xmcAAGBI3bu1I0ny8XcszKK2SSXXAABQFh8/AAAAhtS6zR25vHWi4RkAoMEZnwEAgCFz4HhPHtl5wAMGAQAwPgMAAENn/bbODBbJbcZnAICG5+YzAABwwX7zq5vybMfR7D50Kgunj8/yOZPLTgIAoGQ++QwAAFyQF/cdz1cefzUDRZGV86fkc+9bkkqlUnYWAAAl88lnAADggqzf2pkk+eOfvi5zp44ruQYAgGrhk88AAMAFWb+tI1fOn2J4BgDgBxifAQCA89Z1tDtPvXI4a5e3lZ0CAECVMT4DAADn7b7t3z+5sXbF7JJLAACoNm4+AwAA52xwsMhgUeTerZ25eMb4LGqdWHYSAABVxvgMAACck1cPnsxt/+XBnOgdSJL8/I2XpFKplFwFAEC1MT4DAADn5Jub23OidyCfueXyjB3dnA+/bUHZSQAAVCHjMwAAcE7Wb+vMFfMm59fXLik7BQCAKuaBgwAAwFnrOtadJ185lLXLPWAQAIA3Z3wGAADO2obtXSmKZO2KtrJTAACocsZnAADgrK3f2pGF08dnSdukslMAAKhybj4DAABvqm9gMM+8ejg9/YP57gsH8ol3XZRKpVJ2FgAAVc74DAAAvKm/eHhX/o+7tr32+vaV7j0DAG5sCk0AACAASURBVPDWjM8AAMCbWrelPYvbJuZ3fmRFJo5pyZXzp5adBABADTA+AwAAb2j/8Z48vutQfm31otxw2cyycwAAqCEeOAgAALyhDds7UxTJ2uVObQAAcG6MzwAAwBtav7Uz86eNy7I5k8pOAQCgxhifAQCAMzrR05+HXtiftctnp1KplJ0DAECNcfMZAAD4ATs6juX+7Z159eDJ9PYPZu2KtrKTAACoQcZnAADgB/zW1zbnsZcPJUkunjE+1100reQiAABqkfEZAAB4TdfR7jy+61A+s3pRfnnVZRnV1JSmJic3AAA4d8ZnAADgNfdu7UhRJB+4ck7GtDSXnQMAQA3zwEEAAOA167Z05LJZE7KobVLZKQAA1DjjMwAAkCQ5cLwnj+w8mNuvmFN2CgAAdcD4DAAAJEnu29aZgcEit6+cXXYKAAB1wM1nAABocB//4vfy2M5D6R8czMLp47N8zuSykwAAqAPGZwAAaGAv7Tue775wIGuWtWVR28S8d/GsVCqVsrMAAKgDxmcAAGhg67d1Jkn+3QdXZN7UcSXXAABQT9x8BgCABrZ+a0eumDfZ8AwAwJAzPgMAQIPqOtqdp149nLXLPWAQAIChZ3wGAIAGdf/2rhRFsnZFW9kpAADUITefAQCgwew6cCJHTvXlH57Zk4tmjM+StkllJwEAUIeMzwAA0EB2HTiRVf/XxgwW33/9CzddmkqlUm4UAAB1yfgMAAAN5N6tHRkskj/86NWZPHZU3n7J9LKTAACoU8ZnAABoIOu3dmbF3Mn54NXzyk4BAKDOeeAgAAA0iH3HevLEK4eydvnsslMAAGgAxmcAAGgQG7Z3piiStSvayk4BAKABGJ8BAKBBrN/WmQXTx2Xp7EllpwAA0ADcfAYAgDp2src/f/fknvT2D+Y7L+zPT7/zolQqlbKzAABoAMZnAACoY3/9yCv53bu3J0maKskHrppbchEAAI3C+AwAAHVs/dbOLJ09KV/+9DszqrkpE8b4LQAAACPDzWcAAKhT+4/35PFdB/O+FbMzdfxowzMAACPK+AwAAHXqW9u7Mlgka1e0lZ0CAEADMj4DAECdWr+tI/OmjsvyOZPLTgEAoAEZnwEAoA6d6OnPg8/vz9oVbalUKmXnAADQgBx9AwCAOvLwiwfyV4/syqGTventH8za5bPLTgIAoEEZnwEAoI783/c9ly17j2TOlLG5afGsXH/xtLKTAABoUMZnAACoE13HuvPYroP5tdWL8tk1i8vOAQCgwbn5DAAAdeLerZ0piuSOlXPKTgEAAOMzAADUi3Wb23PprAlZ1Dqx7BQAADA+AwBAPTh4ojeP7DyY26+YnUqlUnYOAAC4+QwAALXseE9/Oo6cyn3bujIwWOT2K5zcAACgOhifAQCghn3kCw9n696jSZKLZozPirmTSy4CAIDvMz4DAECN2rn/RLbuPZqPv2Nh3nnpjCyfO9nJDQAAqobxGQAAatR92zqSJL9082WZP218yTUAAPCDPHAQAABq1PqtnVkxd7LhGQCAqmR8BgCAGrTvWE+eeOVQ1i6fXXYKAACckfEZAABq0IbtnSmKZO2KtrJTAADgjNx8BgCAGrJxR1c6j3bny4+9moXTx2fp7EllJwEAwBkZnwEAoEa8vP9EPvmnj732+pdXXZZKpVJiEQAAvDHjMwAA1Ih1WzqSJF//5XendfKYtE0aW3IRAAC8MeMzAADUiHVb2nPV/Cm5asHUslMAAOAteeAgAADUgN2HTmbT7iO57Yo5ZacAAMBZMT4DAEANuOf0yY3br5hdcgkAAJwd4zMAANSAdVs6smzO5Fw8c0LZKQAAcFbcfAYAgCr1fOex/PyfP56e/sG0H+nOr9+6uOwkAAA4a8ZnAACoUl957NXsOXwqP3rNvIxuacrH3r6w7CQAADhrxmcAAKhCg4NF7t7cnpsWzcrv/8RVZecAAMA5c/MZAACq0JOvHEr7ke7cedWcslMAAOC8nNX4XKlUfqdSqRRv8kff67737ZVK5fOVSuW7lUrl+Om//slh+zcAAIA6dNem9oxuacqaZW1lpwAAwHk527Mbf5fkhTN8/cokn0vyjdd97Y4kv5zk2STPJLnhQgIBAKDRDJw+ubFqyaxMGjuq7BwAADgvZzU+F0WxKcmmH/56pVL5wulfful1X/5vSf6gKIoTlUrlJ2J8BgCAs/L0q4fzJ9/ZmeM9/dl3rCd3Xjm37CQAADhv5/3AwUqlMiHJR5PsTnLPP329KIrOIegCAICG8/8+8EK+/dy+zJs2Lu+4ZHpWL2stOwkAAM7beY/PST6cZHKSzxdFMTBEPQAA0JC6+wby0PP78+Hr5ud3P7Sy7BwAALhgFzI+/1ySIsmfDEVIpVL5dJJPJ0lbW1s2btw4FP/YmnT8+PGG/veHRuM9D43H+54z2bSvP6f6BtLa15mNGw+UncMQ876HxuI9D43H+/7Mzmt8rlQqS5K8J8mGoih2DkVIURR/nOSPk+S6664rbr755qH4x9akjRs3ppH//aHReM9D4/G+50zu/9rmjBu1J5/+0KqMHdVcdg5DzPseGov3PDQe7/szazrPv+/nTv/5i0MVAgAAjaooinxre1fes2im4RkAgLpxzuNzpVJpSfKJJAeS/P2QFwEAQIPZ1n40e490Z40HDAIAUEfO5+zGB5K0JfnDoih6hrgHAAAaxrrN7XlgR1de2nciSbJqqfEZAID6cT7j8z+d3PjSUIYAAEAjGRgs8ttf35JTvQOZPG5UfuzaeWmdNLbsLAAAGDLnND5XKpW5SW5L8mhRFJvf4HsuSvLTp1+uOP3nD1Qqlfmnf/0XRVHsOp9YAACoF4+/fDD7j/fmv37smnzgqrll5wAAwJA7108+fzJJc978QYOXJPn3P/S1Hzv9R5J8J4nxGQCAhrZuS0fGtDQ5tQEAQN06p/G5KIrfS/J7b/E9G5NULqAJAADq2uBgkXu2dOSmxbMyccz5XMIDAIDq11R2AAAANJqndx9Ox9Hu3LFydtkpAAAwbHzMAgAARsjxnv4cPdWXv39yT0Y1V3LL0raykwAAYNgYnwEAYAR09w3kpt9/IAdP9CZJVi2ZlSnjRpVcBQAAw8f4DAAAI+DRnQdz8ERvfvG9l+WSmePznkWzyk4CAIBhZXwGAIAR8MCOroxpacpn1yzK2FHNZecAAMCw88BBAAAYARt37MsNl80wPAMA0DCMzwAAMMx27j+RnftPZNXS1rJTAABgxBifAQBgmD3wbFeS5ObFxmcAABqH8RkAAIbZAzu6ctmsCVk4Y3zZKQAAMGI8cBAAAIZB17Hu/MpfP5WTvf15tv1YPnnDxWUnAQDAiPLJZwAAGAZ3b2rPozsPZubEMVm9rDUfe8fCspMAAGBE+eQzAAAMgw3bv39q489+9u1lpwAAQCl88hkAAIbYse6+PLLzQNYsays7BQAASmN8BgCAIfbgc/vTN1BktfEZAIAGZnwGAIAhtmF7Z6aOH5VrF04tOwUAAEpjfAYAgCE0MFjkgR1dWbWkNS3NftwGAKBxeeAgAAAMgSd2Hcof3PtsTvUO5NDJvqxe1lp2EgAAlMpHMQAAYAh86TsvZfPuIxk/uiW3rZidW5YanwEAaGw++QwAABfoVO9AHnh2X37s2nn5P390Zdk5AABQFXzyGQAALtC3n9uXU30DuWPlnLJTAACgahifAQDgAq3b0p5p40flHZdMLzsFAACqhvEZAAAuQE//QDZs78ra5bPT0uzHawAA+CduPgMAwHk4dKI329uPZlv70Rzv6c9tK2eXnQQAAFXF+AwAAOfh177ydB58bl+SZNr4UXn3ZTNLLgIAgOpifAYAgHN0tLsv//jC/vzE2+bnw2+bn3nTxmV0i5MbAADwesZnAAA4Rw8+ty/9g0U+cv2CXH+xhwwCAMCZ+HgGAACcow3buzJt/Khcu3Ba2SkAAFC1jM8AAHAO+gcG88COrqxa0prmpkrZOQAAULWMzwAAcA6efOVwDp/sy+plbWWnAABAVXPzGQAAzkLHke60HzmVrz7xakY1V3LT4pllJwEAQFUzPgMAwFvoGxjMHZ9/KAdP9CZJblo8K5PGjiq5CgAAqpvxGQAA3sJjOw/m4Ine/MbaxVkxb0pWzptSdhIAAFQ94zMAALyF+7d3ZXRLUz71nksyfrQfoQEA4Gx44CAAALyJoiiy4dnO3HDZDMMzAACcA+MzAAC8iRf3Hc+uAyezellb2SkAAFBTjM8AAPAm7t/elSS5ZWlrySUAAFBb/HeDAABwBnsOn8rx7v7cs6Ujy+ZMzryp48pOAgCAmmJ8BgCAH7Kj41hu+8MHUxTff/2Z1YvKDQIAgBpkfAYAgB/y9af3pKlSyX/+yFUZ09KcmxbPLDsJAABqjvEZAABepyiK3LWpPTdcNiMfvHpe2TkAAFCzPHAQAABeZ/OeI3nl4MnceeWcslMAAKCmGZ8BAOB17trUnpamSt63YnbZKQAAUNOMzwAAcFpRFLl7U3tuXDQzU8ePLjsHAABqmpvPAAA0vIee35fP/I+n0jdQ5HhPf3791sVlJwEAQM0zPgMA0PC++sTuDAwW+cnrFmTCmOa8371nAAC4YMZnAAAaWv/AYDbu2Jc1y9vybz+wvOwcAACoG24+AwDQ0B7fdShHTvVlzbK2slMAAKCuGJ8BAGho33q2K6OaK7lx0cyyUwAAoK4YnwEAaGj3b+/MOy+dkUljR5WdAgAAdcX4DABAw9q5/0Re2nciq5e2lp0CAAB1xwMHAQBoOF986KV84cGX0tM3kCRZ7d4zAAAMOeMzAAANpSiK/Ol3X86ksS1Zs6wtl86ckAXTx5edBQAAdcf4DABAQ9nWfjR7Dp/K7//4lfnJ6xeUnQMAAHXLzWcAABrK+q2dqVSSW5a58wwAAMPJ+AwAQENZv60z1100LTMnjik7BQAA6prxGQCAhvHqwZPZ3n40a5fPLjsFAADqnpvPAADUvZO9/TlwvDd/9+SeJMmty9tKLgIAgPpnfAYAoK4VRZEf+aPv5oWu40mSpbMn5eKZE0quAgCA+md8BgCgrm3dezQvdB3PJ951Ua6cPzXXLJxadhIAADQE4zMAAHVt3Zb2NDdV8tk1izN9wuiycwAAoGF44CAAAHWrKIqs29yRd1463fAMAAAjzPgMAEDdeq7zeF7afyK3XTGn7BQAAGg4xmcAAOrWui3tqVSS961oKzsFAAAajpvPAADUlYHBIr9/z7PZd7wn33l+f66/aHpaJ40tOwsAABqO8RkAgLryyEsH8oUHX0rb5DEZO6o5n3z3xWUnAQBAQzI+AwBQV9Zv68zYUU3Z+BurMm50c9k5AADQsNx8BgCgbhRFkfVbO3LjolmGZwAAKJnxGQCAurF179HsPdKdW5d7wCAAAJTN+AwAQN1Yv7UjTZVk9dLWslMAAKDhGZ8BAKgb67d15rqLp2fGxDFlpwAAQMPzwEEAAGratr1H89mvPJWe/sHsOnAyv/X+ZWUnAQAAMT4DAFDj/vbJ3Xl5/8ncvnJ23nnJjPzYtfPLTgIAAGJ8BgCghhVFkQ3bO/Ouy2bkDz96Tdk5AADA67j5DABAzXpx34m8fOBk1izzgEEAAKg2xmcAAGrWhu2dSZJblrWVXAIAAPww4zMAADVrw/auLJszOfOmjis7BQAA+CHGZwAAatLhk715fNdBJzcAAKBKeeAgAAA15e5N7fmjB17Iyd7+DBbJaic3AACgKhmfAQCoKX/80EvZf7wn1yyYmlVLWnPlvCllJwEAAGdgfAYAoGZ0HOnOM68ezufetyS/vOrysnMAAIA34eYzAAA1477tnUmS961wagMAAKqd8RkAgJqxfmtHLpk5IZfNmlh2CgAA8BaMzwAA1IQjp/ry8IsHsnZ5WyqVStk5AADAW3DzGQCAqjY4WKS7fyD3betM/2CRtU5uAABATTA+AwBQ1T75Z4/lwef2JUlmThyTqxdMK7kIAAA4G8ZnAACqVvuRU3nwuX25bcXsXLNwaq5ZOC3NTU5uAABALTA+AwBQte7Z0pEk+dxtSzxkEAAAaowHDgIAULXWbenIkrZJhmcAAKhBxmcAAKpS17HuPPbywdx2xeyyUwAAgPNgfAYAoCqt39qZokjuWDmn7BQAAOA8uPkMAEDVKIoif/7wruw5fCrferYrl86ckMVtTm4AAEAtMj4DAFA1Xug6nv/9H7ZmdEtTWpoq+Y21S1KpVMrOAgAAzoPxGQCAqnH/9q4kybc/d3PmTBlXcg0AAHAh3HwGAKBqbNjemRVzJxueAQCgDhifAQCoCgdP9ObJVw5l9bK2slMAAIAhYHwGAKAqbNzRlcEiWbOstewUAABgCBifAQCoChu2d6V10phcMXdK2SkAAMAQ8MBBAABK0903kD/97svp7hvIt5/blw9cNSdNTZWyswAAgCFgfAYAoDR//9Se/Md7/n/27jzKzvo+E/xza9UuVJKqSkIgEGgXYAxms1klZMRgO+nYGXfi7HuOEyfpdE6S6Uyn0z3JnLQ7E3d6so2TTnK6szqxYxMEBcIYsMEYMCBVSQIkQAjVon0rlWq784cxjbEMWqrqvbfu53MOp/TeuoUe/XFP3fc5v/v9bkuSNNXX5UPvOr/gRAAAwFhRPgMAUJh7Nndn8dxpeeiXb0mp5MQzAABMJmY+AwBQiMP9Q3lsx/7csaZd8QwAAJOQ8hkAgELcv7U3w6Pl3LlmQdFRAACAcaB8BgCgEBs3d+f886bm8kWzi44CAACMA+UzAAAT7ujAUB55YZ+RGwAAMIlZOAgAwIT5myd25dc/uznl8jeuN6xpLzYQAAAwbpTPAABMmM88tTsXzJmW777y/Myb0ZSrFs8pOhIAADBOlM8AAEyI/cdO5uldB/Pzty3NL96+rOg4AADAODPzGQCACfHF7XtTLifrVrYVHQUAAJgAymcAACbEpq29aZvVnDXnzyo6CgAAMAGUzwAAjLvB4dE8/Pze3LaiLaVSqeg4AADABFA+AwAw7r760v4cHxzJupWtRUcBAAAmiIWDAACMm9/43JZ85qndGR4dzZTGurz30nlFRwIAACaI8hkAgHExMDSSzzy1OysXzMzVF7Xk8kWzM6WxvuhYAADABFE+AwAwLh7bsT8nhkbyiXXLcvOy+UXHAQAAJpiZzwAAjIsHtvZmelN9rlvSUnQUAACgAMpnAADGXLlczoPb+nLj0vlpbjBqAwAAapHyGQCAMde550i6Dw9k7crWoqMAAAAFUT4DADDmNm3tS6mU3LpC+QwAALXKwkEAAMbE6Gg5H/3Tx7Nj77EcHRjOlRecl3kzmouOBQAAFET5DADAmHhq18E88fKBrFvZlvbZzfnQu84vOhIAAFAg5TMAAGNi4+aeNNXX5f/536/IzCmNRccBAAAKZuYzAADnrFwu594t3blp2TzFMwAAkET5DADAGHh29+HsOTyQO9YsKDoKAABQIZTPAACcs41butNQV8rtK9uKjgIAAFQIM58BADgro6PlfO6Z13L85HDufrY7N1w6L7OnGbkBAAB8g/IZAICz8uC2vvzS3z/7xvW/ff/yAtMAAACVRvkMAMBZuWdLd2ZPbcz9v3hTmhrqct60pqIjAQAAFUT5DADAGRscHs39Xb1Zv6o9rbOmFB0HAACoQBYOAgBwxr6yY1+ODgznzsvai44CAABUKOUzAABnbOPmnsxobsj7ls4rOgoAAFChlM8AAJyR4ZHRdHT1ZO3K1jQ31BcdBwAAqFBmPgMAcFq+uK0vv/KPz2VoZDSH+oeyYY2RGwAAwHemfAYA4LT87dd2ZWS0nLsuX5BZUxpz24q2oiMBAAAVTPkMAMA7GhgaySMv7Mt3X3l+/tN3XVZ0HAAAoAqY+QwAwDt6fOf+9A+OZN1Kp50BAIDTo3wGAOAdbdral6mN9bn+krlFRwEAAKqE8hkAgLdVLpezaWtv3rd0XqY01hcdBwAAqBLKZwAA3tbW7qPZc3gg61a2Fh0FAACoIhYOAgBwSn/8pR35H4+/kv7BkSTJrSuUzwAAwOlTPgMA8G1GR8v59CMvZfbUhlxzcUtWts9K68wpRccCAACqiPIZAIBv8/VXD2XfsZP5jbtW5kPvOr/oOAAAQBUy8xkAgG/T0dWThrpSbllu1AYAAHB2lM8AAHyLcrmcjs7eXH/J3Mye2lh0HAAAoEopnwEA+BYv9h3LS/uOZ/2qtqKjAAAAVczMZwAAkiQDQyM5fGIo//zMniTJOuUzAABwDpTPAABkdLSc9//+w3llf3+S5IpFs7Ng9tSCUwEAANVM+QwAQJ7edTCv7O/PD99wUZa1zcy1S1qKjgQAAFQ55TMAALlnc0+a6uvyb9Yvy8wplgwCAADnzsJBAIAaVy6Xc19nT25cOk/xDAAAjBnlMwBAjXtu9+G8duhENly2oOgoAADAJKJ8BgCocfds6U5DXSm3r2wrOgoAADCJmPkMAFCDhkZG8186ns/hE4O5v6svN1w6L7OnGbkBAACMHeUzAEAN+tL2vfnjL+3I3OlNaWqoyw9ct7joSAAAwCSjfAYAqEH3bOnOrCkNeezX1qapwSQ2AABg7LnTAACoMYPDo3mgqze3r2pXPAMAAOPG3QYAQI15bOf+HBkYzoY17UVHAQAAJjHlMwBAjdm4uTszmhvyvqXzio4CAABMYmY+AwDUiAPHBzM4PJqOrt7ctqI1Uxrri44EAABMYspnAIAacPdze/Lxv/76G9d3XmbkBgAAML6UzwAANeCfn9mT1pnN+cS6pZnWVJ/bVymfAQCA8aV8BgCY5E4MjuSRF/bme6++IN9/7eKi4wAAADXCwkEAgEnu4Rf2ZmBoNOuddgYAACaQ8hkAYJLr6OzNrCkNuXZJS9FRAACAGqJ8BgCYxIZHRrNpW2/WrmxLY723fgAAwMQx8xkAYBLad+xknnjpQHYd6M+h/qGsX9VWdCQAAKDGKJ8BACahf/fZLbm3sydJMqO5ITctm19wIgAAoNYonwEAJpnjJ4fzxe19+Z53L8pP3rQkLdObMr3Z2z4AAGBiuQsBAJhkHtq+NyeHR/ORqxdlefvMouMAAAA1ytYZAIBJ5p4t3Zk3oynvuail6CgAAEANUz4DAEwiA0Mj+eK2vqxf3Z76ulLRcQAAgBqmfAYAmES+9Pze9A+OZMOa9qKjAAAANc7MZwCASeA3P9+Zr+zYl/3HBjN7amOuWzK36EgAAECNUz4DAFS5vUdP5i8fezmrF87KNRe35LYVrWms9wE3AACgWMpnAIAqd19nT8rl5JMfuSIr2mcVHQcAACCJmc8AAFXv3i09WTJvepa3zSw6CgAAwBuUzwAAVezg8cE8tnN/7ljTnlKpVHQcAACANyifAQCq2P1dvRkZLWfDmgVFRwEAAPgWZj4DAFShJ146kH3HTubvnnw1i+ZMzZrzzXoGAAAqi/IZAKDK7Nh7LN/7J4+9cf2zt1xi5AYAAFBxlM8AAFXmC8/uSamU/P1PXZ/ZUxuzZN70oiMBAAB8G+UzAEAVKZfLufu57lxzUUvec1FL0XEAAAC+IwsHAQCqyPbeo3mx71juumJh0VEAAADelvIZAKCK3P1sd+pKyYY17UVHAQAAeFvGbgAAVIFD/YMZHB7N3c/tyQ2XzMu8Gc1FRwIAAHhbymcAgAr38PN784N//sQb1z998yUFpgEAADg9ymcAgAr3+Wf3ZOaUhvzqhhVpbqjPB817BgAAqoDyGQCggg2PjGbT1t6sXdGa7792cdFxAAAATpuFgwAAFezJVw7mYP9Q1q+2YBAAAKguymcAgArW0dmbpoa63LRsftFRAAAAzojyGQCgQpXL5XR09eR9l87LjGbT0gAAgOriLgYAoMIc6h/M4zv3Z+/Rk9l98ER+7rZLi44EAABwxpTPAAAV5rfv2Zq/f3J3kqSpoS5rV7YVnAgAAODMKZ8BACrI0Mho7uvszftXt+UXb1+WOdOaMm9Gc9GxAAAAzpjyGQCggjy+c38OnxjK97x7UVa0zyo6DgAAwFmzcBAAoILcs7kn05rqc9Oy+UVHAQAAOCfKZwCACjEyWs79XT25bUVrpjTWFx0HAADgnCifAQAqxNdePpB9xwazYc2CoqMAAACcMzOfAQAK9l86tqejszcH+gfT3FCXW5YbuQEAAFQ/5TMAQIGOnRzOnzy8MxfPnZ6rLpyTGy6dm+nN3qIBAADVz50NAECBHtzWl8Hh0fzH71qTay5uKToOAADAmDHzGQCgQPdu6c68Gc25avGcoqMAAACMKeUzAEBBTgyO5Ivb9uaONW2prysVHQcAAGBMKZ8BAArypef7cmJoJBvWLCg6CgAAwJgz8xkAYILtODSSE5u789dP7MqcaY251qxnAABgElI+AwBMoL6jA/m/vjqQ0cefTpJ8/7UXpqHeh9EAAIDJR/kMADCBNm3ty2g5+f9+8Opc0DI1S+bNKDoSAADAuFA+AwBMoI7OnsyfWsq6la0plSwZBAAAJi+f8QQAmCDHTg7nyy/uz7vb6hXPAADApKd8BgCYIF/avjeDI6N5d6sPnwEAAJOf8hkAYILc19mTlulNWTrHWzAAAGDyc+wGAGAc9Q8O548e2pETgyN5cFtf7rysPXWlg0XHAgAAGHfKZwCAcfT5Z/bkDx58MdOa6tPUUJfvefeinNilfAYAACY/5TMAwDjq6OrNojlT88iv3PrGksGHdhUcCgAAYAIYOAgAME6OnRzOoy/uy/pV7W8UzwAAALVC+QwAME4efn5vBodHs351W9FRAAAAJpzyGQBgnHR09mTOtMZcvXhO0VEAAAAmnPIZAGAcDI2MZtO2vqxd2ZaGem+5AACA2mPhIADAGPrKjn355H3bc2JoNEcHhrN+lZEbAABAbVI+AwCMob/48st5oe9YrrxwTpa3LcxNy+YXHQkAAKAQymcAgDEyMDSSR17Ylw9ftSj/8bvWFB0HAACguQngnwAAIABJREFUUAYQAgCMkcd27s+JoZGsXdladBQAAIDCKZ8BAMbIpq29mdZUn+uWzC06CgAAQOGUzwAAY6BcLufBrX25cem8TGmsLzoOAABA4ZTPAABjoKv7SPYcHsjalW1FRwEAAKgIFg4CAJyDv3liV77w7J70HhlIqZTctsK8ZwAAgET5DABw1kZGy/nkfdvTWF+XC1qmZt3Ktsyb0Vx0LAAAgIqgfAYAOEtPvHQg+48P5g+//92587IFRccBAACoKGY+AwCcpY1bujOlsS63LJ9fdBQAAICKo3wGADgLo6Pl3LulJ7csa820Jh8mAwAAeCvlMwDAWXh618H0HT2ZDZe1Fx0FAACgIjmmAwBwBl490J/uwwP52yd2pam+LretaC06EgAAQEVSPgMAnKaBoZHc+alHcvTkcJLk9lVtmTmlseBUAAAAlUn5DABwmr6yY1+OnhzOb9y1KivaZ2bNwtlFRwIAAKhYymcAgNP0wNa+TG+qz8euuzDNDfVFxwEAAKhoFg4CAJyGcrmcB7f25cal8xXPAAAAp0H5DABwGjr3HEnPkYGsXWnBIAAAwOlQPgMAnIYHtvamVEpuXaF8BgAAOB1mPgMAvI2X9h3P8ZPDua+zN1decF7mzWguOhIAAEBVUD4DAHwHm3cfzgf+26NvXP/qhhUFpgEAAKguymcAgO/gC8/tSWN9KX/wr69Mc0N9rr9kbtGRAAAAqobyGQDgFMrlcu7Z3J33Xjovd6xZUHQcAACAqmPhIADAKXTuOZLdB09kw5r2oqMAAABUJeUzAMApbNzSnfq6Um5fpXwGAAA4G8pnAIC3KJfL2bi5J9ctaUnL9Kai4wAAAFQlM58BAF63vedofu/+7RkYGs3Ofcfzo++7uOhIAAAAVcvJZwCA1/3Zozvzxe17s+/YyVy3pCX/22UWDQIAAJwtJ58BAJIMjYymo6s3d65pz+9/9Mqi4wAAAFQ9J58BAJJ8deeBHOofygannQEAAMaE8hkAIMnGLd2Z1lSfm5fNLzoKAADApKB8BgBq3shoOfd19uTW5a2Z0lhfdBwAAIBJwcxnAKBm9Q8OZ+fe49neczT7jg1mw2XtRUcCAACYNJTPAEDN+pXPPJe7n+tOkkxtrM+ty1sLTgQAADB5KJ8BgJo0MDSSB7f15f2r2/Lhqy7IBS1TM73ZWyMAAICx4g4LAKhJj+/cn/7BkXz0mgudeAYAABgHFg4CADVp09a+TGuqz/VL5hYdBQAAYFJSPgMANadcLmfT1t6879J5mdJYX3QcAACASUn5DADUnK3dR7Pn8EDWrjRuAwAAYLycVvlcKpV+s1Qqld/mv6G3PH95qVT6XKlUOlgqlY6XSqVHSqXSbePzTwAAOD1HBoayY++xfO6Z15Ikt65QPgMAAIyX0104+E9JXjzF45cn+bdJvvDNB0ql0iVJvpJkOMnvJjmc5CeS3FcqlTaUy+UHzikxAMBZGB0t585PPZLdB08kSa688Ly0zpxScCoAAIDJ67TK53K5/FyS5976eKlU+pPX//hnb3r4d5Kcl+Sqcrn8zOvP+6sknUn+31KptKJcLpfPKTUAwBl6dveh7D54Ij9x48VZc/7svPvCOUVHAgAAmNRO9+TztymVStOTfDTJ7iT3vumxDyZ56JvFc5KUy+VjpVLp00l+K8l7kjxxLqEBAM5UR1dvGupK+fitSzN7WmPRcQAAACa9c1k4+JEks5L8RblcHnn9scuTNCd57BTPf/z1r+85h78TAOCsdHT25LolcxXPAAAAE+SsTz4n+bEk5SR//qbHFr7+9bVTPP+bj51/qv9ZqVT6ySQ/mSRtbW156KGHziFadTt27FhN//uh1njNw/jbc2w0O/aeyPXzhiri9eZ1D7XH6x5qi9c81B6v+1M7q/K5VCotT/K+JJvK5fJLb/rWtNe/njzFjw285Tnfolwu/2mSP02Sq6++unzLLbecTbRJ4aGHHkot//uh1njNw/j7o4d2JNmWn/3Q+7LwvKlFx/G6hxrkdQ+1xWseao/X/amd7cnnH3v966ff8nj/61+bT/EzU97yHACAcfVAV28O9A/mc19/LZcvml0RxTMAAECtOOPyuVQqNST5wST7k3z2Ld/e8/rXU43W+OZjpxrJAQAwpp559VB+/K+efOP6/7hzZYFpAAAAas/ZnHz+QJK2JJ8ql8tvHa+xOd8YuXH9KX7uute/PnmK7wEAjKmNm7vTWF/KPT9/Y2ZMaUj7rCnv/EMAAACMmbqz+Jlvjtz4s7d+o1wuH0vyhSS3lEqlK775eKlUmpHkx5O8kOSJs/g7AQBOW7lczj1bunPDJfOytG1mFsyemlKpVHQsAACAmnJG5XOpVFqY5I4kT5TL5c3f4Wm/luRwko5SqfSrpVLpZ5M8km+M3fi5crlcPpfAAADvpHPPkbx64ETuvKy96CgAAAA160zHbvxwkvp8+6LBN5TL5RdLpdJ7k/zfSX41SVOSp5PcUS6XHzjLnAAAp23jlu7U15Vy+yrlMwAAQFHOqHwul8u/neS3T+N5W5N86GxDAQCcrXK5nI1benLdkpa0TG8qOg4AAEDNOpuFgwAAFefruw7mF/7umQwNj2bP4YH8yHsvLjoSAABATVM+AwCTwt88sSt7j57MnZctyNTG+nzoXQuLjgQAAFDTlM8AQNUbGhlNR1dv1q9qyyc/ckXRcQAAAEhSV3QAAIBz9dWdB3Kofyh3rFlQdBQAAABep3wGAKrexi3dmdpYn5uXzS86CgAAAK9TPgMAVW1ktJz7Ontz24rWTG2qLzoOAAAArzPzGQCoSj2HB/LwC3vTfWgg+46dzB1r2ouOBAAAwJsonwGAqvSbn+/MvZ09SZJZUxpy64rWghMBAADwZspnAKDqnBgcyUPP9+XDVy3KL6xbmtlTGzOj2dsaAACASuIuDQCoOo++uC8DQ6P50LsWZtGcaUXHAQAA4BQsHAQAqs79XT2ZOaUh1148t+goAAAAfAfKZwCgqoyMlvPA1r7ctqI1TQ3eygAAAFQqd2wAQFV56pWDOXB8MOtXtRcdBQAAgLdh5jMAUBX+8andeeKlA9nWcyRN9XW5efn8oiMBAADwNpTPAEDFGxgayW/885bUl0qZ3tyQ77v2wsxo9jYGAACgkrlrAwAq3pdf3Jf+wZH85Y9ek5uXOfEMAABQDcx8BgAqXkdnb2Y2N+T6JXOLjgIAAMBpUj4DABVtZLScB7b25pYVrWlq8NYFAACgWriDAwAq2tO7Dmb/8cGsX9VWdBQAAADOgPIZAKhoHZ09aaqvyy3LzXoGAACoJhYOAgAV5+TwSH7sL55Mz5GBvHbwRG64dG5mTmksOhYAAABnQPkMAFScx3bsz6Mv7sv7Lp2X5e0z8yM3XFR0JAAAAM6Q8hkAqDibtvZlamN9Pv1DV2dKY33RcQAAADgLZj4DABWlXC5n09bevG/pPMUzAABAFVM+AwAVZWv30ew5PJB1K1uLjgIAAMA5UD4DABVl09beJMmtK5TPAAAA1Uz5DABUlAe29eWKC85L68wpRUcBAADgHFg4CAAU7pX9x/PL//BsTg6P5rndh/NLty8rOhIAAADnyMlnAKBwf/e1V/P0rkOZO70pd6xuz4evWlR0JAAAAM6Rk88AQKHK5XLu3dKT65a05L//yDVFxwEAAGCMOPkMABTq+d5j2bnveDasWVB0FAAAAMaQ8hkAKNQ9m7tTKiXrV7cVHQUAAIAxpHwGAAp175aevOeilrTOnFJ0FAAAAMaQmc8AwIQ7dnI4X3vpQA4cH8z23qP59x9YVXQkAAAAxpjyGQCYcP/53m35y8deSZI01JVyx5r2ghMBAAAw1pTPAMCEKpfLub+rNzcunZdfXr88c6Y1ZcHsqUXHAgAAYIyZ+QwATKiu7iPZc3ggH7h8Ya644LxcOHda0ZEAAAAYB8pnAGBCbdral1IpuXVFa9FRAAAAGEfKZwBgQm3a2psrFp2X+TObi44CAADAOFI+AwATpu/IQJ7dfTjrVjr1DAAAMNlZOAgAjLu9R0/mxb5j+fKL+5Ika1e2FZwIAACA8aZ8BgDG3Y/+xdey+bXDSZILW6ZlRfvMghMBAAAw3pTPAMC4evVAfza/djg/8t6Lsn5Vey6aNy2lUqnoWAAAAIwz5TMAMK7u7+pNkvzQ9RflonnTC04DAADARLFwEAAYVx1dPVneNlPxDAAAUGOUzwDAuDl4fDBPvHQg61dbMAgAAFBrlM8AwLjZtK0vo+Vk/ar2oqMAAAAwwcx8BgDG3D8/81pePdCf+zp7s2D2lKw5f1bRkQAAAJhgymcAYEztPtifT/ztM29c//xtl6ZUKhWYCAAAgCIonwGAMXV/V2+S5IFfuimL505PY70pXwAAALVI+QwAjKmOzt4sbZ2RS1tnFh0FAACAAjmKBACMmUP9g3ni5QNZv7qt6CgAAAAUTPkMAIyZB7f1ZWS0nPWr2ouOAgAAQMGUzwDAmOno7E37rCm57PzZRUcBAACgYGY+AwDn5ODxwfy7z21J/+BwvrJjf7736gtSV1cqOhYAAAAFc/IZADgnn392T/5lc3f2HjuZ1Qtn5V9fc2HRkQAAAKgATj4DAOeko6snl8yfnrt/7saiowAAAFBBnHwGAM7a4f6hPL7zQNavtmAQAACAb6V8BgDO2oPbezMyWs76VW1FRwEAAKDCKJ8BgLPW0dmb1pnNuWLReUVHAQAAoMIonwGAszIwNJIvPb83t69qS11dqeg4AAAAVBgLBwGAM3LP5u78+mc3Z2h4NP2DI3m/ec8AAACcgvIZADgjf/PErjQ31OW7rzw/c6Y15YZL5hYdCQAAgAqkfAYATtvhE0N5bMf+/PiNS/KrG1YUHQcAAIAKZuYzAHDaHtrel+HRctavbis6CgAAABVO+QwAnLaOzt7Mn9mcdy06r+goAAAAVDjlMwBwWgaGRvLQ9r7cvqotdXWlouMAAABQ4cx8BgDe1onBkew+2J+ndx3M8cGRrF9l5AYAAADvTPkMALytj//109m0rS9JMnNKQ66/ZG7BiQAAAKgGymcA4Ds61D+YLz2/N3ddviB3rGnPknkz0txQX3QsAAAAqoDyGQD4ju7v6s3waDk/ceOSXHGBJYMAAACcPgsHAYDv6N4tPTn/vKm5fNHsoqMAAABQZZTPAMApHR0YyiMv7Msda9pTKpWKjgMAAECVUT4DAKf04La+DI6M5s7L2ouOAgAAQBUy8xkA+Bb/ddML2d5zNJ17DqdtVnOuvGBO0ZEAAACoQspnAOANrx7oz+/d/3zaZ03JjCkN+ekbLkpdnZEbAAAAnDnlMwDwhvs6e5Ikf/dT12Xx3OkFpwEAAKCamfkMALzhns3dWbVgluIZAACAc6Z8BgCSJD2HB/L0rkMWDAIAADAmlM8AQJL/NXLjjjULCk4CAADAZGDmMwDUuD2HTuT4yeF8/tk9Wdo6I5e2zig6EgAAAJOA8hkAati2niPZ8KlHUi5/4/oTa5cWGwgAAIBJQ/kMADXsC8/uSV2plE9+7+WZ2lifm5bNLzoSAAAAk4TyGQBqVLlczsYtPbn24pZ895WLio4DAADAJGPhIADUqBf6jmXn3uPZcJkFgwAAAIw95TMA1Kh7NnenVErev7qt6CgAAABMQspnAKhR927pydWL56R15pSiowAAADAJmfkMADVk595j+f0HXsjJ4ZFs6zma//OuVUVHAgAAYJJSPgNADfnLr7ycezZ356J503PFBeflA1csLDoSAAAAk5TyGQBqRLlcTkdXb9aubM2f/MDVRccBAABgkjPzGQBqxObXDqf78EDWr2ovOgoAAAA1QPkMADWio7M39XWl3LaitegoAAAA1ADlMwDUiI6unlxzUUvmTG8qOgoAAAA1QPkMADXgpX3H83zvsaxf3VZ0FAAAAGqEhYMAMIl9+pGd+fQjL2VgeCRJcvsq5TMAAAATQ/kMAJNUuVzOnz/6UqY11+fmZfNzaeuMLJozrehYAAAA1AjlMwBMUp17jmTP4YH85w9fno9cfUHRcQAAAKgxZj4DwCTV0dmTulKydqVRGwAAAEw85TMATFIdXb15z0UtaZneVHQUAAAAapDyGQAmoVf2H8+2nqNZv7q96CgAAADUKDOfAWASOTE4kv3HT+azX38tSbJ+lZEbAAAAFEP5DACTRLlczl1/8Eh27D2eJFm1YFYuaJlWcCoAAABqlfIZACaJru4j2bH3eH7gusW5bNHsXLV4TtGRAAAAqGHKZwCYJDo6e1NXSn5h3dLMndFcdBwAAABqnIWDADBJdHT15urFLYpnAAAAKoLyGQAmgVcP9Gdr95GsX23BIAAAAJVB+QwAk0BHV2+S5PZVymcAAAAqg5nPAFDFHn1hX/YfP5l/enp3VrTPzOK504uOBAAAAEmUzwBQtbb3HM3H/uyrb1z/8vplBaYBAACAb6V8BoAq9eC2viTJP/7MDWmZ3pTFLdMKTgQAAAD/i/IZAKrUF7f3ZdWCWblq8ZyiowAAAMC3sXAQAKrQ4RNDeeqVg7ltRWvRUQAAAOCUlM8AUIUefWFfRkbLuXXF/KKjAAAAwCkpnwGgCn1xe1/Om9aYd11g5AYAAACVycxnAKgig8OjGRkt56Hte3PT0vmprysVHQkAAABOSfkMAFXi4ef35of/+xMZLX/j2sgNAAAAKpnyGQCqxGee2p1ZUxvzUzddkqmNdbnzsgVFRwIAAIDvSPkMAFVgYGgkD27ry12XL8jP3HJJ0XEAAADgHVk4CABV4NEX9uXYyeHcsaa96CgAAABwWpTPAFAFNm7pycwpDbnhknlFRwEAAIDTonwGgAo3ODya+7t6cvuqtjQ1+NUNAABAdTDzGQAq1LaeI/nc1/dk79GTOTIwnA1rLBgEAACgeiifAaBC/e692/PF7X1pqq/LkvnTc+NSIzcAAACoHspnAKhAJwZH8uUX9+WHrr8ov/nB1UXHAQAAgDNmcCQAVKBHX9yXk8OjWbeyregoAAAAcFaUzwBQgTZt7c3M5oZcc3FL0VEAAADgrCifAaDCjI6Ws2lbX25aNj9NDX5VAwAAUJ3c0QJAhdn82uHsPXoya1e2Fh0FAAAAzpqFgwBQIR59YV+eeuVgnt51MHWl5NblymcAAACql/IZACrA0MhoPv43T+dQ/1CSZP2qtsyZ3lRwKgAAADh7ymcAqABf3Xkgh/qH8scfe3fWr2pPqVR0IgAAADg3ymcAqAAbt3RnWlN9blnemro6zTMAAADVz8JBACjYyGg593X25NblrZnSWF90HAAAABgTymcAKNiTLx/IvmOD2XBZe9FRAAAAYMwonwGgYBu39KS5oS63Lm8tOgoAAACMGTOfAaAA/YPDuf33Hs6ewydSLie3r2rL9Ga/lgEAAJg83OUCQAEefn5vXjt0It937YWZN6M5H3rXwqIjAQAAwJhSPgNAATo6e3PetMb81gdXp6HeFCwAAAAmH3e7ADDBhkZGs2lbX9auaFM8AwAAMGm54wWACfa1lw7k8ImhrF/dVnQUAAAAGDfKZwCYYB1dvZnSWJebls4vOgoAAACMGzOfAWAClMvldHUfycDQaDo6e3Lj0vmZ2lRfdCwAAAAYN8pnAJgAD27ry4/95ZNvXP+b9csLTAMAAADjT/kMABPgns09mT21MZ/66LvS3FCfay5uKToSAAAAjCvlMwCMs+GR0Wza1pu1K1pzy/LWouMAAADAhLBwEADG2ddePphD/UNZv7qt6CgAAAAwYZTPADDOOrp60txQl5uWzS86CgAAAEwY5TMAjKNyuZyOzt7cuHRepjWZdgUAAEDtcBcMAOOg+/CJ/Mtz3TnUP5TXDp3IJ9YtLToSAAAATCjlMwCMg9/reD7/8NTuJMnMKQ1Zt9K8ZwAAAGqL8hkAxtjwyGge2NqbD1yxML/zry5LU31dmhpMugIAAKC2KJ8BYIw9+crBHOwfyoY17ZnR7FctAAAAtckxLAAYYx2dvWlqqMvNy+YXHQUAAAAKo3wGgDFULpfT0dWTGy+dl+lOPQMAAFDDlM8AMIa2dh/N7oMnsn61BYMAAADUNkeyAGAMfOap3bm/qye7D55IqZSsXal8BgAAoLYpnwHgHA2NjOY//UtXGupKmTejOT943eLMm9FcdCwAAAAolPIZAM7Roy/uy6H+oXz6B6/OulVOPAMAAEBi5jMAnLO7n+3OzCkNuXHZvKKjAAAAQMVQPgPAOTg5PJKOrp68f3V7mhvqi44DAAAAFUP5DADn4OHn9+XowHDuunxB0VEAAACgopj5DABnoaOzJ6/s7899nT05b1pj3nupkRsAAADwZspnADhD3YdP5Kf+x1Mpl79x/WPvuziN9T5MBAAAAG+mfAaAM/Qvz3WnXE42fuLGXNAyLdObzHoGAACAt1I+A8AZuvu57qxeOCsrF8wqOgoAAABULJ8RBoAz8OqB/jzz6qHcdfnCoqMAAABARVM+A8AZ+JfN3UmSuy5fUHASAAAAqGzGbgDAadjeczTHB4fzua+/lisWzc4FLdOKjgQAAAAVTfkMAO/gyZcP5MN//Ngb179x16oC0wAAAEB1UD4DwDu4d0tPmurr8kcfe3emNNbnPRe1FB0JAAAAKp7yGQDewaZtfbnukrlZu7Kt6CgAAABQNSwcBIC3sWPvsby073jWrWwtOgoAAABUFeUzALyNTVt7kyS3rVA+AwAAwJlQPgPA23hga19WtM/MojnTio4CAAAAVcXMZwB4i5HRcnbuPZZjJ4fz1CsH8zM3X1J0JAAAAKg6ymcAeItPP7Izv7Nx2xvXt6+yaBAAAADOlPIZAN7is19/LasWzMrP3XZpZk9tzBUXnFd0JAAAAKg6ymcAeJMX+45mW8/R/PsPrMqGyxYUHQcAAACqloWDAPAmX3i2O6VScqfiGQAAAM6J8hkAXlcul3P3c3tyzUUtaZs1peg4AAAAUNWUzwDwum09R7Nj7/HcdcXCoqMAAABA1TPzGYCa9zsbt+Z/Pr4rQyOjqSslG9a0Fx0JAAAAqp7yGYCaNjA0kv/5+K5cMn96rr6oJSvaZ2bejOaiYwEAAEDVUz4DUNMe2r43x04O55fWL8/Ny+YXHQcAAAAmDTOfAahpdz+3J3OmNeaGS+YWHQUAAAAmFeUzADWrf3A4m7b2ZcNlC9JY71ciAAAAjCV32gDUrAe39eXE0EjuunxB0VEAAABg0jHzGYCa87v3bkvnniN5se9Y5s9szrUXG7kBAAAAY035DEBNefVAf/7woR1ZPHda5s1szkeuWpT6ulLRsQAAAGDSUT4DUFPu6+xJkvzVj16TxXOnF5wGAAAAJi8znwGoKfds7s6qBbMUzwAAADDOlM8A1IyewwN5etehbFjTXnQUAAAAmPSUzwDUjG+O3NhwmfIZAAAAxpuZzwBMei/2HcvRgaH88zOvZWnrjFzaOrPoSAAAADDpKZ8BmNS29RzJHb//yBvXv7BuaYFpAAAAoHYonwGY1DZu7kldKfnD778q05rqc83FLUVHAgAAgJqgfAZgUuvo6s3Vi1tyhyWDAAAAMKEsHARg0nr1QH+2dh/J+tVtRUcBAACAmqN8BmDS6ujqTZLcvkr5DAAAABNN+QzApNXR2ZMV7TOzeO70oqMAAABAzTHzGYBJ5fCJoXz26d05OTyar718IB+/9dKiIwEAAEBNUj4DMKn82SM7818ffDFJ0lhfyl1XLCw4EQAAANQm5TMAk0a5XM7dz3Xn2otb8ukfujqN9XWZ0lhfdCwAAACoSWY+AzBpdHUfyc59x/PBdy3MzCmNimcAAAAokPIZgEnj7ue6U19XyoY1C4qOAgAAADVP+QzApPCNkRt7csMlc9MyvanoOAAAAFDzzHwGoKr1Dw5ne8/R7DrQn1cPnMjP3bq06EgAAABAlM8AVLlf/6fN+dwze5IkTQ11ef/q9oITAQAAAInyGYAqNjA0ko6u3rx/dVs+es2FWTh7amZPayw6FgAAABDlMwBV7EvP703/4Eg+dt3i3Lh0ftFxAAAAgDexcBCAqnXvlp7MntqY65bMLToKAAAA8BbKZwCq0uDwaB7Y2pv1q9rSWO/XGQAAAFQad+sAVKUv79iXowPD2XCZBYMAAABQicx8BqCq/LcHX8jXXj6Yl/cfz8zmhrz30nlFRwIAAABOQfkMQNXYd+xkfu/+57PwvKmZO6M533fNhWluqC86FgAAAHAKymcAqsbGLT0ZLSd/+gNXZ9XCWUXHAQAAAN6Gmc8AVI27n92TJfOnZ+WCmUVHAQAAAN6B8hmAqtB7ZCBPvHwgd12+MKVSqeg4AAAAwDtQPgNQFe7Z3J1yOfnA5QuKjgIAAACcBjOfAahY5XI5f/u1V7Pv6Ml87pnXsrxtZpa2GbkBAAAA1UD5DEDFem734fzaP21+4/o/fHB1gWkAAACAM6F8BqBidXT1pL6ulCd+fW1mT21MQ71pUQAAAFAtlM8AVKyOzt5ce3FL5s5oLjoKAAAAcIYcIQOgIu3ceywv9B3L+lVtRUcBAAAAzoLyGYCK1NHVmyS5fXV7wUkAAACAs6F8BqAidXT2ZM35s3L+eVOLjgIAAACcBTOfAagYrx7oz2/d3ZWTw6P5+quH8ovrlhUdCQAAADhLTj4DUDH+4clXs2lrbw6fGMo1F7XkX737/KIjAQAAAGfJyWcAKsYDW/ty1eI5+YefvqHoKAAAAMA5cvIZgIqw59CJdHUfydqVbUVHAQAAAMaA8hmAirBpW1+SZN3K1oKTAAAAAGNB+QxARdi0tTeL507LJfNnFB0FAAAAGAPKZwAK1z84nK/s2J+1K9pSKpWKjgMAAACMAQsHASjM4zv3568eezkHjw9lcHjUyA0AAACYRJTPABTmUw+8kOd2H8r5c6bm5mXz856LW4qOBAAAAIwR5TMAhdh/7GS++tL+fPzWS/NL65cXHQcAAAAYY2Y+A1BU99zfAAAgAElEQVSIjq7ejJaTO9YsKDoKAAAAMA6UzwAU4p7N3Vk8d1pWLphZdBQAAABgHCifAZhwh/uH8tiO/dmwZkFKpVLRcQAAAIBxYOYzABPm6MBQ9hwayEPb+zI8Ws6GNe1FRwIAAADGifIZgAnzsU9/Nc/uPpwkOf+8qbl80eyCEwEAAADjRfkMwIR4ed/xPLv7cD523YW54ZL/n707D9Krvs9E/5xudau177uEQEJCAgFm3zeDscHgNYsdO4mv78Sx79zYmcQ3uUkmTk1cyVSmkjs1yWTuNY6dSeIkHid2cMCA8YLYdwNaEFrZJHWru7XvvZ37BzLBtsAtqdWn+30/nyrq8L591P30H6dV9ejX3+/ULJk5zsgNAAAAqGHKZwAGxd2r2pIkn7r29MyZOKriNAAAAMDJZuEgAIPi7lWtOXfeRMUzAAAA1AnlMwAn3eadB7Ji824LBgEAAKCOKJ8BOOnuOTJyQ/kMAAAA9cPMZwBOirIs88d3rcmWXQfzzCu7snTW+MyfMqbqWAAAAMAgUT4DcFL84JVd+eKDL2bupFEZ1zIiv3r1gqojAQAAAINI+QzASXHniq1pbmzIXZ+5KuNbmqqOAwAAAAwyM58BGHB9fWXuWtmaa86YpngGAACAOqV8BmDAPfnSjmzbczi3nDOr6igAAABARZTPAAy4O1e0pqWpITcsnVF1FAAAAKAiZj4DMCC6evrytadezaHu3ty1sjXXL5mRMSP9NQMAAAD1SisAwIC447mt+Y+3r0qSFEXyMxfOrTgRAAAAUCXlMwAD4u5VrZkzcVTu+sxVaWosMrrZXzEAAABQz8x8BuCE7T3UnQfWdeZdy2ZmwqgmxTMAAACgfAbgxH3/hfZ09fblpmUzq44CAAAADBHHVD4XRTG5KIo/LYpiQ1EUh4qi6CiK4r6iKK56wz1FURSfLIrimaIoDhZFsasoinuKorh04OMDMBTcvbIt08eNzPmnTKo6CgAAADBE9Pv3oouimJ9keZKxSb6UZF2SCUnOSTLnDbf+jySfPHLvbyUZneQTSe4viuKdZVkuH4DcAAwRB7p6snxde37uwnlpaCiqjgMAAAAMEccylPMrR+4/pyzL1qPdUBTF2/Ja8XxPkpvLsiyPvP+FJC8kua0oiiVlWfadWGwAqvbNZ7fkc99cnZ7evhzq7su7jNwAAAAA3qBf5XNRFFcnuTLJp8uybC2KoilJU1mWB37s1uuOXP/mh8VzkpRluasoim/mtRPQVyR58MSjA1Clrz31akY1Neam8+dk6tiRufS0KVVHAgAAAIaQ/s58vvnI9ZWiKO5IcjDJ/qIo1hVF8dE33DfyyPXHS+k3vmf2M8Awt+9wT554cUfee97s/MGtZ+XfX3e6kRsAAADAj+jv2I0zjly/mGR9kl9O0pzkN5P8XVEUTWVZ/nWS1Ufue3uSf/3hHy6KokhyzZGX8472BYqi+EReOxmdGTNmZPny5f3/LmrMvn376vr7h3ozHJ/5p7f1pLu3zKSDW7N8+baq48CwMxyfe+DEeO6hvnjmof547o+uv+XzuCPXvUmuK8uyK0mKorg9yaYkf1wUxd8kuTvJ80n+j6Iotib5Rl5bOPgbSZYd+Ryjj/YFyrK8LcltSXLhhReW11577TF/M7Vi+fLlqefvH+rNcHzmv/2NFRk3sjX/+3uvS1Njf3+JBvih4fjcAyfGcw/1xTMP9cdzf3T9bQwOHrn+4w+L5yQpy3JnXjvhPDPJGWVZ9iS5KcnDSf4kr52Sfi7JeUn+7yN/bM8A5AagImVZ5r4XOnLV4qmKZwAAAOBN9bc12Hzk2naUj7UeuU5KkrIsXynL8rok8/PaqI1lZVmem+TQkfteOM6sAAwBa1r3pm3PoVx7xvSqowAAAABDWH/HbjyR5JNJ5h7lYz98r/2Nb5Zl+UqSV97w1s1J+pJ8+xgzAjAE3L2yNd9d056Xtu9Pkly7eFrFiQAAAIChrL8nn2/Pa/OeP1oUxdgfvlkUxawk70uyrizLDW/2h4uieE+Sdyf5u7IsXz6BvABUoLevzOf+dXXuXd2Wtt2H8oHz5mT6+JaqYwEAAABDWL9OPpdlubMois8m+UKSx4qi+HKS5iSfOnL9tR/eWxTFl5IUSZ7Na7Oir0zykSRPJvnMgKYHYFA88eKOdOw9nL/48Hm59dzZVccBAAAAhoH+jt1IWZa3FUXRmeS3knw+r43QeDTJL5Rl+fAbbn0iySeSfDCvFdMbknwuyX8ty/JgABh27lyxNaOaGnP9UnOeAQAAgP7pd/mcJGVZfiPJN37KPV/IayekAagBPb19uWdVW65fOj2jm4/prw0AAACgjvV35jMAderRTduzfX9XbjnHuA0AAACg/xxhA+CoHtnYmZe3H8hdK1szduSIXHvGtKojAQAAAMOI8hmAn7Bzf1d+6UtPpKevTJJ86KJ5aWlqrDgVAAAAMJwonwH4Cd9/oT09fWX+9uMXZ/GMcZk2bmTVkQAAAIBhRvkMwE+49/m2zBzfkqsWTU1RFFXHAQAAAIYhCwcB+BEHu3pz/7qO3HjWDMUzAAAAcNyUzwD8iIc2dOZQd19uPHNm1VEAAACAYUz5DMCPuHd1W8a3jMglCyZXHQUAAAAYxsx8BiAHunryJ3e/kH2He3Pv8225YemMNDX690kAAADg+CmfAci3V7flbx59ObMmtGTymOb8/EXzqo4EAAAADHPKZwBy7+ptmTm+JQ//9tvT0GDJIAAAAHDi/E41QJ071N2b+9d15B1nzlA8AwAAAANG+QxQ5x5a35kDXb258awZVUcBAAAAaojyGaDO3ft8W8a1jMglp02pOgoAAABQQ5TPAHWst6/Md9e05+1Lpqd5hL8SAAAAgIFj4SBAHXp4Q2c+981V6erty479XbnxzJlVRwIAAABqjPIZoA799cMvZfv+rly9aFquWTwt1y+dXnUkAAAAoMYonwHqzO6D3XlgXUc+eun8fO7WM6uOAwAAANQoAz4B6sx3nt+Wrt6+3HLurKqjAAAAADVM+QxQZ+5csTVzJo7KefMmVh0FAAAAqGHKZ4A6snN/Vx5a35lbzpmVoiiqjgMAAADUMDOfAerA8rXtueO51mzbcyg9fWVuPXd21ZEAAACAGqd8BqhxZVnmD+94Ptv2HMrE0c25Yen0nDV7fNWxAAAAgBqnfAaocc+37smmzv35o/cvy0cumV91HAAAAKBOmPkMUOPuXNGaxoYiNy2bVXUUAAAAoI4onwFqWFmWuXPF1ly+cEomj2muOg4AAABQR5TPADVsxebdeXXHwdx6jgWDAAAAwOAy8xmgBj310o6sb9+X+15oT1NjkXeeNbPqSAAAAECdUT4D1JgDXT35xS89kYPdvUmSm8+emQmjmypOBQAAANQb5TNAjbl/bUcOdvfm//3I+TnvlEmZOtasZwAAAGDwKZ8Baszdq9oyaXRT3nHmjIxoNNofAAAAqIZWAqCGHOruzfdfaM87z5qpeAYAAAAqpZkAqCEPre/MvsM9edcyCwYBAACAaimfAWrI3avaMr5lRC5fOLXqKAAAAECdM/MZYJjbub8rH7rtsew62JXt+7rynrfNTvMI/7YIAAAAVEv5DDDM3bO6LWu37c373jY7o0eOyMevOLXqSAAAAADKZ4Dh7u5VbZk/ZXT+68+/LUVRVB0HAAAAIImZzwDD2u4D3XlkQ2fetWym4hkAAAAYUpTPAMPYd9ZsS09fmZuXzao6CgAAAMCPUD4DDGN3r2zNnImjcs7cCVVHAQAAAPgRZj4DDDMHunryrRWt6erty4PrO/PRS+cbuQEAAAAMOcpngGHmfz7yUv7LPWuTJEWRvPdtsytOBAAAAPCTlM8Aw8wdz7Xm3HkT84WPXpCWpoZMHN1cdSQAAACAn2DmM8AwsrFjX9a07sl7zp2dmRNaFM8AAADAkKV8BhhG7nyuNUWRvPvsWVVHAQAAAHhLymeAYeTOFVtz0fzJmTmhpeooAAAAAG9J+QwwxHX19GX9tr25b2171rfvyy3nOvUMAAAADH0WDgIMcX/wr6vzj0+8kiRpbChy0zLlMwAAADD0KZ8BhrCe3r7cs6o1Vy2amp+/aF5mTxyVaeNGVh0LAAAA4KdSPgMMYU++tDM7D3TnI5ecknc58QwAAAAMI2Y+Awxh9z7flpEjGnL14mlVRwEAAAA4JspngCGqLMvcu3pbrlo0NaOb/aIKAAAAMLwonwGGqOdb92TLroO58cyZVUcBAAAAOGaO0gEMMSs278rzW/fkwQ2daSiS65dOrzoSAAAAwDFTPgMMId29ffnlLz+RnQe6kyRXLZqaKWNHVpwKAAAA4NgpnwGGkIc3dGbnge782c+em8tPn5IpYxTPAAAAwPCkfAYYQu5c0ZpxI0fklnNnZeSIxqrjAAAAABw3CwcBhojDPb359uq2vOOsGYpnAAAAYNhTPgMMEQ+u68zeQz259ZzZVUcBAAAAOGHKZ4CK7Trclw3te/PPT2/OhFFNueL0qVVHAgAAADhhZj4DVKh9z6F89v6D6bnvgSTJhy+el+YR/l0QAAAAGP6UzwAVuvf5benpS/7wvWdl8pjmXOnUMwAAAFAjlM8AFbr3+W2ZMbrIL146P0VRVB0HAAAAYMD43W6Aiuw51J1HN3bmvOkjFM8AAABAzVE+A1Rk+dqOdPeWuWBGY9VRAAAAAAac8hmgIveubsvUsSOzcKIfxQAAAEDtMfMZYBAd7unNt1a0pqunL8vXduTWc2elodhRdSwAAACAAad8BhhEX3tqc37/9lWvv771nNnp2qx8BgAAAGqP8hlgEN3+zJYsnjE2f/Pxi9Pc2JApY0dm+eaqUwEAAAAMPINGAQbJqzsO5OmXd+Z9583JrAmjMmXsyKojAQAAAJw0ymeAQfLNZ7ckSd5z7uyKkwAAAACcfMpngEFQlmVuf3ZrLj51cuZOGl11HAAAAICTzsxngJOodffBfHtVW3Yd7M6G9n35o/cvqzoSAAAAwKBQPgOcRH9y9wu5/dmtSZLxLSNy87JZFScCAAAAGBzKZ4CTpKe3L/et7ch73zY7/+k9Z6WlqTEtTY1VxwIAAAAYFMpngJPkqZd3ZvfB7rzzrJmZOLq56jgAAAAAg8rCQYCT5HtrtqWpschVi6ZWHQUAAABg0CmfAU6S761pz6ULpmRcS1PVUQAAAAAGnfIZ4CTY1LEvmzr35/ol06uOAgAAAFAJM58BBtCa1j157tVdeeKlHUmS65fOqDgRAAAAQDWUzwADpCzLfOLvnsqrOw4mSc6dOyHzJo+uOBUAAABANZTPAANk9dY9eXXHwfz+LWfm5rNnZtLo5qojAQAAAFRG+QwwQO5a2ZrGhiIfOG9OJo1RPAMAAAD1zcJBgAFQlmXuWdWWyxZMUTwDAAAARPkMMCDWbduXTZ37865lM6uOAgAAADAkKJ8BBsBdK1tTFMmNZ82oOgoAAADAkGDmM8Bx6u7ty0e++Hhe3XkgO/Z35aJTJ2f6uJaqYwEAAAAMCcpngOP0+KYdeeKlHbl+yfRMGducn7twXtWRAAAAAIYM5TPAcbprVWtGNzfmLz9yflqaGquOAwAAADCkmPkMcBx6+8rcu7ot1y2ZrngGAAAAOArlM8BxePKlHenc15Wbls2sOgoAAADAkKR8BjgO96xqy8gRDbnujOlVRwEAAAAYksx8Buin3r4yd67YmkPdvblrZWuuWTwtY0b6MQoAAABwNFoTgH769uq2fOarz77++v3nzakwDQAAAMDQpnwG6KfvPr8tE0c35c5fuzIjRzRm2riRVUcCAAAAGLKUzwD90NtX5r617bnujOmZO2l01XEAAAAAhjwLBwH64Qev7MzOA925fqkFgwAAAAD9oXwG6IfvrWnPiIYiVy+eVnUUAAAAgGFB+QzQD99bsy2XLJic8S1NVUcBAAAAGBbMfAZ4E3sOdeeBdR3Zc7An69v35cMXn1J1JAAAAIBhQ/kM8Cb+4nvr88UHX0ySjGgo8o4zZ1ScCAAAAGD4UD4DHEVZlvnO89ty2YIp+fz7lmV8y4hMH99SdSwAAACAYcPMZ4Cj2NixPy9tP5Cbzp6Z06ePVTwDAAAAHCPlM8BRfP+FbUmSty+ZXnESAAAAgOFJ+QxwFN9d054lM8dl7qTRVUcBAAAAGJaUzwA/ZteBrjz98s7csNSCQQAAAIDjZeEgwBFtuw9l5ZbdefbVnentK3P9UiM3AAAAAI6X8hngiP/zH36Qp17emSSZPaEl586dWHEiAAAAgOFL+QyQpH3PoTz18s58/IrT8oHz52TmhJY0NBRVxwIAAAAYtpTPAEm+s2ZbkuRDF8/L4hnjKk4DAAAAMPxZOAiQ5N7V23LqlNFZNH1s1VEAAAAAaoLyGah7ew9155GNnXnHmTNSFEZtAAAAAAwE5TNQ95av7Uh3b5kbz5pZdRQAAACAmmHmM1C3vv705qxv35eHNnRkypjmnH/KpKojAQAAANQM5TNQl7bvO5zP/vNzaSyKNDQU+ZWrTktjg5EbAAAAAANF+QzUpQfWd6Qsk2/8+8tzztyJVccBAAAAqDlmPgN16b4XOjJ1bHOWzZ5QdRQAAACAmqR8BupOb1+Z+9d15JrF09Ng1AYAAADASaF8BurOs6/uzO6D3bluybSqowAAAADULOUzUHe+/0J7GhuKXLVI+QwAAABwslg4CNSN9dv2Zu/hnnzn+W25YP6kTBjVVHUkAAAAgJqlfAbqworNu/Ke//7w669/9+YlFaYBAAAAqH3KZ6Au3LWyLSMaivx/H70gLU2Nuei0SVVHAgAAAKhpymegLtz7fFsuWzglN5w5o+ooAAAAAHXBwkGg5m1o35dNHftzo+IZAAAAYNAon4Gad+/zbUni1DMAAADAIFI+AzXv3tXbcs7cCZk1YVTVUQAAAADqhpnPQE3aub8rtz+7JYe6+/Lsq7vy2RsXVx0JAAAAoK4on4Ga9FcPbcpf3rcxSdI8oiE3nz2r4kQAAAAA9UX5DNSk7z7fnotPnZwvfezCNDU2pKWpsepIAAAAAHXFzGeg5ry640DWbtubd5w5I+NamhTPAAAAABVQPgM15/svtCdJrl86veIkAAAAAPVL+QzUnO+u2ZYFU8dkwbSxVUcBAAAAqFvKZ6Cm7Dvck8c37XDqGQAAAKBiFg4CNeGlzv15aENnNnbsS1dvX65fOqPqSAAAAAB1TfkM1ITf/ZeVeWTj9iTJzPEtuXD+pIoTAQAAANQ35TMw7O0+2J0nXtyRj19xWj557YKMb2nKiEZThQAAAACqpHwGhr3713Wkp6/Mu8+ZmenjWqqOAwAAAEAsHARqwPfWbMvkMc152zyjNgAAAACGCuUzMKz19PZl+dqOXHfG9DQ2FFXHAQAAAOAI5TMwrD318s7sPtidG5ZOrzoKAAAAAG9g5jMwLK3cvDvr2/fm3tXb0tzYkKsWT6s6EgAAAABvoHwGhp2DXb358Bcfy77DPUmSG5bOyNiRfpwBAAAADCXaGmDY+e6abdl3uCd/8eHzcs7cCZk5oaXqSAAAAAD8GOUzMOx889ktmTF+ZG4+e5YlgwAAAABDlIWDwLCyc39Xlq/tyHvOna14BgAAABjClM/AsHLXqtb09JV579vmVB0FAAAAgLdg7AYw5JVlmXtWtWXHga585bFXcvr0sTlr9viqYwEAAADwFpTPwJD3zKu78qm//8Hrr3/v5qUpCiM3AAAAAIYy5TMw5N3x3NY0j2jId/7D1RndPCJTxzZXHQkAAACAn0L5DAxpfX1l7lrZmmsXT8v8KWOqjgMAAABAP1k4CAxpT760I9v2HM4t586uOgoAAAAAx0D5DAxpd65oTUtTQ65fMr3qKAAAAAAcA2M3gCGnLMts6tyf7t6+3L2qNdcvmZExI/24AgAAABhOtDnAkPMvz2zJb3ztuddf32rkBgAAAMCwo3wGhpx/empz5k0eld+5aWlGNTXmmsXTqo4EAAAAwDFSPgNDStvuQ3nsxe359NsX5eazZ1UdBwAAAIDjZOEgMKTc8dzWlGXyvvPmVB0FAAAAgBOgfAaGlNuf3ZJz507IaVPHVB0FAAAAgBNg7AZQuYNdvXmhbU/a9x7O6q178rlbzqw6EgAAAAAnSPkMVO73/mVlvvHMliRJU2ORW8416xkAAABguFM+A5Xq3Hc4d6zYmvecOzvvP39OZo5vyfRxLVXHAgAAAOAEKZ+BSn3tqVfT3Vvm09efntOnj6s6DgAAAAADxMJBoDK9fWX+4fFXcumCyYpnAAAAgBqjfAYGXU9vX3Yd6Mq3V7dl886D+cVLT606EgAAAAADzNgNYFCVZZmf/cKjeeaVXUmSaeNG5sazZlScCgAAAICBpnwGBtXqrXvyzCu78jMXzM1Zs8fn/FMmpanRL2EAAAAA1BrlMzCovv6DzWlubMh/fPfSTBzdXHUcAAAAAE4Sxw2BQdPd25d/fXZrbjhzuuIZAAAAoMYpn4FBs3xtR7bv78oHz59bdRQAAAAATjJjN4CTbvna9rTvPZx/fnpzpo5tztWLp1UdCQAAAICTTPkMnFRr2/bmY3/95OuvP3XtQgsGAQAAAOqA8hk4qb765CtpbmzIHb92Zca2jMis8S1VRwIAAABgECifgZPmUHdv/uWZLbnxrBk5Y+a4quMAAAAAMIj87jtw0nx7dVt2HejOhy46peooAAAAAAwy5TNw0vyvJ1/N3EmjcvnCKVVHAQAAAGCQGbsBDKgVm3flo3/1eA739OVwT19+8x2L09BQVB0LAAAAgEGmfAYG1N89+nJ6+8p87IpTM7KxIb90+alVRwIAAACgAspnYMAc6OrJXStb8+5zZuV3blpadRwAAAAAKmTmMzBg7lnVlv1dvfng+XOrjgIAAABAxZTPwID5+g8255TJo3PRqZOrjgIAAABAxYzdAE7I/sM9+cErO7PvUE8e2bg9n7l+kQWDAAAAACifgRPzx3etyd8//kqSpLGhMHIDAAAAgCTKZ+AE7D/ck9uf2ZJ3nTUzv3L1aZk0ujnzJo+uOhYAAAAAQ4DyGThu31rRmv1dvfl3V52WC+ab8wwAAADAv7FwEDhuX33ylZw+fWwumD+p6igAAAAADDHKZ+C4rNu2Nz94ZVc+dNG8FIUFgwAAAAD8KGM3gGPyJ/e8kLtWtmbfoZ40NRZ5/3lzqo4EAAAAwBCkfAb6be+h7nzpoRdz+rSxOW/exFx46uRMGTuy6lgAAAAADEHKZ6DfvrtmW7p6+vL5951lwSAAAAAAb8nMZ6Df7nyuNbMntOS8eRYMAgAAAPDWlM9Av+w+0J0H1nfk3efMSkODBYMAAAAAvDXlM9Av336+Ld29ZW45Z3bVUQAAAAAYBsx8Bt5UWZb5i+9vyEvb9+cHL+/MKZNH55y5E6qOBQAAAMAwoHwG3tT69n35f76zLlPHjkxLU0M+ec3CFIWRGwAAAAD8dMpn4E3dvbItRZHc9ekrM318S9VxAAAAABhGzHwG3tTdq1pz4fxJimcAAAAAjpnyGTiqFzv354W2vXnXsllVRwEAAABgGFI+A0d196rWJMm7ls2sOAkAAAAAw5GZz8CP6Nh7OIe6e/OtFa05d97EzJk4qupIAAAAAAxDymfgdSs278p7/vvDr7/+nZuWVJgGAAAAgOFM+Qy87p5VbWlsKPKf3392RjY15MYzjdwAAAAA4Pgon4HX3be2IxfOn5Sfu2he1VEAAAAAGOYsHASSJG27D2VN655ct2R61VEAAAAAqAHKZyBJsnxte5LkujOUzwAAAACcOOUzkCS5b217Zk9oyeIZY6uOAgAAAEANUD4DOdzTm4fWd+a6JdNTFEXVcQAAAACoARYOQh17ZENn/vjuNTnY1Zv9Xb1GbgAAAAAwYJTPUMf+9tGX88r2A7lkwZRcMH9Srlw0tepIAAAAANQI5TPUqcM9vXlwfUfed96c/NH7z646DgAAAAA1xsxnqFOPbdqR/V29uWHpjKqjAAAAAFCDlM9Qp763ZltGNTXmsoVTqo4CAAAAQA1SPkMdKssy31vTnisXTU1LU2PVcQAAAACoQcpnqEMvtO3Nll0Hc/2S6VVHAQAAAKBGWTgIdeQfHn8l//z0q9mxvytJ8nblMwAAAAAnifIZ6sgXH9yUA109WTxjXG4+e1amj2+pOhIAAAAANUr5DHWife+hvNi5P79785J84uqFVccBAAAAoMaZ+Qx14umXdiZJLjp1csVJAAAAAKgHymeoE0+8tCMtTQ05a/aEqqMAAAAAUAeUz1AnnnppZ86bNynNIzz2AAAAAJx8WiioA/sO92T11t256DQjNwAAAAAYHMpnqAM/eHln+srkolMnVR0FAAAAgDqhfIY68NRLO9LYUOS8U5TPAAAAAAyOEVUHAE6ez3z1mTy/dU/adh/KmbPGZ+xIjzwAAAAAg8PJZ6hRr+44kG8+uzWjR47IVYun5tPXL6o6EgAAAAB1xDFIqFEPru9MkvzZz56T06ePqzgNAAAAAPXGyWeoUQ9t6MjM8S1ZOG1s1VEAAAAAqEPKZ6hBvX1lHt6wPVcumpqiKKqOAwAAAEAdUj5DDVq1ZXd2H+zOVYumVh0FAAAAgDqlfIYa9NCG1+Y9X3G68hkAAACAaiifoQY9uL4jS2eNz9SxI6uOAgAAAECdGlF1AGBgtO0+lJv//MHsOdidnr4yn7h6QdWRAAAAAKhjymeoEfeva8+O/V352OWnZvyopvzCxadUHQkAAACAOqZ8hhrx2KYdmTq2OX9w65kpiqLqOAAAAADUOTOfoQaUZZlHN27PJQumKJ4BAAAAGBKUz1ADXt5+IG17DuXSBVOqjgIAAAAASZTPUBMe3bQ9SXKZ8hkAAACAIUL5DDXgsU3bM23cyCycNqbqKAAAAACQRPkMw94P5z1fat4zAAAAAEPIiKoDAMdn666Due2BTTnQ1ZP2vYdz6YLJVSrKjtEAACAASURBVEcCAAAAgNcpn2GY+spjL+d/PvJSJo9pzrzJo/L2JdOrjgQAAAAAr1M+wzD1yMbtuWD+pHz9U5dXHQUAAAAAfoKZzzAM7TnUnRWbd+WKhVOqjgIAAAAAR6V8hmHo8U070lcmly2cWnUUAAAAADgq5TMMQw9v6ExLU0POnz+x6igAAAAAcFTKZxiGHt24PRedOjkjRzRWHQUAAAAAjkr5DMNMx97DWbttby43cgMAAACAIWxE1QGA/tnQvjffW9OejR37kiSXWzYIAAAAwBCmfIZh4j/d8XweXN+ZJJk3eVSWzZlQcSIAAAAAeHPKZxgGDnT15PFNO/K/XXFq/q93npHmxoY0NhRVxwIAAACAN6V8hmHg8U070tXbl7cvmZ7RzR5bAAAAAIY+CwdhGLh/XUdamhpy0amTq44CAAAAAP2ifIZh4IF1Hbl0wZS0NDVWHQUAAAAA+kX5DEPcqzsOZFPn/lyzeFrVUQAAAACg35TPMMTdv64jSXK18hkAAACAYcTmMhiifvNrz+XxF7dn14HuzJ00Kgumjqk6EgAAAAD02zGdfC6KYnJRFH9aFMWGoigOFUXRURTFfUVRXPVj991UFMX3iqJoK4pif1EUa4/8uRkDGx9q0879XfnGM5szdezI3HjWjPzHdy9NURRVxwIAAACAfuv3yeeiKOYnWZ5kbJIvJVmXZEKSc5LMecN9v5LktiRPJ/mTJPuTXJTk15N8oCiKs8uy3D9A+aEmPbppe8oy+f1bluaC+ZOrjgMAAAAAx+xYxm585cj955Rl2foW9302SWuSK8uyPHTkvduKotiW5PeSvCPJ7ccTFurFwxs6M6a5MefMnVh1FAAAAAA4Lv0qn4uiuDrJlUk+XZZla1EUTUmayrI8cJTbxyfZ8Ybi+Ye2Hrk69Qw/xaMbt+eSBVPS1GgnKAAAAADDU3+brZuPXF8piuKOJAeT7C+KYl1RFB/9sXu/neTMoij+rCiKpUVRzCuK4gNJfj/J/Um+PyDJoUa17j6YTZ37c/nCKVVHAQAAAIDj1t+xG2ccuX4xyfokv5ykOclvJvm7oiiayrL86yP3fCbJ6CPX33jD5/jrJL9almXvCaeGGvbwhu1JkssXTq04CQAAAAAcv6Isy59+U1F8N8n1STYlWVqWZdeR9ycdee9QkjllWfYVRTE6yR8mmZ/kjiQHkrwzyceTfLksy195k6/xiSSfSJIZM2Zc8NWvfvUEv7Xha9++fRk7dmzVMajIbSsOZ2VHT/7b20enoSiqjsMg8MxD/fHcQ/3x3EN98cxD/ann5/666657uizLC4/2sf6efD545PqPPyyek6Qsy51FUfxrkl9KckZRFGuT3HPk815R/luz/c9FUWxP8ttFUfyvsiy/++NfoCzL25LcliQXXnhhee211/YzWu1Zvnx56vn7r0eHunvzm//0XLbvO5yVnYdy7dJZeft151cdi0HimYf647mH+uO5h/rimYf647k/uv7OfN585Np2lI+1HrlOymtLCa9K8vXyJ49U/9OR6zXHlBDqwKMbt+dbK1qz/3Bvzp47Ib946fyqIwEAAADACenvyecnknwyydyjfOyH77UnuejI/ze+xdfq79eEunHf2vaMamrMP33ysrQ0He3xAQAAAIDhpb8nn29PsjfJR4uieH14SVEUs5K8L8m6siw3JHn+yIc+UhRF0499jo8duT55/HGh9pRlme+/0J4rTp+ieAYAAACgZvTrFPKR2c6fTfKFJI8VRfHlJM1JPnXk+mtH7nuuKIqvJ/lgkqeKovhK/m3h4K1JHkvyzQH/LmAY29ixP5t3Hswnr1lYdRQAAAAAGDD9HoFRluVtRVF0JvmtJJ9P0pfk0SS/UJblw2+49ReS/HqSjyT5w7x2uvrlJP85yR+VZdk7QNmhJixf254kufaMaRUnAQAAAICBc0zzl8uy/EaSb/yUe7qS/Jcj/wE/xfdfaM/iGWMzd9LoqqMAAAAAwICx/A8qcKCrJ9/4wZYc7unLky/tyMevPK3qSAAAAAAwoJTPUIGvP705v//N1UmShiK5admsihMBAAAAwMBSPkMFHtm4PXMmjspdn74qTSOKjG72KAIAAABQWzReMMj6+so8/uKOXHfG9EwY3VR1HAAAAAA4KRqqDgD1Zl373uzY35VLF0yuOgoAAAAAnDTKZxhkj23cniS5dMGUipMAAAAAwMmjfIZB9uim7Zk7aVTmTR5ddRQAAAAAOGmUzzCIfjjv+TKnngEAAACocRYOwiA51N2btW17s+tAt5EbAAAAANQ85TMMgr/43vr82XfWvf760oXKZwAAAABqm/IZBsGdK1qzeMbYvP+8uZkzaVTmTBxVdSQAAAAAOKmUz3CSte89lLXb9ua337Ukn7p2YdVxAAAAAGBQWDgIJ9nDGzqTJFctmlpxEgAAAAAYPMpnOMkeXN+ZyWOac+as8VVHAQAAAIBBo3yGk6gsyzy0vjOXL5yShoai6jgAAAAAMGiUz3ASrW/fl/a9h43cAAAAAKDuWDgIJ8Hug93ZsvNgvrVya5LkykXTKk4EAAAAAINL+QwnwUf/6vGs3LI7SXL69LGZM3FUxYkAAAAAYHApn2GAte4+mJVbdufDF5+SaxZPy9JZ46qOBAAAAACDTvkMA+zBdZ1Jko9dfmrOmKl4BgAAAKA+WTgIA+z+dR2ZOb4li2eMrToKAAAAAFRG+QwDqKe3Lw9t6MxVi6amKIqq4wAAAABAZZTPMICe27w7uw9255ozplUdBQAAAAAqpXyGAfTAuo40FMmVp0+tOgoAAAAAVMrCQThBZVnmL+/bkC27DuWBdR05Z+7ETBzdXHUsAAAAAKiU8hlO0Npte/On967LhFFNGTmiIR++eF7VkQAAAACgcspnOEH3r+1Iknz716/OzAktFacBAAAAgKHBzGc4QQ+s78gZM8YpngEAAADgDZTPcAIOdPXkyRd35pozplUdBQAAAACGFOUznIDHNm1PV29frl6kfAYAAACAN1I+wwm4f21HWpoacuGpk6qOAgAAAABDioWDcBx6+8qUZZkH1nfmsgVT0tLUWHUkAAAAABhSlM9wjFZt2Z0P/I9H0tXblyT5pcvmV5wIAAAAAIYe5TMco3tXt6Wnry//4YbFaWlqyM9cMLfqSAAAAAAw5Cif4Rg9vHF7zpk7MZ+5YVHVUQAAAABgyLJwEI7BvsM9ee7VXbni9ClVRwEAAACAIU35DMfgiRe3p6evzOULp1YdBQAAAACGNOUzHINHNmxP84iGXDB/UtVRAAAAAGBIUz7DMXh44/ZcOH9SWpoaq44CAAAAAEOa8hn6afu+w1nTuidXnG7kBgAAAAD8NCOqDgBD3bdWtOaP71qTQ929SZLLFlo2CAAAAAA/jfIZfop/evrVHO7pzfVLp2fq2JE5d+7EqiMBAAAAwJCnfIa30NXTlyde3JEPnj83n3/fsqrjAAAAAMCwYeYzvIXnNu/Kga7eXHG6URsAAAAAcCyUz/AWHtmwPUWRXLpA+QwAAAAAx0L5DG/h4Y2dWTZ7QiaObq46CgAAAAAMK8pneBMHunryzCs7c/lCp54BAAAA4Fgpn+FNPPnSznT3lrn89KlVRwEAAACAYWdE1QFgqPnyQy/m7lWtadtzKE2NRS46dVLVkQAAAABg2FE+wxv09pX58++vz5jmEZk/ZXQ+eP7cjG72mAAAAADAsdKqwRs8++qu7DrQnc+/d1luPXd21XEAAAAAYNgy8xneYPna9jQUydWLplUdBQAAAACGNeUzvMF9a9tzwfxJmTC6qeooAAAAADCsKZ/hiPY9h7Jqy55ce8b0qqMAAAAAwLCnfIYjlq/tSJK8fYnyGQAAAABOlIWD1L17V7fl5e0HcufK1swc35IlM8dVHQkAAAAAhj3lM3Vt5/6u/OpXnk5Zvvb6E1cvSFEU1YYCAAAAgBqgfKauPbZpe8oy+ft/d0nOnTcxY5obq44EAAAAADVB+Uxde3hjZ8Y0N+bi0yanqdEIdAAAAAAYKNo26tojG7bnkgVTFM8AAAAAMMA0btSt1t0Hs6lzfy5fOKXqKAAAAABQc5TP1K2HN2xPkly+cGrFSQAAAACg9iifqVuPbOzM5DHNWTJzXNVRAAAAAKDmWDhIXSnLMg+u78z+wz15aH1nLls4JQ0NRdWxAAAAAKDmKJ+pK49s3J5f+vITr7++dvG0CtMAAAAAQO1SPlNX7nuhPc0jGvKNT12elqbGLJg6pupIAAAAAFCTlM/UlQfWd+SS0yZn2ZwJVUcBAAAAgJpm4SB1Y+uug1m3bV+uXmTUBgAAAACcbMpn6saD6zuSJFeb8wwAAAAAJ53ymbpx/7qOzBzfksUzxlYdBQAAAABqnvKZutDT25eH1nfm6sVTUxRF1XEAAAAAoOZZOEhNe/bVXfmze9dm/+Ge7DnUY+QGAAAAAAwSJ5+paX/76Et58qUdaWwocsPS6bn2jOlVRwIAAACAuuDkMzWrr6/M/Ws78s6zZua/fei8quMAAAAAQF1x8pmatWLL7mzf35XrnHYGAAAAgEGnfKZm3fdCe4oi5jwDAAAAQAWUz9Ss5Wvbc968iZk8prnqKAAAAABQd5TP1KSOvYfz3ObdRm4AAAAAQEUsHKSmbGjfm4fWd2bttr1JkuuWKJ8BAAAAoArKZ2rK7/7Lqjzx4o4kyalTRufMWeMrTgQAAAAA9Un5TM041N2bZ1/ZlY9dfmo+c/2ijBk5Ig0NRdWxAAAAAKAuKZ+pGc++uitdvX258vSpmWTJIAAAAABUysJBasYTL+5IUSQXnTq56igAAAAAUPeUz9SMx1/cniUzx2fC6KaqowAAAABA3VM+UxO6evry9Ms7c8lpTj0DAAAAwFCgfKYmrNyyO4e6+5TPAAAAADBEKJ+pCU+8uCNJcrHyGQAAAACGhBFVB4AT8Yd3PJ9VW3ZnU+e+nD59bKaMHVl1JAAAAAAgymeGsW17DuXLD7+Y06ePzaLp4/LBC+ZWHQkAAAAAOEL5zLD1wLqOJMmff+i8nDl7fMVpAAAAAIA3MvOZYev+dR2ZNm5kls4aV3UUAAAAAODHKJ8Zlnr7yjy0oTNXL5qWoiiqjgMAAAAA/BjlM8PSis27sutAd65ePLXqKAAAAADAUSifGZYeWNeZokiuWjSt6igA/3979x6nV1nYCfz3hEkIIZArIARISIKCF0S5hotK1d2u1mq9dFvvtWrrtXXd6ra21tqu9uOqtdXaVettsbWurfe2WuwKCiFAoKigEiAJd0Lu5Eou8+wf8waHMITJzJk5M/N+v5/PfE7mXN75zWSeOe/85rzPAQAAAAbghoOMK3dt2pEtO3fn33+2JqfNm5HZh09pOxIAAAAAMADlM+PGyrVb88wPX5Za+95/6zNPbjcQAAAAAPCIlM+MG//vZ/el1uSDL3lyjpjakwtPNt8zAAAAAIxVymfGjctWrM3JR0/Pi884vu0oAAAAAMCjcMNBxoUdu/bmqlUb8rTHusEgAAAAAIwHymfGhWWr1mfXnt48XfkMAAAAAOOC8plx4fsr1ubQnkk5+6TZbUcBAAAAAAZB+cy4cNmKtTl34ZxMnXxI21EAAAAAgEFww0HGrHs278gnLluZHbv2ZuXabXnZOfPbjgQAAAAADJLymTHri1ffkc8tXZ2506fkxNnT8p+fcEzbkQAAAACAQVI+M2YtW7k+px0/I9948wVtRwEAAAAADpI5nxmTdu7em+tv35QlC+e0HQUAAAAAGALlM2PStbdtzK69vTlX+QwAAAAA45LymTFp2cr1OWRSyZkLZrUdBQAAAAAYAuUzY9KVt67PE+fNyBFTJ7cdBQAAAAAYAuUzY872XXvywzvN9wwAAAAA41lP2wFgn5279+aW+7bmhrs2Z/femnMXzm47EgAAAAAwRMpnxow/+toN+fK1dyZJpvRMylkLlM8AAAAAMF4pnxkTentrvnfTfbnw5Ll55ZIFOW7m1Bx+qG9PAAAAABivtHuMCTet2ZJ1W3fll598XJ79+GPajgMAAAAADJMbDjImXH7zuiTJhScf1XISAAAAAKAJymfGhB/csi6Lj56ex8yY2nYUAAAAAKABymdat3P33ly9an0uWDy37SgAAAAAQEOUz7Tuuts2Zufu3lx4svIZAAAAACYKNxykNTfduyUr1mzJd268Nz2TSs5ZOKftSAAAAABAQ5TPtKLWmld8+qrct+WBJMkFi+dm+qG+HQEAAABgotD20YoVa7bmvi0P5J2/eEqe/fijc9zMw9qOBAAAAAA0SPlMK664ZV2S5HlPPjbHz5rWchoAAAAAoGluOEgrlt66LvPnTFM8AwAAAMAEpXxm1O3Z25urVm7IeYvmth0FAAAAABghymdG3Y/v2pwtD+zJeYvmtB0FAAAAABghymdG3dJb1ydJliifAQAAAGDCcsNBRs3qdduycfuu/PtP1+SUxxyRudMPbTsSAAAAADBClM+Mirs37cgzP3xZ9vbWJMnrLjyp5UQAAAAAwEhSPjMqLluxNnt7a/7Xi0/L0UdOzZnzZ7UdCQAAAAAYQcpnRsX3V6zNsTOm5sVnHJ9SSttxAAAAAIAR5oaDjLg9e3tz+S3r8rSTj1I8AwAAAECXUD4z4q6/Y1O27NyTpz/uqLajAAAAAACjRPnMiLtsxdpMKsn5i+a2HQUAAAAAGCXKZ0bc91eszVNOnJUZ0ya3HQUAAAAAGCVuOMiIuG/LzvzFJSvywO7e/OiuzfndZz627UgAAAAAwChSPjMivnrdXfni1Xfk+FmHZdFR0/NLTz627UgAAAAAwChSPjMilt66PouPnp7v/rentx0FAAAAAGiBOZ9p3K49vbl61Yacv2hO21EAAAAAgJYon2nc9Xdsyo7de7Nk0dy2owAAAAAALVE+07grblmXSSVZstCVzwAAAADQrZTPNG7prevyxHkzMmPa5LajAAAAAAAtUT7TqO279uQ/bt+UJeZ7BgAAAICu1tN2ACaGlWu35uvX3517Nu/Int6a8833DAAAAABdTflMIz58yYp860f3JEmOmzE1Zy6Y1XIiAAAAAKBNymeGrbe35spb1+eFT5mXD//X09uOAwAAAACMAeZ8ZthuWrMl67ftMs8zAAAAAPAg5TPDdsUt65Ik5y82zzMAAAAA0Ef5zLBdeev6nDT38Bw387C2owAAAAAAY4TymWHZs7c3V63aYMoNAAAAAOAhlM8Myw/v3JytD+zJ+YtMuQEAAAAA/FxP2wEYn3527/350Z2bc/nNffM9n7twdsuJAAAAAICxRPnMkLzxC9dl5bptSZKnnjgzc6Yf2nIiAAAAAGAsUT5z0NbcvzMr123LW595cn71zOMzV/EMAAAAAOxH+cxBu2rVhiTJs049OsfPmtZyGgAAAABgLHLDQQ7a1avWZ/qhPXn8sUe2HQUAAAAAGKOUzxy0q1ZuyBnzZ6XnEN8+AAAAAMDAtIcclPVbH8jN923N2SfNbjsKAAAAADCGKZ85KNes7pvv+dyFymcAAAAA4JEpnzkoV63akKmTJ+VJ82a2HQUAAAAAGMN62g7A2NfbW/Pub9yQOzfuyA/v2JSnnjgrU3r83QIAAAAAeGQaRB7VTWu25AvLbs/t67fnxDmH55VL5rcdCQAAAAAY41z5zKO64pZ1SZIvvPacHDfzsJbTAAAAAADjgSufeVRLb12fk+YerngGAAAAAAZN+cwB7d7bm6tWrs95i+a0HQUAAAAAGEeUzxzQj+7cnG279ua8RXPbjgIAAAAAjCPKZw5oaWe+5yWufAYAAAAADoLymQO64tZ1efyxR2b24VPajgIAAAAAjCM9bQdg7Nn6wJ785XdXZMfuvbnutk155ZL5bUcCAAAAAMYZ5TMP8+0b7s2nfrAqs6ZNzuzDp+S5px3bdiQAAAAAYJxRPvMwy1dvyJFTe3LtHz47kyaVtuMAAAAAAOOQOZ95mKtXb8iZC2YrngEAAACAIVM+8xDrtz6QlWu35awFs9uOAgAAAACMY8pnHuKa1RuTJGefNKvlJAAAAADAeKZ85iGuWb0hU3om5YnzZrQdBQAAAAAYx5TPPMTy1Rty+gkzc2jPIW1HAQAAAADGMeUzD9r2wJ7ccPf9OWuBKTcAAAAAgOHpaTsA7bvhrs359OWrsnH7ruztrW42CAAAAAAMm/KZfObyVfnnH92T42ZOzRnzZymfAQAAAIBhUz53uVprrrh1XZ79hGPy1y99attxAAAAAIAJwpzPXW7lum1Zc/8DOX/R3LajAAAAAAATiPK5yy29ZV2S5LxFc1pOAgAAAABMJMrnLnfFLeszb+ZhmT9nWttRAAAAAIAJRPncxfb21ly5cn3OWzQnpZS24wAAAAAAE4jyuYv99J77s3nH7py32JQbAAAAAECzetoOwOi7etWGXLbivvzk7vuTJOe52SAAAAAA0DDlcxd699dvyM/u3ZKeSSXnL56TY46c2nYkAAAAAGCCUT53mc07duemNVvytmc9Nr/zrJPbjgMAAAAATFDmfO4y/3H7xtSanLlgVttRAAAAAIAJTPncZa67bWMmleTJJ8xsOwoAAAAAMIEpn7vM8ts25tRjj8z0Q824AgAAAACMHOVzF9mztzfX37EpZ8435QYAAAAAMLKUz13kp/dsyfZde3PGgtltRwEAAAAAJjjlcxdZftuGJHHlMwAAAAAw4kz82wVuvHtz7ty4I/9245ocN2Nqjpt5WNuRAAAAAIAJTvk8wW3ftScv+pul2bm7N0ny4jOObzkRAAAAANANlM8T3PLVG7Nzd2/e/8In5bTjZ2TRUdPbjgQAAAAAdAHl8wR3xa3rMvmQkuefflymTfHfDQAAAACMDjccnOCW3rI+TzlhluIZAAAAABhVyucJbNP2Xbnh7s05b/GctqMAAAAAAF1G+TyBLVu5IbUm5y2a23YUAAAAAKDLKJ8nsKW3rsthkw/J6SfMbDsKAAAAANBlTAQ8Ad2+fnu2PrAnl9+8LmefNDtTevyNAQAAAAAYXcrnCebGuzfnuX91+YPvv/ScE1tMAwAAAAB0K+XzBPP9FeuSJH/5a6dn+qE9OX+x+Z4BAAAAgNGnfJ5grly5Po89Znqef/q8tqMAAAAAAF3MZMATyO69vVm+ekPOXTin7SgAAAAAQJdTPk8gP7pzc7bv2pslymcAAAAAoGXK5wlk2cr1SZJzlM8AAAAAQMuUzxPIspXrc8pjjsjsw6e0HQUAAAAA6HLK5wli157eLF+90XzPAAAAAMCY0NN2AIbnuts35s++9ZNs37U3O3bvVT4DAAAAAGOC8nmc+6dr78yNd9+fJYvm5LHHHJELT57bdiQAAAAAAOXzeHfN6g05Z+GcfO43zm47CgAAAADAg8z5PI5t2r4rK9ZszdkLZrUdBQAAAADgIZTP49jy1RuTJGcumN1yEgAAAACAh1I+j2PXrN6QyYeUnH7CzLajAAAAAAA8hPJ5HLtm9YacdvzMTJ18SNtRAAAAAAAeQvk8Tu3cvTc/vmtzzjTfMwAAAAAwBimfx6nr79iU3XtrzjbfMwAAAAAwBvW0HYCD85nLV+XiZbdly87dSZIz5rvyGQAAAAAYe5TP48zFy27Lnt7enLdobk459ojMnDal7UgAAAAAAA+jfB5H7t28M6vWbcu7nnNqXve0hW3HAQAAAAB4ROZ8HkeWrVyfJFmyaE7LSQAAAAAADkz5PI4sW7k+R07tyanHHtl2FAAAAACAA1I+jyNXrlyfs0+ak0MmlbajAAAAAAAckPJ5nLh7047ctn67KTcAAAAAgHFB+TxO7Jvv+dyFs1tOAgAAAADw6HraDsCBfX/F2vzs3vvz3Z/clxmHTc6pjzHfMwAAAAAw9imfx7Bde3rzWxdfmx279yZJXnLG8ZlkvmcAAAAAYBxQPo9hP75rU3bs3pu//LXT86xTj8m0KYe0HQkAAAAAYFCUz2PYspUbkiQXLJ6bww/1XwUAAAAAjB9uODiGXb1qQ04+enrmTD+07SgAAAAAAAdF+TxG7dnbm+WrN+Tsk2a3HQUAAAAA4KApn8eon9xzf7bt2ptzFs5pOwoAAAAAwEFTPo9RV3Xmez7Hlc8AAAAAwDikfB6jrlq1IQvmTMsxR05tOwoAAAAAwEHraTsAP3f3ph15w99dly3378id23bkV06f13YkAAAAAIAhUT6PIYdMKpl52OT07ii5YPGcvPScE9uOBAAAAAAwJMrnMeSYI6fm8685O5deemme8Yyz2o4DAAAAADBk5nwGAAAAAKBxymcAAAAAABqnfAYAAAAAoHHKZwAAAAAAGqd8BgAAAACgccpnAAAAAAAap3wGAAAAAKBxymcAAAAAABqnfAYAAAAAoHHKZwAAAAAAGqd8BgAAAACgccpnAAAAAAAap3wGAAAAAKBxymcAAAAAABqnfAYAAAAAoHHKZwAAAAAAGqd8BgAAAACgccpnAAAAAAAad1Dlcylldinlg6WUW0opO0spa0sp3yulXNjZvqCUUh/l7WUj86kAAAAAADBW9Ax2x1LK/CSXJpme5NNJViSZkeS0JPM6u61N8opHeIiPJTksyXeGmBUAAAAAgHFi0OVzki909j+t1nrPQDvUWrd19nuIUsqS9BXV/1hrXTeUoAAAAAAAjB+DKp9LKU9LckGSt9Za7ymlTE4yuda6fZAf57Wd5d8OISMAAAAAAOPMYOd8fk5neXsp5ZtJdiTZVkpZUUp5+YEOLKVMT/KrSW5LcsmQkwIAAAAAMG6UWuuj71TKV5O8IH1zOt+c5ONJpiR5e5InJHlNrfWzj3Dsb6bviuf31Fr/5AAf4/VJXp8kxxxzzBn/8A//cHCfyQSydevWTJ8+ve0YwCgx5qH7GPfQfYx76C7GPHSfbh73F1100bW11jMH2jbY8vm7SZ6ZZGWSU2utuzrrZ3XW7Uwyr9baO8CxVyY5O8lJNyiYuwAAEJpJREFUtdbbBxP4zDPPrMuXLx/MrhPSpZdemmc84xltxwBGiTEP3ce4h+5j3EN3Meah+3TzuC+lPGL5PNhpN3Z0ll/cVzwnSa11Y5JvJHlMkscN8IEfn+TcJJcMtngGAAAAAGD8G2z5fGdnee8A2+7pLGcNsO03O0s3GgQAAAAA6CKDLZ+v7iyPH2DbvnX39V9ZSpmS5BXpmyf660NKBwAAAADAuDTY8vlrSbYkeXkp5cGZs0spx6bvRoQraq237HfMLyc5KsnFtdbdTYQFAAAAAGB86BnMTrXWjaWU/57kE0mWlVI+k2RKkjd0lm8Z4DBTbgAAAAAAdKlBlc9JUmv9ZCllXZJ3JPnTJL1Jrkzy0lrrFf33LaWckOQ/JVlaa/1pg3kBAAAAABgHBl0+J0mt9StJvjKI/e5IcshQQwEAAAAAML4Nds5nAAAAAAAYNOUzAAAAAACNUz4DAAAAANA45TMAAAAAAI1TPgMAAAAA0DjlMwAAAAAAjVM+AwAAAADQOOUzAAAAAACNUz4DAAAAANA45TMAAAAAAI1TPgMAAAAA0DjlMwAAAAAAjVM+AwAAAADQOOUzAAAAAACNUz4DAAAAANA45TMAAAAAAI1TPgMAAAAA0DjlMwAAAAAAjVM+AwAAAADQOOUzAAAAAACNUz4DAAAAANA45TMAAAAAAI1TPgMAAAAA0DjlMwAAAAAAjVM+AwAAAADQOOUzAAAAAACNUz4DAAAAANA45TMAAAAAAI1TPgMAAAAA0DjlMwAAAAAAjVM+AwAAAADQOOUzAAAAAACNUz4DAAAAANA45TMAAAAAAI1TPgMAAAAA0DjlMwAAAAAAjVM+AwAAAADQOOUzAAAAAACNUz4DAAAAANA45TMAAAAAAI1TPgMAAAAA0DjlMwAAAAAAjVM+AwAAAADQOOUzAAAAAACNUz4DAAAAANA45TMAAAAAAI1TPgMAAAAA0DjlMwAAAAAAjVM+AwAAAADQOOUzAAAAAACNUz4DAAAAANA45TMAAAAAAI1TPgMAAAAA0DjlMwAAAAAAjVM+AwAAAADQOOUzAAAAAACNUz4DAAAAANA45TMAAAAAAI1TPgMAAAAA0DjlMwAAAAAAjVM+AwAAAADQOOUzAAAAAACNUz4DAAAAANA45TMAAAAAAI1TPgMAAAAA0LhSa207w8OUUtYmua3tHC2am2Rd2yGAUWPMQ/cx7qH7GPfQXYx56D7dPO7n11qPGmjDmCyfu10pZXmt9cy2cwCjw5iH7mPcQ/cx7qG7GPPQfYz7gZl2AwAAAACAximfAQAAAABonPJ5bPpk2wGAUWXMQ/cx7qH7GPfQXYx56D7G/QDM+QwAAAAAQONc+QwAAAAAQOOUzwAAAAAANE75DAAAAABA45TPo6CUMqmU8rZSys9KKTtLKXeUUj5USjl8NI4HRtdwxmwp5bGllPeWUpaVUtaWUraUUq4vpbzLmIexq8lzdSllWillZSmlllI+NhJ5geFpYsyXUmaXUj5YSrml8xhrSynfK6VcOJLZgaFp4Pf66aWUPyil/LjzHH9dKWVpKeXVpZQy0vmBg1dK+f1Sypf7PTdfPcTHeWUp5T9KKTtKKWtKKX9bSjmq4bhjlvJ5dPxFkg8n+UmStyT5cpK3JvlmKWUw/wfDPR4YXcMZs69J8rYktyZ5b5LfS3JTkj9LsrSUcthIhQaGpclz9XuTdM2TURinhjXmSynzk1yb5FVJ/jHJG5O8L8nqJPNGJjIwTEMe953t/5rkT5Nck+Tt6Xt+f0iSzyb585GLDQzD+5L8Qvp+P984lAcopbwtyeeTbE7yO0k+keTXklzaLReYlVpr2xkmtFLKE5L8OMlXa60v6rf+LUn+KsnLaq1/P1LHA6OrgTF/ZpKba62b91v/Z0neleQttVZXQsIY0uS5upTy1CRXJ3lHkg8l+eta65ubTw0MVRNjvpTygyQLkpxda71nBOMCDWjgOf6SJEuTfKTW+rZ+66ck+VmS2bXWmSOVHxiaUsrCWuvKzr9vSDK91rrgII6fm+S2JDcmWVJr3dtZ/7wk30jyrlrr+xoPPsa4anbk/XqSkuQj+63/VJLtSV4+wscDo2tYY7bWunz/4rnjS53lE4edEGhaI+fqUsohnWO+neQrTQYEGjWsMV9KeVqSC5J8oNZ6Tyllcill2ogkBZoy3HP9kZ3l3f1X1lp3JVmXZFsDGYGG7Sueh+EFSaYl+ei+4rnzuN9MsjJd0ukpn0feWUl603cV04NqrTuTXN/ZPpLHA6NrpMbs8Z3lmqFHA0ZIU+P+bUlOSeJKZxjbhjvmn9NZ3l5K+WaSHUm2lVJWlFK64pdQGIeGO+6vTrIpyTtKKS8ppZxYSjmllPL+JGckeU/zkYExYN/PhisH2LYsySmllOmjmKcVyueRd1ySdbXWBwbYdleSuZ2X2ozU8cDoanzMdq6G/KMke5KYZgfGnmGP+1LKSUn+JMl7a62rm48INGi4Y/5xneWnksxO37zPr0myK8nFpZTfaDIs0Ihhjfta68Ykv5xkQ5L/m76X4f80yZuSvKjW+qnmIwNjwHGd5V0DbLsrfa+oOG6AbRNKT9sBusC0JAOdoJJkZ799do3Q8cDoGokx+5EkS5L8Qa31pmFkA0ZGE+P+f6fvpXcfbjAXMDKGO+aP6Cy3JLmo87L7lFK+lr6fA+8rpXy+1trbUF5g+Jo4129NckP65nldmr4/Pr0pyd+XUp5fa72koazA2LFvWq2Bfn7s3G+fCcuVzyNve5JDH2Hb1H77jNTxwOhqdMyWUv40fS/B/2St9f3DzAaMjGGN+87L7J+d5A211t0NZwOaN9xz/Y7O8ov7iufkwSsjv5HkMfn51dHA2DDcc/2T0lc4X1Jr/b1a61drrZ9O3/zv9yb5VOfVjsDEsu/nwkA/P7qm01M+j7y70/cSnIG+0eal76U7B/rr6HCPB0ZXY2O2lPKeJH+Y5LNJfruxhEDThjzuO8d8OMm/JLm3lLK4lLI4yfzOLjM662aORHBgSIZ7rr+zs7x3gG33dJazhpEPaN5wx/3b0lc0fbn/ylrr9iT/nL7z/oJmogJjyL6bjM4bYNu8JDX73Yh0IlI+j7xr0vd1Prv/ylLK1CSnJ1k+wscDo6uRMdspnv84yeeTvLbWWpuNCTRoOOP+sCRHJXlukpv7vV3a2f7yzvuvbTQxMBzDPdfvu2HZ8QNs27fuvuEEBBo33HG/r3ga6Ormnv2WwMRxTWe5ZIBt5ya5qda6dRTztEL5PPK+lL6/ZPzufutfl755Xf5u34pSyqJSyilDPR4YE4Y75lNKeXf6iueLk7zGnI8w5g1n3G9L8pIB3t7Y2f7tzvvfGJHkwFAM91z/tfTN9/zy/ne4L6Ucm+QFSVbUWm8ZieDAkA133P+ks3x1/5WdVzY9P8nGJMY9jGOllBNLKaeUUib3W/319E239eb+U+uUUp6XZGG6pNMrLqYbeaWUj6Zvztavpu9ltacmeWuSK5L8wr5iqZSyOsn8WmsZyvHA2DCcMV9KeVOSjyW5PckfJdl/fK9xMxIYe4Z7rh/g8RYkWZXkr2utbx6x4MCQNPD8/vVJPpHkxiSfSTIlyRuSHJvkl2qt/zY6nwkwWMN8jj8/yXXpm1Ln7zrHzE5feb0gyZtqrR8frc8FGJxSyivy8+nw3pK+8/WHOu/fVmu9uN++lyZ5epKTaq2r+61/e5IPpu+VjV9M3ysh3p7kjiRndcOVz8rnUdD568bvJnl9+k4s69L3l9N39/8mO8CT00EdD4wNwxnzpZTPJXnVAR7+slrrMxoPDQzLcM/1AzzegiifYcxqYsyXUl6Y5B1JnpS+PzZfmeRPaq1XjHR+4OA18Hv9oiTvTvLMJMek72rI65N8pNb6lVH4FICD1K9QHshDfjd/pPK5s+3V6Zv7/XFJ7k/yrST/o9baFdNsKZ8BAAAAAGicOZ8BAAAAAGic8hkAAAAAgMYpnwEAAAAAaJzyGQAAAACAximfAQAAAABonPIZAAAAAIDGKZ8BAAAAAGic8hkAAIaglPKGUsr9pZQ5YyDL6aWU3lLK09vOAgAA+5Raa9sZAACgFaWU05O8IMnnaq2rD+K4GUluTvI3tdY/HqF4B6WU8pUkJyY5q3qSDwDAGODKZwAAutnpSf44yYKDPO6NSWYm+VjTgYbhI0nOSPKctoMAAECifAYAgINSSpmU5LeS/GutdW3befr5QZLVSX675RwAAJBE+QwAQJcqpbwnyWc7736vlFI7b597lEPPTjI/yb8M8JgnlFI+U0q5rZTyQCnlvlLK0lLKq/bbr3TmjL62lLK9lLK1lPK9UspFj5D1RaWUS0spmzr731RK+atSypR9+3Sm2vhOkl8spUwf9BcCAABGSE/bAQAAoCVfSXJsktcneV+Sn3bW3/oox+27qd/V/VeWUnqSXJJkXpKPJ1mRZEaS05JcmOTz/Xa/OMmvJ/nH9BXghyZ5WZJLSikvrLV+o9/j/s8kf5DkJ0n+Isk9SRYleVGSdyfZ1e9xr0zfVdkXJPn2o3weAAAwotxwEACArlVKeXX6yt+Laq2XDvKYzyd5ZZIZtdb7+60/LckPk7yz1vqBAxz/K+krvn+r1vrJfut7kixLMifJwlprLaWcneSqJN9L8pxa685++5fkwSue9627IH3Tb/z3WuuHBvP5AADASDHtBgAAHJyjkuzpXzx3bO4sLyqlHH2A41+eZEuSr5VS5u57S98NDL+ZvpsfntzZ92Wd5e/3L56TvtK5PvxKkvWd5YE+PgAAjArTbgAAwMEZ8KWDtdbbOlNk/H6Se0op1yf59yRfrrVe02/XU5MckWTNAT7GMembtuPkzsf74SCzlQNlBACA0aR8BgCAg7M2SU8pZUatdXP/DbXWPyylfCbJc9M3z/Nrk/xeKeUDtdZ3dnYrncd46QE+xg39HzaDL5Nn98sIAACtUj4DANDNhnKF8L5i+OQkyx/2gLWuTPLRJB8tpUxN8p0k7yilfKjWel+Sm5M8NsmyWuvWR/lYK5L8lyRPzn43OHwEi/fLCAAArTHnMwAA3Wxf+Tv7gHs91KWd5bn9V5ZSZpRSJvdf15mn+aedd2d1lv8nfc/D3z/Qg5dSjun37t93lu8rpUwZYN+y36pzk+xJcsWBPwUAABh55eH3KAEAgO5QSjkxyaok1yf5eJJtSVbVWq86wDElyS1Jbqq1Pqff+hck+WSSf0pyU/qK7TOSvC7J8lrruf32/UyS30iyNMm3kqxLcnySJUkW11oX9tv3z5O8M8mNSb6U5N4kJyV5cZKza62b+uValeTHtdbnDf2rAgAAzVA+AwDQ1Uopr0pfubs4yeQkn6+1vvpRjnlHkvclmVdrXdNZd1LncZ6eZF6SQ5LcnuTLST60//zQpZRXJHl9+qbUmJK+Uvm6JF+qtX5pv31/Pcmbk5yWvqum70jy7STvqLXu6uzz9PRdlf1LtdZ/HsKXAgAAGqV8BgCAg1RKOTJ9czd/qtb6h23nSZJSyleTnJDkrOpJPgAAY4DyGQAAhqCU8ttJPpDkpFrr+pazPCXJtUkuqrVe1mYWAADYR/kMAAAAAEDjJrUdAAAAAACAiUf5DAAAAABA45TPAAAAAAA0TvkMAAAAAEDjlM8AAAAAADRO+QwAAAAAQOOUzwAAAAAANO7/A3kxZGoaKi9VAAAAAElFTkSuQmCC\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Standard deviation of the data = 2.102957883751677\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":1000},"id":"w-LJTSy_Bsne","executionInfo":{"status":"ok","timestamp":1616520354273,"user_tz":-330,"elapsed":4054,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"b727c6d7-3f9c-4370-f01f-c6dd2f0af7b0"},"source":["# APPLYING DIFFERENT THRESHOLD TECHNIQUES TO FILTER THE DATA\n","\n","import pywt \n","\n","db4 = pywt.Wavelet('db4') # using Daubechies4 mother wavelet\n","ca5,cd5,cd4,cd3,cd2,cd1=pywt.wavedec(list(x), db4,level=5) # 5 level decomposition\n","c=[ca5,cd5,cd4,cd3,cd2,cd1]\n","#ca,cd=pywt.dwt(list(x), db4)\n","#c=[ca,cd]\n","\n","N=np.shape(x)[0]\n","detail=[]\n","for j in range(1,len(c)): #collecting the detail coefficients for calculating sigma for threshold\n"," for k in range(np.shape(c[j])[0]):\n"," detail.append(c[j][k])\n","detail=np.abs(np.array(detail))\n","\n","sigma=np.median(detail)/0.6745\n","K=N #number of samples\n","threshold=np.sqrt((sigma**2) * 2 * np.log10(K)) # threshold using proposed method\n","modes=['soft', 'hard', 'garrote', 'greater', 'less','MLTF']\n","\n","for mode in modes: # thresholding using different modes as given in the above list\n"," c_hat=[]\n"," if mode=='MLTF':\n"," c_hat=mltf(c,threshold)\n"," else:\n"," for coeff in c:\n"," c_hat.append(pywt.threshold(data=coeff,value=threshold,mode=mode))\n","\n"," x_hat = pywt.waverec(c_hat,db4)\n"," #x_hat=pywt.idwt(c_hat[0],c_hat[1],db4)\n"," plt.figure(figsize=(20,4))\n"," plt.rcParams.update({'font.size':14})\n"," plt.plot(t,x_hat, label=f'Using threshold = {threshold}')\n"," plt.xlabel('t (sec)')\n"," plt.grid()\n"," plt.title(f'Reconstructed Sensor data using \"{mode}\" thresholding')\n"," plt.legend(loc='upper left')\n"," plt.show()\n"," print(f'Standard deviation of the data = {np.std(x_hat)}')\n"],"execution_count":84,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Standard deviation of the data = 2.1028007550865055\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Standard deviation of the data = 2.1028654032747496\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Standard deviation of the data = 2.102789239937878\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Standard deviation of the data = 2.1030182210876838\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Standard deviation of the data = 0.00879342514140205\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Standard deviation of the data = 2.1028473809340875\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":292},"id":"zkAGhFmUHp5j","executionInfo":{"status":"ok","timestamp":1616520684593,"user_tz":-330,"elapsed":1885,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"b58c288d-10b5-4a0f-942e-b665fe0432f9"},"source":["# APPLYING THE MOVING AVERAGE FILTER\n","\n","def MovAvg(x,win_len): # takes in the sequence and window length\n"," x=np.array(x) # returns the filtered sequence\n"," n=win_len\n"," filt=[]\n"," sum=0\n"," for i in range(n):\n"," sum=sum+x[i]\n"," filt.append(sum)\n"," for i in range(n,np.shape(x)[0]):\n"," sum=sum+x[i]-x[i-n]\n"," filt.append(sum)\n"," #filt=filt+[0]*(n-1) # currently the sequence size is decreasing. This line appends necessary zeros to make the \n"," return np.array(filt)/n # input and output size same\n","\n","win_len=50 # window length\n","filt2=MovAvg(x,win_len)\n","plt.figure(figsize=(20,4))\n","plt.rcParams.update({'font.size':14})\n","plt.plot(t,x_hat)\n","plt.xlabel('t (sec)')\n","plt.grid()\n","plt.title(f'Reconstructed Sensor data using Moving average filter over the signal samples')\n","plt.show()\n","print(f'Standard deviation of the data = {np.std(filt2)}')\n"],"execution_count":88,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"stream","text":["Standard deviation of the data = 1.9300506273188935\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"yy9KjAnXICu7"},"source":["Since we do not have any idea about the original signal, we cannot find the SNR. \n","\n","After plotting the data, by observation we assume the original signal is a straight line. We compare the standard deviation of the original signal with the signal filtered by wavelet denoising and moving average filter. \n","\n","From the standard deviation values, we can see both are giving similar values almost. However, the moving average filter is giving slightly better results. And it can be improved using a bigger window length. \n","\n","Similar modifications may also be possible in wavelet denoising, like adjusting the mother wavelet, threshold, level of decomposition, etc."]},{"cell_type":"code","metadata":{"id":"m9uKjpE_HRPr","executionInfo":{"status":"ok","timestamp":1616520354847,"user_tz":-330,"elapsed":4562,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["\n"],"execution_count":85,"outputs":[]}]} \ No newline at end of file diff --git a/Assignment7/204102311_SatyakiGhosh/Assignment_7.ipynb b/Assignment7/204102311_SatyakiGhosh/Assignment_7.ipynb new file mode 100644 index 0000000..ec42ad1 --- /dev/null +++ b/Assignment7/204102311_SatyakiGhosh/Assignment_7.ipynb @@ -0,0 +1 @@ +{"nbformat":4,"nbformat_minor":0,"metadata":{"colab":{"name":"Assignment_7.ipynb","provenance":[],"mount_file_id":"1C9Xm8Dz8FPKtHfzAVFHmniGHI6g3BGlN","authorship_tag":"ABX9TyNJgrRsp2qNRBBM3cQMUKoC"},"kernelspec":{"name":"python3","display_name":"Python 3"},"language_info":{"name":"python"}},"cells":[{"cell_type":"markdown","metadata":{"id":"Mxyune7K0-C9"},"source":["**1. Analog filters:\n","• Consider a RC circuit with input voltage Vi = 10V applied to the\n","series RC and output Vo taken from the ends of C with R = 47kΩ\n","and C = 47nF. Find the transfer function of the circuit and plot\n","the frequency and magnitude spectrum. Which kind of filter is this?\n","Prove your claim by putting in the frequency as 100Hz and 10000Hz\n","and finding the values of Vo. Find the cutoff frequency and verify that\n","the behaviour is justified.** "]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":420},"id":"jjDPJ7ND02pd","executionInfo":{"status":"ok","timestamp":1617684708200,"user_tz":-330,"elapsed":1801,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"9862c6e4-a7c5-4bf2-b25c-eaee888dcc0b"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","\n","start=0\n","end=10\n","t=np.linspace(start,end,(end-start)*100)\n","Vi=10*np.sin(2*np.pi*t)\n","\n","plt.figure(figsize=(10,6))\n","plt.plot(t,Vi)\n","plt.xlabel('time (s)')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal input 10 sin 2$\\pi$t')\n","plt.grid()\n","plt.show()"],"execution_count":76,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":72},"id":"0jQjEnxC9aYe","executionInfo":{"status":"ok","timestamp":1617684709471,"user_tz":-330,"elapsed":3058,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"f6d0a819-c628-45fb-fc4f-d0f90abc7d31"},"source":["from sympy import *\n","a,b,c,d=symbols('pi f R C')\n","expr=1/sympy.sqrt(1 + 2*a*b*c*d*I)**2\n","print(' The transfer function is: ')\n","expr"],"execution_count":77,"outputs":[{"output_type":"stream","text":[" The transfer function is: \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{1}{2 i C R f \\pi + 1}$","text/plain":["1/(2*I*C*R*f*pi + 1)"]},"metadata":{"tags":[]},"execution_count":77}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":304},"id":"5WA2tm6v1EIm","executionInfo":{"status":"ok","timestamp":1617719957830,"user_tz":-330,"elapsed":2689,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"62e14fd9-cc72-4844-d277-227d069853c1"},"source":["import cmath\n","\n","R=47000\n","C=47e-9\n","freq=150\n","f=np.linspace(0,freq,freq*100)\n","tf=[1/complex(1,2*np.pi*i*R*C) for i in list(f)]\n","tf=np.array(tf)\n","\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,2,figsize=(30,7))\n","#plt.figure(figsize=(10,10))\n","ph = np.array([cmath.phase(i) for i in tf])\n","ax[0].plot(f,20*np.log10(abs(tf)),c='r',label='Magnitude plot (dB)')\n","ax[0].set_ylabel('Gain')\n","ax[0].set_xlabel('Frequency (Hz)')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(f,ph*(180/np.pi),c='g',label='Phase plot')\n","ax[1].set_ylabel('Degrees')\n","ax[1].set_xlabel('Freqeuncy (Hz)')\n","ax[1].legend()\n","ax[1].grid()\n","fig.suptitle('Frequency spectrum of 1st-order RC low pass filter')\n","plt.show()"],"execution_count":135,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"Gh09R67kIclS"},"source":["**This is a low pass filter**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"V2EiCpyMIk3M","executionInfo":{"status":"ok","timestamp":1617684709474,"user_tz":-330,"elapsed":3039,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"4f444d97-44ad-4733-dde3-6cc8703ab3f6"},"source":["f1=100\n","f2=10000\n","\n","Vi_rms=10/np.sqrt(2)\n","Vo_rms = 1/np.sqrt(1+(2*np.pi*f1*R*C)**2) * Vi_rms\n","print(f'RMS value of output voltage when f=100 Hz : {Vo_rms} V' )\n","\n","Vo_rms = 1/np.sqrt(1+(2*np.pi*f2*R*C)**2) * Vi_rms\n","print(f'RMS value of output voltage when f=10000 Hz : {Vo_rms} V' )"],"execution_count":79,"outputs":[{"output_type":"stream","text":["RMS value of output voltage when f=100 Hz : 4.133487337032317 V\n","RMS value of output voltage when f=10000 Hz : 0.05094459877065783 V\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"p7naMIhKK1nh"},"source":["This shows that it passes low-frequency signals with a much higher gain since both the input signals had same input RMS voltage."]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"f6UrUQUl-guB","executionInfo":{"status":"ok","timestamp":1617719988758,"user_tz":-330,"elapsed":18786,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"c59ecb7c-2ba0-481e-d57e-d74607a2aea7"},"source":["fc=0\n","eps=0.0001\n","pbg = abs(tf[0]) # pass band gain\n","fcg = pbg/sqrt(2)\n","for i in range(len(tf)):\n"," if abs(tf[i])fcg-eps:\n"," fc=f[i]\n","print(f'Cut-off frequency = {fc} Hz')"],"execution_count":136,"outputs":[{"output_type":"stream","text":["Cut-off frequency = 72.06480432028802 Hz\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"CdsXex42LXky"},"source":["At cut-off frequency, the gain magnitude is 1/sqrt(2) times the pass-band gain. After that it falls off sharply. So this justifies why the output voltage is very low at 10000 Hz but relatively higher at 100 Hz. "]},{"cell_type":"markdown","metadata":{"id":"YlPF3Ib5MCll"},"source":["**Consider the same circuit mentioned before, but now the output voltage is taken across the resistor. Does this change the behaviour of\n","the transfer function? Find the transfer function of the circuit and\n","plot the frequency and magnitude spectrum. Which kind of filter is\n","this? Prove your claim by putting in the frequency as 100Hz and\n","10000Hz and finding the values of Vo. Find the cutoff frequency and\n","verify that the behaviour is justified.**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":73},"id":"bp7pURc2Ml8B","executionInfo":{"status":"ok","timestamp":1617701427944,"user_tz":-330,"elapsed":1386,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"3aecfd99-0c18-47fd-d029-e4959a86a9d2"},"source":["from sympy import *\n","a,b,c,d=symbols('pi f R C')\n","expr=sympy.sqrt(I*2*a*b*c*d)**2/sympy.sqrt(1 + 2*a*b*c*d*I)**2\n","print(' The transfer function is: ')\n","expr"],"execution_count":38,"outputs":[{"output_type":"stream","text":[" The transfer function is: \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{2 i C R f \\pi}{2 i C R f \\pi + 1}$","text/plain":["2*I*C*R*f*pi/(2*I*C*R*f*pi + 1)"]},"metadata":{"tags":[]},"execution_count":38}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":302},"id":"l5g8fOh3F9i9","executionInfo":{"status":"ok","timestamp":1617701429158,"user_tz":-330,"elapsed":2421,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"a82ae1a5-8e3f-4e15-fdbb-753f325ae21a"},"source":["import cmath\n","\n","R=47000\n","C=47e-9\n","freq=150\n","f=np.linspace(0,freq,freq*100)\n","tf=[complex(0,2*np.pi*i*R*C)/complex(1,2*np.pi*i*R*C) for i in list(f)]\n","tf=np.array(tf)\n","\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,2,figsize=(30,7))\n","#plt.figure(figsize=(10,10))\n","ph = np.array([cmath.phase(i) for i in tf])\n","ax[0].plot(f,20*np.log10(abs(tf)),c='r',label='Magnitude plot (dB)')\n","ax[0].set_ylabel('Gain')\n","ax[0].set_xlabel('Frequency (Hz)')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(f,ph*(180/np.pi),c='g',label='Phase plot')\n","ax[1].set_ylabel('Degrees')\n","ax[1].set_xlabel('Freqeuncy (Hz)')\n","ax[1].legend()\n","ax[1].grid()\n","fig.suptitle('Frequency spectrum of 1st-order RC high pass filter')\n","plt.show()"],"execution_count":39,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"NPc6br2rMtWi"},"source":["**This is high pass filter**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"hJY_i70rOYQF","executionInfo":{"status":"ok","timestamp":1617701429662,"user_tz":-330,"elapsed":1015,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"6b3c111e-70f7-4b3b-cd85-c875c41855ee"},"source":["f1=100\n","f2=10000\n","\n","Vi_rms=10/np.sqrt(2)\n","Vo_rms = (2*np.pi*f1*R*C)/np.sqrt(1+(2*np.pi*f1*R*C)**2) * Vi_rms\n","print(f'RMS value of output voltage when f=100 Hz : {Vo_rms} V' )\n","\n","Vo_rms = (2*np.pi*f2*R*C)/np.sqrt(1+(2*np.pi*f2*R*C)**2) * Vi_rms\n","print(f'RMS value of output voltage when f=10000 Hz : {Vo_rms} V' )"],"execution_count":40,"outputs":[{"output_type":"stream","text":["RMS value of output voltage when f=100 Hz : 5.737097038973061 V\n","RMS value of output voltage when f=10000 Hz : 7.070884290373877 V\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"A0MrzDQ7Ypvb","executionInfo":{"status":"ok","timestamp":1617720601140,"user_tz":-330,"elapsed":18393,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"99d3ece1-ebd1-4163-fab0-019417e8f705"},"source":["\n","fc=0\n","eps=0.0001\n","pbg = np.max(abs(tf)) # pass band gain\n","fcg = pbg/sqrt(2)\n","for i in range(len(tf)):\n"," if abs(tf[i])fcg-eps:\n"," fc=f[i]\n"," \n","\n","print(f'Cut-off frequency = {fc} Hz')"],"execution_count":139,"outputs":[{"output_type":"stream","text":["Cut-off frequency = 72.8979813544 Hz\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"FR20obV3ZC_t"},"source":["At cut-off frequency, the gain magnitude is 1/sqrt(2) times the pass-band gain. The gain monotonously increases with frequency as seen from the plot. So this justifies why the output voltage is high at 10000 Hz but relatively lower at 100 Hz. "]},{"cell_type":"markdown","metadata":{"id":"rRbxMrLkZf_S"},"source":["**• Design a second order low pass filter using RC circuit. Do the analysis for R = 50KΩ and C = 50nF. The input voltage waveform is\n","10 sin 2πt. Plot the amplitude and frequency spectrum.**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":300},"id":"7JWFaGB8Y4On","executionInfo":{"status":"ok","timestamp":1617701745606,"user_tz":-330,"elapsed":2651,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"2ede5f56-ea0a-4d71-ebb0-4a54f813120a"},"source":["R=50000\n","C=50e-9\n","\n","a= R**2 * C**2\n","b=3*R*C\n","freq=150\n","\n","f=np.linspace(0,freq,freq*100)\n","w=2*np.pi*f\n","tf=[1/complex(1-(i**2 * a),b * i) for i in list(w)]\n","tf=np.array(tf)\n","\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,2,figsize=(30,7))\n","#plt.figure(figsize=(10,10))\n","ph = np.array([cmath.phase(i) for i in tf])\n","ax[0].plot(f,20*np.log10(abs(tf)),c='r',label='Magnitude plot (dB)')\n","ax[0].set_ylabel('Gain')\n","ax[0].set_xlabel('Frequency (Hz)')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(f,ph*(180/np.pi),c='g',label='Phase plot')\n","ax[1].set_ylabel('Degrees')\n","ax[1].set_xlabel('Frequency (Hz)')\n","ax[1].legend()\n","ax[1].grid()\n","fig.suptitle('Frequency spectrum of 2nd-order RC low pass filter')\n","plt.show()"],"execution_count":43,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":72},"id":"ml_LDT4Ck2zF","executionInfo":{"status":"ok","timestamp":1617701746413,"user_tz":-330,"elapsed":1695,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"db87cbbd-9e92-4753-a855-bed5d8a9ea1c"},"source":["from sympy import *\n","a,b,c,d=symbols('pi f R C')\n","expr=1/sympy.sqrt(1 - 4*a**2*b**2*c**2*d**2 + 6*a*b*c*d*I)**2\n","print(' The transfer function is: ')\n","expr"],"execution_count":44,"outputs":[{"output_type":"stream","text":[" The transfer function is: \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{1}{- 4 C^{2} R^{2} f^{2} \\pi^{2} + 6 i C R f \\pi + 1}$","text/plain":["1/(-4*C**2*R**2*f**2*pi**2 + 6*I*C*R*f*pi + 1)"]},"metadata":{"tags":[]},"execution_count":44}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"HV_nDAdfiWhd","executionInfo":{"status":"ok","timestamp":1617720096297,"user_tz":-330,"elapsed":18770,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"dbdcc3ca-3714-4eb5-a8ac-1bb50d31189f"},"source":["fc=0\n","eps=0.0001\n","pbg = np.max(abs(tf)) # pass band gain\n","fcg = pbg/sqrt(2)\n","for i in range(len(tf)):\n"," if abs(tf[i])fcg-eps:\n"," #print(fc)\n"," fc=f[i]\n","print(f'Cut-off frequency = {fc} Hz')"],"execution_count":138,"outputs":[{"output_type":"stream","text":["Cut-off frequency = 41.14945388491 Hz\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"ckXwSefFihGI"},"source":["**This is a second-order low-pass filter.**"]},{"cell_type":"markdown","metadata":{"id":"CIejYTjlieM0"},"source":["**Design a band pass filter using cascaded RC circuits. Make use of the values of the 2 resistors as 20KΩ. The filter should pass all the\n","frequencies between 1kHz and 30kHz. Find the transfer function of\n","the same and plot the magnitude and amplitude of the filter.**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":304},"id":"zLxodbK1e4yG","executionInfo":{"status":"ok","timestamp":1617691385269,"user_tz":-330,"elapsed":46320,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"ccee8a97-daa5-4e99-c051-4330c525e6d4"},"source":["import numpy as np\n","import matplotlib.pyplot as plt\n","import cmath\n","\n","R=20000\n","fh=30000\n","fl=1000\n","\n","import warnings\n","warnings.filterwarnings('ignore')\n","\n","C1=1/(2*np.pi*fl*R)\n","C2=1/(2*np.pi*fh*R)\n","\n","\n","a= R**2 * C1*C2\n","b=R*(C1 + 2*C2)\n","freq=int(1e+8)\n","\n","f=np.linspace(0,freq,int(freq/10))\n","w=2*np.pi*f\n","tf=[complex(0,i*R*C1)/complex(1-(i**2 * a),b * i) for i in list(w)]\n","tf=np.array(tf)\n","\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,2,figsize=(30,7))\n","#plt.figure(figsize=(10,10))\n","#plt.xscale('log')\n","ph = np.array([cmath.phase(i) for i in tf])\n","ax[0].plot(f,20*np.log10(abs(tf)),c='r',label='Magnitude plot (dB)')\n","ax[0].set_ylabel('Gain')\n","ax[0].set_xlabel('Frequency (Hz)')\n","ax[0].set_xscale('log')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(f,ph*(180/np.pi),c='g',label='Phase plot')\n","ax[1].set_ylabel('Degrees')\n","ax[1].set_xlabel('Frequency (Hz)')\n","ax[1].set_xscale('log')\n","ax[1].legend()\n","ax[1].grid()\n","fig.suptitle('Frequency spectrum of 2nd-order RC band-pass filter')\n","plt.show()"],"execution_count":7,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":74},"id":"ownhsyK9BEjV","executionInfo":{"status":"ok","timestamp":1617693327479,"user_tz":-330,"elapsed":1116,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"59652ca5-6a50-4791-963f-0560b088f6dc"},"source":["import sympy\n","from sympy import *\n","a,b,c,d,e=symbols('pi f R C_1 C_2')\n","expr=sympy.sqrt(I*2*a*b*c*d)**2/sympy.sqrt(1 - 4*a**2*b**2*c**2*d*e + 2*a*b*c*I*(d+2*e))**2\n","print(' The transfer function is: ')\n","expr"],"execution_count":13,"outputs":[{"output_type":"stream","text":[" The transfer function is: \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{2 i C_{1} R f \\pi}{- 4 C_{1} C_{2} R^{2} f^{2} \\pi^{2} + 2 i R f \\pi \\left(C_{1} + 2 C_{2}\\right) + 1}$","text/plain":["2*I*C_1*R*f*pi/(-4*C_1*C_2*R**2*f**2*pi**2 + 2*I*R*f*pi*(C_1 + 2*C_2) + 1)"]},"metadata":{"tags":[]},"execution_count":13}]},{"cell_type":"markdown","metadata":{"id":"6cbIy_FB7S7n"},"source":["**2. Digital filters\n","• Consider the difference equation:\n","y[n] = x[n] + x[n − 1]\n","Find the output of the system to the sequence: x[n] = {1, 2, 3, 4, 5, 6, 7, 8, 9, 10}\n","What kind of filter is this? Compute the transfer function to plot the frequency and amplitude plots to verify your claim. Consider the\n","sinusoidal input to the filter with unit amplitude. Take the sampling\n","rate to be 1 Hz. Find and plot the filter output.**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"PF1-B6m_6Ujs","executionInfo":{"status":"ok","timestamp":1617693664813,"user_tz":-330,"elapsed":1182,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"c13edd84-2f1b-44c1-c130-6e7f3e3742e7"},"source":["def yn(x):\n"," x=[0]+list(x)\n"," y=[]\n"," for i in range(1,len(x)):\n"," y.append(x[i]+x[i-1])\n"," return np.array(y)\n","\n","x = [1, 2, 3, 4, 5, 6, 7, 8, 9, 10]\n","print('Filter input: ')\n","print(x)\n","print('Filter output: ')\n","print(yn(x))"],"execution_count":14,"outputs":[{"output_type":"stream","text":["[ 1 3 5 7 9 11 13 15 17 19]\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":68},"id":"osSOOE-FlZJM","executionInfo":{"status":"ok","timestamp":1617715840067,"user_tz":-330,"elapsed":1276,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"63098066-0a31-489e-fb61-d1e93a68f934"},"source":["import sympy\n","from sympy import *\n","a=symbols('z')\n","expr=sympy.sqrt((a+1)/a)**2\n","print('The transfer function : ')\n","expr"],"execution_count":32,"outputs":[{"output_type":"stream","text":["The transfer function : \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{z + 1}{z}$","text/plain":["(z + 1)/z"]},"metadata":{"tags":[]},"execution_count":32}]},{"cell_type":"markdown","metadata":{"id":"mOrZh4rbD9oT"},"source":["**This is a low pass filter**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":304},"id":"s882nQWw7UCi","executionInfo":{"status":"ok","timestamp":1617702348360,"user_tz":-330,"elapsed":2435,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"26502e72-0398-40d7-f08d-b5c8244997ec"},"source":["#freq=200\n","#f=np.linspace(0,freq,freq)\n","w=np.linspace(0,2*np.pi,100)\n","z=np.array([exp(complex(0,i)) for i in w ], dtype=complex)\n","\n","tf=1+z**(-1)\n","\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,2,figsize=(30,7))\n","ph = np.array([cmath.phase(i) for i in tf])\n","ax[0].plot(w,20*np.log10(abs(tf)),c='r',label='Magnitude plot (dB)')\n","ax[0].set_ylabel('Gain')\n","ax[0].set_xlabel('Frequency (rad/s) [0 to 2$\\pi$]')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(w,ph*(180/np.pi),c='g',label='Phase plot')\n","ax[1].set_ylabel('Degrees')\n","ax[1].set_xlabel('Frequency (rad/s) [0 to 2$\\pi$]')\n","ax[1].legend()\n","ax[1].grid()\n","fig.suptitle('Frequency spectrum of 2nd-order moving-sum filter')\n","plt.show()"],"execution_count":48,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"bcsjIK67P4ir"},"source":["**The plots confirm that it is a low-pass filter**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":486},"id":"7ZQhczklPmL4","executionInfo":{"status":"ok","timestamp":1617701022200,"user_tz":-330,"elapsed":2280,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"2f086bbf-052f-470f-ab85-544e1f463dc2"},"source":["rnge=30\n","n=np.arange(0,rnge+1)\n","ip=np.sin(0.2*np.pi*n)\n","\n","plt.figure(figsize=(30,6))\n","plt.stem(n,ip,use_line_collection=True)\n","plt.xlabel('samples(n) at 1 Hz')\n","plt.ylabel('signal value')\n","plt.title(f'Sinusoidal input sin(0.2$\\pi$n)')\n","plt.grid()\n","plt.show()\n","\n","op=yn(ip)\n","plt.figure(figsize=(30,6))\n","plt.stem(n,op,use_line_collection=True)\n","plt.xlabel('samples(n) at 1 Hz')\n","plt.ylabel('signal value')\n","plt.title(f'Filter output')\n","plt.grid()\n","plt.show()\n"],"execution_count":35,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"DZ4Sl2ZagWWf"},"source":["**• Consider the difference equation:\n","y[n] = 1/3\n","(x[n] + x[n − 1] + x[n − 2])\n","What is this filter called? Compute the transfer function to plot the\n","frequency and amplitude spectrum. What are the applications of this\n","filter?**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"ol1-huzaeuD9","executionInfo":{"status":"ok","timestamp":1617702250138,"user_tz":-330,"elapsed":2445,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"40512949-c692-42be-ef87-e14e263fbbb0"},"source":["def yn(x):\n"," x=[0]*2+list(x)\n"," y=[]\n"," for i in range(1,len(x)):\n"," y.append((x[i]+x[i-1]+x[i-2])/3)\n"," return np.array(y)\n","\n","x = [1, 2, 3, 4, 5, 6, 7, 8, 9, 10]\n","print('Filter input: ')\n","print(x)\n","print('Filter output: ')\n","print(yn(x))"],"execution_count":46,"outputs":[{"output_type":"stream","text":["Filter input: \n","[1, 2, 3, 4, 5, 6, 7, 8, 9, 10]\n","Filter output: \n","[3.33333333 0.33333333 1. 2. 3. 4.\n"," 5. 6. 7. 8. 9. ]\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"iS2qcnzBn-Sm"},"source":["**It is a 3-point moving average filter.**\n","\n","The filter perform three important functions:\n","1) It takes 3 input points, computes the average of those 3-points and produces a single output\n","point\n","\n","2) Due to the computation/calculations involved , the filter introduces a definite amount of delay\n","\n","3) The filter acts as a Low Pass Filter"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":68},"id":"5fPrKUpZl1OA","executionInfo":{"status":"ok","timestamp":1617702687045,"user_tz":-330,"elapsed":1347,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"23a7f3bc-2833-461d-aab3-1066aeb487a9"},"source":["from sympy import *\n","a=symbols('z')\n","expr=sympy.sqrt((1 + a**-1 + a**-2)/3)**2\n","print('The transfer function : ')\n","expr"],"execution_count":52,"outputs":[{"output_type":"stream","text":["The transfer function : \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{1}{3} + \\frac{1}{3 z} + \\frac{1}{3 z^{2}}$","text/plain":["1/3 + 1/(3*z) + 1/(3*z**2)"]},"metadata":{"tags":[]},"execution_count":52}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":303},"id":"gpQPyLsTkKzh","executionInfo":{"status":"ok","timestamp":1617702726152,"user_tz":-330,"elapsed":2242,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"0873f807-4a21-4e7c-f6d0-fb760e7c514d"},"source":["w=np.linspace(0,2*np.pi,100)\n","z=np.array([exp(complex(0,i)) for i in w ], dtype=complex)\n","\n","tf=(1+z**(-1)+z**(-2))/3\n","\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,2,figsize=(30,7))\n","ph = np.array([cmath.phase(i) for i in tf])\n","ax[0].plot(w,20*np.log10(abs(tf)),c='r',label='Magnitude plot (dB)')\n","ax[0].set_ylabel('Gain')\n","ax[0].set_xlabel('Frequency (rad/s) [0 to 2$\\pi$]')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(w,ph*(180/np.pi),c='g',label='Phase plot')\n","ax[1].set_ylabel('Degrees')\n","ax[1].set_xlabel('Frequency (rad/s) [0 to 2$\\pi$]')\n","ax[1].legend()\n","ax[1].grid()\n","fig.suptitle('Frequency spectrum of 3rd-order moving-average filter')\n","plt.show()"],"execution_count":53,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"lspIXEwTreJG"},"source":["**Improve the above filter by the differential equation:\n","y[n] = 1\n","4\n","x[n] + 1\n","2\n","x[n − 1] + 1\n","4\n","x[n − 2]\n","and again do the whole analysis.**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"EO0cGKvBki8y","executionInfo":{"status":"ok","timestamp":1617703438136,"user_tz":-330,"elapsed":1312,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"ee97cd61-4997-4a1d-d2bd-399899f64ee9"},"source":["def yn(x):\n"," x=[0]*2+list(x)\n"," y=[]\n"," for i in range(1,len(x)):\n"," y.append((x[i]+2*x[i-1]+x[i-2])/4)\n"," return np.array(y)\n","\n","x = [1, 2, 3, 4, 5, 6, 7, 8, 9, 10]\n","print('Filter input: ')\n","print(x)\n","print('Filter output: ')\n","print(yn(x))"],"execution_count":54,"outputs":[{"output_type":"stream","text":["Filter input: \n","[1, 2, 3, 4, 5, 6, 7, 8, 9, 10]\n","Filter output: \n","[2.5 0.25 1. 2. 3. 4. 5. 6. 7. 8. 9. ]\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":68},"id":"k-RGO_6_o4Fv","executionInfo":{"status":"ok","timestamp":1617703487135,"user_tz":-330,"elapsed":1177,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"ddd68001-713d-4143-988a-597dbc90a639"},"source":["from sympy import *\n","a=symbols('z')\n","expr=sympy.sqrt((1 + 2*a**-1 + a**-2)/4)**2\n","print('The transfer function : ')\n","expr"],"execution_count":55,"outputs":[{"output_type":"stream","text":["The transfer function : \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{1}{4} + \\frac{1}{2 z} + \\frac{1}{4 z^{2}}$","text/plain":["1/4 + 1/(2*z) + 1/(4*z**2)"]},"metadata":{"tags":[]},"execution_count":55}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":304},"id":"fQ0tFrLupEFo","executionInfo":{"status":"ok","timestamp":1617703549153,"user_tz":-330,"elapsed":1856,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"8cc13f4f-eccf-494e-9cd9-e10914fb3082"},"source":["w=np.linspace(0,2*np.pi,100)\n","z=np.array([exp(complex(0,i)) for i in w ], dtype=complex)\n","\n","tf=(1+(2*z**(-1))+z**(-2))/4\n","\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,2,figsize=(30,7))\n","ph = np.array([cmath.phase(i) for i in tf])\n","ax[0].plot(w,20*np.log10(abs(tf)),c='r',label='Magnitude plot (dB)')\n","ax[0].set_ylabel('Gain')\n","ax[0].set_xlabel('Frequency (rad/s) [0 to 2$\\pi$]')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(w,ph*(180/np.pi),c='g',label='Phase plot')\n","ax[1].set_ylabel('Degrees')\n","ax[1].set_xlabel('Frequency (rad/s) [0 to 2$\\pi$]')\n","ax[1].legend()\n","ax[1].grid()\n","fig.suptitle('Frequency spectrum of 3rd-order moving-average filter')\n","plt.show()"],"execution_count":57,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"9d4sZEeRrtC-"},"source":["This has one zero at $\\pi$ rad/s. Here the numerator of the transfer function forms a perfect square, so it has both the zeros at $\\pi$ rad/s. While the other filter (giving equal weightage of 1/3 to all the last 3 samples) had 2 zeroes. "]},{"cell_type":"markdown","metadata":{"id":"uHhLq0s_LSRu"},"source":["**• Take any digital image of your choice and apply mean and median\n","filtering. What are the differences between the two? Take an image\n","and add speckle noise to it. Use a particular filter to remove this\n","noise. Take another image and add salt and pepper noise and again\n","use a particular filter to remove the noise. Plot the original images,\n","noisy images and images after noise removal respectively.**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":705},"id":"mkTeP0VzpMEY","executionInfo":{"status":"ok","timestamp":1617713091668,"user_tz":-330,"elapsed":3371,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"e1fff2db-4079-48c5-f220-3fd309277e56"},"source":["import cv2\n","from google.colab.patches import cv2_imshow\n","import numpy as np\n","\n","img=cv2.imread('/content/drive/MyDrive/IIT_Guwahati/IITG_DigitalSignalProcessorsLab/lena.jpg') \n","#cv2_imshow(img)\n","img = cv2.resize(img, (300, 200)) \n","print('Original image:')\n","cv2_imshow(img)\n","\n","print()\n","kernel = np.ones((5,5),np.float32)/25\n","mean_filt = cv2.filter2D(img,-1,kernel)\n","print('After applying 5x5 mean filter:')\n","cv2_imshow(mean_filt)\n","\n","print()\n","median_filt = cv2.medianBlur(img,5)\n","print('After applying 5x5 median filter:')\n","cv2_imshow(median_filt)\n"],"execution_count":16,"outputs":[{"output_type":"stream","text":["Original image:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":[""]},"metadata":{"tags":[]}},{"output_type":"stream","text":["\n","After applying 5x5 mean filter:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":[""]},"metadata":{"tags":[]}},{"output_type":"stream","text":["\n","After applying 5x5 median filter:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAAASwAAADICAIAAADdvUsCAAEAAElEQVR4nIT9aaw2W3Yehj1rrV1V73Dmb75zD2x2k+IgyiIpR4MlC7I1JJKdAREQJAHiJE6EBALyw/8DJEECKLFsCAmM+Ifi/BASBAooS47sSJSsgTLpZrObZHPu6Xbf+ZvOOe9QVXutlR9r76o63710Tt/+zjnved+qXXuv4Vkz/dm3tgCICMDyh/qVmABCQxCAKSUiAZioabFdyQ+9cfqFL3/unbc3qX7wuMsf/OC92xdP8wg44ExgQJxBICMAJAAABgAp93GFK8zjN2YGQMQOAsNBgHNDTZJmFZ9iwM18HG0c1UeDw03NcTyOu93uk2t97/n+6Q2eaX0SSq04MxORO9w9HlZVmYmIAW8EAjREALqW2kRCYG6bRAwQgWCNO7sCoHgIAkBMALl7fZp6cSKKZ5len99UXyk/AOrIIAfc4hWGI26b6kY53MlBAMV6HHACACdyYH6FEGuMnSyLc7gD5mb1vOdlxP/MR3V1V8doPqoPcI0lEUBYgbdte9bg8qRdr+Vk1TRNkxILMzOEAQJTXN4WzwnEPQ2INRjMPV4wM3cQKJ6cKX4BbburN17/4o9+5d7rr8n2BE2s1vuPXv7yL379n/z8V/OuH3qoljsZ4xg/KLzcFSAnZEJyYI/84a1/78P9+zvtbcyAAu5wkNftrZ/CK1/u80vTtsX7GAwDCAlMxACEkEiZNAGnhEcNvvT6+Q+//eDxk/v3r7pVAyo07wDSq7e688VUDzuOFzQCDUAszoTLMzx8/ezxo0aICOTw8ag31zfjeLCM+jivPM0rvy7OiRhsXPYARCAulEQEY0kgFiEQERkAR86mavBgUhAz1Ch4mE1EiEH1QACoFxqu2+oEYuKy+dPSPnUAMAej0LC7A4T4V+EMgnmQVrAkLQ5oZshXOHDJsvF+i/cgmCx+ISI4XGEEEAXFoFwfhViBxWUBqqT0yp+mD332F5UPMqB3/0D1MwQuwhMw8/hP1ZiZ2c0J5sKwYKQ7d62rZ8CI4ERgYgfYHGAr72e4WTwCQXbDy48+efHo48sHl9wlatYAwNw+PHv7i2+++91v/+6vvY+mXN+9LnveoLiSxk8ZGA3D6L2qm9r0mLT8yKun8ym1VDe5fDJ0TNkaAjNCjkMIW8im1fMT/MyPPHrnjXvv3Ht8dYHksEEPOa7jbuMrTLi8H0+/MwCaBIGCmIjWie6fnZ6e3ls1bWEWuKrvbm70OEwXIVCoO/rUDZa/ETk5iEA0vUyEeAkESYlFKHEqUt8XKsRf2crPeBgEkTuKvK/7+/sRZP2Mg6hwq8E5yNTJq9ykuoB6M0dhKkfhdp8vdueMX2HCiYsLl/vyeQiTTKtXIsBhVLkw9G59PIc7EdxnNUjzO/7/fM37FhrXp1fifDgggMHdvTdnczNTJRECQR0MN0dd2nRZmm9QhEucLYTNsmNWSLHNUAKG/pMPP7m4/+DBeg1YIXXwvaurN9/+3He+9VRvB6W6gaCQXKHh4BbCMgMO7R0f7uy7n9w+PWgPV3enqitfFVV3Tqry4cR65TgoIJ2DACGIswANIIRTxkXTPLnAV770+HNvPH7rycXVBtsOAJD9QNRq7s08j245fepOKLADIGJQYaOCfAiAsSAlubykh6/ff/zopBECAe7j0W6ub8bjYegDegQWmsHYUovL4oDKqS7OqPzABDCYRTglosKBgBPczeAOszjw5VcGEpAJIAbAgKHyH2ATQosFeSC4ehAOKCDxUhC+q4MdROaAa4F88dCYrjyLDgT3slMBMcv1vYpI3WGhoLkKiOkThCoHYnHk5FVvxvvMXRAIqO4s8Kq69LvinOCvbBiqmpo23l2rnBFMD1doAYARqWMwb6oypCpBmWGhoisfMorYXAjdgC/klSWZ3YyKIvfA4wSwHvxZVYbNqkXTgUDC3cOLt7/41rvf/f5v/dr3kAwKB9wYDnedZJrXsx/NP9z7d94/PO19AAyeEZISM3f9Pl8F+tSVv/JDcGDr1BCYcQK+6ujJBX3l85dfevvxa6+dXm6abUctyAWqZklbZ23Nb0bX7G5LTRhSb3GXaQfJBGByIiKCgLatPHlycf/e6aohAG7IZsfd/vrZy36fzQBPhaYnAegF2WIhFKlKcAYRxXt9wbckAmIIg6vUgcHJzTxnVzV3AwOv8mHdvleA1aTbinabeccdRB5AquKbYJBpU0IJTkD0FbxHXkm+qi0jLnz4X3W8XjWcm8/3pkkULs/HK2HVjfrUu+Jlp6rvfYGnlpT0Ks2Vy1moIhdghFfTbJL/BOeAJg4K+h1VmQlGZJWCrCKaCvNjIZOh8Rn3XYAaix2JmzvgGK6HD7/zvfPL8wfrlTQtQj0I3X/t4Y/+5BeeP/vg++8ewA6Fw7VeJ76pwwi9471bf/fD3Qe9m7m5DZjU4Cu77P4Zy6y7R8v9lHjEDkiOBErMF0RPLugnf+jyRz7/+LWr7dU5d6vUtJSKyDbAkDHkYX/IruMw5GP2tLz55J0BQFy2EcUN4gQkciHadHj9Ab/x2r1H97sEcyeY6X53uLkdj71mWxh6tDj6mfH4swih4qp5C0RYmJiYmGmicnNFzgo3M3z2jv0+VO9LmqW4Y6ECComZHe28Fi8EXZEiKjKNk+YFDy9YctYnbkbMFUvi1eOe9NRn7AMtkAmVH6cXXtWk8x5Py1xsuxEl5iIcCGS/j1SgEEITErYKR3HnOafdMYcbzF3VM5RQpKQziMEEWmg+pvjLtDXT4mNP4cUgthB87qROAJgNbs8+fvbs+z84uTg72XSQNQhE1F6evfnOG1/50c9/9N6v93FYZnVXYSAFKXx0vHdr3/lg/3Rwc89ApglQlO0sqiEg1mdtDgCigBSzGgkObEkb8Dn8YkM/9Mb6J7745psP1g8v27ONtCvixok99IaqWfbD2N/sRj32N4d8HMd+tBQuq8mBR5UDGRz+QKEq/cgJ1BLd37ZvPrj38OpMGCCDY+iPtze3L19ej/veYNUI5EnM0UxLr3BgNWqYUf17hYwKuqE4UYerqSvcLQfWL8Txqh4kgmd3V7OilCpp1it6lQSzsLAgvabirRBdsWSuvhwK8nEDmU3m6kyarzC/f4bGufteIoKI66Suyw1RvMYEsE+qaHq8clWrvy5FUXWiLYyL4mnGBAIhr7peAAB65xGIqhKb3u8gsiJ8DA6ouTgUmYxhhswQMIghRSMBsbGQ6iy+e8+AAmbmVljQDFZ8VEBsNFzJP/zgB2cPztaX5yk14AaB/R7ce+utd95+59u/963bKhzL1igwOin8vWt795P908GzmxX7kHx6Vp+2d6a9V/iQpv2r0pCIGN4BLdAAV4QvP6B3npz/gR99dL5ePTxvVp20HTMDBodnmKkfc38c7HCdbw6H40F791DcaT6f+k+41LkiTyYnGBMELqBtxw8fXT1+/GizbQGYWzbb3dze7G4Ph53Pj8Qep0Z3OeoOXRIV5z6YwhXrcef4MzOYi8XjrpZVVc0rRBeuaJcpDmupcIqv2zHJOSemIm2oyL2ImBQrS2Kfw2Md5o0XoY5AqXfA2XRyNJ/U3TMkAmC4Y7HR0sAoPzHDjDglcJ48U0SAcJxNSnE5cwPgZg4PY01KNMImVOcht9yqgOC4LQqz+kR3M7+BUMyoaaV36LDiB4eTc9hZ7CB3qCGZG6tl9xSMDLiGP2HGDgpmOFyI6q0poKe5m7p7cCLMqlJ0olBZI0bG849vP3z/g9OHD882a0qJQGDIZnvv9Tc/96UvffDRL++ugwnZQAoMruZ479q+/3T/Ue/qMOexSi4quLtqZAJXHqQKLgoRLujFQwWDiKglSvAN+Wsbfuf++qe++PD1B5f3LtLppu0aoaaqezcobvtxyPr8RX/ox2GARjDOCpukSk9F25UAXSWsuCETCSCUGqGHD05ff3K1uVoLl8Ma+nF3c9hf3+hoFcDyxIw+kSyXoMOkz32mXBQDAgyyokFCczEDZGZj1nHMpmYa+JgAg8SukLswHBT6qSmC3kPo373PnS8Pf0z1z1AYvYHRpUJWCj1dsACWyugOmdL0tFU1kS/fWPVCMP5MikyEpgExg4XTQATCarUiImkbIlqtVpcPHhBLSu30JN4IgGfvv//xBx8iZ98f4swrCEyzq8lQnD7zKgLyli1YeGITkCfkfXerpmdxwBR5RNMGaRoZQWHZkEhCaTK4yLhAD+RmYILGiSIQijtgambu5uZu4RCqfwrxYQQeaST94L2PTy7f25xfpiSUWhCR0MmTB29/+Us/+O67v/ObN5o0jaEDYY6PrvMPnu4+7gPf2riwPT+t/UAT6l4cZCUBqqcZvzSEhnDC9JUr+pkfff3xxfrxxcnJhjerpk0Rs/AB3hh2w/HF8/72kMchXEEeUqYSH5w4MZcoMFEJy8UPFYISI/QhGqYHl+m1164ePLzYbIp012E43tzeHo/DWGjdICW0MNFm2Jdgrohw+eS0fGewYgSdCZRSaBE1Hces/Thkg7mkxC1HcBIyATIKv2Xwi3BqyCgsnVn0U7itZzGD4nwIzV9FPhBMyFOMfnYwTZy5YG+qWPu/yh7Fwt4NkElMRMRMJA2RgEQ2suKOiJGYCE1qVmfbzeaUGxZOwg1LQtV9SejhW289fOutnEc9HD549wf7Dz52dYcRkRc3fejCT1ueZUklzBUeFQAAAzneUtlYJyUb34OHwgMDLrHTUHcAREgJcGb2iI9UtOEAJido6CFDVYMwuJrBJ+uifobhxp7Rv9x98L3vXz24fyUNXaawQrnl+6+9/lN/+F/65N1/9PRaGhoPpgZ8fMjfe7p7PqrWbec7iJvorm2NIkZn2ixESVK8z1RCtQlomM6Zf+LzV3/wnfWTy+2ji/XVuiUGMQZz5AxgRH/9/NCPyOoaJvQkXO5a2Yk5VBAVDAriimBC1TGREBNhveKLi7P7VxfdGgSYO8Z8zH67uxl2g+d53XcttCDh8FDMWIS5YoH6OUORybFhxELMBpiP4zGPfT8cdRyN2c2sRYs1c6GG8NtGVg4zRhEWkjAXK/CATmoaAawQgIAmTrqzHOLw2SIgMU3vrG+cUeX0Uv3R5/Ok6aAXWHY63yYxQG1LIpwa4kTCIQ9IhNtGpJH1GgngBGYwoS16mQFKsio3WvH5+eXjx2POx/c/+sG73++fPs9Gbg5oXUCg0um3BVgtCiDCewyYgbTwSHERA4iUBQkzhxxQUCp42x0OI8/qLZtBIrmAeQpCoCg4gIuT10ypxGcMblA3L6k7d3YXRk5mGcOBn7//wQff/l57cnK+3WDFkc+xfXB+/523fvynv/Kf//xvCgtUPznk954fXrgNTu4M2EI+hvz0u86meoZ3YrshW4tJTWAmJ3hLeH3NX3zr6ifePHv73urB/e60bTkc2w5YHi1fv+yPo2o4mSq69knDxAlw2L6U5mWFO5QmSnUGCZQhRNS2dHmZ3njj/v172/WqINFB7fbFy8P+mLVqG5fJqJngXUj78iTxNBXVLcje598ITCzSxF3G3g/HsT9oHkxzFgaSD0C3AaENZEcEZydDIvQTX6W4XDVUHWpe88yCHkNHGM8rCJONAFdQRIcjh0w+w9O/WP5CeC65rsqZ8K9wETMEAziJEVOTqE0gAhOaOHcGE7VCQtIE6ieHObFxzUcLYRnIQhJxEkCENrQ9Pzu//6Uf6p89+81vfKP/6Fm28Iz7JBwXPIkpaloEBpE72bT+xf5ZoQqDw8AUHvoKAWpuIYFIDSLVT8nEJV5SLwQ385p3VAKCql6z2ooHqC7Qi1noxA4M3t/S97/97vrqcnOybeUc7YqoceDq4cMv/9SPfeMXf3uvdBzzhzeH6zyORuZU0HA5dCpLAZVnqic0HWMVSrHTU7YghCCEc/DDM/nKm5c//Ob5G1ftg8vuNCWuMW43vd7td/uS9xeKb7bC6w2sXjS2toQoqu+BuL6Z4UKaiJhMKG03fP/q7N7Vydm2JCSZ6uFmt785HPaxyXI3QSLi1QvwWc3LSqk+vw+hMcIaAIUDheDwcdTDfjwcrB+svz4yU9MyYJQKNoir1aQn9/AHTX5PLlK1huO8qH2/wy5GxB5hyjmsqW4McjdX8kRL0RkiTWZMMdFrfdRICSnSp2hQJzjcwgXMpACJGHNXl1WuweA0CyiDGZwRjkNy88ReQygISUKMhoVSw20TPpz15snPvvHoxfc//OY3vnH46GN3DlY0cMlMnZ6kkshELg6uEjx8wSXeHdalT0cGFIU8uXTBDlIjACzuBmSHxN+rR9vMrJJhVXoRatJ5UZ/G9G6RJZXt5pObd7/z3fsPnzTbU2ric0Tbrtu88eM//aUf/PzX3/vkeNsPvWKcjmY6pJnylhrv05K1UE/ZZqKGPBGdMb1xb/Xl184+/9r565fp3jolAQAzJ8d+v7s+2OiVu2oGx5IDUYG9lzxZmCERmMhBhYeoBECIOaI6LoRtgydXq8+99dqj+5uwFd1tPPbH43g4DDCqO1ckf7Hz77IkyoJmJ0BJ01m8jQBiSCIWBmCq46D9IffDuLs+jKpiMGv4RBpBhJaLTp2WEC7oOaUN6h5OuLi+mhu5MFirS7b6DMkJ5Fr9LqlK8EhAtHCgFfeLO0p0mqsrv/7RpwMn5hJp44IdFFCQM4gJEf2EH4sfMCdFSojcuPoAg45xQg2xsztsdDSBLpIQcyJKJILUIklNEyzOgYvPf+4n3nj00Td/5ztf/9U8HN0NBqcqUAo5GkFq+iqsROzZYXOWy5Sbzqhwmxo0iZA4FZhTFYsDaq6AMDmQinuYymE4dHq8mR/iBZuYZaYb95JdGKSjGLO/+ODjH3zn2+vNpkuMrgESNG+u0J49yrl/dsi3hnHJYxWZFTJcxrE/g+HLe0N2MkOAluiy4c/d67785OKNR5tH52nTMlaAYchZFdfDWBJ9bHoEIriVfZ7JYvFkgJm7p4gclIS8CciF2wZgQmJ68IAePrh4eO+kk+J0hub9bjjujzlbPTzCAu/WMJ65l5BD8eQ43SHW8qJNFpMkFikspWrHYz/auLvtbw8jAG4ITB2zM9Q1uYFrRjBPCqXstmo8vkaubCDwWIbZhIKDxoLHvNo3oRkow1Ms1Yr3hr0GMWoiY3gnbPLcMBHIZc7KN4QBDYUPsVUGphqRUSM3TokYg45gT+TIDRoVwzhKQ1mHgYhVCrCP3WRiYiFOEOlSw20qGyqpOKGZiHCCzclP/NjDt55845e+evPBez6oKjmM3M2dnIhkTnKbAq40CfKSWMR0l4aK8EtM3FR7ZqEDInbr5oYkpBSereKZ8AJWiRaFJ3Ow91Pye+Fjdodmv31x+J3f/o2zq4uHa2n9lMg8Y//U/94/+9V/+q3DbfYxHF8+O6GDJCu+KAmmqIHTZfxyspkQDhFgS7hq6K1H2y++fvHm1fr+WtYtATwe4TIakC1SdabPgWDuvDDIJ1orD+cFUTiARETVTon7F30YXsGGsOrSvXubN16/t95UPwhwvDns98fDfnQnr9ilMmGYP8VUIOZytVf1/awRJw5MTMIF2qiOu9v+cBhfvjy+3B1uBwC0dQC5Q1rFoVG5rnNk+y6i9u7upqqoTjCdd2CWvZPT0wGt3r5azQAHubkLzRkdRFJowosXslyj2LSTghkVEBkNU6qP0qwBGhgRw9QBBnseWYiFdHSoSTJwx47iWhKDKyMVM8WcwFEiIkxNSoQ5k6P8yzVDNjGBTy7v/0t/+l/53V/5xvd+/TegGb1Oqg8+OwtsUhLVmo20GZoFeUXNUf1VrPyJSSYF7g6oGRH5CGHh6rBycMn6vauDlvkGePXLK0yBE8jgSrsPD7/5a78K4P7j13l7pjv6je+8d3v7YjfqzuEGteUVSgpDsMXMBXfZrypAQrW4W8IGeHAiP/L6xWv3No/OVqdd4iberKY0esFEAILoI29IPWpR7qjdaS+dKmYE4J6m4PX89FGvQmDCuuPXHjVPXnt0dXHS1I22frzZ9eM45lyZL3DhAox5MQK90PmEB6ZdLRVsPvtxiLmJ0KCbYeizqvU67MbD7TAMIwi4tu6qBQCjYqhQSfcs8NsN7gxKQI7SpRIdiigVZmLxKedmot4iY8p7zEFwpbJt1ShyijLHyoizIx9GxRfKahiBwdxdyQVV/QPlR3WIm7kUmWlwuBtpAgDOMPRoOyiBHWaUFclIPKRmYmaWpmmIGgJxLXlJJIgjZUIKJxkIJCl1g/zwT/6hL/zIj/6jv/Nzo8B2fWy6GXHICbdqm7kWv2pR+XeIFAAYEGaW4kuCMKPkuXspYjM3OEBMcHFmEiqSrAKWQBv4LED4KRONJhIGAIxuZB+9+wz41TeP3rSnH+1u/h//r1/4B199fzSMBq054KjkSDV1Ii1kzRQNrA9HHJ47AgEdfAP6I1+6d3meHq+6k62ctE0zVQxFoZQRMWqcjyKZwh2RTuuzNi6G4bL2zOABPT5VT1igsBOhEVydpgdn5/fOLpJM8lx3u/1+f9zvx0LLkxG22EwqsrL4zujurs74eOILgkhxZJhhHMd+yLf7/Oxar2/sdqcAKHEjlOfLhE21OCeaSAQZC29npNOYqyyqN4gMLgvzMWIyZrXo2C0C65PJFyJZw+kyKdFiX9UyVuIMG537bA4b3RtypFQC+DX66ksBXPAWARADyODkmZTGgLvO7DxCGcpoEgAqyoVZiEHUsgReFBYWh1PThJ3vACGBiCURN9Ku/9R/87918/6zf/7z/8D6g2cgAhnV6eJwLWbhpPwKhJ/I1ovhAmEnQhJJghAtozlM3aHm2cjMGT4yN410KSTmZ0RtPCR/kPBnaMLFl9eQ0wiFfvStZx+994t7xTc/1J//pW8NWW8CHBbjJA5ornSKuzKFnqLptTCYZMKAQAs82fBP/9DDy1N57XTTtWBq2uQgmNqx57ZVIDGXPNkSSax5auU5QliX0EH1h0w6sP6bUKpFq9cSILgAiXCy5vsPLp688eD8pOMQ8m7D4bDb7fr9YAqfLc4qHuKeREwlHy3C/bUGpiqikAgVsBc/ooSF73DNx7Hvbbcf94fD9ZBfjgZg5WiauJ+6T27HKkupUkvxs9Ws4IJRvVoAZVl1JYWyirSofkctVpDz/HDLHyZYBsei1tJhZGY4mo8152MkF1UWKfB5unUIUtCkVcPlBYO6ipCapTE7iWc1YdjoaIv1XdfCACTyFhhMEpKMWIr5mGz2eSY0iV0T0cVr3b/2b/wb/59/+J/i5W0+HIsvQT1XH0JJW7krVwEUP3pJrWZialhaoalXgo9i7uY6GtTMlExBEuEHasSlXGOOSPmsImoPhbt89ypTTuUP8H403d3+Fx/efPV3ro/ZbwxDwJUC9NxLOhrZfJlCDjx3JWAAiZhRol0N4821//SPPHrntDnbNCxMxMLLBEQbeoC0bYWZirPBCT6JGAo+J7hT/PzKU1Hxvrqlqj9KAI0BgTNj1dDl+cnjh1eXVycolbQ+9v1+l3fX+7GUJgOYC2OdqkSJ7O9wAc5+pgLpp60IxBDUL1KEqymOve5G2x1sd7TdwZ/fsBsTcJR2lWGAKiiDU5KS2Umoy1m4RVHuGGltU5wOqAo6DHDHzBzz2iZKWBJEkVZ3VPjEjIE52BwZNloNOgOlNsEMNS83knMWGxE0QZMzBwDMRA1MZrn8puA8YGS0LaE6ZkCcyhZHhwkigUSqj2DKjiACOTMRJHWtcY9u9ef/zf82Xrz8u//vv23H8PCxkTsVZ4KVTJfJnR0niOq/AxMSUUrgyeYzR6Ojk2aoIytM/ajOzlp7E5QMJJ6AoE84adIQi8yVBWiqW1Xgnbtm7JF/5fu7r757vc92Cx+c1DmHWPRCD3dFycwDVBBNqPSC2YjQEr2xop/+yoMff9RRaji0NEGipwoMFrkvIIIpjK16NsOoqCRBTICHf7SEKGkJqmrFB6VCegyGhS4W9kR8usEbrz+4une5apkipWEYj7fH25ubPjs0cHVRRQVWEEBMZKDJYA+lExHoxW6CvDQtAREkcQhzM4w27g95f/CXB3+5H1/sLBtQTKHCzAkQolJzxERg0hlZwOGmYaPOYMPre2lKgJjP1Sp/YkrYDdlmcF44LiYerOxyV7qW+/QLoUdTqXLVgVR0sZcqYanIdqbEyP9xFgBOlvPALVs0TnGsyAwECBIpGuYSfTVQqqhg4SGpogOQAjeadPnG69SsRYgYf/nNN/+ff/M/zB89N1dXKJGTOwjOBItozOxjjNTGCAwymFmIhEtMWwAHJ07CZtlMfZ/NFS9VTyQNawCEhjrMRnXFMQavQHO5o9MRFVc94GQOh5vbwfxX3jt+9bsvXhr2hgGUp1P1IiF9zpkD5hu4TwcRj8NMhMToCI8b+sm3Ln700amsZcWZqOAC1Oz/WuEBdx/HnDOnlJjDqz9l/EyOH66kXj8DRBZbAfhOqSZeOEWWDEEIbUNvvHbv8myzPQnZ7T6q9nl3ezwejjYuxX+lsIJliUgi8BgszRwG0J03F4RIIfxlCvOp5d0h7452c2vXx/HlYbzpSx4jg1N8wNkjg4tBpa6SiFnEXW0+PJv8f1W4Bn6jWtuDuPtsrZaTqc+zfLCiecojxtI9KH9JNeY4jgFEvUqeqjqnq7pPnTIKsxVp4UwEA0tBPaROpYnE6MYAO0StB2/InQiUIFUCsgginEfqWViMOLJfySFIvGZur+43TUtNitIUYmLmhz/8w//W/+Z//3N/4z/4nf/yF8Hk6k4wj3BiOClQKpfq81dnXiFilpBKZCUzkYkaQ7/P7urPexsNo2CFBGYSsFAq7sdKNCgZXIVYPxWtn6BJ0WzqR7dff3/42rv75xlHxwDOPh0l3eXh+vJ81FWcR+oxcyPUEBrG/YZ/5I2zr7x+1Sai0RANKTwDqREC3IypuNFQeySouwLcNE1NnYkIzKu5qdNDTEUv8YZU5VFxmgqhFVxs5P7F+f0HJ+smMgrNxnw8Hg77g42l8GDxLIVIUaOyVAJa5XmJZhAfhLLACMypaAg1G3ob9nrY6yHbi13/fKeDRzojGZAtsAyTSe2mE69AX3E1z1+EUrcQxfs0MdHkxSlsULaFQE6EUgrGiO5ss/6swmXe0vrNHQdg8OwFJMwrWi7OzT0KDDiiAxVEoBgqZACHxyxADxcoCwVc4IlAPnKUHwMgJSS4wtmp2DUEJmJpUivN6uI+RESYJYVmjhAiQQQMxonaf+Pf/p/+3P8Fv/1f/hKC4dlcS1JCyMvZBiYSwYK+JkG2JDmKuvzn/XgYoYZb01MAJIIkKxch80I1cz7rjNSWZFtbIMAc7A4FsuJ7L/WbH+ye9vnoGF6xuCqOxp2kBJrobxLHzJRIWkEiSoyrpvn86+3nXz/vmnk1BLSSuE0h7MzdMmsNgJQkAwORjWM/HbdIFISWNKGymHhzSVarca9c6wnDiZtAwrjYNm+/+ejRg4vNigG4GbIej4eblzvtDVOzP8w/0IS6Spp2SRHhmjQ5bcUdTe3hTQDA7m6mh8NwPNqu95e7/ub22BtyodCIHxK4EWEHM9Xc/onBrTo4YKgFdoUBimFBFT/Xeg4Cls64+jS1Yx8R5lKnerVZ/MyS1eGOAbDBIrBfTZ87gmEKlnpNZyJGeOqq9Y4UrhVUQRYrdkPuYQJX19E9uzc6jkziTXZnp+wmsFG6DoERWNq22V49hrBw9ApiYhSaNzcGTKe4agP8uf/Bf+/b3/pW/uhDEBxiYqRAaZVRkdyMEzhQ5FTLfgfpVDF1GHEcrTfzEe6ApJVCNAl7RyXCzAhcz7WUlyaurroiyJgBc2cf8QPVr33/+rs7DQ60kp04kcK0mgrPCXMiAoUnonBgx5SYhPiikTcer996uDmJuptifVLqWuE0ETCbh4A0qzWsE8Sd7Fh3rYXalIiFzD3eaIBacUDD4Qb30IQEAiWihnB5wvfvXbz12vl2k5gMTjAf+uN+vxv77LV/U82HDb9azRANNiGfSRw126vAmpqMUp1SKRU3qruPvebR96Pl3D+/Ptz2NhrC/LHSlrDwSEAjy1MeY2GFUHOGSeQEGCsHWp3Pk490vuIsfIsEx4yAqrFG1YH1qbCFx5Ec+0iIAQlK4jFmHRrqtHwvVyyuVwJAEEKTkgQHMQcvcrXYX1EPDJC72egj2IcmdQELmZiIIc3pw4ep6ZiFmIQIU80q3LXUFZuAuPSJEsZ6tf2f/5X/xd/46//H/bMXcIOxM1wXqaPxrYrXOF4sfMPVMqbZWZdxzHbMloHRMhgNgwlrJGkoEdwwJS9V9YXi7JuJuqxbTVztY9XvPbPvvrSjY3BoZfgp4FAF410bE7PQDBSamFsJqYeLht96uP7Ck+1V20KoOsaJqJUU2NnhTu7OYAMlsMONg9fMzKu7CICVCGAkp8dmSWAUi2wtg9uUs4CE4hEFE7ctnW7bB/c3p+en7Yo4sk582N/e7m/G3E/ZFIUOHODSnqkAPabiZVxqDK+AAIUNHYAL1WaxcEDHfOzHfZ9vd/3LvV4fxqOahx4lwpyUMLU+YQNh7o00c5FVDIDpJY8zKtCbSyoKSopBMF1w5eRWi+fiRUirPvViIXGyZopDj3HKzp9vPCXrBZwyqvuFSFaSAuAbRiNNywSQtKnq0SmkTCix9HKuZpkywZ3ZFSsm77bNutlSl2RznhJtSdB0lYDhbubOo/vUbRnuCmeDsTg5QYDNG0/+J//Lv/o3/r1/9/DsBTjiO4uHByj60JHznUSQO9Q/b5SDgWO2ozkcWRUtukTS00a4LeVZXPltsvsWwrHIOg9/DEwPhvee22+992JwjHMXjAU8WVzAP/1CvJlJRIhJBEJ01cpr99dv3N+cCiWJhmYgAnfcrdsIwLpTAAN2MvIoBIo2AergqK+LYsjiOi2VIm6uDodyYqIU0dBoz+MWhVxIjKiaRys4P5GH97ePH9zftuDIF4GP/TiMOXJEX932GTeV7oQLU3DazwVWmcAMA8TCzGDA3XTox6H3m53dDvr0Zn8YtcSOfarFL+SHIpQojISKXyY7c0rRj7y2iD1RNQzvHJYXEFB+m6JAUbtf68jqB+6YgjbJFnM7ZIyRvkfFiR2kXm49h5dm+SRcOJABFghTklB8TAsk6wYS1PBnWA009gdI5GQIYKzHZt1t1qcnF2egRMzlM5aduBSZOQCoK1yDDy32dTCjyK2RUDabh5d//E/9q//oP/n7x90tyKOHDJabUHaEqVZ7zztY0tWrpU6ORvKBeo82Oo6jCWVJ9CKPHXcpg1J4IyKYFA67mkTpYepRdExwt2z+8dF/4/3nT3e+N2hJDCv2xlIELLDNnd9KMqaIMLWChuSypSf329cuNvdOaC3CsARmQeroZLNOSQLKEoGcjOAMNgLBrEQDAGT1CAwpMhVDiNxdS6tzN4eP5pQx7xCisGA0S+Ie6qtL8vDy5MnDq6vLRFL1xzDuX+xubwavueF3mYlqXKJEJeRVDlz+WKEAebTRYE4AzHI+4vaoN8dx3w9Pd3a9s9Fh0bWL5itqPJVnrZbV1Bd7xiAgAFqqJeMcp1Jh4jsEU8wjeuX0AKBeeWoNgRLjJNcin2saxlGRfapzpWmXuEKD2KjIbApThBkiJZ+BmRNR6aRDs56aviIRigyuI9A6yAyqWVITtv9qc3r2+M3tekNCHjmjRKO59qUFM6X2bvWSERMs3JqAu40WxZsk0qD76T/+xwD8/Z/7OYzKgMvUwxrCFfnX0NOrSqjYPuDZUUhHUI5N61Ua2vS6E9kRVi1o5sPFCsPt6DxzD5E6vzT9zvu7pzsfgaFY61PAMTzOEadfnmgEjqigHiYhJEYjaJhOW3rtanX//PThGW+EhL0hSKJNx9uTNXPYWXPr2JqdXfvfVM0qBHUGRZt4B2mwaGnMHnDavC7NHeZOOdpbxagJBratXG7Tw6uLy9PThouVpqa31zeH/ujqpWsEZuhdzKSAVxR0tPRE1AD5solcMarAjCQCcVOoW3847Abc7P1mb9c3uVfKEAcTWietNkHxoml5MI8EqzmHwaEgq74vB+bUsNlkJ4o0Ur4jG0Khx9pKhInmFWO+CmqlSnVOq4/qwfBV7VUXftwlQmoRBKqQIXG4JyEcPR2pbZuoj5iBRLThgMFYyQUYMyhroqJmGxALrc7OLq4eblspUMThMDMDs9qoYBglN3VIjfIsqNOKQkulAoOJkFK7Wf/Mn/rjAP7Rz/3dI45wgWdX8OJ8OZQgk0hteB8b6BX4IQQXATJALbtDIWiyvRjarvEbtpXwiVBSIFWRGAc5jyooGjE7Xav+zovxW8+GG/WDkQPGBJT6FirQjUGorYOXhkExMYTQCAlRw7jq+NHl6v7F9tG5rAVCaAmJabOi9aYjwpQ8EQ8GKiTlKN6+yd0wJZcD0RIliinBTB6tLWaLPBLPXM3V3VTNjBvxbUsbpocPNk/un5+fSCmuV8NwOO774z76pZby7JIiGfiuiF1Ut37Zt+J+WPrl644SETMnEY6CQNhwHG5GPRzHF6O/7G2n6MNKpcYBQEASFlp9EFNAiTLgjtFLY59QTAYmWoX4qQG9wmaTpUg0EwkmSVXXXi3HqSP4/ByR/VBcWQQAvcFdHQp2sBc/DGEKDtLyP4q0amYhYW5EmFk4dW1LMbvB4GqupqZZh2yDlt91cDeo5xrnBQDa3ntwefWwWW9JEhxuNpiNZoPboFnNPaub5myutigjBzNHKlb8qjmrqlnNeGCWpvuX/7U//b/69/7aullFjTULk0REs8QC70qoatcZ4FFNCwe8xPFZYRl2UDse9ZDzbY+d4ag8aO1ng5pQX2moMA+RgQ5EH97ab793+2GvB/dxBjeozq5SduBLNF/XGN+F0DAlQse46vj8tHt8cfLoDFsgWqEkps1KVqtGGtSKoWqWT5QxEXeVpEUtcXG6Bg2wkAizsMR5k9TIaGQFFg5UM3PwJqFJuLpKjy4uu5WEKjB31fH6xfF4UHN3o6lDBkoaHpeSWCpnxBPwqifi1UKtxF0WycW9DShs0MNehx77nd3u++f7PKg72J19IVWLG3wOPETVaLmBWdWMlTrrzKFihFWEuDyZRSnuAmLH4ivmmpyb4TL1Ce5WBymNBq23rbIznD1TeYAzgdm4bFiUaEpiJk7C0iappBKg182y6ei1AZnXSgZHA4AltcLbs/unb7yz3Z5xIwD1x9wfjtnzOAzjMOih18FUNTaFpRRJw2uJU4FZNd5M5B7d7KOpJIiFm2bVtP/Ov//X1quVBIaOo5NXQy/zRlq1QGzWh4kApAzKjuy4VRx77Hq9Peabo/WGMQpwJwGGgp6CtBUYgWu1bz29+fiQDwg/x2Q4LhwhxVmA6b/ptCODJHKLEmEtONvwO/fPHp7xiqURZ0IjtFlJt5HUMRF8ApuT1+jTEmfKM6TQSo5CJyBE3zTiSCQRkcrWNV4Y3XXg7iwJ9y9Xj+9dPH50sVnHFkMtH/bH4/EwZKtTwOISXvwxUkyCQKGRTM0cOXhVHoTUqJ6bEkJmSuG8Ubjp7nYYBt0Pw8udvbjJx1zGMgAcK5z6aU7IoMBFK9nZlTkimymOsOZMTpCkVNDE498tp6kWSNmYKcHgLrf54lWq3L/Pnj3XbTWf8/LnFFkKqqBijKdEHE17iBtQN09NCxKy0k3CPburIWfX2Z6jZn2y7tr773z+9N69ddO5w7Jn09F0ND/shjyOOmZ3h44wqwCy0rfHyKqS5D2L0FdgtwfAYRJquvbf+ff/Wrc5kehGG5K3NvaoPRXmTfJ5BzB7sqDkDhOYZEsvsx3c9qPu1XaOHhiBARhBAXMBjqQ4Y8qgo+PdT44/eNqPqhnukTC8WHSVAlVoT3EpKkYJoxjLiXwreHSWPvfo/sMzWTM1AoF3CdsW3aZpuoaqpXsHyN1h9NkBWrMe6wnNanh2iAUCKiq0bldUMcXPfP/y4uz04uGDhyfbjjnBYRn9OO72/f5o6mZeu7XNbOAAWAomYQ6XTMmlp4np5qeoMCcMpFRieZqHYRxuj369t6eHw02vQ2EqWkjSGPVRei6gQASp6NQRFcWLNJyisGiWln43cy7iv0vZtnjBFy9OkHJ6lqDaAuOib0a9bzG/cfczxRoEmLRJzvCo8ktyJ+9kYtrIDtZoVrhcYyvdenNxdXH/nXdSk5gxuLr7mG2/uz3sDtmyaS5s7DGRBQwkQIiLZBIRFi6+uYmsyhJoQXU1hMVE0nbr/+Ff/SvNeitCLGCBgKI4/85+TegivNoAyhg9NKX+ejowu+795aAfHvvDcSyj65jAlInBDUiUREkMnEE/OPq7T1+8HPzg5KAy1zC6BtenrQxS1zPzUcg/J3Yhbwnbk+bxw4uH57RmCJdJlyum9UkbOrDS66sbVNbvtd673Mpm8qzUV+ZfTtG0sjmY+w3NVzNVS2enmyePH55dnTAxYG4Y9bi72R/2xzw3gUBx/c2kCNS6amYq/W7g7nUqFhVPR7SzgJceYtIEI7mNens97Afs9/31zXA8+hibWmVIcFLsQSZKdfkEZkKdL+J3nmlSmD4fhEeGUE2pw6ufCTepBZeGJVmSnoscL58jci0TARzumrPqBFmWkyPqP0RT+jYRUilhABG1XJwcU++UOanJXS2qLkpInohle/7o0YPHr73OqzYRw8J3nwcIRbSMgOMIIRiQZGpkBYTyMoFAGAw15dIQubirItg6i4FqK0ytQJjozR/5yg//+B/4jV/5pVLPUh/WyJUX4JSDBLxcgiiB2coOEpO7g9mA0X03+mnrR/WRsBIuDq069sezw6Ckex+//YOX7+9j+mcoVyFa5JT79M/yBOrSS30RCaEjXGzki4+uHl+163CTEgToVtJsOmnTHUqKDcLk3CyKbTJ7JslrxYE90VMohRpgLWAfQTY0X7m2kgbSk8cP71+dbCIzzmHqu5vd8XDoh3DpFEw9kxeTpAXk5VK8W5iG4M5RREulgQehJKkTNwKCm6vq7bE/HHG7P95mfXo93mQzgzovdtNLZkcsrPCCT0i6FJkW0p2frkDHktnmGsmCZFFaZjV5ZKEaAZSOm15UcRBnbetXZJ0LeQnCOIGQl1TwCiWEMcqECMKxMJMIMSORMzug4fsvDe+onLZmszpTIeTm2fnlk8+/fdpuuq6DpCAHM2WAyBwtHEjIRszESFAQWwTVDc5mHg2eFGzsgFHwYeUlWSSWeH1xkm/Rjxb03/mf/Y/+D3/117XviQyEegUoPM0cXC/EFYUQCTTBokqltuSGwkc41LaCZsvNG1/+yk/9aE11628++Pj9H3w7v7zV/vDRAZ9k66PygBD9t5jIMLWKKLeN0qv5ISYtGNlIwL0On3t09vhBe8FcdSCtOqw33WrVlBwgr6K+0NXEXVMO3bQ9Pk2G/cyvojDLnKnqH6lvL54VEoWl+1enmw2XenMfsx5V9XhU89K7qHiAqonF0Xmew0EdLpnqFQ0EDKq8D+LqpSSSxBzjCKBDb4djfn7U64O/vDlemw2KXGdvzuBwgkiLJaBGLN2MILMGmnfD7uRClVxZGCyBSkenqtrj77GrFmFsJxB5xDpKJiyIinZsUvSimZ8X8xJnpiaK/lahOTl8LyGJAFgJ9AaQzWrMQqYOQL1qIAIT7j9+49FbT043G2k3sRsOwJVUDMojKA2OOiASBHj0uihiO1smuI8kTckMCCuVAK+56Qr1zEmY2C0wk4Pcs1lpKsVokGj9L/+FP/eLf/vnignEnqr/yomWypCKYi22HbGToAUGoxJ4AhPQgKhrtn/oJ7/0B374anuZhLenmwbSJHnyhR/93Piz//zv/X+HD771vePwUnEEGYstqq/DzHUqmsq95G8UjVEy1AFCJPvfb/mt+6dvPNhcBgcSGLxuabNtN6smNTynvRUFCCxZbGKeGiaoRo1Xfpt/Mat+hsqDd3w7XhzcQVcNkDYbtFG54nDLu9vb2+tjHsMbX8wfqmRPQlyKEajOLFu6yqiA4AkNovhvWBBpxOY+9vnQ97c72+3HZzfDJzfeD8iLniB+Z+pnIR6ZQJLfYdLpGxER150r/PrKo7O+2s/DUSmzoFHHTM8AXKr0diY0ic42AAS97odX1CDzTCEAWQys4eJioCmQ4/AYOSqTl4uh7qa1JygbEQvwhZ/4sbZdrVInzar0AsoKYYMDxpqUHUOSNvJfLMqtlo/sgYtCCktrhsAkZS8XdcZCUb9R1IlpDI4IbxcJiBr+E3/2z3/t7/1djLqs7ucQyVQOn2FkThKd9DkOjDkcee5OJNwAXeLtefsn/9K//pUvffHq9KRdr9fEaXVKoZ4A4ORP/MW/8PV/9o/w/j/tAUsEg6hoQeoLzrgjuC0QEC9M9wa8SvTwqnnnyepem5glkQpJ19J23XRdmxqqXs3JEqphwHqfIrVDzYTwLM7lClSrC9HK+NrChLbQgwtHFiE8Rm4mllpxAFBXG4f+MA7jGEWpoepQA22ARHIxcQlHz+z3KpaeICQB4ZsI8GruZjYM/bHXQYebQV8e827wftLchX0nNvbFNIjYGCuzfqAUCR8lccpRKjaW8GDyJhWaRLyzopXSnSdWubgLlSRYINpb1EAFCc5XGwAvh5cA6XyvkjgVl+XwWrBWU7wqXEWtNIpEUionqmaO0Yfo9Z3QXr1x78Hj17rVJnECIefR0BTvpFrka3giNyFyj/T2sEwsCh/EKMNSpNe7IznIR2IJ+OIVdjJY1ZgxDiNL4+6gETFrN4qo1HOo8QQ06S/+23/lP/4///XpmYQolQMurLNwSjs4MvLCMenN1N8j4d7907/0l/7MT//MTzfg7bo5WW2ic9SkZIhos84/8Uf/5N/6hW+AnjKRMdzBiIxtmywsLskyccDEgJALHPAEY+KO6fF589r9k/PNqiMQNPIltm3Trdq2m02t6TRfIaOCRWftUBFqdZ1FnKfCj4kDS6/xwLizP3SpYZCYSwduB8wsHw6Hm5thcp1OGjBSGiMUwSUsESCtUFeUz4RfBwAoYvvFtOKaAwvzcbff7/XmkF/u9GY/3PS2e/WByzZQFPVV537pLQCPMj+HFRsdHOiHATVLiYFjw3MYUGrHFABLDsQilAJiwKZfmcoEr6hyDkmUEtYbSLXezaiJqHH1wJRrAuRggoAaQU3kcy9VZwX5UlXWIUJrJJ0StZ//kS+2J9tWotRTzSRbxmjsjYSJCYCY1SFOrsItghBz1pRIs4PMiWOopSSAXQB3N2WPPYuZnmHDhwmvcDgllNQkdyU3IiZFVmUM7LD7X/jyqkkwW7Gji/1qOWZ5FQ9P5Gws0uR4dZKOlnF0Gjk1J6s/98f/6Jd+6PWv/IEfb9rUCG0uLgAxVUCKXxggEj5pG8Z/9y//5V/7X/9vUSyCMkyGQ6l4yUbj0rrfQ28IQOQxuaslP1ul1x6fvHavO2UwPOJrZytZbaVti7zwyZlA1SXAAVru0CZNyBOLPxX/uAMwg1oMkK61UzVFJpShzp7cIrZTNfRNfdzd3u73vZZE7YnPfLIJixrkijCpmDtEqBmOIHa3ClfCjJJArgRzz4OaDpp7tdshv9zbIZfjmkgyniv6FWFhaSXCFNWuxpcHjKLqcS9xifAELQof68DNOh6UIASZhlIQEwzF04s0cSaHq4NYkAjS0E9+7kks79mzFwau1gO8dCclcoms78i9JThMnUmNObIgFDxhOIRboXA1U+q65nM/9Pm03TTxeG7Zo2lEuFlVIWxOXFIBCZSYKLyb7kD20RxkilIBxkIeeZxCJVNWBXCXOLJMFld3j/xHdSRXLfsrxJ5gpuSRnEaEP/1v/ZWv/q3/AD6CABFi5kZKem2opFLaFt4l7xLMuAExkm+a//F//9+8evTg6uJe03Ar3fnFBoDVJHFD1IWCE4Cu3coXPvdmasAjucAc4uE3vUsu1epBRTQJILgQzlp+/YqfnHUXIkWyEzZtsz5LKYXmCE+cT2w28SEAKt3KQ1bN4WTMiQ7zV3lpYrPJcopXyuu0CEsTw4UlwRWacz/ud+PuNhcrafLIEBGBhSKZNVwwRWMUP/tiH6YBmNFbuWgzIWFkN9fh2N/s9fpgN7vx6fWwG6Dh/KkmPgcErTbexG4JhXNKNcQMg7kARSJh92RqIJ7yjHmGiZUbmZGAxFT6UxDV0C7HLFQiJAIxlbY2kY2QpogFMMHbKgSnrMeCtogIJNOcbHNnNY20FXdqAAn71S1y6ZyINuv2zc+/Lamt2U3hOHUjx5i9SVyKO0pSudkoksy8WsuRY2/ugLkZsTTqJgAxk5trGe8BJxstpYTJn2KsyA6QJqOxBmXYjdCAkEBQLp7N++/88E/9mT//6//w7zF5KyJtUzNwjNnVwGIOAlOzlrb3+x1/gpZayMMnf/Ev/um3Hz2k9frs4vTe2SVANS0MPvoBO++doNIlyyMAI19tUkPeCo4ZDCh7Kfi3QBVT0q4TEcMlNGF0DAQenfHnHt17uBYWYYYQ1kTbkzY1UtELUFMw7/B0NA70qU34K/6FT7HfUg3GE4Hgbs4x+M3n1K6ZeRPTxRmS53EY8u52v7uNpPuYk1B6ekZKcYAsni28kn5V2WQJlKOxQ3VQMzUpAcgwH4cx49jnmz5f74d+gJIVf6EXCp6Q+WRaBAqNneVaghDwr/qEZj8YMzNbOGxL629QYmREIhUzeyIXmbiLahUMEywqHYLPhagkmglYnIRYUtMIgHFUNzedm6LQtOqaGFSp2+eKU/ecNaHhhgGYapyuuQvzycnqtdcfEhVxajAYWPvaN5IJywFB5HByMlWw2DhQVk/F5ZTVU9sA5ApCMoIYeSmYIIDMFE4555Skrs8A9IecGoMAFs2EGUw6KokTCyk7U3ip7v2BP/n6h8+effvXWnhTIhYOsJPDnIhM0CYeFO0JcGwfdnjnv/av/NSPfSWdbjvedGt5cHaJqBFVc0ff92462hDICT2wbkKy1Iz5gnHKaRU4isKHRZpCin3iAUrPW3rn0YOHp1hFu3JCK9hs2rblaScXBh5QjnLyyxXFEpaYR0TwM+AoQpyau1UORLmYhynotXjQDWYe7eIJONvyyXaThiHvbg/73Wiuk5qv0QCiyA/lRfPCyZSqaThBYcsnqesHp1YYag7D0Otu1+8Ge/Hi8OJajyUZmhhUI3dVqpWLmKAOCY5Z1kwLsEfTXajOKWRW4Wh9lRMx0VSSVeBfIhT8HLRdE99Rx6uhwNYpDTskDoHw+pv3wiD88KMPcwniVZ8V2JEdyYxiwnW1NIx8arMIYYmORm4lYwFAk5rNtrm6PI/tcxvDNgQQrtJSaU9M0RHL68N7UU3uPurIOefgBBYeCBBJcAIxmaqREgX8MRIu6bHu7jlYMPc7I1Y0lDk1TakLMCKGW09EQCsiNRPNX/+Zf33Y7fnpdwQx0So0gYA9JaRkrUkDOZWG7p/87J/98+v1Ga2bplk3SS7uX07E2+vgo+YcE5gjU0AgbObEVCmagRigEQVumJoHEgDyYEnmiXKICCvCm1fnjy5lndpAN8K8bZp2xeXoDZBX3REF0XgpCV3gTaocSwZbegALBI05w4vrFH0Xh2TQ6MdqcMtA2GhYrTabVZd2t4f9bjgch1I6U1MK42s5HxPA/OOks5YPMANrBoEltVxKRT3n8Yhd7zf7Xt36UudBUt22qDmhhSkAgARg9uJUgxOQmBsQpjqyaVEFmwqLJuJUKgc5JcNIQsCdEvFl1xighu8D+i9iXl6ULRFA53cftumAIzDPM2ocpfugF0M/OqIolXYXDCDgGqqjlqU5P19v1uuubeHwPFqD8WgkY2obutPC0MoOE+DmCnciPzr4GCELImEibkFqAMjFG7i7ZbeczYmJeRWVhCUr3V3N+36HfoAwGuHBOKXsjtQkotJ8TT07AeOIRlIbKG0FPPrDf+z5P75BfgabE7eREky7FbdEJ13zxp/8V2V91jWrbruSZn1yeRZH0I/qgObBYDpOPQrAiGzOKt/Jb2+PIM6sYJo6dAeIJ2CqLItCwXBrM7BN9Kjlzz8+PesaIbQMBq+bZrXhmt0MYDGNjStPWeVD5Km6sxh7xXYsXpUJwNUP1QzG8iw1MGEodqLFv+pV9G9aWa+a1CDd3h6GXk2LupgosFqANP1/9tCAgHn+8fQ1iw0CCyURgNTIct4fbdfn/eAvbvPzmzoWPdp1cPQZKcYs1SBfuCgnXuOoQ+EScpYoXKGq0igQGhgyKerEU+JVkWLFixz5qa80IImnIUJt5D2jyrqGT54PAPJQQmBN9FwovhWKjJzsnsJ9XmoJqV7aoUYghhIJnNZtc3axYUlEPurQ1DCmN0TWYMxO4WKAu5thOB7AbUrVIncfM8NHWI5ty0SUjCUZEYtaJphB2YGUQJLAjiTiHRwQmEm/vx3HPo9DEiB3TRIAQuaETA20WJqmMZZioHGI+GdUcnY/8cfzr/+8jkfTYxBjIiLIdiPnf/hPnN671ySmbg0iTquTzRYOd8sjzLOZmepoxe9fa6MW0tEB93/xL36x5O/NPuQCQiYAKdVXT8wM2jC2bXr9te2D89QQWiYWpMSrjVCacF65TLmTFWabaRlwZIBQGnHVIgAUeAmUqtIQQWZexyJVsVnzWd2RAYNbNnUHVAhEfHa23m74dLNJx0MJd80GTfmZp5XeWfZdmv0MDgQI1HBTDS7bH/3Q283Rrvf9cbDBplhv4AGjKkOmW/HchrAyPYOYkhTVLFTXzFFJVXKOmV2m2ThlvdUILuAdznBXL0DRacqT9Fo5bEGjtfyXiIDXXwtdmH/nOVICDEJEHk5OgkuIagey5ySTseVCkZvCkFngMtF601SXlLvZaAwgYxQXdKX61sljMe4KCHQYrRStFJfk3YNhVzgjj4YG6KEESs4CcxIVBkY1ycKs1OXxOAzH+HhWJDZ1eEwD7nvy3h0OcaPoA5wdoNEUhYwU3jRy+ba//C5MkJUSGiJ56/Onr38J4Ha1IjAxp81GiEcf0Y/RetR0rnAsKMQWWsBQnBrq//gf/9KY3ZXDfPTKH1RbaVAEZsODCKwY25Y//3Dz+YfdNlHLYPbE1LUiDVeyWHBbIbxlk9Ci+QrqD+8hShmDLVjVQVb6qFX1WTwviyFw8NFh5mqIstxQadsWmy5tVptV16Ro27ZEZ7OxN8nxiItWB4jPf77zqVgCEYk0VI0OPWru9XCr+51eH/RmZ3khzYorgkqad+gimuziukVMHlQZXiOO8AGEUxQzgohYKHIlqfhUJnKvubeFIWmq1Fzi/QIQDWAYTGa7IxZwJ9NGiFm0IRpCXlsFHiBXpIbr7IPl7JMS8WcibnB+ummkDPYzU2QhjJzINRl50Iop0I9YsWg0jlQ3cBL0A6UEZtMIwwhJAGAhOGzMzBidRxillABhZ3dVtx4AtB1MDYfiT6WSMlT2u8/azHLXzcZRARhI3QEyLT60BgYxvPV5etnh+9+F9PTwyck7X2hSl7qG0BJRI8Tddto3LR6MBRsU6isJaYQpqd1cob1/8sknUx35TGw1DBkSsvS5Ie6YVokenjVPLjbbJrUSNg93K1mtUjhnwnytfvzZClyebw0GTqy1qFmd34OqONyMauvHiWFCNMcn1B1WYvVOgACn69XpttmumtR0Kfzd1QkxqUFaXHLRs4NmryhmGl3sDhGzVEedq/r+OB6Ow8tRb8e82+mxAGhalMnW05jOg6q9XfaAqI6aj4NKADGvVismYilJYe6AgIylaYC+XtmW46FL7K5CiXI/FIO01HvEx8yZZvX+h372y6GzzDNL09DYJVGK4FrZpUhDceGar65EHo2mUMEtAdzI2XYrEkWF7m6qMHNmQhZpEWFLdYi6MdA7dRZK3wHNGYDkPNYNF1IYomFMEcBqTqOzKGUeA0O1RAREx5xjOQJU1zMAIh2zlVi7AaSafURtrWlx9/DvAQmCMREIbUO4/wT37zOBE6+3G1CTkKKACu16og2tvvlC/zX1KZZt7uQxRM6JKNIHFPZy18PhrrlaZig2AMgrhAUx0JCvmC5X8vB09egsnUQMglLXUEpMJJhHy6NSzKe/StRposxJVZeYPEoQfwoGap4TvkITWowscHdgVNRiq7BfBaDtGpt1s+6alJq2oUTF91CWULOxJ84I2o2WidHEa6EfX9GGABFLqoEu8+Ew7vf55qi3h+PT68P1ED23UHXTUgxN5mgRAEEmhQWn63tNIgWktMGHVJChitoC1QmeyEqq5rSfy+UW1on9nhMm6r3L00dW9/asqMK8l6Zdta23nPuEFUgB95K7GcASwhnaVme2hS+GQUQpNSebDaWSj1G8RVz3sjhv3JCdRQWck7eja6IU6Vmo0TFCHkiaeNSaNRDubwCARXtRGgQ8ujgJN2FYOVFJyRBmCMQJ5G4OgsJVMarB8jB3tY44So7qUjgRk7VE0sawYBJJ3K5TYqZmXQVZMiSJxolhWEa0uqCdMqMuUCb6Q2Ao6xLQgRjK5ni5613HMWc1dzOKhlcWKCVCU5Gs4cyUCCcdv3axeePe6ZmICBGTCHUdpyalVJpRwIAYynzXhrpLGZOiribM0gWy+GOOMvSp1XQA1yr1Y8ojzM2i2ygArIQ269Xpttt00jbEQPIp5FAm6lZuoKIWZlcNqktgtndQJYeXnNKaUOpwG3U/jsd+3A+H211/08fE9nh3kYizS9Tdp5K/+kCzriKqdREO0CzSMCWMAVXbLCRDDevUK975452v6Q2+kIUog0uIzhefWq3S2DcnWx9MR0dnWuLGYVewoxR+6ySlQiinxNvNugTcveRnOUAqkohZwFRAjmYbCdQ6GxlpzmwkLA7mVB6CiGDGwrXPmALOMRon3AAjubE0btzAe5daGkkpbl0EqhqoNJhyh49Dr6OOajVtKv6UAVVXNWamFJOgWqIEoq5LXdsiCRKxCEi0liqWlDgrhxEyj6JXYiBscxwOWW8L4dmqEcVmDdh+33/967/TRz9PMzK4OdnUfmkyoxxAIiSiixb3zlYnq8Qp2vlRSpSSBAeWM2QKu2zJVUt1Evtb2HOhMCe9EdK6poYuEkon9Vgco65hpkwJMgQQbVrerJvNumkTMykDtVZ2yX0Li6/8U6z+aAhWEDggBMXUlyygUS0tsqy3t/3utr/e5+cv9Pn1uM+lXLsueRIoU6y1Pkv9dodf7sqs2pWMosa1cq4HXqqeGeOFYWeYemYGBr9zfa5FcNP9iEuJuLmtql2z2+O8a/o2d2u0/bAx3QOj+xCZ09FMuYmcuOLqis4DjTTrLtXYvWXnRHVamADMBhfT0ubPaRw9IZtYxipRT21npIB5TnD1cGPGvO2oxyAwXMGDOnQKN6s7SAy5kaQiAm4p+jAxIYYFxya6GYY8QjH2x2MMm55kGAzU1NEXYAYa0kY0NU3XSLtecRNFTzJTtrvX3pMID3IJEk3BVYfDDofbl5/sD4dNMd7M10w7jIaXL1/+zb/5H6mTa+TXhs/My/VQNAITNYLEfLamR+enD07WZw1HkrMIdx2JCIOXZ11SBksqeBHTvqDBqUh70pTudygwXje1mQUXmiPYUGtkXkuzbSdQS7TZSKhBKfFtnQrW7yK1KRBBhVqZo0cOz6ix/FP9HGQpJUkNAFWMeRx9OOZ8OAw3u8Mx68yBNVdpfiTMzqlJp9xZUR1ZburFJCxxVvJiXzrgZtEhdioKF3ettn4AubCWI6KxAOGxiorpQsiiaEUC5jMU9CDu1klHXG78uUEV1qoNGMyBjNruLm4YHYTalLokRZUXs0pHOBRt12GWP1CzSJF3zzZkYqY+42QjbihZ2BkAsrqTJWJi4Rx1gEaGERqNICnFc7OP5Exs2cWtJT7GoD1yctPSX0YaA6se86HXhZ/QUDOPiZuhpwbClFK73iZh6VYrTkJ1KLfDzZRZDFqSQadmTMRVKlTTJ4jY/P0P3h0+/AGAASBALq/unz9Ae/1y0JfvffzicLRjPwZW9mllkxYo4IeZNi1ddPzw6uRqQykcdQncUGoSvQKQfOF+wJSHVA9gIhWUAXGx8IVGLFI9ZytqboHcJvepebGBNXw2XvaiE5ysmpVII8wJChNwqn7JstCS8nUnlu0T9dx9AQDVGV8QpuBAt9F62+3y9ct8czu8uDm+3Od+AQonwYOytvlPNFF9vUnkOk6JLXDU4iYqxVQzWKjEHzwUiVclHFsvyQSU2MSiGRPqpJ6CJIjJqOjXyURu4lsCCa0YQ+K2lbOtm2l/QGpcRyMlwH30bMRCLiyJuja1krg0ZlGHOwtMASSJgTxMy+p2jxW5o8RR8+Hoo0F41XUFb8Qzmdn00PGkkejoCgrHTTOQNAKQk7iZMSfkEdKwiIIisI9xVM1jjkrmOxO9LKShG7gRYLPu2lUjzM1qRUmkW0X6ipbmvn7MYwxpq+mPKAW2YUYQUbSJAuA+fPSD4cPv3h7HmM8g0qyeP/sEALDf7f9vf+efZPVcpGPlXKrnGUhDqCFqmbYtv37v6nzLTZ3hIcyrVhIXeikUVTiw1D9TYTIv2RuhpCe2mrlvgqiFZMymoqsFUQOTJozhaRHlciNEOq34addsN5smEaeg7pIibFZNuVfMpSkexyQLTF3eV80mEAmzN20T69eM/ZCHQQ+j7w96c9SDl970vjC5Fs6dxQ93MefyHZm8cfMiUcpRLLNbiik0L56mNVdvLqHkWkTpLOoci3IFmhZYIbE6wtNrtZcmjiBGCwhxEgiBGesG1kfiY/kcS4KQCJ+uGxaKzgUljhE9C7zADXezWhGY3ckYpi2zW+QYmDuZGkjZvae+bTuoOqMSMy80f3kYzQrE2RmAwTsiZs/M4jQCAlEDcdNNezcOalNDUwDEEVcOe7xNdHa+AaFbrZok0nbUNiQJ1RIiUM6TTbTIjAOBiaPcjGoA1xVAPhze//Y3b/YHN3PX/jh2q9Vo1NizoQfcfut7741F3cxl3lHfWWQQuxAaovMVvXFv++bD1UVThkQLIAkkXIqsFnbdZzlj7hJ+tfCppoejCpHZuzvRGJNVP8y0TK9JbKqupWMvE7AidCtphLoVg00hDHNDKvZtQQ2zGlwocaq3KGHA5XIJxIS2lRLycx+yDb0e9n59Pby47W8Pqj6V86MGpO7Ywv4p/r/zcm34ydV6rSvziesKb0/bO8+P4TpWpQCNijkK5p3cSJNKLUKzfCiycxLDGyYC+m23GfxWTDAkQWKsN41l2zPa5KMSov8AUSNyetLxDELhmqHF3EicqBSHwNWMOGIdIiBIPdbAsyVyZmog6jG0bYrm/g4o2Bxzz49KIo6obY+k5yNA5p1wzNsw0gxAh8GJordneFA0ax697QjcAbnpOgDMcnJ22jRNStKsGqYGSeDwnBGtu53nrug1uZUZTAngKPcMuRt1MIFcdy+fvTj2pqN6HnaDQ4+3Y2rT2EM1vwDGUXNJRyGPHjOThqLSNywRbVZ8sW4en3brhkWcSAOTNS21nyp8wYQdJ7KZznz5Pf5KcUueqIIdGsxmS3HPJUYxQbeAZQZzdy0KVIBtJ6tOupZWq1J/a06mnkqoE3OGTHBaBalLOVL/Whmz2Dxtigqy4NKxRz/ozX54cXt8sbNDIf7JD2J3lltxYoUKr7BLTQmLpktuHBlh1b0RTGKT+9gjycgK77oTUUqcS5Pn0se+rr8q9CXzLnwzWRHTHiCSuDh7NpJuSGRFZ5le7rhb0bDjY8I6+6BoxLVgCjvdNlPsJLJNQgUIWIQn90QYDsiRS8vACDhRQwTj6jdzMlMwe1ZSHIawC81RWt6llFgkwmu+MH+CyqJ7DfPRUyLh0keqxG5IAVUCIvQOd/S9d+ssxJwkIW0u1h2tujZJl4DGAYwajYh9VC0gLhiDQaAkJJRSy06Lc2eSmLyaAfhx94Pf/CbGfn8Y0I957AE0a9HxFnkF4B/+868PmlXdzBWmFs7a4o0DRw9ldExrkcvT7uFVt5bSTkYE7ZpbSVS6zi3MqPmLANQJK6/4ACsBlqlPMwK0emITL9TYZ9EBVmxdWE1nq2CamoRNm066btWkqWmMqfZ9KZ1DqVuadGBcdaH04jczq1My6rYLE5GwmJmZHw7joe+vb+zlrt8PesijgYzYq1WHYo4BWPoiX8lYeGVDiIAu2ocQmJwZwMjUwa2ukmAUjZAjgsvEzPRZV0Nd/eI8aMn+8BB/5bpycroB0Ib9llw2zXq3uW0zHZsWfrq1o60OY27FRy3MdXl2IpH+wVSSBUJlEKUY8e4EgpsbeYyilwK1matSYZATU83mt0CZonDRbMdaXrgm9OPQtGuSFHZhK1x6m5S0RwcnN+QhMycGoTTEg4Hc3ADieWsQGTvSbNZd17WrdkXtSmoAOd/ZyLpLXA0AYRZJ0pSxiNPpxMwND+1i3/qVr/Xj7e3LIeeDqWZXABi0bZPjeA387vvPVRmm4RZyzOwyUaUQuoYvVunR1dmJiFB0tUPHnIgDVCwW+wqFqRlqq7YFKZRWA4UKvJgRAbpo4kCv0foZfdRa3mhZ79nNkHOBZ0zgJF2TWkltV1JhHTZm5JzLfMI7dPoK5gQWhbaYVxewKnGqfr882jDkw173+9vr28NNP2gMRcI0k28SO3HBWhFSItB3lrFYQQ1R183kImBr0KFIBS4OFq7Fx2zAPIqR4MXF8ypvzuRXlTKivQ0Az1hvGyZKp1sAfPty1aXbcZQ+nZzY7Y5bH9YNDm1rpK0hK29ixHFc0sqDuXm1iaRMB9UIO1tYduYpCVuGU3EmpYTB+taboHtDPhzyCIwUWd00LAz581PZtvEg7BYqdyqIclgGwTVaTGEcZpqM6pmmRViuBN5scLLu1ucnIl3btbxeJzRBYUQFYEyhn9JsIeq+kzCziERhM01IJ3r2AiA3mO5uX94+u92Nedhns16PcDBJ8X25Xz9/PloOOaJeGlLVjtAxwIqY0RGvkzw87R6cxKhLIUYjkLUgNQXI3YFXpUa+5Bg6FjotyL5aSdPmuMNpimLU6EP9TL2w1yn0cJSgZh1iEOQkgrOW1htZb1OM53KHKnLO7p6IitrAp77iElT6CXuNmrt7lDgwN7W7CFzVjsf+cHvc7fa3fb876HGcuMdrdGhq51CTYeYmrlQVklOVCwF3a2N0MKEhEJXCmYomqZiKDLgQgwVckUuwehFhd8VNfGzh23GFJZ/U9Z1/m8sLkRUDcrIbR2/XjY6rbMdmDUBOVhjySjGKom04zlINFAMzai/VxIkjd8YjA0kjamwEKLOawpjDhxKJYwZgHHGbVbP2w3DIeVAdAVDXrkhSt+rasE6eHf3j4wHA6x2s26rJCAcwmgHeIM7RwDYufFiRBiRMwyBtNxA3qw4nZ+ebzbpdnzAxmo7QWAAHmsykyRQgMAsnksp+AaqXSJ8wCVB3P1y/+M1f+Ie3u/64u+mPw253yHm3Pjlvu8CS6+vjzd/6+d/WQcMZ5hUxlVJGohjqvmJuSe5v04PL5kRK+nZiSNcwN686+IHAnoQaPl829Jtc9A7HQhlEO/TKeqHhzNzc3MymQLwV2KFAVpi5qZm5qatbjBxoE7qGN03XJmbiyJU2N82asyUhqZCBZq39qeS6yX4NPgzmEJGa5mbjmMfRjns9HIbr6/EwFAw2pWmHSf4KB965erCEF/uyHLoHfzEzEgsLpulPAIhdSKJ6yUrN8uylqDbXPJmKnYoD8w4eXaykSgICwYmsziGTFa9aAHK65duXrAk8ANQwTNK6Q9q1NJm7xQvKgVfMkBicZkMwDPexhBcKtXhSM9SSh0IcBjsO43HIx2z9rR79CPf96Ac7yFX3OGHMtTXI7pN+j1vY94CL5kW3aS+bFQBI2+sAQKkZAeQM4IObA4AvnHebk00iMFPHnmR7spXTy4dNm9rNNrUN0bpUfRa3Z42ChZhkZhbhhoSFo+KXSxewureF+SxcvYoX17/6C//w9qY/3Lx8/vzp06eHpnFhAl6m7kn76N7th8MH3382lrlwwRHmXuQYASzOZbQ7bYUuT05Ot+toosKMJqVGGm4mOTCRb5Xajjt8tvg++T2rg8/rt/gTrPBfoSibFuclJ0ENijIaQd3MLex2EW5Wsjlpmoabjsot3PPoOVvOFnEqmoix8lr9PiH+ZYCdiFDG3AQH9v3YH4fj/njb97t+3PU6lusRT57K6M5aD7F8curBW7iCCSCPcgCQO5Mzom0eCSFMk8jLYSLhJqa/IPI4KArZHR6lTzypzXmvIxW5epeWKg+A16xicpAXPd27N6sWXdOA+33ik4RxjKuZweEDwB2wL/eoAVzzqd6mRBvSVFGvWguxJ3xhTCNGGnVkTmTZDdg7zGzocdNn0/46+2hQwwinp/auHE6v1usORyDvoNldR4P/4CUhHSF7phTN1hRuyA7s9kccepi1QN/f/zFxWgmwIiA4cNWm9mQLaoFVaDyfBlqXLZqy/QWUGNFLZPYlAAC0EjAC+iF7/+Lpb/zSP/3uu0/7648+eXoTgc1xZOma9b0n3eVrgOxw8x9/49uqo5ah7za1yS2+cSsZ5o3g3sXm4b3tqYQbC4m4axpuwjNWRBNoQbnFL1vUG2Ex2sEL81Vy8arHa4Mm1Eba7mZqqGWEHslGU+sKU7XRTNU0OscxRLCWZt3yditcLfzsOuZRs5lqmkadLtXzrCk8lJEvsqgVSJwiJQMOHYc8Dv3xYMe9Hnb97nYctbAV1c5bM8YuuqYgoph2HSnINSUXNWhZGoBX1FMs7VVpaFaL/okmRcbi7so+yrTxtTAGc3zxjiE+uSRosQlUG24A7Ia88yP4lESAbnNq42G4PQJwg47ee97v83AcUc++ZCsTGZQIKXYKcKgZAzD1Ule2TOWw8K6RwaBkjqNTf/AMHJ1GxW2W3jCaZvfb0YHRJD/95Nn99Sk6oLdBx92o2WzI6rcYxhDmfmNw3Zk6JxC4JT5tcNoQnj7Th+euANPlxdXZ5cNWUnu6TXKCJChVS/XwvHohqCRNIFJYYj7SbB8RrBTxuLuPo5sNh5vf+o3f/r3f+I2nH3ygh10ANwCE1HR07/Flunzt/Iw/utavf/ujPg+qMM9DmbQFeMlMrL4AapjXzJcn3WlHQkTGEG5bkq5EIxdqZI7qVSum9r18xV0Tc+18CufPzSoiVmluavCI/Fl5EwwaWeWG7K5uuQwANYcxnIhPVulks9q2nXSlA5m65eyWXdVUo8EfvcqHDhdM06dqonb4QAjEOTXr0Pij55y17+049Ld9vx/1MN5VMGG9o2YdTDeocT2q/D41E6bJWxlL4JLZOjk8zWp7lYLy691ooa7L1xSxKL+gNHioarAKnNkYLKsmAlkU4BwBbMI4aTq1Zr1rDwDMc/bBBrUowcZ0fgWrlPaQNa+r6L7SC6FEP9kX961kMBoOyqY+gEbHy9GHHgoa4IP74eiDY4RB+ajjMY/dIL2pGmvve3XrozGxw70fe0BtdJBjdE6GhsYx7UH33ngttUJp8/DJvctHj9sktO4g6wwgW/UFVGvQq9qY7HGEd9dyRkpMZnD2aaDWMKrlm2dPv/61X/n27/7e+NGHBoeZs4MRnTtI5NHjB4/un/EZf3RtX/3mb3z1G98+qluE8ye+LkQR4ywKKz483z66tz0TsAgYXaLUpbkX+OKL5msUy7Sc1RQT81nDoMJRr7UFFV6Gh88CaBY2DRYFmcUoO1ODuqmVbFEQ2kTrlM5WsjppU0OVTtyzmrqq66jpblJJXXeJ1BdIX0pH6ruapkmR/uuOUbP2Q5/743jYDYdjId+gLAK8pqWUB68cSMu7heVY2KCozsk3E/xR3KDLxoN1yypFuE/b/ak33fl5dnnXKqLphIo4qrcGAN/3N4Br4VbGZpvaWwBm42AGGLONFjJsNJcMwD3GQ6YkXOszy2iWMrohIEgxe8u2GKLQZD8iu8Oh7i8G70cddBiBXY8h+27c9+CMBuCUIKsjLKk5FNqP7jBXELu7mvbZ4JasJAPZ6AY+tv56t/7hyy7J5slr9zabLQsNqVmRWO1svvDUK0C2gBGRPQgjIkR/MR2sbYUAJ8RknxfPPvoH/9nPP/3Ob/uQCUC0xy/YnEj44dXp2dn64s0HoMt3r+1XvvkbX/367x6i7ge17rfa7wvTAQCdJLo4S+cdiSQibgjNSpravmBq2FXog8NL4MVHzwyzWv/lqN+9cmi1DQsK9Rqgj8SdCAKVPwWwjT5rkRamU8MdMBMLzjfdybrdrrrVSqiWIubsqmbZddRx1Fp+ezcs8akUtVlcM1OTwpesahjzMPQ6jHl/HA8jLMIpXIaWTlIozKygcC+tvGriFs3Ci6pTc0qsia9wxSw6GoOIVJUjdrxwFs+8tniiZUywEtIUQ/TlY8/QdfleH3QEVkRIwCiN2EbQSD9M8/G42LLRCtrdQcKcShft8Bh5jIGZyMN8itgXVlR3NeyNIu2sV3/R54PmXu16xMFwM1A/6H4gJqBDEowOOmYgew6vQWw1w9G7wzh0r3kZndM6S5t+9kvvvH7Wbdenb7z9eLtabc5PQDEZRsjNstczKbFLq1SFkM7MZHBWj7E3ZmDSo0djqI8//Phf/LN/fPzgB6PtkNWgAgFATALerNLJxfrhxdXJ2YpPV2jk9tkP/tN/8M13PzocVNXZqqaBL1pPl/FfYKKW+fEZP7k82XLpt50EKTUU3gIGRRntJFCdwDS1hvE5OliTPGZHDU1hi5qAFm+I2gC3qTAZNM1nNHdTNzPLKOW8JZ+GTls+3zRnq9XqpE0ptJG5eR41DzaOGg7wuWvDFDMBIigfT29BlKXUidA0Ky6tOjQPOWftj+PxMBx20X0kAOD0SCjaf8ECNOFyTCQZcBSFJSf0MA0Qqpt15+v3+fXujJpXvyahOlkFd5bnpbWsRvQ8nnPUX/nl3/4jf+xLwqUG5iSdvaSX3YqGPTmIiTr4XogssQJkIE5NkhRV6zZJ2qVtzFTyxgO3KlwdvXkeogOSvLD+dsCznb50XDuOg+s4DmOOMntx+EoIJDHV2C3nDEhiUU5uGLI1BBvBIBZvCARaMf7Cl9/ZXKy61elbn3v96t4JEmIuHPk4Hhq1UC+zcKuKnEwxdzHgKqAAgFQBz9of/8F/9vPjzUfjmKfDYYAIDeTiRM7PtienF+fnKxCwbgGxsf8P//4333t2PAzqDudwapUj4QLZUXNywIwToavN9nwtTTQ6AdJaUpIolQahDiXxagNWUnLAQESI5N1Ff7+JDmxBaJM5GmnyphVrRXmkz/oRYat45b6gZ8a6a5smbU/W25XEtGA3ZB9VLdoEh12VJsXyCrF6iUVgKqEloG2bpom3qpm656G3YcjHY2/wXDjAlcCCklRwx+UzNVNDHUtZPRMB9YoiLNTqBH6V1SbM+GkOmuDL7J/2O29ynxTxYkVYsEY5l3p+VM2Fr/0Xv/5TP/sWmrEIrRWdXa36fDXgoPv9CO9OTV6SUSYgEXPXcAxEI5hDS7ey4skN49/LszoRK5G6D44hw9Sf5z6j+95zfen+NLsqcjbVcRx9VAcjsTux9eAGzGYa3X0TgzISDK6eTMHCTWo9d+DVJp3A/syPvdWeXTXr1efeuHrw6Cye3kAWgz3pqH1nSUH8CjJiBrOQuZdkiAywM0cY/nDY//rXf+vb3/zVtgGGgPrtmoGtbn1/7/Li/uNLYbTEKqxJnAXMBv13/++/+MFu3NfkFTfK03HEtJFqyDM5E7WMx6f0+PJsyxQJpNwyBRQtZiNhLgCvhIS68RwAFQ4KdydRVWh1/vrMgdUlU7pTFEQfhxZ/gJqpmhtirph76TxPRKcrPt80267ZdoWD3KBq/dHyoJq1Jsz7DEeB8A9xoca7RhsIxKltkoQyMOTBcw/t89Dbsbehcgez1KcuFuCESSnm9fkk6QoHEoFqy7vyxZiiZZM1WXQJASB1TIX4EwtWbBkJdWnex9pmRhAivyTt+NKkXPBq/KsGkbJ2s+M4HqTZCigKMKih1er0YpufHlcNZyCTE1FOiSApkrYcMPOssNrIJY7bwdkNGl4niiLz0ajv/fkRR+BwbR/m4/sHPcJNfQDGUfuxurIM2SiBwfAROQ5TwXBhFnUC1BTuBG2ZWvBVxxeX9/7IO7y9enAuzeWDq/uvXSVps2qoPC9Zp+bUu7XV6eCcQMTMZAbmMj7AzUCc3RQ+un3nO7/3L37hazZmmK9MWpgQt61seXNvu75/XzbNFDsUkpSYepCq/fW//Ysf7vPByBSAWDVCgwMRzYfrgCwiCOOUcXWyOm2DJIWI2pRWTYqMneK3Kx4MrYjal+dbcsxjK7m6gKjGAxdfJcBStVwhi6Wt4u6RV27V5VYSiwBw20ojfHqyWrXhMGKP+VsKNbiaVZ6e4GhZF01uglh8rZIhcNeKRLK3m6nqmIch74+57/sxJt2JRychjtoFAmomUDwmYRZKi2e9A0xnzUfTm90rL2lpIF7cM7x4KxW+IkwOcw6hOLtMDXWgRdWHd7Z98pjVlA+eBFPG17727k//9NtZ1mIgUHu5bW9fHttue0H9x0cgA0TcYHKSu7uhr3PtAQhqTwuq7f7g2QC3DL6+sds8DgNejvuPXgzPkTI8wwfHcbCCheo2EXGGpDF8NzFkg5QEnpTREmBKmlNarVrcI1w8uPcH30jbq4ePTtv19uGbn38AEvUoQVY3qFZTB0Y4ZjcHUtt4FhG3SHnyrFa8uwQa3N/94ON/8o//6ZhL7IUYw6ja0AlDmLp1uryiTaJEJDH2jEljY479/+lv//Kzfd5nU6dc1IvAJ8qMfyVahRBBQB3R5jTduzydyVZAXVT+LMrNA8EQShcummpiZieBT0MmuNjMAFDm/96pqCzBw7LCiVMcgGptwmZQDXaONE2cdnSy4s2623TRk5098tT6nHtT1ZyDLNxLM847Xc/mk/Y5hZtFZN0llP5EyGpZvR/yOFo/5FiVgYhjIE54oWjaTSoKb8F/BYYWU1AmRwlhTimiss+IuJJhcFM0zvUQuPpxMEFPOMQQw4xKDygr2B4GsBlxxfvVYlu4XGlyBMo0QAWec/7GL//2H/ypN6WeJnOz6lKf2l6GtuGX1wk0LICwqftgtelAQC2P5jq1iNTdYcdxdJXDOLx3M4yO3PffvfWDwzGOwOAYnUzhXrclxAMRmTm0dJQxSixokR2pSh2RZiPpXoeL8+3nHm/bq/NHV+v1+tFb7zzilnWwTOxQd6pNUNyjiW3ID1AextSAvcwAsZhMpu7u483NBz94/5/84q8fdTB3FSFuItzCRhA5aXC2Sh2LEAUuDyelu+Y+/+/+1i/te791V/dceijHmXDpBV1ZRslTAUwuRCetSOdxamBqWlk3SYRr3/gwKaj4MYgAhUf1xjTyj4hATk5exvNWuLOAomEwRGgCpRa28kjksmo5FLPqiCllS+5E3CVZNe3ZSSMNlCDBoApVZFftzdxqnyFKBezdVU/xczyYMLPwet3WiW2mo1rvecjDPh8PfTizqPLexBWlqQRqItjSGTizWPG3oeaOTbGbiSXrbzQAo5VQYClaqqwcaSeOOpgUCTRGrINL+nsIFTdMid803Sb4cFLJgFMUv5WoFBGzH/xrv/zuz/zhLwsTuAF5u12lPssYk5czxtLUAYAZcsElRb/H/c0nxoaBB7X9kXZHvR60z/njI26PODhi+tfOIhlqmT84rZFhHCVJU30B3EBaus+xnDDdf3DyKPGTB93p6vQSa948evMLjzi1AJAGDKXYOtwD7m4W/VzqEVhULYlPFfwGPx4t69OPP/7Nb/yWW3aLcRYWbfAcGNX2nPOYrge9RDpFce3Ewf3S+8Pf+TtffWHee3QTnk59ogqpCTdzPyMhbJjOu3R5vhEEoGuk4bRKU5roXQIuE8KifU7Zt3LRGPFBU+9Rn2t3Kxej8KAVpqq0XGnRa/smdzeFTrJLXRjrRNs1rTtJLdfpB+7AMOjQq46a3Sx6LFQ4WjKr73Lg5N8nIlp10jRMICeDw9TVddjn43EYxhEo3cWInZUIRgLKod+gVlVOdUsuaH16MfJFadH6hWrfhtLE0KtTqjSPLXka00hdLoWCuEOvs3dhMkw/06FD9bazMTzbxWZghY75m7/8uz/x4+94Wsfned2KMAQOHz1PzTQcHm1RQAXOOsgtDIKwUNkZCtxkXI/Y977L+ZMR/YgDMBocODgi8SgWNwGhYjO4EVF0WeGSXRsgTAWgJFvhi/PNo7Pu/mliOu3ONldvXL399n3n1gA39BkafczGoKao6p07xBGREAkANSCCRw7LZjZofvn02f6Y4Rad27JFngxFA1UFXmbrRr89mClfdWBRU/+//tPf+tXfvr51dUfG5MaoJzPZRIVeJtFeAianJ5wEKO28nBN3TRMNWeKDlWaWjkYmYnJzVliwmLO7k7FzdI8qLo9F8B5zyLnsed1+moyLCUbVnFJ49WJ0LW265nTdtC1gagwChoxx1HH0YYi8Ui/wzL10uqDqsL9TUhgnIbJqO66uDB1ce+t3Qz/occg15jJpQmegowhtF30TP9P0HBOfgQhg4oUMm/LGnZjDwvQpQ6hY7ojoCkILFCA7KzOmOj9twsUoXcUqo4bSkMkDHJtJ0yUBn3ZiCnkY9GB//+d+4b/+5/8IScMgS7I5Obm+fk5tGXQbkYKx1CiRo3RbiqT7cuBOajQaDmbXR9vfjk9HvR4wOg7gAarA4OgVqh7TiktOHwcQKYysrgTUgSEW1UJhkG/hp4m3qTnbbAQdE3/+8em98+3BbGuDWzvmQVUj3z9ysGDuWbMqhWuNiGIgZHXK4e6XUOpYb3ORV2bmw4C2JQM7oHqEHQf74Pl4/3I9aHrR4+/857/0/U/ytUYFCVl0QaXJl2kVlZSBpAjrnSJtmLcdbdrurCkUBZemEeF5uOUdwVrYZMrVFUBASqh4wZnIrIhJQzWLwg1T0ZfXhNGauVJZMJg0Ww7vylQtR4R1y+vUJEmt8DA6GoiZGvpe+8M4DJbViyUf1zKkBdq9E6cgIiJOSVarlmuDAnfLpsOYx0F3u8EMUdtPZC0h09TfDqXFNtWdQEGLVVEV/FtiUFXi1zs7wgjmghcMNWcJiPx0B4i76P4AoEYnpUY85qtJuCIBwHlBTXdDFRWYVFlTWNVRaiEdcPPRn320U/O0StwkOnoSbM8uP/74AJSQdq5dd8OWjJGzkzx1Z3cazW8Nu8EPh8PTjOvBB6VbJzOoSYaOVuZGO9xqrT6B3LXAahjADiM1AqlIa5YcnGjDWCWsNukiSYsstP7im2f3Ls+J2Y56AEUhRTbXcXCvhQCLfpTuzhTJdtVUYKAY54lIQXJytl2t103ee5TlqmUYel93YsoDp1bx/GByHMYxP7/e/db3rn/vk9wrBpSp2giEVP0FBemQS+lxFTnhIQiwIjrddqcrbiVGitjmFO0mpiBQPfQ7fo2aXEXFHAr/1dy+oUz1cQDg2TVT9KBhYrlFDg0wRfqrovTqqiomJ3ci267dNA0Lu1LvaNhG1SHraDbmwS2wCLyOqeY6iW+G1IskBRZJXccVqZkOrkcbDuN+N+ZSURXjOhngBAIQZjRTCXaUUZtcZA6X2gTnaKy0cAz7QvNPO1mPyp1gQDZEOdc4n2JoXCIIMXEjADEJ1RhzRBnYwW48Xfuug/bOL3MqPYi8JO87cWnNa3/vP/kXCWhZmtVGTptVOjkOOTy3bjAnd4aRKZlxySJUd4UDpjaOequ26633/LTHde+3ihv10Xw0jO7jnOA1y6eY1DqtuqbqRGmNJmRG5oRNI9sG29PmpGlOV00jp1988+zy4qRwlJv1WXPO4zj2vWb1rG4W6y42Tm1UmFJi5iTSNW0naSXNKjXr1Gy6VWI6PT158sbDs3VHALLl3A/HYXc83vSW3cLiGQxH1Q+vjy+uD+99cuidB2B0UlAGmZOXJtBUPQEOuFEtP5XSx7RhdA22q/Zs3YYbtBWuwyo/o3vCxD8hVXzJnUW8cowfDkOKCByDcWu2b6kaoIqGP+sWlTiLZRg3aZiblLqWt6tUPIHqY7Zx1HGwYcjZMKgdRwwDBqUx0zBQQpFDfpc0iYiaRrouBsUHztJ+HA/D8XAY+jGb1tlKJRky0hesOpxqzn0Fq5WsK1lNZXthgxYR/KndLKsjdeaay6K1LXklmHqHaj1SzXStQjKkIXPxVhLNf5xXOJkisbsL3QjAOWCx0tgPgHTrDsB43KeW87E/7OBZVRGzQSpcnpOHFGRmY8atYzfo4TZ/d+8H9d59UD8owdhYtTTMIXCdnsdLAC9RpFDMWAcIDUGABmgT3TvhhuiM6F5Hm+3p5984adLq7GxDzDGswszcPSv6PiaJcrRSmfO1qiHNzG3bhj1SXnGAVbjZ8hbuJ5vtSrBlfeZ9zrZzNfBg5rZ+eJYUEIO7Ynd893vH526DWZ1EGHwyGfOFbYpaAAzRrq1oB2FsE12s21ZCMVDbcmqboACN8Q6v6MFKCPOLAc/g0YWoakgHwMRGYHabhF+4eUFaTx93bU44UNqSFAdOLH2zku1a2i6RwMsIHXe1cbRxyDnbmHWe5lt1UFqucaJDYW5SaltZtdGp091y7l177w/a90NWnz7mYWrBhaglzqSVpiElE7c0w6D5cWJHMIHHu3tIy1cCAFBxFfpkQJjbDBzLleaUufk6y4uVXNU5H7iClcKo4RCtNR+gWRjSFIrKYz6/PJv2a7e7+fiZmmZTHQ1upbKn4H0wYGrJQGopA4fBj7fjd2/94H6bXdUP5lqqZWiKUTJKE4wqs1AKpBmuk7QBgOxYu7Ukr5/K+ZYbas66dHp6/tZVl0QePTptRAqyd3cgZz30xZ1mahXnWPEgMQjYpi6lVlKqSiFxXRgk2mZRaujs7OTFzbVlHc3yAHUjxq0dLre05k2ogqPmmzwM7sOCxmhhCnj9r/5KtPCkt4K18OXlydmmYaYmYd3yxbYjRz8oMTXcSGHmReFg+b9VB168YtX+L3JtGcQuCHnKasTc8bDSYdXXjlq1qxGwiQCiENokLcmam1K2SnCznG0Y8jBaFBBWBigc6FaG9tXNqc6MJNKk1KYatHbNg49j3t8ed7fH43HSasV969PshQmLIjKESmuv6qJHdCcpBt6d5/vMr8K25HCKqEo0YGmi2kBfFX8U3hBiJ2ViNBE2m3LJq7t0YYpGpmFMzKrp47Vf26Rdi/s3kpazToHFttn+zrd+z32I5H83TJbmdH7ubECf/XbMN6OPx/zRrb406xWa/aA2loweJ2aQlRyBOdFhkltFx8fLVcV7C4Dw9sPNo9PUbbq1yOnm4uGDi5PzeycXiZiJW2qkhEvVD0Ok+sNqFIpBGIs7O4FX1KRVK21qwnkeHQ0K68DBRNSebLvVZnu2xgcMAxTqNjhBM454vvPVhQMElQ+P+alFeKaYOVOp8107YMbaJTREBIIwrbvucr3uhFrhlWAtKca7ZPMhZ2ICEpNNswentJvKcow5kFPOpGKcGaLNi6BJMJSEjmnBlQvN3SxKBgvxOgEd0aYVEpKG3GFGIItGMmampqrRdoaKKQbAYFPGzKQVQCTEKVHbstTRJXkw1XwcD8d+HEetTtpg58oHVPrhTL6ZMIclxndOtykfigfi8HnYvBd3YiXlV0dNNYIBthhyHK6Lu/6VDNa4Q2l/sxCMk/CYdp1nRLucTiGIrm2YFGDBCK7wEZrLOz/63tPvf+cmepaMtRIfiDHgFKkYo9te7WbAYbT9fnxvpztDrzpmVrWsc5AYpkSJuTLchIcp6iIIMQ+TLUaHEbAGksgPPdr+8KPuousa6s5ee609O121zWbFSTriBk1UZLLDhiFzxLiCXCN3L+dqEaFLbZtERBokEYIklElvKEVIJANo1Tabzebs9GQd3ZHVhhKzth489L2PmwEeGnicuoQ7IabcVfixsNa8ijySBcBpmE5aPttKl7hLKSVsN10RoeZ5cMtD23oSVpl9fAB0Nj0WWdWVtAqjA6ViHoUliyuwEjBVrEdeLSzLsGwZ0V7QVLMZk6do98GQ8PpGHzuyrFnN8wiDWiBRr8qq1lDV3NFKmQxqhFNKJf7mmrNZ9uGYj9e22x3HwebdAmrmB9VdBGoeC+Bsbl5dUKgg1B3T0HRMJfsVq34a27+ygXf+voiHTwqVcKe6t1QjA+Bp/uZ00eV/8+sF3nyq6xwcDsv+ja//3tvvvA7g137rq3C4IVu086uBGCIGqfsRvut9b3590Jd7e9nrC837kdQ9qw5KUzpajZC6KiavEmoMhUAiHF0OZYCSwrEiJMEPPT752be2iU5ktfrSl7/QtGkcQB2h6VKXoqMzau5WVoVlNjNTNkceI0iUmJiladpWEoukapGVlRCFccjcEqMzgdnJyclul9YbWjEOIt6rDW7Q1Mqz6/6tyyWDRWqlAZW/irSK1Am+Y0JUgQdQRzhp6OHlyabhJnESrBqSxCXRnx1wMx8GHSDR8ajQXnEEoiJbmrXgtNmOAkK9GDdwy5EeMQW3HewldQDusKEYhiXdIlIyzJiEsWobYd6uxUtCqquphv8S6roYH1Mpzp2yappYCeWoyyipqBl0N8829Ho8DPv9Qcc7sHt6ngqNuKDFCvnAhNm2tWqLR2aaFHRd6X/JXHfg6aQYFtyQylYv+aT80BY06QCcal5N1YbLr/Ku2tWqdEGeUWhlAwLNTTfMlb/2i7/83m99C8Dz21DGxdL3qJQhdlBWDPCdYnfIz7Lvj/py1BfHvAMUpBrZP5MDrz63K5DcJ/dy2Vki5tRGozxqjQcwaWJ5+6L5o++cdt3Vxf2Hb7922qWUuRtbHZAccEMuSMwBDIcBOSOPcEAVfWZkQWqEyFNiSsyJOKVIgYiZHRauWRZhblOqpevtVrtVw6vTs4vL0/1tv9fBzQYAeRip44+fP2/b5UZDmGG0wCFUPeMTUgJF/gFKqyBm2nbN6bpZNZSEW6Ft14qkksMMLW5QA5CzZapNcaU0wC8DcHlZHTCxwqwaHOqIVpN3DaMqAQNyGnl8HApX92xFiyZ4Ex1XiVD6e6DMZUJJwvYaBikZyu4A1Mxhcz1hyF4hblpuWyoUMZqp9sfDsc/HY63rr9COMI+DXlK5RZysGEgVe86+kWIb1o+ZF7E1GVH4/b7q5t2563LPXn3vZKyHzzl+oEh4ZnKn4v5YRGhCUMdyhLl0Awrai821w/s3zxkAhqMSlbI2UgInjyabDpAPjt1Nfpp9f9Trg77IdqvuRGrIXvfljkkyfZkXpREi3R0M//8R9m89tm1Jehj2RcQYc65LXvbl3Kqqq28Um2oJotSUbcCWLcCADMgGbEGQHvw7/ANswA9+8Ysf/GQYhv1iPxCGRNK0ZIImLZMSyW6Kl242u6ur63rqXPbZl8ydmWutOecYEeGHGGOutU+V7YVz9s6dmWuuOccYcf/iCxIDoCNFOx9/f5//B7/76W7/Ynf7/He+ux+GBEkiApOiLZWnGsOSCICpIqjlzWk+ejU4cQJZYnZOOTGzcH+gOH+gKDsRpcwMIYIzD4NOzOM4bsfNKJwzJeDJYg9VajktMgwZayswwDFOfN1iQpDU9AXwaLtp6w/PzNcJz/f0ckeJKTFSQhppSJyECNDAX9oHCxeHs9ZIGrQBR4F4iMr9xcHrHfIWto7c16nXWDn7vOX5eyeAwh3FUILGwo2ADIyZdgNShJ8WfWqB+V3PMzHDLATAAPLGW2MxCt67y49x4E1qyOta1NSWaZknPTwuHSzXTw6t93f+I1RAbwo8x73UPF5vNs0dUF8lw71ZGj9f6Funsn3WB0L/S3HFZRdc82kM5NzYXXwt/ayE6tza+hzoCbmAioJkbSRfrxZyYw725fE9cOU2xcMxyDkZ2I3hZGRH86cZb6s/HvX1bA8V1eBO1aGO6gh3Cn3808VzIUD3zfqvlbA4FUQi+WrADfDf/8uf7vYvxu3uN27zkIgELImGYQFyWRZniAhgVQ2opjbPXgrmGaU08BGxQIkSE+VQULIuoIcxjjGNTESQ7snAQSQybLZjpiySgKtBnpYaCR9lfZjLftsmWV0zTd/yZPocrL633XdhoNdmE+xmk1/evMiJEnEi2g2yG7JIEmEwRMmMaqXwH9bsnncK+khjwisJmDmxUOPuAMJUuDui5wuNoBK+ZsTWvmUmr8EuquaOCnevpa4KxLNQZmbJSdYrt8zLZY6D1gwuNa4ThZkFx0wcZiJhjAP1UbIGs3nSea7H4xT5mAuP9qxPYi2DFDm4ulvukSI0oxWR9MEuXJhRIjK32GOzD65sjnW2HTpvgYcv7hd0QOcHJUIWe3JSgVNgayhOUjvPvXU4nMyLWpJ34WRiggsQU+PjcanBYMIBPT3QuD0Wj0Ada5t23NvR/dH9qyd9v/irhQ6VqnlVVph5DNNl79nGX3qGXg1oqSJikEACErEB9pJf3m7+29/bb/cvdtv8nZdXw9UI4sQJm5Ep7RyWqSF4tJQiqOrl6NPkZUGpQbtNlIZGDElMTlFoapl4h3eAGQgSMAcFpdCZRMzMQhjSuNuOW8nMM8BVFfBiDnhMmABcMuWpudrU2kw7a5NHu9H5xTAGhHmbcH01fHTd5lFmppw4Zc7p7N86iBkOKOJEe0B+yVHdO8w6WIS1kAFIQZG66ujw1ttoq46pdoc7WXPYzNrEYQ2giHmxaGoxwLfAwCRMmXgUcORjTbFiECKkARM8jGEsizvMzODnEYpZsN3FDBMPbkVV11JPx3KqgTN0rJrwIi0aX1g7xR+eqiZpoQK+feKo29JWNlkl4VzQOEvj/+/XhVL91otB9ayOGLiQQAIuS0E9BGxzIy/CiCZh8ewX/RbXVg5BeRfgpQquLie3x5neTH4/26ujHosrUEHmrkhnVv6GFkjnru6LuknP3fUHdCWlRHXM9NGt/Gvfuc3XY07YP7sadoBEwtSIB08E0KbKVBc18DKrAWWyacGyxAjeWIYdMydiEmaSBDN1VdKoWzWP6Zxuc5iaoBN3ESUisGy2g4iMY06HJQkXOGALfJnLso+FNKFWwe2hyAcMQnRxbkL1gcDEL/bybD9IQoZkwnbEds9ZoleqHwxHysmBBDFpTcHLYiaVrLWIoANaYt2L1Tk4fMyFWbir4ziIDZ/VA7fwfNwAN3WDx3SJhqkAE2wUGrKMwkMyokDG6eVhj457YidraNbomlS4uRn1mJAZmyGPQkxAwI6WOh3r4XA6ndRmq93zPkthWwjvHQxtPZkY5nyZ+YWHNFgwQLXL0IXYtFO9CksH0DRlYv7hvOMP8TWrU7tidy5PL1qM0e+9fxatf60f2nzbMJdNV1Kj2HCjqGfENdjoFhDQXqFkJ4OrobIeTY5L1cW+eayvFi0LKlGNUIl6G1OPTSmMvffONxAawu/s48e6MLmg7mE3TH/h0/R8lyTTiyG/uBEZRjA7cSUWAWJa+wDBYLVCzXTR6YS5uDpQhADKAEhISFg4NRoJM61cM7K6iopyMMzFttXW5x5Bk7tzGrfD/vrZ7c2La7x96GFEcxVm+HGymMrBTJxdZgDeykfnomCLxsILzAAxROhK+PZqeHG1zWAhJKKcOWUGx1Ati+aHtldOIIhABQYMoOrCgCqVCvOVidibUxbkhQ4zDWTJwFCQsQvAvYJjFmy+UZCHBZmTeale2xxw3zAJk7AMmceEgIZ312k93OTd8pODyJxgCERjGGcGQPsxbUaR1FxpVy1FT8d6PGKea7ELs+Rr4ypdiGRvpb/wTi2qKyv8pL2zdytd/PI63AZwUNNn3rpff5UZdLCRu7NXYLjI7YIgzgCGgcytSlCVtoTBuabYEKH4laYTDE8gVyf58FOjaQiAsTNO0HJa4IAPBVqBqfq8zKX6q6fyWGuZsbjXSNXYypxzeUXHOd4UtNMZZtrgDIYwBngiJLHE+Iu/uXm22YDwvWH46Pu3edgSsxNrypyTiSDGcagmYLFymA6wub5/jM/bCGkSYh1JYphxa0MI4n6trtULg9VVTBQVTkgOlvC0Ic4E97IsZTLgUC/Xx7VnL5BRYnA3QIQEWHhifCGBCLPozkTu3GHdTHy1wS7lmxHJAcZmpM0+SWNq9stpSpfHI3QWJ6SKOggWBUmtFMN3uv7zdQ/cAtJLJwXIY7Z9gjEcpiWKge5mXotV89mxKJbmzDgxbQWbgUahcRBu0GSLLDkCKA20tuCm11sKoDdosrslEc6JUxISChfd1OqiZdHTqdQ6zytE7cOnvTxO3r9FgJwTHO689qZjbRP7ICbvqjBSEX1f0IsZ51+8MF4f3slqU/t1LvOh8UWntXFYRAzdPK/Sf46Mz1oETSC7xWKnHjQWQKcjsKuGBFRQBRXzsvis/uqpvJpxWOBAAcFJY0AU0NpVmzewuuLrc/dXA6iAibK0oGhH2L7A9Zg3Wa5vdh/9xsebq2ui3jCVQPmKhEHJASSpU3l8eP/wzTfLw/FJF+iy32+HYdgP26sNlQVDgoghFsXgUGUiXaiyAyQMz8bOqNVI8pZdYbXKAJhNx7nUh/u3X3z16idfvHrzsExzXVCsDRJ0qE1Vb3EN4OoGb4+PsYZt6Xsw4+6AAr0+xADRwLTJ+cXtVZ8F5DkTp6DOQgNJnkOucDB0TX4rHAyocYIrEpgoautmFpQW1NkE1vS0m7lVEPnsTlrOp9tQiprZrJgLqlJgDCnGlBCYOCceEkBO1KxuD7OCCC9Qlk3puqNPk4m755QHEUjOLStm1Wq1qnp4KstSltnOd/OBAIRQdC4ndOQ7EwmkRhvgBc6AgOjgDE/eYvCY90s1oNZaSLmU8ssA4JeDv4uyU3/IHmy1bzcE2AoY77d71iGxXkQNZNNU8vpxRCRMWZJQApEWV+hP3ys/Lc83lDNVh7uUpRzN3qq+mvVhETVSwBo0fyBaWq/imk5aD+KF7HdHFUwgttwbUBKD0/B7n4xXm/31Z7/9r35nd3u9hcCcFWQBf0icgHB9fV5+/qMfnF69XcqsCvflqPXw/nEgAng37j55dpvzjjxaEFdYZVGDEJkQVWf1yDMQE1kxZqQtMAM4zeV09+qHX371s8+/fP90WqKBtPc0w7VaSsVSavFOYszsZOBwy5v8edDuxCERBgEj045lMw7PN+CYqcqcsqSVEd47FVCEQjGjMiq9wTdqTmbhA3ZNzERgJjWDk1tk5s1BZoqev3EHuauV6m6G3vfnpo7qk0Iripl7C1xHwZBp3MpmaN1InTxsPaVeA9B/jqyD27KVN+IhkkA2m9SDJXV4LTZPejzNy1xKt1P9tNJ68sOydX+UBFB2JiJ2Zgi5ETMs5up+KMoR0a2NFuuF297QyrTzocHtNuSDwpBf0hb/Cs/1/P5+Mb/w+YBzjNofiFbRuJgyJJSTiCQFKRsOWhYA810apdImYy5UnF6d6ttHe198NlWlGU0xgpwaX2AL/CP87Dfet62bbIRSEzCZEBKwlc2//eubm90nv/a9T3/9O7vb3VbGvLasCHFHIDq8WLU//4M/eHyaTqclrngsulQAeLJ7VLzTd1/87Bff+fTT73360Scvn49JgqGdiAyLFhAzsZhwCly3uVcwk/MSD/XVq9e/+OqLH/3op3eH+miYgaWNXmw7Zwtqwn5cC9ERrThF0ryPVlglMHQNEyTRZuCXtyOIEjMIo9gYNBYfbGlYG+ul70t3bS3xta1tIwGBqDsoEbGSkZuTimpLCwOmptVcDaptAJqZV3OtWgOz3VC3EIIQSeIMlixtyz7U8N6KDOQXz2sak9Na0QxA2mwSh6vtpIqyYJ7K4TCVpbThQr/qWJ/jtQ8UuDOTmCVBYeJWLWkHK8YzORDQbvrWtf28ZjFL3tfpvhe/6m1Ijn246Fjl76JY5Mxshl/xOttW9G1Cq7k39WKA90kxRIzrccwbycJuuXiZVAE9AuKokFoA6Fcz7t/ba7XZSF0mg1H3OCO9BjfXC/uHD9TGmqoykIQRAIJIDfxXfiN/7/knN7fj9z/m600adpkoBysSEbsLrKKgQmvBP/17/+D09FjbEcDBHqZq5uqO02S+AAEC+Oab+enx6fWbFx8/e/bilonGxJw3ikpJhYi0wXTNYadqNk9VX7159dU3b3/41fvDqZygdSnLXI+THjVoMFpqeQGPeia+GjKeqjdgRtgL7xTWaCECAZmwYVxv0u2GhpibSbLZUkosH7pBDXTy7fN5bnX41o+CnYOlIbrdyJjaWfS1bEDu3AYMekubmLuqVndzn81dqzBAPBKnhHHDw9BJiJuzud4JVYW2iX1nuTTDt05vSpG3cVc3VV2WWqvN01yr+wp4WU9r90Lb0aUPnpSZjEHEJJQSVJcQJmpNgVhN32r4vC/cBzYcv/IVUAFqkXlYqj4Vfo3/1L0zjoRXeb5Yc0e/7VR3OxnssQ1GQAwPuj1hur3Jm0EyKA+5aEWBSU6iULwlVgNmhZW7u9NrtQVQpxlkJE4c+SV2WA/Pm/PboAfn1e3OCIAKC9L3trmfZnm5vb65HV9+9OJqv91e7Zg3wI4AYofCq5VaBi36WP/u3/t7uigAFlprcMWh9/4YqSwCQxLInR+w0PSI10ez43Xe+W4cR8O4pTpH8j6UuqlqmR/K8mc/f/Xjz3/2firLUqdavfijLsfTcpilVr6A4gfHxcXZkBXD0U5qy1W6S0+XBtJin3C9S/sxMycmHgYax6ETKPTeI2/144vocl0+bwcE1Lh71wb6cHjCo+0f6vAoK1yIyTkcMvVavbqbelVXrdH4IGIimWPS/No0BGtujhNAxdydrE9Ua7dzAcnpz8SJM6zCYKbRb10OT6dS3KPHrQVs3anqvtwHwrH+FQEQuaxBTcOSOuDkF72pl+/uzoQbfoVmQxtqGjGnmVetYdsjdLp8nF969+rtffDvKF0SutpqXnCLIBFOETixp0S3z3fXGxmIgAxKSbjqMnK+ufGHu7ncn47VlPzuoAcnBRthdlGIggABWWcebim18310P5qo+0res1dqEBLCQBgT/Td/46NPP3n+7MXNx883+/0zpkSydaPiKApTr66l2o9/8pM/++M/+Xo+2WJ1ap4QAGIEGco4wmEMNrgllGJZvS7Le6Lx3T2e4xpqwFaQS7axAdLN3Kw8lOUHP331g5/99GGaDnM5FH3/cFoM7r4srsBsrCvW+cIi9NPfCv/esgfRoxAgv7YZIRwMPL/a7CgNTEK8GzFk+eDEeA8I4yx/mHRe3UB0gFeDj/cETId7WL/LkIq1z6M7zn1nWghEXryu4ZAQSSYeMg+MnDzGBFLwxBFAqoHzNTO2NmWNm6/KIEtOtcVEzKl5SqbLvEzHenycpnBFddUr3zrDrjAOHR93SAR0I7uSEK/N5etywL11DkWfFnljLvZ1uPe3Xg1bGC5sK/NchH+dcXldrV++BDUIzsUPVn3Sr7NGbUaQNoiRNqO/vJL99WY/MHNG74J0pzrzZAZgURxnm4CvTlg8gVABgJW4OLXRqg4zTYxqGimZloftXG6NSAF8cXMOQAxJOSX+vV8b9pthsx+fbdNud02SKG0ks2I7AOV49Io6l9//J3/0g89/cnpa7lS90GHyGmx/JOOIK8IekVIBC3YCBiETHAWuhQ5c0nR4RLrmZcbIjC2ap2PAwzT94Kev/uVPfnI3zffHMmmdD/OsiKxBKTAkg5ZWfTpr2vnx1JeZUkZd+pnwNTCxC6VIxNgk7IftmEiIh8ybTNyz2avf1GzLarL83HnZyIMvJO6MLjOzSLPESQ30dKMRhbupugOJ0ari5BC4wxRKyImWCjg2jP2W95ludnSVc0OyhpaJzHBkROHqMK9NY7gZKGo0AjhJa0knSfDi5nWqy1RPx3ma5nlxL1Hj7z5DrNDaxA+oGdBgl+dD3bAzpGbQNo6uy+RaPwr08TmL1GLUD+UndOZlS7SzCzBpwKNXIJvQZX2e7WKYad+nD67cpXVV2P3mrWVQyZyIsflkc7tPIwzEhgGdaqK4fu0oCgD3Fd+YHyqKohDHUOcaSAVrg40MgHpVa3Hs2QlpCb1ecvKOtQ1EGIQ4E79I8snw/OaTl9+5er57ebvZDMwDRMBbgMzgmiadf/+f/PM//bMfPpbyfrFl0hlSoe4i6ky+VDwl2a1PruZskOuRKoAcjobV5ViYHguBh62DjIVJAEzQP/v5mz/6wY9/+NU3UykOUEqLAbNPi6nBgRrsUa07H0QQhhDUm1kREhZvLWZNJtrkJL+AFIP52dXV85GYiUkGoWEYQIQoOgfWJCrncU5WDEk7Ueu2dxXSTpC7u6n1r6y115qr18bR0PS7ojPaEpOeiQ54AoZBM3A15OsNPbu+uh4TC7HEJOmuf7wPF25H3+Bru0xTtZwYCvKo2FDSWqv7XJZSSllKY1Ci7toTeaMmj4xi7xTEt17dKhrgLu4z+ofaB7/dbqu7uJ3lqznJ8bX1m16H6sGRDAiIl8vqWRhanbDL1tmTiPPW9OtF+lRaC0UTcVrlkFsPJxEw5s+ur8fdFRPs9BSPYI7q+OldmWZ7PC5/+PX805MuXmphA9SlOTTOTivEp7s6F65Bt93eLX3z0RporSNaBRgZ/8qv7TLJ7ctrGjBGzYwIaRPVVocftfz+P/pHP/7Rn5+0Hpbi9dLse+hyCADGsxsBhrvXLEiQYTqakZAUSqO4Krmquc2KAXBwCy+An3zx+g//5Idvn95PpRTzI4ClbGg4+bzuucYEYkSyOuSLNcn96cDb3A4UiYi7Upy+i+JVz0iL3+755T5zosQsQpstSGgdMd2ivQC7XAAlcdEN5Zf/W5fDGPEJj/Fx1khgNf7h7lZtJTiPYgYbKRETcgK2kA3vh9uRx3FgzrLZ8HbcA8gDrw1bXkutdVmWsmjiJ5+Ys1o1XzEMADrtdCKuLZ2J5PAyqVWdT0tZlJizW8GqNjsw+f/fi1YHey1Pdhi+UmtS9u6otDF+5wgpTGWr1XisniN6gsJUhHpJvYesizajw19W36TBE5i1OiCApqhagoKlQs4FEWrnwMW6DNmWckqyf5bH7U0aH4ByOBrMYF8/4HH2P//i9O6w/KT4shR1GMSRjaC9StnzQdpPh6+swDi7SmdJsY4sD2UizIkpJbrZpjFtvvMbt8/GtH9+SySUx7S9qhAgOerxaH/8B//g7utfxAoOMRCDsXF6Ag3CmbHnvBmwffbsd7//vX91I2+n3/jJn/+o1GMOW2EuS51HHpQXMznVISkgkCS0AegI//zzny3z8eHx5HAi7Am8G57lZwB+8eoNFj914tJ1A2KBJYi5Tgs4KXggXRhQbqMCz/qSiVQYwnyzGZ5tb8bIkglxCob4dSCBx6TAiwzorzyZ3STE6nePLBS8uweA1mu3qS1gUQTVQxRmB8o5j7v8/PnteLVLSJRwPY7EzEw5J0ndD1Qzr0Btgl/xdHjS6fZweLD3k5YDzppRDey9ENywd4ykRUuppWiNiSYMNxmG1ak2M03hQ8Y5bhmSln5Zz1GfTtjfxx5/kBAbvHnXH6wZofGfXXZDrHGfA60NsnuzC2H09a30wYVa0EBRZ5eeNSWKo9BsYSIXbtamVwbFnYy71BAMtN00qQVn5MS8MX+4q8vdyf/8i+PPDvWb4ssERQZIwQXwlTGWsI6BBdCK5+tjRPjTIUHeFbj3MJkAhmWSG5a/9OnmRja7T16O4/VOct7vxyFhGBKAxd38n/yjv3O4f5skXQ1cVCrkOuFkBh72JJkZQN5tNs/Gf+8v/Nr3/5W/aMDN/Yzl9NWrbzAXwpThcKLqFW6lt5cybbZbhgD4yc8+f//uKwE+ut3W94cJ2O/225sXroD6p588+/LV+23FQVsqLqIcZqTscHNjEsBNiBORMKpQG+gOb2oxpisRXY10c7XfbJk4oEKcUm+Vb45m5zBHl8FvCd9F7NHgnivbtTe13pSlefD5RE6w4TkAELYj3dzsbm9vd7td2gzjmIfdNpPkHETTMW7d+xtgnsxHAKYWkaaMo1Ufjrv97fzw7u7x6TEdUL1DH2KNAWWAoSSpLBVeTTUlqIqRJpKAGhAMRgBXX3ldmCnF6XbqCidY/QsptAIMZ6MsLUDKjiIg9+phx1oPrzeGCySCgnqPslGnI+8EHOdX8CWf2zK7mNEa6vUAkYiMIUmIlYWSuoKYPDFxR1FQT0oauXNyUwgswWUzXj2H0XFhoMy4Ad68df7xK//jL5afLlBw5UD7QxXaR1muRyPmvxAnxCmzemHwe33V17i0RxNx1MjJkAW//Rtpk28++Y2rT+XF1a1IlpEy5WsGrPgC/ft/92/Pb96lqtcMbHYfSRmJFziA2QScQYwR39vJpy+e7/OIEnm6wyefPcc8nZ4el6rwKgSJOL+n8wcZ8s11UyGnx5v93hbDhM9e7A+E2/w8D1QWf+81l9PAbowRONUWZRCIovDgdASuIowZSaYVhd9rv/EjRiKMhB3jajcMCcxgxmbTWY7OB+Bimf3bEngpjGYRL0ZIhpj+G8ErRcATFPzm2k4MRvD2hq+u9rdXN/vr3TAOKeXtVoZxIFr7avrnE5zYOi+fNFkQF4gJcTKzXU6bnQ67ze74/O7N2+l4KoeFBKprNjEKepSK1qBhk4RkMJU2UITRLl4tWfCL9VGogAOlSwFA7j6SVaZA9VTTHLlQQq02ABUX2FWnur63JySYXNWVGB18dOndx4qvfBho/wobmsEdNuiWEieQJGIGDyBgICxNp5zdUSDoTkiJej2cQUg8XO83eUjFPbsdzQx4AP7wi9N/+YulLrWqHRVWWIHS0mBwRMd0c2caAG7MCOOqybn22lizh10s0cLhHtQnYAO/GvkFDzefbL//0Ue7Z9FOmHh/RamlVv/+3/5b+uYeWpmQBr4tBJGbzebY5vWCgAFIgiED8/Fx2tw8vgNQn44o5Wa/8eXEPph14mRway8HAzTwuJ7o7bBdbiqgN0gng2JCIV0sLcenZU4Z4kiOIcE0DCuYgXD6FJIIxELDhHnrOHZ1HhPkhJHJE9MmYT/Q89wIKTnzMMZU4H4A6P+r9/mtVzifQbnsTQIdZlAO/4p7yYLCc8p+u+ebm5txP17vd5v9OI6URVii16vbgw8+O+Ls6NtpCYZw9kIJwWnMUqvzNvNmkzbj8fHh/bu7Mi9+0DVycYIzklXVGA9HNAzsPrRFbGGMwsU1GobJnBgcUAMBAX0UjZOW1pqr1RJ7dSd4AvOAoGc1QM2Lw92Te8SFalAKY0DucGsBJNDXyVtqBiAXsjasivzC4euvjhu/XCoBgt0EHkIo8Bj7mhIhoNhgIC2wYdi9+Hi3EwB4PPj1/phBfzr5f/EP3707vK+AuS7ukB3AVhxWz+aaWrYnhJCFiTlDNtv86GazNsVB+qEOZzqPOnWiGOWH3/v+/uXHL1/cfHydhVIaxk3eXQMUu/F3/upfrXMBIOAkDOKcBSQgTKan02z9oA+S8sAbAS/l4f07gcBhRVl4d72F11IGIhN4WqsKH7621zt/k22zDVqVTVlU7ckAlMGQmLfkmlwdqaLKmgwDwWPe+M2+7c6hBy/ddQeARMhMws4JHz3f78doduOUIG2mQc+d+dnh+JYZpEiYt+SNuZ/5xFut1NzNqeVHjIgBSsxCfHOD69ub6/1ud70ZhiFvQhtTo9ljAJFoAzWXxz786F7uJRIHACUPoj4H54yEQcZx2G83u22+2s+Pj3dv76fHQjHegECMVKdWSyYioqGRa6FVHmHiQOtUJBIwiCQ4zNUdRu5u5G6UgQIenM2TEzuLa+DMKRDGIKZIiFN1NUe1lVqCFL1uhiCxajH0ZQzQplOeeSV7bi7gtBQ5EUs5Mxdwd36IuZfLBSAiIUgPaDN8IGxfXAPY7SLR5dNSS338o8/rF+/tm7v56IdSfHGULG20iIyC2Usy1BbrEzcYMQEMEvE+ciGnDFidFrgjBki0B2j9pNR6BTAQNsBf+u7w4uP91e7573w67l4+z2kQ2Ta/zP1v/l/+Kh5PEGTmRJzBwpI5h0XbID3LomqPVgCMSCLM2x0xMTgyUjICNRlvkhwIrWzEhESE2mfs9UO2Q7aXNy/emuwxlTINhMdphBoUzDLkpJZRvcaYeKzenSmUbVB5dhtSSF+VxNO85kxaoYpCu+JZwlXKVykTgbOnlGhVqT0R0Kj1wp+/MIpNAq15Go1dooOr4GuHoCPa9mHC6eYm3d5eXT/b31xtN5scDHPt06LVnbt/0kgPezzf5KU/BkvYRWIgNRy2fajRzLw+m66m2/fvDuPu+t3bh4f396k2ntqk7ZFiBMgHrXkOAWtHODOBiLgRCFJM1yAgnpGhJqDklBOsBujEIryLQgIB3i1YAketr8AFfdxZQGjD9GlLRTso0E+tSVvDCWzNK73me+7BjbQagBzAeUESL81BAwgsLL2biUgBHxL1WaMo5l98/W6q/mayt0WfDmZa7ooD5iSERMjEgxmMkqF4+DkGUAzoY+rJBmIU0RFCRJlYG7zBqQ19Ql/p9t8ADKBrHn/7dnM9Xm0Hurq6Snkcd1k4ATiW6W//p3/DHw4EiDGRZZHESJw3iYSYmEQo3I5d4XmxAqdNL3YDREGQhGELUxoHrosriOGpZ2gNXueKucaR3Nw8X5biz8rtvXL2pPUJns1n0EB8PWS1Uk/JBk/mamiTrmANM80Y85rDrwwBNMZwNU4NghCNoOuUXlzvmZAEm7ySbl7KGyFmIIbqbwmCUJsdDdIZzcwbnZXpWeoBD5j4fsMff/xsv99//MnNMNIwcFf+PVfa1+ssRtT1PNq4ChBjyCQppQQhChU3yNqE6lhbbOM6Oy11vL6aDy92L+7evb755v7e3z8VQ+pMZyGH8We7CAEdd9eQRr/KY1ldsECwGAEQSgUVFm+IzMMZntRXldwF6JV6rx78jz7V4LPpVAJo8pmqWWJvLGIVrp3f59wV4Q1uSSLhHmrDYffsB3UFs/4NwDwBdFj4SX2q/nap3xzqoeCoNlc3RQVDSKookiS4adXqdmaWPqfnz9vX8FljltkHoaV1NjV6xLYoK68RkSeS3/udNL7Ybcerv/DdaxkGlkErFFb19Hf/3h/Wd4c439mRnAPXNjCSQBJxsKeIAJCUt1v3mDQ/7ohTlrZdte+ZECCN66aVeQwGUyvLcsKwB7DZX0/334CybuXqWI9qC8hAA+eNLNVouxkcy3KyAV7gGqNkEVaIHEjnUQvrgaHuvLdVE8Lt9T61KT6Uc87nMfcXsLTuPvT8TKtqoc/KjUa/2JM+pjy4diLDQYx6c5W/8+nH3/nuR8Mo+x2vvEkeHAcB9F1tdLsjdoA4IQ8EYuK82xMnCAfRYY9HuCsEWEX/VOlbLczDPm82O4xX++sXH9+8u3t4/fab+3fJrX9cPC2Zd+IAh/cmQLTYh9otd5/cevElAE6mjgogYAjnlaNVBtrjevPy1byaVcdibkbqPhU390AhNmcecIcSCK4XjUzdw3Az8pZsIbMzGIYuPnD9dAPE26knSll4uH02bGSauRrujv52wavJHnU6VUwzA1hiA0gMbKjsqZ8eQjWLgJUoGuGZ6ZyBbe64jIPZIopKSk5M7i0j1fWdAJnwnd34bJuu9y/2Sa6fP6NhIwTQxh3/r3/854cf/3wgIz73pmd4JkhCzIseR2IaODFzQhJjQmRcSKSnX1XrBCxVR4Ly4DQRcTQCRnnT3NwqfAb2AJiT8JiybCxhqXm/vS59gXlEqWBfNsNNWe5ga4uocxQeAE64ANkrnaeTnSeLk+wSjWnIKUkiIs4559R8++579sjb0QxgY2YK0GU4UP2LlZevbbyDiMGZ+OZ2+O53Pvrsk+c3t6MIAW6mrcPMLDjXjYhjiB6nZvEkgxLySMJpyCyJk4CH1b1qzxG+qxHAPAQUjBolDwggYwxuniCZh+0273fPP/3s5u27ZI2WvLm8cXab7HhLSK1ScwYp9PPfnzYWqa2vnqW0L1lLcUXuGOYw1cW8WC3ui3oxqNNSEdCF4LrXLrDuTsBMNPSI0PXbgyjW4P3Ci6CLH8UXfdWImEiEkTbLKS8Vh6WegPnw9tVUH6Z6OvFUMXskiJlYmIWZYuB9t91zj0rdXUiNWKiDAQiAlRkYASKXhKxU2S38g14bFTgBCb5h/p3fyrx/sRvSX/wLn2RhTplkFOBnrx7u/sWfQBWC0ckjTYwg/TYRsHgSFmr02ZISAu1FAJCIDSB3N2MksIJlIWwJM3MVh7o03wRaGrUYpxFABhJBo7c409bZR9pBVFFNNznNtd4KvZEBqVKQafPqbdMlz94IBBcIRbNNKy6BGbv9uN+NoxCTpCQpkazzKCn2lc4m0Vehjq7cNh1Ie6q6n972TyJiuBDd3PB3v/fJZx8/u70dUuaWWIxWfDcn7zxw4iBwhggwUCIZNkTMORMzpcScicWph0VxtLqb1XwdtOm3fQ5wz1h4IqeUMgjXaa/medgmOJk7wzvxYm/L9B4DX4bA/ROb/9zFz4FgrkHzKdFoxb1n8ONMemsHrO6zQU0X80VtcVTzWr0Ya3CPeZNAa94mNRVxmZRRrIUnsz5VB2QWgbQBwUe53j/5efycEMjBXmE8zYtPejqo3y32OPm04MHpTIHGDIA5ISJwd1WzRd2WC/yBgaRxWYpBw+s24pgSqmnECaST8xrQt2MChmfGJ5+Ot1d0e7W5GjJvR2w2YCpuTzb/zb/+1zaVNoHlze1gnumcoQKSBCaIpFGExpGJSNK6e+xwmDGzqiTZlCX0RjZQh1S1GEu9nBaoYZMBDICkIcYeMbXwPjHvxJVg5vuEauUDb3F1JEFwgiIlCSG8W2N7QhzRwAZthvFmn3PiBNkNlFYJvFDmUV2+UO7mwXoWTpO3ZokPJNYt/Hxmurmi737vk88+e/b8JnMCN8IqC7+z0bK1rEICc0pbZsaQQQLJYEa0NzJ3dttIJNBZPnoBunl+BoqBxOfwq1kIYk5hcoWub25To58yI3YONX4O3dCc1Wb3/CIUbt/0bhfcvBqqOaqrWu3YNW04eQ4kmjoKoGrqvpjPRsWpVJ8tuHFNnQLDbh0Dh74lZjBGcRTrncqAEti9WluBi6E0cfZI1woPOUAWVRACwOrJgNlQtTyd/E3Bu4pq7spmMAQrVhIiZmltYxQMXGZ1aqvSD4lHBpyyLi6DezVANymDeRQhKhjZFz+dlxQgMJAJu4x/+9d3u81HacO/9TvflzwCycwWP/4f/nf/KWp1JAhlJnOQAhKRgsLgSwULA0mQBZwzE7EkUPdqvDktQeZHtXqpMI0EQKM1aU+h8EavuR16sYcBTolRGp0sEUgSoTLBknOiDMzrtMqm8KLhB36cl9thC+DTZ1dfv3+i3lNJQJznPdH1LuUc7WOUE6dEnXv1fOq8H4emiQPM5S19YGc2z9Un7ncC2m/5s+99/Nlnt89vqCeSEWnqUMqwljkGC+ctcwJ18WvZkGge5A+yNeif0OsUfvmttnYXP6KLX42wwgBGUnUGETuUAHdbsxU4r+pZ4Xt30s+J7AZMcCyL1+oa3cSAGVeYAdraFOBGFa5m6iiqs6KYl4rJUI2qU2Q6qn2I+rbQw5FZgzt7J7CKyxo5BxoVZtGwcvabpWV2ADgscJEOBSWVqmSSK9PXiocZR8Nprq42AZlpDlgRxVoltlaNLQqr7n4mcevbra2plcVnMjhnAGXcUGIQ854NG2DCVJunH3OL95l+/aOrnQx5k56laxpGziOABfjf/p/+2nKcEnCVnIBrlt72dPnRDjcUBVWIgJVSop5h04DIuZEbqkKr1aqloHXHndsHmsQZANRlOX8nJjlEMgVBX+cgYnEyEmIaLt4P6uzmweCIWqswAHx8O6J1WJKBOLrymPYjP99vtwlMkkfe5CQxMDecMQKcGpLRgJiIjFaMiMyMdYet18DXE+tMtN/Rd797+52Pbp7dMGcOTrSWII/GDXMXOA0sI6cBkgEGDS2ruOqMZpy8p+fPfQjt5Zfid/Gt9oOLwQIXplSA1Bw0bV48tGMo+BKe4OtJu0DutfSWGcx9WryaV0cxm4MnB8GLC+/EFhUec3aL66Ko5kUxGWajNmvaoO7qzf2M97aJrAaPhJrXEHKwVNSMVJ1TF1s3L9HKBa5mfQ0vpzTBnd24AE5YVI/Ky7GezE7VJ7MO6GAmV5dgjW7q25jYrcQBSGGJz+vhcKibErIBDINBwcuE261Q8CA4VInUZ3eQD+ScKOX0r//6FcvVZsu/9a//5phHYnaz//oHX7+7O8E9E6HqkBkmUFju+x+F1Foh7CwW/7RKlog5OnLEgzbTUM3VrFar0SmusAIv3qpCLWiJR1nmsi5XSn1IHABAKDFpuJxCXglwzMtSmwvS7WcXh2Kau9kHhLiytWywMI+M/UCbEQkQtiHlYUhtlBLabYUSteiUapjrKMqbr9XBcz4ujksfj5f45W3+5OXVs2chXBaeVU+ssRBcSElyTkhbUArxc/CHmIBzy2e49g5uV2sfe75nXMhhc9CozYO7TKITNTrSiyGhTfN0OdNzXqOL/sUNdeNmDlWcqntDB0UoiGq94nd+C7o0oSqr66KYDSVyMCGEDvUA9/VWZ/dCFJNmA89GyOcbac0zpuuwtfUDTVoLY7jbjpazC/Spt5zb7PagelItqIDEcMDB6aQRRpPDtbKQL5wEBGP4sRdfgjD6HE/Q+ogOkAuRmL00EOMliHhzPejPBnunvinmcErYcf71jzYbobTfPOPbrYws1+bz++Xxb/6tv7OoigPMlcgcj8ALOS+oGcDWqKPMrBQL0tFSASC1hSJTN4MprJpW86q1urqbNRYjdw9Eo3A2g+t0PC4PD5wEQM7ZW4x7dsWsT00FAK0lBj31tc/neyQzcC+dXScuNRtVZxCzgEfB7fV2m1mYMmE7tHobrUEgBVrYuoELh7R1RVivwfcTvB4xI5iwfPQ8ffd7z5+/2KQxJsQ1765BJCI7kFPKA/NoMnhkZfpnrx+4knJ9kOUIEPgawLaPbyncDk52ImaCE/tlmBtBMwGO1L/TlM+FCjrb99BA6MYynl2dzDGVIEi1ELwI+S5Emvpqts9vPoSFsMF7MdCjf7lb7u6O9oUOVWwBllufuclhVFdW8sXeQ8QJGVS9N073p4r3NkNRgcVUAUeigcS4FKAG+rOZ+zRI7wIjjYogEyrgyVHRktCtn5gAhiYSIRoYV8Q84OV+IElpkypuPpvuJtZjVgIloiTpL3/nCtubTdp+/y//ZtrdENFhGv/X//v/8zRX9FqzCmazfePq6+sbWxHceWpOZslrUaBkOLk7saNvkFVVVTezalYMpVgt6tW0lBpqJKPaUnQpdZ51njnt2mepn9VbTAWEMVkiN/Op1OJ1rQ2suEGCZ2CayvqtZ9c3d+8fI7+cYAIeM57tdtvkzMQJaUjEHYN/lmrqzgx3u3hhEdaD3WyQE5RhxBi3/PzF/vbZzWYjPZrru0/MxJAkacN5RB4cIhBt3QVohq3B31Y0VxOLqE32Rjpv8nQpXUDnKeM2D5mIqCUdL8bcAny2hLTe4upeheJpjHK9bBg/rkCtXqLNzuG+gve8G4KzoIRn3SdZNHNbgaooFq5j2MyzRjlTs63LHE9v3OMYZRTG7vwhPS7QqNthjUwAQpbQ3sQkRByrOjkq2HhI7OCIib0uXlRC14nAUxRqowzOUDfmoLh1KIL7t6NNmJyBRJ6AnPlGcJVpPyJTypvtjjaPmKYh8dFGivXHZx+lJDRQuh55v/+YiKrjn/7oJ8fHQ4WnTk8cC1TdC3Q0gYOMqsG5JwfN4WqlWgyVd4hZ1OgM7m6qBlcLUk34UpZSrdZaSu29lCi1SCobc6uLFg+Ddv38o7e/+EntR6+iztAQf3I3YDlOBi8GOJgkrbBrROeQ99gHL67zz96Hu2EEzgkvN/xihzGRCCQj3vxBt0WP7uIb0X90ka7ApSfadzxCVry8Gb7z8Yv9VW5IhTA0DhAzBDKmzY4lU84OAVGj57RvoZJ7trNFexc/9H4ysZ5r0LlJFZL6HE30mUSw5O4S42QIzvCUmmR9kIzpQT+wqgJ0Axz5FY0UZUcJxc35hYz1a/XJ9Q0RsBZxenL+sj0lHtbXGzjfRSgj5ThplyuOcK2Jzvkk4TV+iQfoR4xSZBacgC20wgpgVkp9UNWKAj8ClYOelRD5nwSAJK4LsHDKY9VQNNyioEYdAgYSW0ryXDgL7QQi2O1vmUUnPKapALWAgz0Wvif5Ky+3xBtJu2fPr2tVJDoU/7/+J//30jET7UmMVGGCYMHztHpeZNxGN6s6UBkr+j5RdU8OUNhAPQ9Pt1q8Vi1F101sO6/quphWr/OQXsaHq8AmeIkLuDfiFCPyMpVTdUScDMLFBHaGgVHXxCXAIm2ZAAB70Ef7qzGzMJh4sxVmDlroD7aYzn+7c3NRV3n4VjwVWU7CdsMfffLi6no7jiPROXYDAE7EQ97uKG2RuWEqVirAD0SQiFpm+WKNAKxgfVwe+gb6poZBOnOxddoVBoNcnbjxXjE8JQfIPQY0cpeGVf2Ei9GbIlm9zYKrGmZ1XQUmQIBKDoIwFnz7xdENfrbZ7P5BvX1tgrDmxwa3K2E1w3w2d5fxLbxXplp5sPvN7XUh5+bYqCVxspqoFH0z4321edECuKQCqgp1wKl3SIVRJY4HUwtsKBHgdHYOo6BNzszPM7PQbsi7Qa6G8dluAHAsOi84nU6vrVYgSRp3/Gy35TSSEDKfpvnNT//0qeD/+Nf/q9PiOKPz141nZqi6JfPKyLAgsDWYmnJlY4NWFHd3T6oGBjuDYG3Mlqqqq5VSirmq6QXFVrh/6lX1BDudDm9v8d2+eVv3g1l1N9Oq3f2bocdleTjq/XkHLzjkyCtqkA5zZgC3t3mALgQACUhC282QsjBTypzzGKG9O4jOFtA/ZLJgEvP2zWCL7YW0QC1FGRgvX948f3F7fTOe8UII6CQxDWmz42ELkZUqqjFBfJBeARHMQJ2ZIaT8QuriV3sjjAhFVZk4GhYA9AxnPyfRTh+PB46pESmE2+HkPb2wZhp7XNZJMz2QQdWaXxrVHFshfhz9eS0svXQTqAl0u2JLE6xStIaMF19zu+TlK96tQCFIkDlQzH0MIGgDPQhaYGEfWGYBmB2u5la9Vp9qVRNbvDoXouqk6rbiwi0UE7dCpXBmKe7s0gLd1WmKJWZloc3ATHSd827YXQ2SEk4FSJgrXr97uNdlKcaAbPg2b//Kp9d5GIaU4dM3j8MTpj/88cP7qag5ojqOFS+/TnP0cCsBaV6KqRmZuTaiz0oEVCMWSmKLEgdpnWnIYdVqrrWWaj2T0DyqxEHeazDXel58B6yYVzNXuFenyMM9Vn+a69E6pyBRT9l4HCo1LAuOxa4zAIwD5wwUB5AzbrdyvU8jI6eUBkkprfu15kBbNWKd5ICWszx7bOthY8SYE2Fsd3j+7Gq/3wZcv0ezBIB4yJsd5d2Kp2uXXi0gNSyMr7GeN8x5H6/ckzSBTIizFoNLmc/nASFmrc54HhHQISiAOQSOFD/pSuD8ZH7xBm3Q2JZWaSvQ9YI4jC68EcZk69O1Lzzc+3PS1/unRBWrOxfeFc3Z2Wy/TrQK6Lccc6I29bNdV0QuGNN5t8nHqd2emdfZotJtipO5q5UYnQtUNTOrUAs8szIApOxmFbajFCuURDQP5K6zwuEIdlViJndfqlt21IJxhu3qpMhcKv78izezViNSYCBc8/bf/LVPNhnDIHkzAND6cPe1/fM//lFVV22Q+kiCU8vkdX0egbd1xscmim5kImJmqhVIDKDGgWGHV+3uaBCa6JqqOjtV7jDXuS6jVdRpOt6tq2zHR4VpMZ1hBldaDKX60+LvawvDGY4LtvpIVRXDXO0WBGCgvBswqQLI4OfPts/2ktKQEg/SohE/P2M7ft86TL/0z26/4mATA3j5fP/8+e3NdY6dPBs3GfK4pegajFS5e1CLNBsXGuDcBtXd0AttRdH+2qBURMIUeJq2kgHLXwO8c0rLo0QXrFPtSY2AdBk+Xewx0MZJQc2sRXMxYfwchzIYEKfequyN2ogYfp4+dA71vKXSwyraeYHP69mCxs6ChzVJTO5UCax0BvVAAJHOtU7e/XOAm2/dyoQGd/ICpPAfW7vGonhXGutQz0zFapob9/VbAHZwrZYSoE659S82/3c9CWCHsvvBnCs21ekW/limKsfjtNRa3UANePHf+K1Pbz/NL26+lwFju3/Uenf/z798c1pqNXhxCBm1bR4aTKW7jY7wMNkZRhYW0T2MoYiYG7ocEnOYSNNWh+3YP4IjmBk72hEO6OIsPk+nScvprglhebhXeOf8oeAJrC7vT+X1NM/ddwOHG9nQflF+nIC5LMAOgIBut4MvJwAJ9XpMIw+bxDmRpBYKXhbnGsOhrdjIdpMd1X8+N4RGe0KE7Y5umxlEB4q3JrO02XAawQJKzblYTU+gglsJPyZVUQsym7PX5aohhxjC0TDXC2Tn+1ljRW9OQsudmZmpV3PVKD4vIrJG0etjty8aFKi1V7XBAecKW9w2tR5HjWNhDlhVdQ2bt64ntSv2A9AWdqUV7WExA6C25tRFsJ8/YkIaAInwPkf+M1z2fke+whbBZ/u4Kkl0wXezxVEqEO1QxOykgFYDKrf0c3KHVwcbEdSsjQwQ5JyXUqKGYQiQfo+o3a3WOaUnVzwc3Rz3D18fDqF4mExIfvN2++n3vn/zcvt8yBjsflK7/+rz+vpHX76N0QXqkHDrjDjY+NvDEPp0NYWLOsjBZOZm1qlQ3I2czc2iRNGPtjUlQwzOQDW0xLcTRXAIcoH7CQPfl/u370lAPId/0NxZKwYFiuLJcDfXd6HSqUG6OtuKN7PgBMK81JWG/PnN9Xx6AJAZHz/bbwZKmUSIxzZTZT3K7kGe2y3JWZWv/IYtCmn4I1AkJq5vd7e3t/tdsJYQ99HCPGZOA0RA6Uy63PR9WLAWNoFiYCLIun+9eqFRrIozRwGBJay31mOv5lx2MXS4KVStmFf1ohHckQOz1ster75+zWBdqCSntQyylhE7uYbFz0yre42JM4ASdVPeVYGu8+YNZq372YBOf/+tj/gg9oUTE8aELHkcx01OOdPqqoaBuXgQI/LcMCUAQBwjzMmsPaUB5pgcCKQ4dTS6FnTyeGrpHupk2V5ra/nJWSqzC/N5hDE6J111x2x6eqqnXRVAK4DotSIBf/9q81u/9p1hR5vE2CQIxuLLe/vHf/SuVK1ArSB0zsyIZAmpw9DOGQKHEmZ3NmIyMxZxVQ3zaUZBJhakBtZq7ZQglmwzVC2ywIODyh2RmQBcyXmQmcY67IorXJeymKrCipuqH91NoY73T+XV/aEYov+3g7KoTaTgXkh3HI/TmiHdb/O4YQAjcLXjIRETRCLi6kmo5hivOYR2CvtRP5/3dnBD/QJEPG6w32422xSOWpQHHcRD5ryBMM6jZdZQJxGfL9tdDiMQZPUT+nlby8EXIVFYl0u3Lup5LYZzqLmqL+Zl8aowhxNZ91PT2q/fU97ogd/6UasVxhqtETXcowMec2usOKqbR1d8/GKYewfcKGgevdUYQkP42oDSS/LUXfz1EUPgwUIp85hpFB6T5JSC19DMAg5I66+HdJtxd52rmYUbxmeLBYOXcBJUK6mrwxqRPWK2wBqBehjo8GokAUnkJKol4tww1cKo5g1cbVQ5wjJOAwwbYBkx/NZn8mx//fwG02HC7S7KHhj1P/ujP1vmeqrnjVxbYyXRAIHQ0M5My3yZkzhqVJc5SMXUkFQ1BjCbGUg7Y2BbUidikTEP2DsOgPWGyA9est3utrs9okXU1W2JOUUF7hUOOzrezvU+BI5gQapzziN73wwF8uFpOWmNO7FSnt9eAdggOsRIiKWFbqucdQV9eaTb1x0J3De7mUKAQAm0G/l6uxmFgyC00bWI0DCGDWwJ7XM3Z+pOBvWPinMf/mrDdePDA7Zm5+OoWy9iELglqFblZoim2aVgjlgj2ne6JfRW1KGeugx3+wIdsYo8rTnTrg6oHeRgMSzuRc2qxmXC6WS4uzFiqA3cHFW9qJbm5Vt76K5FGtL/vPptDYnAREl4t5HdPoESE0OyYwEGM2rkPADgGahRpCIHdCmllPZEYiAJHwLCHlBCMxQ3gNQFXlt86et2hBvQstThbo1Evk1PFbBGmT5mYqLkDhc4mAX72GFiDkh2+u7tfmB6uedEg0O/uTt+Mo6/+Hr6G3/7vziedOpU5KussTuBEgD29EHlE+7kBmVPiKKRw7ySJzZ3Vq1EiUARUgS/xWpWmAhZRozYk4hPJRJQIQYDKD+/TVeffS9txqoLZkA9umJqtVN1My9udwf/+u6xmJtDncWCs3sFZnbb5GDSRxc7szvpCAHw8Sc3ozA3PiVedaO3/1pedM0mrH/2XzkLZpxRAaVExMhZdruBuYUyEKFxQ5JWL/R8vNBCoDWZ0BOfFLVhYmpkZuimMkpm/eP9rDQ8wETrpQwwa+Oc5nmei6uxARYeDRHgMZ0iEZEZgorD2+jCJum+wmjoUreh37W1gNiDgTgG8MFaYB7mCOoGRXFojGtTr47qqEBxV28FfEfQRKypjvMHh++dhHLikCEIBWHseiyxpk/RmAkSwkL0Qxu/yNrktTGf0cGxuGt/uDWY7pwVYffFoTBpPfkVJXkmcJKA2QwMJgzkECpVQCYsiZCISWi/vfp04ARst4hRI9WnVBNO+Oonhz/5xc+Oj8ts6m0aN8dCEEHcYUhACiq1i1iktVU6OVA0xolCHaQGgJlrrSKJYRDAGH2oSiw2kYvQhgYRys20EBLn7fOrm2eb2xseBrIl0zib1rlWWK1q5r64uT7NdljKO0d1qHc2ZayEmM0SxHx5gVmZymHi6wHAAF8SD8BuHDdCEUsinc3MB2HhKnDng93DJl9366xCiGg7jNvdhphIIlInGjYUrfENg7yeMnMwufeulG5vPEp8jXQQYSPcmiPavTvvpxTnL1fzYRZNc2bTtJjatNRlsRq8TZTARsIKi/pFQm/O1ZDDCzsUEVHjXYyU52Vp7/zx1qDiTpAGWgtkdXWvMSPKeFJX9WI2qatDtVRDG+V0iRPyc7l3dcriZnLizZg6u/ZlEHjx73VKZfvzYqO6j8vcHknhxaMPorpnArmiugG1jY1vVzIvQtnUSIThJs485Fv4rMpYMrcPZQJnuBKLZmTJw68/37+4vfrkRoaKB1v0cMS4m58OtUAx3R/u/+hf3qujQWjiIkxnX2n1ci4m3Xh3SCK0CK9G0ft8A2TJpFYBJndiIwBEenHdKHsMyFkUGcSj7Hfj7XeHq6tdsBapwKzURRddFlOgOqn7Sf2p+E/fPFpMzwt3FOhkZTijw9Ck2xxH1MEyASzYIKWRdgnNwYmF6xzKH/ieuEjwRaTULdDF73inYcMg2O2HnDvTHhHljJRBciGBcVWLIrc7qC8+EbURfBRqiwjkxGQV6FNriThG2ZwN8YXiaY40VXVTm6Z5Xso86WJdVMjhBs8xhzaeJlVVN9fanv1sXiIPyxyLsyZa45ycT4c7A3r2WCl+Wa0W82Ko7ovCVKthMSzq1X1SnR3a+XnQjFB/FO/83BfiFOxd+PBF1EO3iMoBdJQa8TlZw4LWocTC66o5SokbllUPEjOKxjUa7J0IxkSGSgA8EYw15r2kLNf7VI2q5sgdwRlUKKUBaRi+//zm1z69evHy9ooGuOLu3cMInY+Oujy8f6z4wy9OSzCRnB+HAOcVfkjoIV+HbaCf07Of0F0JAB5KxaQji5ljvA5RVJTinU2QCQlEiVOm7Wa8fZ63u9v9fkxpnotKBWCHR7iZ2aI4mS/wye1+qXeIgQ7t/AeXZCMsCDyRaXefXIHpZC6FCcOWADy74oFARMKEQS4MShOx9YU1CrvwCS9eLT4OVZsJOUtOUfAjkgweYtjkRQE9DtVl1sFp1aLUA82zVgc49blv5wO5Zu27EAZey829GrTqaZrL4qdpqgoHqTEC2tkiwRbjQ5HqYnaeBdEc89ZzKBJTK6LIsY4sjK7pruqIkCxwbIi+BFVwqTSpz8XNaFGvTuYoiuo+ux+Djs4COwEi7oRVK8VNM2Fxrn/59S3ULlFz2EBMgowERoKIiHvLAbYFbKSAKFAw2JGYKlrLoKo2EHrD6jExQA4zI/JiFZ6IiAxDEiYZM3BteCi1rF1zWWg/jN//6GZ/tX3x/MXztBn3PD8eAdT5UOe61HpQ/ODr+jhVw1oRjqp8qIR2w8GhZQZnRKRdwSnAKOG6As6okUYAHFCP5DMRTLxVvtqciGBsXvlQ4r+Uaczjbnt99Ynstrc3e3Weq0UbooIfSp1r1WJzsVn1oPb520do8Fz34KEHAx09uCJ9WmW56rLdDQRsRwny1pxMmorhLiGRTVzF7UIUW/rg/P31DFwIsBHzNnEbT8sEEaQhmLBCUX1bi4ObvK2QVo8sxC+dOWq88NGhJ10Muhy2m1C3atBFT9NyOE11cYVHn9fqjplF0LCklEBJwMm8OGilJgtnkIiImboL5A43t45qo3buscpKK8mRBbamqk5qc0Exn9VrSxChAtX9VE3VSwBxOv5GO0d9r5d6K8sQ3J2IrDVUQ1efGG37ObqQu4JiFlqJ9gF3cA5NGqCMBEqLLW5W+iMxaHGGeZu7fr56WxOAYubgUiqnBHhKEE7MkEEqhqSlKUQZrkb63subjz97+fGz/Uc3O8kZtShwnO5q0aVW1fr5nT+ZmWlTvN2bkSgOXtyEIvg7NLGrnVXS6u41/p4wjQ6Qs8emWpXwU6OI5yBIlMaIxJ29wyodelqmq6fNCdhtC1t1M9P37+4Pr19N01SqPVSd1SboV4fjQ7ViZ+eFiduhX081VgEEgZxxmmrKOgBjGpmwDV4PvojsO0VaPJf1QUpNArsnhg8lcBXbuIgIcuevl2GADBwS2F2GtmTN2DWt7XJmZj2D19bz05e5qcbmcDUL0VY80ovqVVWrnqbl6WlZtNpca4tlCUaKtWPIAZSZACqgFKPkQk1wP3YUgdMqgbEOoO7FNDQJul/Tb5bcoI6p+qIoZocK1UZXUdyrY1ELMnzt/xGcnAzGjbLSA6PQGv74l53QC58Zawm7OxCX8UT/WTvX8RsMAxZvOZJQIhXwarVU+HmPzqnZBmdqSTEtdQLLAh4BJEkABhmQ4DrXF/t0s9ttbp5/fHvznZfXiVAdh/s337x5iNmP5v72sb6erFbuS9oXFt2D6/+IthwDFKjuhZChDmnYCQOEDM5Oag02zC02DOZN6ym7dv2SmRTMXgiJncAjKy+oQHl4i7G+2e4AeC3v3t29+fLrh+lhmsrhaTlFHOF4dz8vhrpmJrroEVok1dacKCZTxLlaFISNJDDxQDYMkADURQaqn7Q4oBcSGF90PM+ZI/QcEPaT6AawUM6SM1gYzCwJksCNiTpU6Rp6NF867PCKhbj0u7wbHDjMCP4rBJQawZS5e6m11Glanp7maVlqKfNUlmoRI8WeXBxamJkV897U2x0pAEEiwqkXyTw80TN1XavWr5YTYc+oMR36ol7Ul4o2wtZcg+rC2kimkL3q1BpWvYcp1iQlHEBnOBCN69LQzOiqh0BIje+EiCmtTnysGgMsLQzkNEhdtDnTjtQZvZdGjaBwROADRAdJMF5RcL92bARiKlz44ljUMdsuGaoZ/Ao0bClth+89e3Y1bD779Po7Hz17cXv1+PD+8Ob+86/ubapFZV707qA/uLOiLZQxOLV0svX8gYeuiNC/IrN7cWd4BnmFsxuRG4xc3Yu3DmWFN2wR9RyeW4U17nkIs5IlpgRGcF2DynEBTBwFOGE4DL94E/tcTd9Nh8PTNJelRgOF+pePh7uJFN4y9RTi1mj8e0qsRRLhAcMB8DIrgMw5ssqZkCkxGNzALi0ShFtA0ryV61eKhWCy+0Dte7ODKzfudiBhCA2cN5RGyhtwwqonHOcqcXOzxMP5bGmCi1rFKmbwaKvpNjokD6Eb1OFuVrUUrfMyP52epvnwdDwtSylaq9VIeC5LC9qROOdV0KOol6w6JNAY1Bx0ptXIeQ+LL0IXwgpu6A58SJMFf6NaNczm1VBc1aGgxiNqUPdqrhZ0PQ2SGu9XtMSBkAsHfxM1ger6I4PbkEXmFO3rWS6NVWxnEE6EUnu2xd0Bg1BFIDFjHxYkOkZVNPp/FDg3jEp3EeNvFhFthFNQMIOqYmBH9SDIpjEnke/d7j+9Gm6eP/vo488+/rXPAJSH5auv/qUvGsbYDH/6eilFDWRg8+ACJcAYYPIz8XvXjqq1EAakQjoxBk7FMXtjsGJzi1ls5IiRHufz4xU+TW7Q90/e5mgNPfqxguDeT8MwDMIJDKrzUkek7VxPh3fv31V3kDMqYIYJ/vahLm7LRYYiHJlYL/m2Ix++LwRU3R2FDJTGLWMjkMzRKR2Yjx7zRfHFceZWg3cJXJ1AdAety2LL0hIzSyYWEqFhQEqrf3QWsPUmKU5OT8v9sgTGR6vDS8sMeZuxZoupu5nP6qoKtXqcHp4eHo7H09NhOS1uUIN545mo2mezgXTiBjclBmCEVIPUJaGVVhHFC+KeCUYAu9rxbG50i0rdEU1o5sXcKp6KT+bFrQCV2uR560lVNAaamDTRkkRdQyCMnwDqDIN0nRUx5w48ABkYgA2JiBBJuIspkBGx9W6BhGm5piSyHXLFPK2rW4EKw31rRvelouWGPfrRAFDMig2y1xZT9aY2ahg3LGZ51pzAxHnEDcmzzNebcbx+9sl3PsvExXECl85O6orXWrTAnAqabjMPCqBYT5BbbIQBQlD44iBHgRK8OhaveaE8kBq4whKqGVUMzDAHI7VI3gEo3ACtHnlod2AyIRj1nptfcvUBWD0d3r0vLQ5zM3LHrPblobw7BUkbW5c0ooggLtONIX/USteMgdgM9ws+GjITDSKSnCU38Pj6zg8EIARibRpv6TKsfzjQs+tEqMAIIGVOOe/2nLYkI33QXXNxVXTrtyIk2zWpB579fTq3Uwt3VdVappMp9DTP8zxNy/vDUd3KdLQCnI5zxWJeewdrXE/drHdmwQgKc9SCU8+HppOSGA8OypFRQ3DCdW7ZuMqKCzgvFwEOqmbFUc1PBdVtcUxAiUhKBFB2sJERoJFEMSgufd1VFNFzDEIINplQcUF3J0IpNVbYzqBOIWVM3IZYwR2kAIiRWIHNMBy0pIRTr162TAdj1nYFB8jFycHd7afAcVBwAzkzMUkEV+LpooGoqCfgKnNi/t4NX2cQgZb61Vdfy43oUX/+x38Qe+7A06I//OkydYZ/75RyyhQfzmjJuXDHY5GrshCIsJCTYYIP7IuDw71WF3G4o+g4JAdZKz4TgOQoa0OLdwxkz0R2mWtLKcD17tljPT0+HhxYZ0iakzkOhd8+PC7Vl1jhlupwYpIeT1EHuDYhJHLuXW2O0wl0jW3ilCUQZTGFzPGh9KHFlLAYqLXK+6UI/lI6AgC7iHBKvBUMGZJA0WDYEDxnR7T5n9Sfvw8WbPXI+M0FfXAtTKE6T5OqHh7ua61Pr+6X04NW1MUVgLWmrUXNmpfXLu3RyD77Ya5Px7lUP56WwzSVau+Pc+iWpE4KV3VxCPuQyCuL1Mjjm7la1bqmo4npzC9lQHXMxY6GWu1+8WO1BbSI+ABRgAiJBoArluwolirX7DJbBdArJq1HvwEYXNu/vA1C9Usd+6H2XnMnvU5M2uWDAIzABKRal2oAkRuBxYAncwU2CSeN77edjCPEzOhwqlYRTKmo9pYNBiEDI2lmhzicPx3TmAdmSe769HoeKE9SopNzA5+8LvYPf/EwtRibvTcUtb0iMndFG90US+OtQ8WUQNpGaSfDZJpV1sOeudurRbeDFMFARIQEVOJNdgDXoGnSwoApA+QyOGWG5GHcba83O8kCTmCMxNe73f1xyrAt0UkBxaL1zWl+fUBFHx5DrdROPRTsGrpJSRea1kgLoNTCCTklyTF5MIKPlVbigwogEcBtZoCfY8v2OS0C8vPbIskj42bYbHnYkuR2JhqwtCOqmq7+0AFoWYEeWZk5DFqAGM1XUeuiqrYcHg71dHx6dzydDnUBgppMq5obMBtMUVQNqEpL9dOE42KPh6enx+P90/F4WB6Oy2nyolDDVJvVSVqNAU3k0GrBdEFjzj0vZLW6mrfceKinkBagqp3cDobj5Ielngo9AQvgJJYyRhAcxWBOyQZgyYZiYyG1WssFOKeHnk0S2p60Y9gW88PcsZmpG9B0qreKuzkzA244s9c65kNsFxkBRo/uBXjff9xb33oE2AwJEZEw55xcQmFLFRKAGRlghlCSxAN0c522TJF5q/DUYksAyCJFtfry93/+7jiF676SykU4A2JwB2G5tUHc3qvtZlg8cpwGw0JIRkvVaFxSxUDIoBzDhIptwDX4pzpwcvAw8ZSrepUV4PErfdF4bZgPThGTFPX3k//0zdPSCsrGfYqekDOHRyoe0IYOCGABObQiE5wblmqbJSiAPObiMHXQyIXYIrbAQ7s69UY0rM3ufd/OYU5TATSM43bHKUc0CJLOX3sOBJs3up63nvpHDDGK4uiZOsnRG6pMS616fH+qZfFqQbajRQ1wr7PDF6hjWpY393jz+HQ61vun05unp/snHKd5rlSMFqeipA3fAsAFlBYFE3jx4KdxxyiYF4CksQa4a8z/vhhRzW7mOLkeih+ncpj9/ckPDiV2IY39iYdO7IuCAWcREBGEs3A5Fi2ONrrC0LOu3vSUrzmoS2elaAWkqrqa2bkd91vRyLoxp6liqcVgpkowTjAUuGkDdTW/icHW86q0BpgEIG+3DFSY+8Igd5fu0aWUR5G9+C7jZJwdVCyPCrBiLoKiM4Dq/gc/vn/75OYULfzmfYMj0jYAYIaSwylrg0GbgyhakVAMRhgYBaiKAzlUAbJIdMbCCZEad6reaJ5ygBNlRJI9Gam79146gIBSsImwp5RST6ZLqWtCQMmnWj9/eD+r1W51QM5kQRso61qj9XMQwK3a3CqeUUI0A5hJODjNzmHIGpL1A/DhZtKKEPPz7/UvWgGjSSkRYxyRMlgueh8s+GrjTXS+UKtAuirMvfewffDqAAGg2FygWmstVdXgrl4W68bz4QGv76bjafnq7vHuYb5/r++fdDZ/RJmAqu7uk3doHshashNwpGIu0U8ezTgVDGQBuUWqrqvtUCmhvMic1atVWxY7Vnt9qoviYAmZGBIg6fbIRGCDMREJCZOT0AjUDdzLvHgvOK4Bp6Oz3bRUw3lDgObOFbMMawS2kRVo2Z0eQggAFDhe38/x9vjBBFTo0xScc73O21Lc30oNkIgwAswGGRKbhq0lYGAmYgKGkQA/VtvPMMHp6MPLJBAegSPePpx++JP3n5/UHNPKJEDn7Y2v2iI7EVBBEsloIgDVnAnKDnhVEFEiT26zSSxzNQeIGWQQhiq0G7ozvJBIMoi8krRS0MWrQglm6rPZUstKaLLAHxd8/u7x7WHu2aXw+1oenXpjlbMLXLoCXNuQWM42SK3ErGdz00ZE06TaVnfwl6LDZgyb8aIzkK1FHZEkM3IomFggiUSo57fRXCnuIu8t82Da5hNZ/+Yvv9wRVjGIGGBuxWLEkBsK3h3xeLT7hzot9eu379++e3g8la9fR7WHT30Za+hQwGEO0dY8gN4W4amYO5CI4CCDxDQra0RL6wOjY+p6MIjiflQ/Vn841aLlqWIGUDCwrA/dNqRF8pKYHInMPdPOl1IVBQbznr4NXIBzkE6xtemMEBFqyCgCalmolFJtUFNzcPTon80hnaMGN4AT0Ad0JiV48YO6OeoCVVg1tz5UFdabWwCAmYn4+vrq8enRAGPuu6WJUmZKAgBVCe4PzjFAxK93MQ/YT/bTd/e/OKnOODWr3dR6QwXxGW9rAMMVK2jKHV6cgtBKrJ19AWbDoF1ke7RTHQKoUzEIO2srejSbLhBniKe2vC2QGjltrq8a+6zDvdnpChRgcrufltfTdFbbCF6+NhuiW5dwZcKjpz7LpaOYGCBPAlWNGditCy6mNIYYRXVgnfJzUYBYz1+HyqDjCgE0OYp/nIpBEpG0uYK4rK476Hy/PVN5/oCe+e5mIE5CPBcMjjqV+UlP709l0bnYq3end/fTl28e3zwsb+6eng7l7ZMdJquGQqgEh6qjgtxJvRFTmJNz2972CERwSnBUAjnY4KH3L2gYvQ0uDVK19abbrYbnPJs9xVglFJhgxLDqM1q1ogtj3GQnrgYcyyKSUzqlpbMR90gQcPdI5JI3urgsnBNHWtKCXSkYNGOvIoeDXmZAYHqCU4fA4AG2EJxjQMFU3d3nGWZQu9yMNUXgAFAqxjEmmzk5B9sBc5zVgjpISqu4GB6PNkjBdqAnbG5tLPYH/+zPfvJap9mOtUOxz9HJhdLvHpI1cAJpE59Wm1a4OSUHCCc4xA/Anp21JR7IMWuASmkAh/9C2mSgfXJiURcGIJvMyAMlBEsrEgy+1BnqRmzkhXxxO8z+5bunyTBrs0BrMYEAbuLvwBlwghU4Dkcv3xJA5F6skXBeMFB7U0HnykAvFcaPzdxMVwG5kMNOd4xepQCIKCAy4uCLbF6ENt4++WxKW+Qe8IA1SGivTsbkZtN0mA7T4/t6eLKfv3r37m76yZd3X37zcH8/300+K54KLTXyOWgVfAq9jyjFBb2agWDsHBNv+64T2hGrhATuoURbUj/nl3ztnIhIwxwM46B7YwF8cWhMYzcXMhIOQCqxgGVM8/PrDXgswHFRAMsjxqHKCWUtzYTgAe4N18AwDdJsYUpCzL08YNWK+QJszWHwNpnDHdDO/32G6wa/kQFWiwEPisnIGR2F2rsoIyBEc3uIyMxqqQc8haFtHDOuzgDUQbW4p9ROpeFpAXJNZuU9Xpv98Kt308metOGNqPu71PkS2lnuOrqN/3Cj3poXflI4ZMXhRAKc3EHeDrI5ikUT1aKUEml7CyyGTwXMJ8xT4kREiYUyj5IGGsfRLS1WqxkTnZKOy3IobuaT+f1U7lRRUNal7KgIxgoebpnYaHpM1GRlNZW9DcvhHQy1GgGsorcauMvSGLwhQC65ntqwk/hRQwo1OaOUWUQ6edXqEq0Laf3CXWH8Si9Ui6nBitpSplqKHp5OT++nN28OP/3Zmx/+/JsvX919/b7cHXEwnAxqMSaguToBy/DAEIZqNfJoRfUWIzQjRhQmMK2ZYvTm9ExopbjuCTTfrOebCWBCpryRUoSSIJHn5ql2xRetYgwm3iW8fP6dT16+2CR88ebpaZreP2JKVVRamb1Vg9bVas8TA/GQBCmhlQKptRO2zSJvEb9LuBSRgLh8EWbAmMzZwtHyCmtYMXWvusYidGGgiLIoatXqDI86XssspghbK1BC8fXJJEvhd/flaXp1nPyrL744LTh1fmvvMcqFX7R+FDpVERQeyV5DTJZuJyVahio5AHNCn1mtZBtwFDfYUcHV0QieHaSkgtySTZyYmIc0UEqSNsMwDJkSSRrclmk+EsYZJxm1TgBO8C/fHFzNEJQD6Jt7cYbXkxX/c3Qirk59ePYeNIjedeO5JnEOxT2Y/KAtWRF9+NZlr6U/4mdto9slGyDYMTJtt9s0DN3niA8JaqPabxVN3L2lHnAGZRpKNTOoQkspRcvp6TAf3y+vXh++ePP2yx+//rPP3/7izXy3YHFeDJO1Enpk1y+PXEtgtEO6hkedD5h75wZkiKbe9WAEXHN2jG6MXssCCBQ4XOFghWePGadIQEmgxMzqK+WFZGLBQMzC+5Se3Wx//dOPxv0VE357f/3q7n3Bu+2ynGZKQiB0xptmcL0HSnZGgvaMwDmzvvp2rR7bv/2tJhQHsPSBhw6Yq7daItfVv2mvKGuvWSW4+rIsIxManWMSISJGSgwkiYau3PwO06pWvTy8K4ueDhOmmVVpnTFF7s1JCrIJ6uht4DIBGLAKXl2185EmMy6E7F4YWrxU041UWIY7EsGFjFI0z7WFasUEYuZEzClzSpw3Qx6GMW1CcjIEm3E+ackGN2VW+OPTojAwnMW1ZdTjPHQ0IREriBKIiIUsUTtPRtSmiUik5ow5oK/ohsnhAq1Iqf27UxrHK5RyGMo2+LVPYopNW4nIQg6JkHPa7/cy8NnhbfnPluyly62OnIxXr2gUFO6+VECt1rIs0+F493T64tXhzeu3X/749Z99/fabr/Cm+AzMgBoWp7avlxRPq25qT2L9iHW0NYGlQb24NU0FvJXCMMLc3FndZ6cRVluX8blVYaW2bl5V6wRroro20RIjg1nSPvN2u/nk5YvN1fVwlbe0Kz5/SvT+5MfD8igzCVEGln75dgLhgLoL7DzR5wPDEVZZAgfaNWzIjQPEUPiK3mBK5iWcWF/Mp8lLTPTsFajz9R3n8m6soqtZLYsiy+gElSRGRAALYSDOTGTsVty9ms5zmcvydMBSfNHQIwwQYtBhnzXQudTW4Ifa4QJVQjKvRLKiMR2Ro3ICOy1OrE7ss9kM2wy8Txw+QG8dZwwY2OG8qAM0ZgJR9DEJB/HNJdHeWYlZ0CAs5fHhUNxgnXouPr4DGYiIEsiFYWAWgATBLkhA1Eeo4YFbRo3ATculsEyqVRlwYdfVwJ3vp+Vpmsvq51mgFxLYG0YgoJubq+vrK5JVCAhmsIJG5dvPTvhOph6jGk19UVe3qupmWqfD093T0y9evf3qm/sf/unr13eP33yDNxVVvQLqHKhD99Wx7meIcGkEwn9Rv0gFEDghUrfcXEUemBI1MxeOAM9WxdmJNQFwdhYmC/qxaHDtdjbCr2mdhNYZmhgQESEZE4+bzfZ6t7u6yWO+Ha9ktxl1TOP2N4tPp9P7pzkdp0xUqTM+os1h4yA5Dt43LUsdtBFqEQBI+NGy7trZ1e8uk9ee2opiONbZaRpALgPVi0mojlWM0VsR2h9lUa3V6gIdx6tdApOEdHgBShqyLQ4hmoAELG6MNqIYa0YhTLsEMuC8T7TK/WrW4V2FUMOnhLLv3kG73eCZmYu7L14TNuIaDL8Zyu5pKzQOKgwoKMnQAHvQSP+4VXNhgrk65mkp1U9aHxezRUsNgm4LeHBmlKaxTIQZDlld01ZsHUjaEew4OATTT5sOwUDSEMoKM9eiszqrgbnPQ/sgHkCTOKD3U3zLBsIa1S0zEyENKbhqKFwHN0Rpcx3eA0DbWFWrxbV6US1aZ3fHw+Ph6fD4/v7x1eunL169/uLVl6/vp198Q081oPYwhispstJ5V1fqPr+QRGkZMSjaFCklp6AnIwqiJCFmxigYmJP3NI054g3mXlxhEOKUCeGzhh7tWV/rxkodk+JkiMG34IycmDmPPIwbTlnSRrZDHkbZbcYhASkN8v7p5X77/upqs32ap2LiUDfXdR+6J2YEwVxtZ9UqmyAC3DZ28bxRbWR2Ww2ssglmTpm4gBO5EoNgOpsqUAkGsUBNN3zcB1tvZiw8pixMR61woKhOpeYxJl5Z5CGZko2KkvgWtmAcMPsyL+VCtrsD3RLn7eS2TEM04lA3xOGaAeZMjb1nLfd5qwIEzEYvY99L7EhkE2cIAYnAJALkmOTWHGJ2h7urrdgGFqYt5SM80jDLsiRYAhZYBqOPgGOEO9TCOe6Kpgd652iBAMTkayIGpW7tQJi06lxPVsEQZgBjGjhICtf4ibwpnUZA5jhLYKRN+3Ob84Y++s7LNApQoQkwuEYmHe4ORQkDCNNixU1Pql5PMNP796c37x7evXv46S8+/+rVuy++PN4/+t3sS9fQjvPRaMaGWitXC0i183xjTb0QIcD0vLBFrpglyOUQqOSBaeREjMTgHgutrnSAncnJUCpyjoY90MqIg0o4mj8WO7pVL527kgAWFiYBbYgzho0QK+fNzdU47IbrAZTlsLz8GPcPN/dPp+e382me6uITo7eSrQF3nDZbDHO1arVqRvYVXABIY3wMn9S6ycF6sLDby/OjLKYL4HlIpzmyaQWd2YWBFvBdJkv627fb4BqUbL7M6oYa2dxNEsy9AqmZ6gIwsY2mZcqLXSHfUb3MbgHNY/dL98TPx/jic+NsqYPiFK1SGAVcIoCNkzCIauIc3R9DuMjUqC6Q1BzqMhO4eiIncTUnd1YDVKPBjiLX7YPkyrQrdZo5s/z2xzd/+tX7Ah0JM2yIs0USTkB4U6G6uKVMOSMqW81pcQML1m4FIlVtJ2xZylSm01LcDcxZUpGSJQEsKQU1obS+swZkMQ9esHBHez47ZJTBguvtZhwAhUslEFQbBk2bzMIMxaouVmuZ6jRPj4+HuzdPX33z9NPPv/n89bsvf3F4d8BiHl6MN7x749x2IhJvhZ9vOV5pVR3OHcywSdikxCxv51Lc2oTx+JMcRCMTM7Fwsoupoa1S0q9vcDiDnIEhEYTWcx68FcV8qnYoflovMXLKYE8QIAZlDIOCObFcbXK+AZCuxo3jdn93fbV5vBu341hswglTu4S3hqp+Ik3hWo5VEtu20FTXZMq6Gj0x01uPzYiZN1fD8l5zZloAVnc/OJail8e9+RTtH2fT0rwpMxpGYtqoHhZ2M1uKEjQZgSH2CAyl1s0OKMpZR4Xlje3SFu9RlneotdW145qR1gKsEsNt9VNX7YGg+/YG7IoxxG5RXop7NJAwmQiIseGUBRvBzTAMKd/kvN0iM41C7upetSpILA/qLb/jVQtgDmFnanO0nECZ92m/2+23zx2/eKs+DvyUG2syCiMbQ5rzGXo/TmdEOOht9StRBeIchycGMDCXdlKmeTouaosp4KQLFAwhDJIBkDBHAmlgWVNMkbRoJKAtnR6uAYO21+Ptxy/JDLbAxSmmF7ffbUFgqVpqmefTNJ0eD2/ePL365ukXX37z519//cUvju/eY2lVIuq5ntb9T83gsZExqXiQZwcZLXUf+kyPkAjbjGfjloeNmZEMb6aDr9EjdWvJwq1M21/r0b74iywYfFkWJ6gPRA7M5k9qj4sel+W41KX0hDmLsICEBgYyKDEkcbYKwxXkhoJeMqWNDfvnN7dv3k8vb+ZqAB7LCWAlV4OpN6YSphDHBUjFKmsZ2FzVRV28V+pBMKbOkdDc4s1+Xx4fn4AMOhgrGMBAdK8fDPRuYSxdkLfEOeK2zyOBiMZxdLXjtBBgUDIHoOwGvDnoVp8yYzsdAVTAM8N4m67GT+rXXz/F71NMGb1sI4pyfMtuNyKh7s15j7XCAT0jJRwgUxEhxlY4M10PuBnzPo83Iw2MQTjcm7CGxCARTsHD76ZGYFQFYMZJWDiBiLZJeCDhzXbz6dXud//Sb5e8/Xfe69/6B//Vn/2LH91XE7hKp6jpRaRQG3Smtjvb9zCIFJhCARwieVmaxrfF8WFF0DUoiE4dTynDduAJja4ppbEnxuOcrn4pExlsk4erPMKKlwzpKVWPeo67GVYJPDw8PJ7u7x5fvXr44qu7P//Z1z/9fLpbUFuLTPOPpN97tLUhzG4ng6AOqwip6uO0HQDDh0y3w7gbBtrS8cQMG1vZaS2FUaaVDJbSOUdPZwqLfhqInCYFccsPWCUAB/WnxRfDXHEqWNtlCUjgREKQJCySJLeigsYp4iQB9FosD5s85v1u/9Hz3n/7NJdi1V05RkG1BGiYCa12ythMWDaYa1k0m8MLkCMm7DxHDgJvdqNVPB4ytlvg0frBXoA3U6B13eKR6FewmIqEiNjhaeJhHM3BfL3bdtWUhCXEyYHiupxsQzIPBngAh4V5t2Ug3T5bHh4ngkuUDajxLJ/VwDobukVWZxbN2DY+w1tXNQrAN0SJaUw0prTLskuUmDK7rOVgZhIw0SZgrhxwBjMHO1spBNSceSBKWWgYhPNud3VzJburYdjsxs3NM/rt3/yfvH6a/87/+7/8x//0X9zNCocptHf5eQfdZgYTNTK3MwSqBXJeocD19lxsEZbBfGppzAZMiZtzrQarsx1Pp8pELCnlbcLIYI552nHiAyLuGWDHVRqBUgskdXQJoubmbm5acCGBd28fv3r98NPPf/rzn5/+/POnJw3XnCNUy4GKDYFnOHGwpUVhC96xTxRjbsnh7hQsKUy0EWw47UehrWQiwK1MF1wEDUiUhaQREvA6Gs3RyYlX9RRfUcEEc+NhxAIsjqPS4nSoODlPjtUXFWJhCkYBZghTlBXjmEmSlMemaBiJNmnM2/1mnv0jMAk98fHx+FSq14oKqtUBS4JR4uzoMuNEmCc5Tn41dshgu+VW3UZDYKZITOyBH1ZP8OJkpU5VT7WLKgQkDO76rNHYENAAKwxztWUuw5jNAVTVJqIkAtEo1psRYYFjdnF1giYMsMQsQ9pt9kCZDk6dzweCaJdgh3JroQh7yMBFZ3HL5lojQooswLlOGvWZPdN14l2SJAhKY2odA84OMmQhAAMTewDF2dSr1cRwYivuiakmGjVtd5v9KJtxGEYZR0kMSlX40xfX/9P/8N//D/5H/94f/+jHf+2v/z9eva+p1AVnGsldppwo9VJWjJbz9lhrXsqvr/fr4ZUMA2+Yqrkqm9XaErcN+QVD9VBYqrMumHJU2KIXCiCilPPAg29l7OzTioJqvYwJCtI+MxTVspTDw/F9ubs7ff368cc/f/vDH56+ePdUHAQSEQMnIjnz8cPRSnSJyNbOF6eWXerupXd4SaOJZfp4nymPAErxaX560gskCiJEZm6gE4drOgMYupxemHECqBK8ogLH2SHmzsXoSaXCC6RCOhVPrE34d13jtXQPLHL23eruxuF0Pbx48Wyeyu7KQXiZ8/bq+upp93Q4Pj4e1XSZNMafppAJ9uo+Lf4o5aWmUnSaytXV2FKp1CqMvZMv7Kj/7N0RCUPCVLyoTscSfqkB7gIPDsDwndaIkDiAHm5qVVV5mTGOAG6ur6pa81hcoQiynxibGG07CchMApFBANzcjmqii6J6G1DT/KS+l+321w1ad/acVl1fcatMiI48CTAd1fg2O2Du0ktUFwGbUDtc5hrhZh9fSA5FtiEhb5AGGjLHQQcEwokFzM4ku/Tf+jf+tX/r3/jdf/ZHP/wbf/0//+r+4IuCKGUSQqKL6aCRr+lkxQZjmADbHQOUEohQgo6XXMxUWY25mKqs/ur6CjSLO2YoKhJ7zpKFmWHLUrkAW2wHADrBryxm2qNxXlGUYkwXOz09PpbXd49fv7770c/f/OCHv/jqXQTsnIkVMgQVBLpydzcwEQVshYkCwnrOQhPDA1kdeddWb9owAcmhONFhLo+LFV95gLB67CDEbhXU9Eub7XAKd9SA6nBFBxRJ9GUVZ2NWpPY2WscfGWCNGvic141FLBSQugtfjEdJmYh43I04Iqe0G/P26vpq//h0OBzkBCvQrhXcHbYYjsHn7QVoeMMPT2p8gE1uhyM+2uG+8FAUBWB89dSwlg0m2vyac4mAIv1Hbaqwqh8OR6FkhjyOAISEmM2sQLUPRb1UOnCAJJyi9pggGYS0gtY4iBQtMQP2NtL2YoMCOBRyHSjNNseaSODoXXy9TuNaPbMqkcDNScjgIOaBQYxRmHsvaCxaCH1Av4V5k1PKOVGTIwr8KenaAdQyK4SR5b/3e7/73/293/17v/8n/7f/7D//5v5BEmXyD2v/3DhoiNDZVgiQhJzbdJoEBoPcWJgFoszCriaZtKZaMZeSzRdYy7aZdWgZajEh4oiWzaBHOl0CD9zb2J5IpAJqetTHB/3m7dOXr9/96Q+++vHn37w5qHvkPJkoJVCK2jtxk3siBgf5c6HWyeSrSelmr91TQNdATNiMYpkAzF4eljl6z8M7bFvbEKJ9/sLsqe1GN4P9OdgcBajuGgydFQkKEmJ2EkNzNzfj5sGmyOaWDrrtls+jZNVHpjlK9eg7qK0mwJJyLnUBZeFiWWQ/Ypevt7vtOD7MT09VVeeYz2LtjBumqfq1nGlQ2oa3+KQJLQwxJz0zEXij82QVyVG8oVhCXROImExBIuTGH2QrASiU7XCcdw7A82aEwWHz8TRDiSXlBHACgSgRhnHYpkgnKWCPDw8GZwZG+NLOiMHaLO7u45zj+nOK1gkurQYAQSsuAR371g9CHAU1S8ROwXkAFkoAEY1EKRoi+/I1zcNETOOY45XOWUhrZe5G6Ns+qpW3iDiJQf7df+ff+nf/O//m//x/9b+ZTvPhdMyJSC8hgL3u0lvaiHgQijktAJg4AeZkrlHNZbNKIGYlCFuSoapKNWVfau+V6C9zkCPBBZYVGffAr6PtHDFzi6bcvJoe58Ph+Pru4cvXd3/6Jz//8Y/u3qlqab6mtOJ3gzI1K+5AVAWaVxjF87WHKvooV0Bs/4swADJsIx6YZhTF0mV23VcGrVzJZuaw1LPN3QiD3MngBVQdM3x2Kw5xMHgkFR57zzJRYjKWmVYe3SZ06l4KskAJmm0uPs/z073Qsw0STB8PD3U5ejWttRe127TNxKw8XKU0ZEyJnp4OM3RZDNFHjk4H31sfeg2q8Z24uzlKVQBDdkr7cbdsloI3888eQqZWkslzik+kZ0fawsYl27XdyBnzXEE+awWgVWusffJasBkHYRIR4jQmAiXzykDpE0RzohqzB528KQ9CYOUBeDDjne0gAAazt3CVKVhqQ5aEG8CvlQIaeoqjMgcDMjmBRCgxEpMAHCMv29khDmpcEUlJUuIk1AylOaxaScZ8RitS8Ap1cwohiJAT/y//F/+z/+Sv/T//4T/+x2x1Udg0x5pyg8c36JESwNikSjIEdtnMJaBtRsymAcxNpOZNjNhImERVLSWuKlo1yDlyxih5O+pIRsBObEe42adhBJgopbYQ7jC3uhyn49t308+/fPjhD774yY/u35ujxhSaFT1IxAHp9zPBcKtUNDSdNQiRWwA7ekcmmosGQvjvgKGYAZiKzU3oAEoEa60nMZW4A2MVrZ3z4uXN8a2OGXhSOBkYFcSwFLTlLTMQp8lwPsyAwRZgMIejqA/wqWBnCp+nwvKodqXlaVn0cT48vH9cqtVFl7rMU0EbCUfClCgNRPmWhOnp4Sgo8xzGq3ewrcOwW4I8+j4iYIst5Sw07PHJ++EOJbSEUuT8zZ3DmdWqkmgNRMwiqPKmDd0rqasSUwWIKDzQuVp0cmcwsqKV3ehqzJJImLUChIyC3c3OT7IZ3729M2iZrVlpQmN3b7mK2EwCesdPAGSdwvQJg5nCyqWzqK5fhdtHTi3vzUASGpkStwb8PmG2pd0TS84ppZyHxL0h3tWtFiFWUqAQMWIOTnwm92HYff5LIvmP/4P/YQL9/n/9zxizbcZlqWYXCi74wbz7bWTECQBLANpcAXchEjdTMzZlhpErEakKcWEQXIxdIhzmIWEz0oY5ATmVTcJe8NknLyRD0sAXmUbUUqZlOi1v715/8/k3P/v87aF6qGGPihCHU+QCVGrMI47L9YVFQrg9VJSVKNJePVDq9O7uecxzNMgVX2r19jPhVnVsLmy+ZGhFi+t8HUXvaH1QCiz2YaQi8MTmlZEBghpQQhDDkWpz1d26EgXBYRXaq0OupTzZoqUep4fleDwejk+n0zwt6mokbKAMTpRS4pQ32zGP8ekPR6CEkqrktaEXLca4EBO3bhmGGTOb2Ui0G/ePc8UGB78GTqquoIY37AQM7qi1JbxaL+HKNFKFOpStLIsMqwO59oCbEQvZcjrl/T48WyJugZ9VBUopg7hO881ue788edBIAOQBxow8lvNaRUFL7fVpXU29MYPDGPYU+ZrD4Qt9hBjSxrRJPBCCcoywGrW1xSw6NHuKLl6q0OI1OymoRkTYFF8E0ApnJlcyImGQMDNE/qP/6H8M4Pd//w8AY+KGgOx6OT499+fqc98IkbpkgTkjcDfRq+OetBCYuWo3VuSVCe6ZfJOxE2TBID5w3jCun2/2N/vxaodEUQUKidFqy7zc3R9e/+L+y6/eHE9AxCHheZIDMUYqHtDZvaI1ZMYvBsJnUQ94g7bsBBwGl84mCupzTipkUmXQUnXuB6m13QJE+P809m69lmVZetA3xphzrbX3PudEREbe69LVl6pu41sbyQJkAVYL94tlY2FZWDaGF8QLEqo/g4SMbPGAHywkHpDBIBlhI1mWZcBNdzVuV1e5si6ZGRn3OOfsy1pzjjF4GHOuvSOzmmZXKCryXPZea6455rh94/sEzhdrXgoQFbI1YlUH+mBRdLMY0EBtZ+IhcwNNFziTVzaDQcxaicIZCqTe+SKkSHoBAHkQInGFmc3zqdbj3f7+uL8/nQ77Oz+qkeBqe+3JR06JhJgyiTy4atby8q5FzY3pol24SGdcY8AoOkMAMxvgA+SZ6+tyug/FsGjUrwNtazyhBKCD8oJ8ioAaStjxWVqtKUYReRNP86rKSQzQahjaUMt5hBZmucIzhMbEDx7i6ZNbj8CnFUS97U2c0Z9R40VDP63FNGldH4IDok1p+KL8A4ILQ1i2wpmDExlR3uthLREgJMzMYYj9M93YXU2T1QKOmjHUGVgYADG5wmLKOnWMNhFlliQkf+Wv/OWwwwF1AVvVcM5kjSHx0aOENm1xjpuIIB4Q2L5jiBLDSUCmTsKsZmKuFueUbxiJLSWfBAPTQJiu8ocffry7vqKhE9pwJBFaTno6Lq+fvX756snTV6X5q2iYwQDnhotue1p7PYAiCkFTWSqNBbHDgEDe1RR7GsxqSBKzOW7wpWhgOSXFyKwBEO9JDvU41mGmaYVweBPlIo09QF1AjYU2QxbkiCQQ0bbCTGABKusN796mJRD1fo6wiCQiMIugVq3Q+bC8er0/3O7fvNq/vp/LMh9ONo7J1bHdMdGj3TDlUViACQ6z+Xh3ODeBWaJeFwFB0HYwcdB3r5tSdV6A01EHzPMrrr5EqH2enDhPgjmISEFMbwOHHJBWLjvTVsdV+LqOMC/LPEf5ZBpzErQJ5GHaYJmPro40EM0Ne0Brdh8fYU2HmwDEOFKcDz3VJoi7BNowfkhAUKuAOEG5aXZgBDacRZiZhOIMXseLmmQYAWn1tM36DYipGzMuVGCh8+FmUKAyJxA5mStBQBoBE7GwkECERP7Dv/pXf/zTn3zx6eecLBmgl5z8tLvaBMJJGstnnxpfFWziPwPaS5SIBV4TSMEEZYM7wxg+EkbCwBgI0y5fT9Ojjz+adtsg4HKYNYkRs6qvXx9e3h4/+7SUpcLADRHpgKcWuueYj6Wu19zD74hOaTErBjPUXu8D0Ed544P6V8HFjA1mPnfWhmiCpUjIo2vegB6oQIx8cxYSoWCxBrMQQ0iENsSJZRzH3cMHv/jRx9/4xjce3lxtZZyIBodQ6JNggF+1SqAy3LDQBJAMTDKmxJwlM9FmmKar7bSZpu0mQQA8+/zZ/rB/dXe/vz28up/nZbnd758+e3l32B+LLu7CTEko5e2Ut9urx4+vevlu7ctzeCnq7QYGRASwqF0DMBVs8jYPz70cS6zYl5LgXsz2xlAQlCDnrdkX/+LvViOLtQz6O1crRY+nk9rsajgfnFEnrMDpaszvfnCTpdsh1agTASAyYTB1gCj8Qj/ofDgnoiyUhQTU1IF72TMBW8JGOMbVurXH+dIjrhZhniceTNVqU06GubmZuqmbVqvmXt3VVN2quQcV+9pm4WgNCoMgTMOYvvvd/2Labvoe63dhAGi7zdGxsMB8kQk5yCiYo9gJRmTc08dAiwkgLIl5gGSiRDwQBsEgPmaMW1xv8qP3Hr736Iavx1ZzpJhdcq12Un317NWL5/v7Qx/yARge0yHcsocG6lpsfaoS5586CjCbe+h29Q6vNqAdrfON7RvCaq7mpZdR46xtoLd+7A3SMO1t53hr2ISLJSKoIzsXQ0oMFr7affCtb3740QcidP/5s/tXL5Znz+6FrHohMGHLOCWkYA9YN00S5Jwl52kzjsMHX/voweNHu3GbhKo6bnB3t3G3w3453JX9UveLeVUkZwKevyRgk+TRZjcFwfrmYSl2ONyvdXYGck5riajXd5uLjxbxflGEYhLmw/HFsqi7h+oLvHOgrGa4nsSR3DJrE7OIbwRLHTXhozYtTIhgg1vuC1TTtBQI20Ap8C9aF1PfH49wH28GnABy7uEHcZPiCS7QFPVT9Oky4PKfGT4Ovdm0QgwbTsUJMiQkEgomQqdWOO2XykFJGPKu0UIyhTDcVZWECEZqIHc2V3YuzkyhRaAVkqnRxVuUYolTL00TiYB52m7/xn/yH/1X/+V/3a6tMdgZGU9jlNN6a5MBNrJAq8VatESu1/3ip4JcK9gOmUwHIBNlkWGgm01+9O6jjz/++tXN9rz3HO7spWqx4/182B+ffP7MaolVaBlgK38zc3YmlKCNjCUVQBxQkMEXR11ZP50QqsfegxlEP/kMMIhfVJwb4swxBIiVanzVZDpZw+Sk+NIZz2gwp0xcJaXN9N63vvmdX/v2g8fv4nh/f3X98vdxOh2u9vtjogK5dxSW3ZiPuhRHFyDplxP3SZSA7fV2SMSUmGqZwcBpqbe3x6XU/aEuWiuc1JJgf8L9/Wk7nMq7fjM2PEA+8jiOzJc92b4Jz4/s7OIE0HrcH/1U7emT0tH260NqP+znX2pebuXTFxazNaIAXOMJevNRTHBJQg104s5UTAForToOeZoSJ9h8BHQ+pLStCqHp0YOp1MOTJ7ftQ/pFcWzWdd+uUe8aLhuRYNciNl8a7Kf9thCuM3KEB+7SKDqpmTS6RwY1QmVjbyMJBiuu5BqKUOSezIzM3KrbYDELF0GzWhQVLhuCkeSAmYnHYfy1X/2j292mqpOW9V6EsctoNZq4cgYA5gCqOWEd1F2ZuAkUpcs28dwsngHCduKb3fDo8cOPv/bBux89Tlfb+OF2odWhNh+W+/vD3f2bw93Bm9xEY/D3CPsooOxe4aXBxpucqKINYNRgerd128CN0Ot1UToJ/J68lSKuIQzhbXTyZSEsgoKYPnGChy5eJI3CPAhPwttHjz786MMP3//go/cefPTowYfvP3jwjY/zzXYcecs8JLpKuMoYQaNQB2rrfCrH4zIXOxyX/aEBS+v+Nbov18MMU4cfTnqY66Je3Kp7AYqhaL0/HY7LaW8n77No0zSJ5IsH3/curdjXBvMDsCpnlzEeZwWS9d24rtKFFa6PP4JPvdxntBqCagcjGLyzmKNFfeauZmp6KhVW19Ve5tPtq7vD/f3d3X185fE7D6nVyyi1PzFZbNzx3+t7990IIowDMVzIBT70PwxnRyISZroovLFH6dhhSq7cNpGtf7y4WXVVN3er5tVU3dRcg/Td3M0qTN3NrfjZV7Q4lxnEAmKShhAXkt3V9rvf/W48G3QOnUEyETJSy0/PlZggxce55LHaYd/15GcSNyZk8pR9upL3Pnz88dc+ePejj8erLY+5mRc5HAyURWs5vX765PPPPy2ltvwreJQI0uR+COZuXmp8phAYRKW7PjXvKJM+urHefBxYze+KMytzDaLgdgPtzAjEeUxcCtGl0wBCRCD4AACQs5Og03EQcZJ3Ht9869u/8viD93IiTXL9Cl975+GbWLnRfCaQjLnKHIx3qHA3r1VVa9Vqymrz8TQ/efKEJQO4unp0d//yxd3h7s1zV6t+WlqRpzErV8Jc/XA6LbXg8pV6qfzCDlOWYUgi0qyynU++WiVCLmvjP/gZSovMnC7LcxfPvkdBvVagZ/NbqwshTtd2kpt3SQb091Wg1CKN3tVLLY0Ho9W7T/F21F1sb4pQIq9+vrD1E8EgeCYR4YkRvRgzH3i1zvY+HfwBaU4vKNcdBDcGKZjRubj6RCyZmomLm1WlTOzitYDZTUijCA+4OsQ9OqjtwtcKOAEXUwcg51/65V+8udm+fLn3lvFKitQ9U5icNy6/i0Dk/FyBzpNyDlRa9EhMgKR338HXPnz/G9/8hZtHj8cHGxozcjRT2kCG1VpOy+2L26dfvHj9uqX0IYoaEZ8QA95w3TXAv8EeTtSAl26Oo1t5a0yAYGtmTR7T4b5unpbo92oUdXRa23ldF+L8CkY8jrHEeCrewRdATfAkst1ud7tNxGcC0LSdNkRYWmibwHldRqrVvbpX06rLUo6H02E+7ffL3f5edT6eXn/x5PWrF0+fPXm2f/PTTz9/dnd4AzgNwUDQaEEdQUWAYlZKXWFpA6dLPgv0Xv2ZXrKdO21LtAYXKnS+e1XuDho1SH5rsS52O5rLJZZVeA3o+WUnhg3CLwtVF3dXjeQIPUVwQzXb3DyKMkLOWyLaXu+217tvfPNDGR+6b5fjIIklsRARzoTtFNPq61ELBOiaAQFlxhDNUG4RfiJKUVG7+H100gFuVXZve+esJxI7OuaGqntFkLWbW62mIXSisOgxuGttoIIGBFtNZ82Le9Z6jsbou9/9bor5RQgRHl1zAuW13UPrA0PveraClL+9URu0oP80ISVJ73z0wTe++Qs3X/twerSjzUjjeBb6bKU0K8fjs+fPP/v82ZmQHy5AJhqYhUmI47Oih93q65GfU8tQ9W0LDHMLYt5WyG42QwD1mHI9P9fWbDsZY4uJUJAweugpEAFI3QZhbaDQ21ktAuDl0y8ebgllByvL3cuXTz+Lecy29KyAV9jScKIEgN0AN6+nGcygeyQhYnr8Ll6+muPO09UWAI80FJw40mJ4TLiSm3OtpapXUGuTiJyHHBBUxsjCIHDrY7dNaERAZTAL695eo/WDWrDKLaToXu6yPkMiLDyYWZupiQikb6yVvMYBs3gm6gXIA/Ux1vZuOmOc4qdZcH11vZ4aQlyAkWO07hT163XjaY9+2/lvgZlGEjzKPBDnDANpqHtIKySO5BMjuTMoFL775nW04SY4kYWeBZE2iEboP3nM7YMMLmaFPVtVpmIqIupnxZcGXu6tFHJrQYWHh6WWeDvoo69/zEQpMcyZ6eGDbca4Lk8LRnw1wjgaJBp1l2boq7kHCjrj/Xfp6v2Pb771zWnaYEhNVJpbucjd/FiP+8OrF2+eP/1iOS4tsjSscqTC4oCaquNUL5M3tMzOzcxP6mZOFtRSvRcFWh1mxUoeHUYcTKn9kEcE6LIOeEWrcOgbrhiE2BluxgVUQb0e/xbv3H2xJ8+e/uyTJ3f7+7tnX7zc33/25IXuS21Zkd3NelusVrNoGoH6RGlAWeDFUJd5mQ/H+dWL27lWuG+mR1eJH23zo81um3gagwGnlc7DGx5NS6nLsvQt6QAazjGtRdKgbAHO52VfJGRp6LVyqM2jtiMP3VVQImRAiBJxYuYx72KKwo1jA/jFny+9wmV3ah1isJBw4pvNbinHMrvWxik7ZB4yC7LP9XRcTvMCQKLneOFAuFdFaXVljIkxMu1YJqFh5CwyCjIJDcJMTDTw6l4AeArfyuiF92Y95orWoInPa9mtqpqqaW2aNOqu6qpqBtXGSrcaRGfNjbPCzEMOM87x3rlwwCUNf+4v/DkRkswiKUubvL9IFKIT1P1J27kJJL0QvcYsUTP1SD8ffvytX/7wa9OD6w7MZ6QWw7Sc38rpfv/yxRevnr+CCfr3GBCwoPUmzGHqIYfRiOuQ4GROaqQaYtdAs8CeUYqsgeh5KbqQ5boxerTwVv7Xxye470BHcIhyYuvYnGAqjr8Vrsty+5OfLq/vPnv29Cef/fQnT7747Iund1/8dFGtanutt0sptd4vej+7o03cUMo550wkjOCiRGYWPpyO5kWXPYn86T/9Jx8+2D7+4KM8jdtNHhMPuSOvHHAUVShqDTKZGI8ikZTSkBJSOgPLAMTwc8QQbY6CJAoU6QIv15C43hNABDeSEAYgMzJhvFwws55e47yXLyyw/61NzoKALCmnMQkD2dVMXXUBwJSHafPo0fXNzcNpMwiQhn6OYCEUQo0wVAip0zQRkMiJnMUnwjBgI2GTyOvYKZCbubZ+DTPOtAmr9bRjLAyvkbScg2xfl9ljE7ubq5oV8wpTVDStzODL7e/VrdldTR0BNGo7kOnP/tl/Z4SMkJH4vTzC25z0xWWRX6zkuRDWoHW9ndm4BpzEhwlXj6+uP3rc+sLS6KrDkhzw07zcnV69ePHy6dP5UFFZnQGh9oh7kYRYlXTdCSRO3HM8mOForrX2fu/FEGGnzayKam7uFxuxfast+RlfE/3Efnvcj4SVoYeQYmt6hxK3pNBhWuoyP/nJZ1flg6UsuH/1/OUer0+uVRdd3GvR28VLpdmpuiNAiZKmQZg5JRlykpSICMx5GJjyv/Vn/u13Hj8cN8Of/w/+0g9//PTJ3/pvzedZda516UFKC5Rcm+bZeovEnBPKjO6FcNbNbjF0X9TMvKDEpGWfX17BMT0SXY9l6r2uIIC2CgbXi+bP26/L081h5IsSGaZhSJMIj+PGDYsWLwtD3XzBgpO+mmcaR4BlHB4+eKj7wx3gtTZfQCBvPOHehgYxEAbCDWjK2CRKCPoIN7Je2kHqUuExHpGwZsntCtfqhsEYbAZphAwEd1I3NnMlY7YKZqi5FFeYEJtFpRRqEINXuLQKoMITOie2E1y9gaIJAPzhw4fvNB1tMLNEvkA972shwIX5nR9KeDajqHV6MzQijILrzYbHAP2t1Ff9YDW46eFwd/vi1f3rgx0BgH1Y37tVTSoZfFFbGRVA4oxQj1RHaXrXMa/rXQmJAIa6Awqq5lob3pob1Kyddd7D9fg1VRPus1LnaGDd1QAoXa5AOF4FFveq6vf7Z2V5uSw//XwA3ly9OACG6pEo7RX3ivsTChr8O6VNGkRExiGnHP9HMiRhZJYPP3r3vceP8tVIRCOmX/qF97/1jffv75ZRsE12nG3p6akatOtD9JDaUpKcMy6Kppfs4z1kPd+hZDADM7zUgTF/6e4vXw4Q3Nyqt7Dqq9Hnz/29VkdSBVLJtHUCzM3MbClmYHbVstyfhnGc8uCHNwB8WfR0XOZDIqshMWMwc3ZWankI4GJOgokpi2wYW9C4LkZLBhmAMBKxMBIFJ//Fw/3K1SIGkN25R0zhusyc2cw4+K5I4WywYKUWVnOpbtnjOtWQ2mFvYmRM5k5GxCF52mu89J1/99/Aj38EYLpm5hjc8jUGR28DuftlR7bfQaPNJRhgIQm8nbAdhyCsbONZwQHfglZd9odXz1+8fPbscFu9kJUYSweCk79dtRdVXY2/iePCXBdHNRzsrJ5uF5fVLcXV4aotrW2nxtv7yfsvmTnLV7qH6+OIShqntQoQJ0qQ4Van6r64nU5l/+b5xpYrMkyc0N/R7VD9WDAbNDIoyUQ0JhIRScycwATiRDBHzvInfv1PpTFHrYhIicd/7zd/8wc/+m+ursa7fWEqYIZbxPDWw9EuPoSU1tHwi0fYU7L1ztYTSUtL2G42A9djAbixO8azYMAI5z5qGH87vexcw/nSPj63/FuGfuGrI9yqVtdxDDVTX062HO9fx/EK0OnIMIbCLcZ0yQFm03MKD4CZMmFkDJk2mVqBeyUq6X46EScJeYIO5KEvXdT5QLEYDbAo6VF3RN5J5t3cCLSGYGSVLLlWMyFVShUmcDd1EoMVMnE2D+e6is8TAU5Ev/Ebv/GP//u/A9TQWgh0oXfjs/Xa/MvX2RCksaQEgpEgC3L8zFGRzDPHxSA15kg7zcfD4fWzV4fXB5tjYthrpJ1o0Ep3V+BYax8QIhAZQR0zoRgKwYjWJ91VUs6eW92reRfl66XRFkfCL+8isDVuChcjZ2OStRS4PiL5qiABiiPxbgAALvJJREFUHEeHGxb3A/RQdV7KCVazX58sJcrkcFjFofhxtpOighzESkUwmyd4BcjAjuIuFSnjMJcff/LTR9dX24cMNle3+/LJDz/BWmJq26vtZAfMvJSCMfcn+/Zp4wj9nC+9vCUnXhQsQmMFGnmEwGdCXwXtj5rbYr3l/36+13w7PI5f8fi3mh7uDrvr7QIMgBpCMe3+UNzV3FHVauGUtTMcA0zWsNutHOsr6ANElARJMHYp0r6dvLhHAyDSHcmYEoQp6jFvRwSXtOJY64xkxgBxqJ6Tqys5czRduMlcwV0X52RWxbKrmlXVTGouZmoS/3BGrZwSEXkISfXk+/333nuYBQBDkcOPX7oWIAJY6rN9lzs40mI2OAQs0pyO3b569cWwe28caINQbESOXytLOd3tb18+q8dqs6qhGIpBFcVbh9CAWU3DfohjXFpBManUBvPaYagGXOR8rQutZlbd1D1q0bG93tqdLa0ztNjEm206XbRg4l8tHA2PEGQ2TDAwnBQ4GQ6G41Ijxbw3JEdGQCpprr6vdqi+mKqJAkjmTqyGxXYwjMVPkJFPRiyF6Pg7v/NbX/v4/ffwWHaD7svzl8/+4f/+T06H+ur2OFfrF7rei5p6Lai99SnSMZIXt+FQM4ui83lA0L2WIiKGvKMZQMIAVGqusAOjggvn/Pgv3rlVmt9yhl+1wAvgDqemk4J1Qq9WLKW01MEtPlnLHCVGNUjkSdEndLPasiVq0BAkpiFJFpI+Pgp3A2azSC9SQmYeSERap747wvBFEfxR3wiAN2fo7GaN89GNnZonbLGhM1cjYZC5mWqhksBMlsyKe3HNnrJ7JatBIne2Hkcj6AFEsJlQZ2k6WAaiFYyyLm3UYdhaOrnmsL3dQSSgJMjCUP7h7/3gj11NxzcP8oMUIJyeoZgf5v2b+8Pr/X7vxXypVCtUcbLAsZkDxT1qviAOkJiFmgN8CT6LNmRGYEJdN1QrI1X3WkPBqQUwHbZ3GbF2S6QVXn9he7G5KwLQHbsmESAxyEtBz2Nm7AY1nxcUbSELEZUS8EEAOKgfF6taF0XR6gBqNZ3KwuNY3FwWGkfFwolxuKN3Hl0/xZv/+e//L3/8T/6pr3383vd+/4f/4rf++ZvX+8+evl7msiynGhbYjis2xTwvtdaL20JKHE/OADMLhqvYPgE0hsP76BdAzEhQBMWQNqXL9glt4bo4ylvHcA89/uDX6gbP5C0terGqqMG/7ta1QcUBSaylLGqOCnW4ZYU7FSgHt7k3jxyFcwEyYWA0AXZuXUQFSkQNginRVcaUA4cFiSkCIjqPGa5QzPUaQ/mHhJpVtBt21FpjHlrEgGaXsIVVPGd4dq0uolpIiltWT0Bl67ORzXQuFo7w+I/9my+/908pKqwwV9S4pJUHd7W17kPb5TLcwEJB4srwRMDiJ9ZPfvjJN3/1W4vkgYWkHZQ+L6i63B3mg5pZMSyLnwylopgrXN3UUbFGBCnSzdK0wT1Ip62bogMae6zn4Aq0cmgIVYGJPb8li9JKSbE/vJtf/4eBSE2AcwQXc51pLf04YATyC8Kf83ucPyc4FIv6aan3VZeKYwOZI+mpZJRC8yFlQcogQuK0yXJ/f9iMtH///dv7f0TAF7enp09enm73NuvhVGZHO2oAOJhoOaHMi5ld+qL15e3CCDE81nD9YZB95wEGDMA0JELN55ZiVJF89X7BZ3GRfvsZTPy21X35RR01Iglw9zLPKQm7uwYDjrm1pEEARx6hRc3DTS1Adc8OcV2LFQImosyUCIkpMzKf/b+D5hBCIQwEEQxCiaM32Er7a0duddNkAV47O/vgG4+t1PxWECKbMbOrGYGN3aIPXqwUSgxj9mRW3IqjklUYA7P7xlVBic6fimBi+dU/8qv//F/+H/cmKIsTL8t8dAdIJKdzQNF/o4lntbIICZIyizEhC7WEsNrLV2/oR5/wL9LN9Djn60bnsJTT/WE5HI97Oh3JHNWxLNg7PAaRHIF0ahlP3wHB4FRxeQDAYbq4manDias7RVZp7tViUUGIriy16kynaXsrpGp/aaCXei3q8sXMKQXOkcjB1u13QagUY8Xgx0cVc4Ir/FSXfbWl+rF41bahzVwVFTiKMpOcmIgS6STYJoDxs/v95wDABpj5ndqhasTXLWRyEJGtp0056TKt7A3jZpj7PRzVVVFmTCNUtSt1OXDuLawjXNPFnJ4joB3w1d01I7xYt694QvczL+mX/sEUhClQd1+OR45tr0BUmipYmEiI6zkXwtolMwMD2Tu5JQKNjZw5CSWhzJz6Jxr8pB6quZlkmzBNCKaBDo2OfkvfC+uR5Odudly7xXS5N2h3a7hXMzYws8OruYhZNWb24kqmjMoQUStUi1OCZFTDoC276wsezs4dGCTJBlgOZS7Fl6MdzZGJSIk4Cw2ScqK1PGhBBuet2kZCmTiLjxzUeWbuONjxeL9/fcxXh7y7jgzJi+73+ze3p6I6m+5PuF9CSde1d1KquXsQc0Qrx81dFTWW7JwNxZRlmZVa4OUUbH/1wsikqaOe66NfKim4rziE8PSxOIqLoaUdjWA6e0Ijk9irLTRreUUcaszr9gw3aLX6SbXUoGwBE2toKzMYJi7BrFuJTiAYckZagNwCt+h0oLpHZ9wp9FHcGUxm7N6knpBHRC2BSVI3kZYQIg5vdZceWWEl8lUE/bi0wmoPEqgne76eU2c7XOuxf8ir83W3iJRJS6mGorbZ5MP9QTiNUwKQUrsCDiCMcbhvIriBEVPb5x59KLgGB0ySDh9xWEgOa0XgDDI2wrIeDgSi1uLu26K1liNoYO9gw3WnnP29uzsZOZmZsZk5t6EnB2Du1SpJSh4HrVSvBSmbVUaGGagCCQ2/hrVaCE7bb3z4+l++mY96q0XvltdegZRCkYiwHYcsrYk1ChDo7hirAoggQgNnzkpqzUean27L882Td7/1fjAeQ205Hl88e/b69d1J/TRjKXWudHKq3hKW2iQOYzHb5LZGx62fVwGPKdV1KadFDQBzMODAURUxPRPZf+o4yA6Iu5zxXBcWX/ral15EYKbUFBWBINMCA0o9nGso6cZl3N+1KPYF97XWonWNFr2V2lXh5ibWoGhAdVSiveKGzY2DiiLBBsMRrRxwIWAQxzkviwXcLHBqtXAMTKw34KVHFAaGexvti5g/yE0IM+oCJIwZPsepEjVIbhCaXgg4R2p/2Cu8YqyMSJIk3LvS5ga1w/0MwLz0lDYDUNiyLFWtT0RRu4gm89GvI5GwZ+EBGBunDLzHjNUdoSo18EA05EDPrrQb9GVPSG2SkjxQtWheOO6cyM0ttIa471YzM41Y1KoyJzcjqm4ErV5nMJsIS4EpuUErEUFGuDed79VdEAD5xW//kd/7ve8ftBzeLHfzspgaFz9FWYQOOWdJiSDMWVLOwoJtljxiaHT2KeWcObM46gmoDAx5nK53PE2t6XR/evn0+esnr169vp+LHa3eKfaK0JAweEG02RFUbyCPqNeaIbQanHmkhmVZUaF2Lq6sG4ADerMOU7To3qUp2a0bubl0A6Q1KuWrG0xCPBSNQZr0YvHiKAWvgyW9yWZ+KotWN28W6J22L0JSMEcHTynkBSjZ+oacgDHJOKXjaangm+rmXsS9onqoulBP1dwcGHdBeTvsNtvNuJcQiIfCqjdcbe/aU0th2zZ0rfVFuViPL4fjaxDAONev/sB6zGVE2joTTOtoPABmakhfWp8tAK+1aERMddECtyDMi/KEhVOOsqEQmJATTYlS5m0OflEOB7AYZivxwCfi6yENMT7AcQ90yaPXgtPw+nG1Z6LgFuu5O7mFEKWDjYyc3CxI69wY5lCFsAdhhBW2xGqk6mqoCi6Q5JLJHa5QDrYLgsGJwO6GB+8Ceb6rd0tdNDR6fVFA4IQDNKOToIqIyG6So2CzHbeZ85aDfiYKThimRGXa0rBJH73/0YAUtRw97V892796cX93rHf75W7B/UyLN0vTKLGgVTuiqmVu6k1NrCEC3N19XlQX17NLaHrs2jcQJeTOMta8ah8BMXQqg7eSG+o7pHF1ArgwRW8nAwCgpapwvngHX58YWjBrcCuOYhrj0eadtehsCdH56cHeOVMnFoxjfjzlNKQr4c3GnwLbgy8ISUKqhIjEhAhKqD5Ahm5FUx44tQtxpSggW2s7hwNESy9DL8+rg9xdiVOKAKTVei8M7qKY8Ie9Lu3QzJrQUUzIMziNLHOtHnQ78T83BcS9mkFrc4DeIjYEfkUCHclgQWZsBQP7QKCm7eIAmXsxn+clA0y8G/JmkIFaBf+ittHSkYu0sIed7Tmxr2gtB2L8IIQnG3sDmTupgc1NXQnqIIeZa3VWTxbzy2bKVtwGaHHPsEos7rXP0MWQUuzXdAJqY8doGFWvMYznh05hMAxJwLd7TEkeLuU4CHSUbfIJTCRMw4YE2GzS9v0rGjcAcJyt4u7V7auXn758fXcsy6vir+99cTJwAKELXKGJ2C7QAsUaiYE31DNaJIC66Pks77skls4BZOJ18iW2NAAGh4ta/R8coRcCj8yFVi7Mizds1pcsbKaH8NYzrkgeVR1RHZb2m7WiWrhIZvHUVMku3t0I1I6djgxqfeVxyo+3wzgM19sRSeR1wQMpjkUdG+eTwzGKEPM0dCo6oUGYct9SU0JXoQBRcffG4RqOO8gnInInAK/gKChQHN9Wl8a6qv9/LbDdojtRG0E2NZPmhpl5d50zXe2Pd6fj0n64AgxTNfNaOlDK+8B5z0TiKsINjokSYRTaroqCTnBf3PdzQQVSyiNfb4aAbp/HVn7e1dIapX4lY2nbJSitKNoD7GSmTKQ+EJm5kZtX1SREoVRq7lrB5lqtmAqwVCGxUpk5gPJN3YsQKQIk/cIvf+eTn/w0pWOtApLEvthJO1RH3cy0Kg5zMaMMYqb9SXYTzXpF2a/m3U2eNtu8mQqntNuN08BT6y3B59Pd81eff3p4fnt6/sZf3/vsVIwaRyjByIQIqXOKuxWNsTvqaTqiDlWqmkGDfyqih76tGXCiRLSdEgA1jYpnM2VyaS4rQkdfvU8HtHMzB4eZtaiEEYMs6eLJsK3UYg5cdDOaP4YDXmIkESycYCgJ0J5uaMOChEIMA8JObEQsROOAaZfGB5sH2802ZQGYM17icKVqipPOG08VAI8pj6Nc5U5LMkRhRXYPR3oioWOxQN1UO3l+HF0964lTTA4LA0TSE7+3Cy4dvPcHZcz0c8rJX3lZrcbMEIcLQXLe4Rq0j5KdLnVewg16QyN4f3sg2pUNvEEQRk60hWehFOVWuEABKU4HtVJ9SDlnutlsNhmDgKhR/RLFxCC4C3Kg1Qza2Y81tenb4uLRUszMEeDKgLqEAEo1Bpu4EsxRWxFJtZIsrGIys2WDsilQUBLYPGBgjFbscSW1X/uTf+wf/aP/TYhtCD9IVzQd6smUtGEXYnTIzXE09wXL7I9ucJrvHg10Smn4YLe94jyM25tBiCZkYdjJALz+/PSTT25fvHnz7BYv7vGqQi3IctYzCBABicUYYbEGT6QU3UIHioeHtLkijqVgnF19ogMjMG3SJBnASZNhhqNPxf/8TQQ0NSZ39yZE0BRiAES2YWaXsDVrtulY4MdWnbyMSLnDeIjYg+OXDUiEQLVSl0eOQZNQRAAmpiHh4W4ch+n6avfuo+1f+Gt//Rr4n/7eP7Qf/ODWTveHtGyQZgui2e0oV+OwGRH6fzm1IaPduHvrxOeegHV6BW/mgxZdR+nFDPA38b22Af8gAzt/8Q+ywPPnOxwwRaluPk/bMVZ9HAZmzMdT8aB8KR4xaR8RaW/fIsX2uIVsILqCSMIomOIka4xDelRbjgEMySlPV2PjNRzXUsxl5HQBJ46eRYekkrfwHV/aOOcEJGCl6kYmWaBmXDkqNElghrpAsmllcdeKpThLFUmL6FjEmGkIHo3GcN+f1Afvv/vTn36qrkxk5jXTFhmo8dhmELwGTMHNi6NAcYv3b/Tp89uvPxgePtrePBoSA4QgZddyh5JrwRdPP/v0yZPPn87P7vR1sWLRrWsjvAwQS6CEtWrRQM5Qq1a14B3mXqoXZfiZMPRyjRIwEB4OowQzWrHD8csHOHUVt5ZzAwwmi4yweYjmJZsdmRqEKLmBWNHUpls1JwC9tWeFA/UgFpxdids4FLfhURIidnipRla9JXaciIg2SaZM7z4Yps12u83T9fTX/tpfT9PILH/xL//m//D38/Jb/8/t6TTvddnwZAQMN1POTFMehXLmECkEAEk8jiMKX955Nyvum83dW/NgdiDqlNVKZaBR+/Rp7nX7xVr+4XXR1QJ7Qw6AWAXBT/sZTI8fjkhgYWLKhjm2S3BrfNn/xHp7eLNRKBFlxkYoBJJ6nOpH9ToXAEnSdsiPJhmkUwP18+6r3rzXIc7haDN8jqKJX966w8jZHExBwmbs7GZEgBo4mvIKJ3cOwRiVQiLVlgSBU1VKDishp517WthuNjH90q9956efPRGrBmem7F4Sj5QclUBABXIx7RYQfLr2YkbC6QS8896jzRYARrIFs97j/laR7fD8+Ls/fPr7P3r56cv6uugpJF0AoM3PhqJVUHcVRwmwbhzSzC1y1g7bXVG9qwV6Q5oL451tvhopqN1BOMx9oYP4fa2hgM5+oO9PCivsrYAuKMbqBK2tV99rsW7WyP8V1uGm1NNrB1ga0IJX1HwmSkxJMEwDohLV7iCT4NGjNBFvRsmELONf/4//Bo1DlCDY6M/+xp/53d/+vbjtwanycDNmIWbmaZeFAdK1/MRE0zThEJjg9qhW1ApaDBn24QDqYqPTS66LQhusOLLwSw6Fc4z4ldc5zQbe8sG9EXCGBpr5spxevvarbW6XxETE04j55H34c4VqxdKpE4SQ4w+LhKo1qLZzDvPipdbDQbfbRMwPNmkzIAe9LxpONE7dZoqd3YniidJFthhX5b6Chi42TNxnw22TmrM3nQVWM2JVqyLiTgarQLU6W8qk6lphQuxezMeY3wdRWv2+EYHTx1//5poDx7UwkQgkmDWiXW9E1SsBBQkq2a8yWNqaSRYENuk0zjrjeK9v/J/99qff/94nn7w4vVrqyaLaeXFSMnlqUeWsKB2B5sQDSajywlEYVoPS4eIkdiAgI4bEuGJs8rgdMqKJwI11Xjmo7w2G/pRpjf3f8qbhmw2K2sapeTZjNCZPjvnFs4dxetsv+JrFeP+7BcuMmOXFIETC1yMPwuOYOCU0cQcDwJKC93XLmXLilBpz8DIDuD/M8WiuswTXxSBChEmW0iia4jJFJK+rHPCaYMuJ5CfERiJDFfG7lsoKuFthrAT9f8Tx7fH1pxCO5NJtBl0hIbTIcA7+bHYX3d97Htrkh6nt99GgCud7RnJ634uZMAoG8JaRUhNmjbqBwk/F62LbrUzjeDPmTQ4ZdBCM+kwgvTVgFY9qvb2v+MgGWGjTNxfBddsx8RamSiSu7k0IIpq/asSsCqpWk9WZSHSRJAlc3Ah2ctuQmHujZu2HDt754L2H7zx48cXp7GIYDMnuXjnH7LyRsherKgzQNtmGsR0o03zcz1cPWlZyuHP1N59/gZ/97O53v/fjn744vVnK0VCM1o8DAUyUQ5/YS/VZw6dFGYs9J5KY62pTTi1nD5qneF6OKGiKYLcddgMejAOAxb3My8C8/NwDnM6GFBfixWnsz4Joncwwo1qRkiV0CLK3goavbEh9x1DX24rfljOsFAE3t8zCQleTXE8yTOO721Fyyzaf357gyuANDGX+23/zb/2n//l/xgkEVLV/8A/+8b96/mlVXwAQMjABxM6pAVI1iKna9dOYWpOGmy1ZfJ2YG7kzEUU8ysDRHFoMbl69dy/6VvyD7JBAIUVAbRAh4IZrVWfFNkR0e54ecvey1GFIpiEqhsPhWGvtAwo4x87tfZA674IwFKgGIlhB6IhWKwRsRh7Gzc0ou0mG5EJIq4hF9zZhh9FiAPvPsb3LGySipg/cd0q8RTt6Gkwm8NtQcjE3c62mImxeKyiDq3kmL1STaSYTqASNOswgF9uT2qTjd77znX/64qkVag+0n0MhcZN7p4ApQYygA2gcsct5qaVoffEE45jugVrvvv/75cdP7n74o8+evzi+WeajBWHk+ZBjQs4BdNNiOGmMsYDbTklpaPtTgwLcXQ3q4T29zZSZA0rAFclGsBvS1SYDqI4385GAFJVQunCh5wd8+QiUpPNuJ8HSv+twoCraKNMFbXd8rgMrQp17VhmGbUFw1KJoJgg44WpKj6b88Hp7dT1ucpom3g0TgHe2+eVhqfcLZXDVF7dv/u5/93f/4l/69wH8j3/vf/2/f/t7b14c5giCmfbLaRi3bhwwccD1dA88bDfUctBmhH5Rh7kwITDzZVnlaFBfKZb/kBedm95td1ND8UmwnTfWXjixE3n8bBsfIpiZVidyETODqpkF8v7yiuK4c4KPwIg1HzALValWb/LEApJhlJtRdhPtGi+VA5DoBFpDvQTccM0Tz9Hp5a3ROT1sWnhfWY91VtzMQtfBoptvbuZibq5sAjdyt1qYs3G1pTAzKLmq1QU8Ehm3pqC7GxElkm9/+9v/7J/8Y7S4Lz4ORCTSOIMG9wxahMlpZAw83CQfCe72O7/9/T/+J74TDcV/9cnr3/0Xz3/24tXt6+V20XqOcWMRiJiHYWROZlZdT+ZLdYBCW1M4D0l6sONwlOoeFxoN+56NBwx5ZOySbAbcbPN2ZABHxZhTqLWCLECQdLGa0T/rIQcQDT5pKcg6Iqee4thIse+0ewYmSqANfABZg2NSE5VzbxvGXYKq2QFKAtoJ30z55mrcTnmT84PNuNvl7ZgNAGei18tIx8WRFNC7L57/nb/5t+H+48+fv3h9p9XL0Rc4SHKyORscyRiK/Vxv1OcZrT7aJgSiqgZ31u6g+HxMBPmeA2DhZyc7FZ+N6uq+v4qcaeZ3BuucE6Y2XxN1/pCaaVjRiHxbItYGiDi6ssHPuCxL32nrH5y/4p4iNnILzkqAiNeerQM0TLLl4WokGbAjH9kzB51J28MEIGBvRhQOkN6yrOCVeWv6kWK5HGAzW+EHFAfJRaiMGLR3iuwjgOatrFGLSyIk80rOVpNZUtVUzVIhG4BqlIhDNQAgYuGbmxuiyEpj1L1hOYmQmWLQAeQTiICJecu6FZqY7p/XUY6/89vff/To0atXrz79dP+jH98d3eYaUBEBFERN4hCcUyKQmc+mxXyZLaBYSpyEJcmQJdZJI9RCqLg09jwHmkYAnIgkgQfKnHdjmrIAoNSAw97waA4mqPek0Nm9n8vOXX7wIpUjeALgxFbBqau+OppX7Zk+S/a0OMThngnc4J1GhMTGPYNM8DHxbre93gxXm7QdZBp5t8tX22ngVN3e2QG4Op3mIRXv2mW3d3ev78qbN4f7ve2LnxTVATI1OiwLYcyiB9dB6XA8mX4pdGSsxOFrUMPNXV/Cz642cv/ClqMdljMvxNnovmSM57Sqd//hFHoFwb2EmFu8zEgDnxp+J+b4eBxb2sndqHvdDZd2SI0ehkSYJKgiKRhUM2HDNGaZchozRmmDhZk8wQkkLW/o99qAWC3GRNPlfcsTrhbYHCEI8AgZLn30l8MotJDH2kYw0j6QEgCanFyLcdalAEIsUli58JjZKrXpiOb5ROQXfvHbP/j+73WZAV9rSkQR2rXR80y+YdoRRvbEcMXLL/bz6fTpp/s3hzcvblH6YRI3c0bOgpETiB1YrJZao6hgrWGGxGmQDiNzQM3N1bx6dOR6Ocpb/p4J25SvMr9zNdxMxJkBsEEodZwWcfQC45/nUXyLqkFLQgFqEb/DusZ17I2KBgOL56AUjM9xQ5YpACIQuBAgBkUi2wwE0GlBcVxv6P2HN7spX28kgQiagS2BweFnneTBdoSZEZY+2VVnqzqbw8xK9equDjgp4zgroJyAw2yjnBY1U+oUxkmSZcKayH7lRSA3JSKgAsuhlmM06Vq9+JyprCZxnsBsdtJHfKnN7BIoZQa4LPXioxygoJwN4gYiJm45dDwGarvMgIuuAEDASBgBBhg0BZR+wJaxYR4SD8LbLEOigWjoBKnhpyTIA3EGZVA7G1pJhtZLoB5vrbFov+6zLZ6roytldPeKWD0uojbjlZGlpXy1IGdoBQusQMVrNlVWhS5WCSkBtTnDCEyS/Pqv//r3v/8vDK4XZIG9lgw0pBu2RDuPG1dxg8FMb1/Mp9P9oQLWRX0BAVUQNfglITWI/2JaYuQcDocg0Eg8CiWJXB6AF3ODV9WqKNEaaMFo5AuUB2wG3mTZDixp4CQAtHoklN514phhIHO1DmSW9YoAkWipE5lbRFLOUSZ0mDqSh3gznamQnVAJKXjDjQg0IviWyZQH4QpgZM48El/fXF1NcjUGE5GzKS3L6Tjt0hHjDu5wrfMxwSrJQJacC8C0QTkAgEKBPiXoybCo8VJS4sR6u3jo9DIxMbnxB1e7p3kL3A8A3JgzgQRN1o56gc/MVLF/s9fjaUHVlun0bfbVVKjthjVVsUYIayCm3GhReZzSfKoXpttFNwG0dmYg2IHI11r57a0PjOtMgBAm4Q1hO/AoshPaZh4SbZgHQWIaovdDyHAhUKcHbjnraijteFntsN3DpUtraLvzAbH6SkdwboYd8kXLIjLkiAwcaExQDjcxhRBqdWYTJQoZw4UKKyGJQIoTDIk79wABSdLHH3/MzYWfyzZ0cRQwsGFsgREQ9xyAFHV3W8xLcEOyM5MZC7uABid1rXFyEzlQ3Eq1urSJg3jzRHmTMQgJHESsWMyq6qF6URR31TPBB6Iflnmb0lWWB9vxahx2Y4j3QFNdXWm8Wuv94mCJQzk0XVPPYZwJERo3oEkLPZJ17VonrU4zaA6NVaYsqKDcdUAI4IxaaEucHROn7TCOE+8STQRiDAwBSi3wg85bp1LIltOxlCDBbuC9EXj0MN/OI59KMPSujezqTkZiVutSbSynEwBmGYQ2Dx4c39zSO9fTz/jy2Ga0XbnmhJHAFFPg5OZeUFvnDL0YEdYWkIM++Rau5cKJxfBQT69TyqiFJJHblwE3Db8at1A1Jal1ASBMzOwdoBweU0Lrk3mbeZdpl2UjtEs8ZpqEQ4A2M0XzUGJ8+8Laz+0RAhrFUPi2xkXfr6ndzDlo9f7t7qCxJsHk0UxeA2vudtHixTix2ZkriJ0M7O4Vxq7szFYXpuQ1csNFalPWIHCrwnpUKyKPsc4cdL6G6O5OQhNhBBKQXchrS9HAgAnDDYn4yIw+P+oE86hmi7sVQGvUQjmcJgPCeTPyKCklMLkCRl6DW7Cqutdq6EcDERNZBqaUH2TeZN6MvNnI2Cmb708JUDfv5H3tQtY4K9jvo28mBE4tcNPzkC8iOTagek0h9qGAOkcVcR37JaYMT0Z8lkWklEXVBmbOPGbKTGNCyjQCFBkJCGmo7qflRAQtsMUMJBw1AHIggx8K1cQvR0ahdQwrjiJznxdNybeTg7Hd8nsfvreZNno9vvnZsxcffoAX+5uLk17OfTJvYB/Hs5cVGOClACkT5pbromNzgWgTE1Y8fdz1iue8cGBEYEjK6s5GMF3TvPUqrJSaM6u6WenVf5KUzJSjhhUi6YSN8C7xLvMu01ZoKzwKT53tN5oWTByE3Nw9RZudb4K0aAHZuUsYeX0PVYli3Hm1wMtTI36lFZjCAptBELqFX35sN2x1azoq7jA1ZrNSiYSSmhnpQpWdGSJQJmKXlgvFFTDjV37ll773e9+/9NPu7TMmxkQ+hdxNoBGcEMMITgMyQInJkDljH1Ns7sm8AgmyRKFFoR14HY88cZpG3m5SZga1PnlI6h4qimIuK7F4d03Mm5R2maeRb7bpwZRzTtL1F7y1HN9aUr0wwtgPkdkJMHJeW4fV1kPf4DCv7kjBwKhAhZ9gtZFzUiZowBpgrCRohVgCJAkLUg7MsY0u5MouBBBZIPwcqGquvhxPp1rcgHGUJlEB0Rq9hiH3h9ydlBtM3J20LupDJnz03vvXDx4TIFkefIyb/Wu8iEE7iGmv5K0ewAEYcH/we+sTFbFbqRUPCeTkfR9G1NgHyDjGAn1VmQBgbhw01OrUwHpkxvYW4yKZ6bwG1uSZKR6nsbjV+JgMGhI/EIxMu4QtYcsYhaYUIuwkYYGgTBBpQoZRHaJuK+f+HvXN3LGJvW3T4s/GGXMxgdV+cS2KNhfUzLHb3vk9iYJkf63ptLCUXIOzDd5UKUhPLskRc8EqwZ4ImAS0F8zwPPz6v/6nv/f9f0VWI0+EB2eDD+cbdCEaaGVxY2M3MAu2lCG0LyIGDPV+j6UvRND8Fqde8SFiIUgmGjPtMo9JjBiN980LUENS2/q0eg+mcsKG5XqS68Qj83aUYcpZaCXvMLeyACAJ24tdpbQappu1/kXs9rSancKdxU1BIOvjB8k7ObGBzNaeNAUDIkMb80ObogBC9SWHfQLqJioLMHIkxXmz6ZOQcJhrca0O6Fwxbi6KdsZAFp+2MhiWk0ZN0rzh6uKXATx48PB8Nzy+v3v04u3eIFoe1j8xZNaCMT9uzRu/e+ysLigQrB/trZnM3ZlIhEVMa6M2jwmO4NVlQUPgE0TILWqjPRRp5t+C4gJkIUlpAharMIxAHnDFGJnDAjeMkTASBmAABDa0w5tTEFcwWu+uW2DTPDujV9c6i68WdulnVn8YzUda/aX3O+k+kDpdQAS8re+yWuhZMQ4eua+4m5FXU2ZmUDPAoOZkE1dxInIyOn/MB1/7+uM8vJph8OrB8+fiAIMNAyMRjUybliMKzFwBUmImEnVcD363uKoAVa2pyRdHaQ6wAZqIIMDAtBvkejdA2OClAlaLIeiRgpFylbIRRhaaUrrKdJ1kw/Rgy1ecc0KPKKMTgfMEfQuiAhzfCnrVkUMKLCKuVbvVKgAJdHZrwcNCwtbPfog7XJR7LiAEOGvnOjQCUwKBUkOLNRhcCDqTjNM4ZknSxJOMwMfXr2JJ84XxTFunOxAwCYRJIIa18dYOda8K6KwH8q0A1ZZqVt+uc2CFvfakycg0QmnI4o0bPnrwlR3WUiOSNdHq9Tnz4M9tUyc9woe7mbeYOVpATMzk7qZuvsYybxV+2l/sAmyzMDChZuKBaZtpJE+EiT0zDeIhghpT6dxDwLNpxcxv8A549xjkgKdzHvv2wXS5Pl3Vg1vR+mL+s+l/9EWn84vDBzOHDC9aub3/Yrd1R6hoNAi0u5sZuZsrmbpLdDK9nyOZ8M60qbXuoyDuYGcig0e7jDLzRjjBB4lrZyBUGVkXdsMRNmQ9LlQR7De+VyxR/6c4LUicuFlg2u1ySo3EjBPKjAqv7qX6YXFrNGUuiTLRlNLDIW0SbQe+TnQtPI00MW37eX2EF62nWqzhqc97pYVZICgotROTBJeOJzKdwIlqjAABl6NMK35NvMtgMKCtG+oOJSDUSnu8vbZqEB7swdXEIpJSDtha9c2WR3r8cv+GQ5Ui9otgW4dpU7ZzuSNsW/e2Yf+lgkaqgJt//sXLH/zw01/5ztcHcJ21vnz1yU9+AsMWGABGpvXjo5Jnwa8MAKWEYmJweJBQq0uB/Eyj0VcoHl+cWcQCLautu8NdvYNJgiYsJSFoIbICa2l0tIb6b6nNhK0bCZiwY2LkAdgkDFF3YRCQqDm3VoMhSme13fOe54YkXC84EFZYCzPo4dxqjV+KQqM8RD0HpO4ww9Py6gwb7qBJPbVyKbfg9PKJXxq9t4fgUh1iMLNamcTU+K2qLSD4+Nd+9c3/+X8lsgWhXeyxDlloS7gRbEfetLeMJIhIAKcKOi4gpWXx27keqi/V79XnuBxuZSsGJaYNI3Pa5JxZSJjczW0x1Ir94oejnWp1DZS0E9FAtBvSg82wnfiKMBLdCDYDDYJMLENug+3F97V67cDdUEo7I5PPHpLYM2E7sKwQ6tq+LeQOXQP/dDZf4vY4Ysl6/krB/OOqagnBGyKZuDgyCMaVERzOUx4JYEhmud5NDAZsPlVMw/hoBON6+yAe2U8+/9lWNw/TfJflutiB/Ugtb+MuEOF93uP29Zsf/Qhfe/zB6eWLF8th2d/JbAdARjCYnKwqsnAT+7U4b25u0uEwuxvcmJAh4B42xCJdQL1a1YRJpHNlO8zWnePqKnFgmUGa9JiQIJlWNCbblpg2NEb897L4NDQymjFhJGaygSgTJvZBKDNlJhBlgYCFuB3j1CxtdUAEAErneUGnc2bnF39f2MZqh2upJor+xH149MvZYji/8MpnqPpFTkiXP7yaIAC3IKtsmGiGOcTMnGFgaWxIDPnjf/Rf+/5v/Za5DyHsCQdhZNwIPUjYjnnLJA19GsG2BygGA9x9P5d90apeKm6Vlv7xESMwMEhgG3gjnEeBSLQ5iqIsdr/Y4ViO1WZFVL858UD0YCMPx+HBRkaikfwqU2bshjRJkpzA7WDUToR/LmEACI7gBtOACFJv9qTcqjLcHkK4PwMItT2z1NtO6BOOzZ8YyNzVFKakiqrBhCEgURhjMITWawYKo32UO7EnIWloHR52IwRpSpLl4TuPJQ+vnr74hfEbP/7kp5t5uK51qbbdWTHcL1A49x7KGpG9ub0HsJyWuZ7uXr6hVy8Tmgs/5yy9K21o3LgEEPKGa4ImcBV3tRiMMe4EE+sGBhHRkHllJFy/AY+uGBNAjmKWHN4Xn4hEWNUu6jgX7+pQNyvgBGEXYhFMRFF4ECJmpABCMQsgxMSUcMEQAydflWua8kF0neStuszPedHPi1M9qD2LIpEHHNEpwpsEEEdrSyISbUXS0KLufEUtNQ2CNyK6uIS1OmjuYoDG1AUjBR6vhcHT9XWaNrrfK5Co7d4t0YaxybwFcge7rQwtUTavi98dl/1it7O+WXxv3ggLOyQlg1JCJgzEg0ieBBRU4pjV90t5cZz3h+WkVrUxU7DQKPxg5AejvHOddkJC2BElYJcpJ0qDjEPGENgK1KMW01ptLXt4R6StJ9K5bk5nRGXLbTLJ4gohOHOAAb3PfTlpM1dGK2x4dTPTYg4HqyuQ4VACOaotqRXAQ1u1X0PdBklh+JlEnCixUJZ33nl89fAxgPRxwmdffPOjr2v55NW+Ptj6saDK4uxHc8ATOZSRAclpvFpqub29P9Z7FBxOe6jtIjGegLYbui9rW9/XtEcGyicX+AgzRiN4JG/U2I7ICxnUYA2xh+ratyUL+L1WigA7yi8OiufPnLMvhaLi/OUQDY5G1hPhu43gxEiE1HwNiDmJ5NBn4rVCSG+9l3uws/cMNgaFWrnonA73tO4tU3zrTWg9rajCOYo2vpZSSaTXAwJzGsXgcJCESBNBzNRFmRmNPwWtqW8GCNyjSkN9hvp8XjABmBLPAFAAzaCRaSt8LbRjpM5ZRqvuX0R5Zsd5XtRrqbOaalM9i9IFEXKiJBjIM2EQyMTRIjfzxe1Q6t1x2R/mU/VaXd2iiZcZD4QfjPzO9XideMsYCDtBImJhJmli9sQAKnA6Lfs3x9gUvTm/HujrP0ibTs1l+UKdKLm7sFYTDhUwR8B+0Qo+be61GZO7q5ZovM1V3at7cYRGsrqZmrsXxWLB5o9TWRzYW8XpiGUBKZMmZsr08J3HV48exw5LKT16//G02wB4tE2chylLGoZh5CRIIe5ikDaOCCvmsHLwUkyf32nR+2LVbEy79lgbjgsBGjINjGXdvvPwBnQ1qsAJPhAIymTERvBQ1hKHACK9/ByrVXrHgsCcJCXIsO7qeVlcLVjVAZDI1fXU9pkHA49F7Te+GKWhzBgYJA2tG7t7EBAlEBMjMP6p+cAz0OTCpM9W1eET7Z3wdnnm3MzAz30F1NhbzI2YHVjhHrzaC/WjId6YAaTc0sWLtzsPw7X/pv6eBm8tubO+OwPA+7/8y2qnvenRVGBMuIEze2rNsoXguc9uxtF0crut/mapt8UOBceL7b02U4YObGCW3BXHFrdj1bnqUqtVaHXtvoqYNinngYchixAlGjJdDZJEUmImRHeQ2xg5O7g4Zte9QfR8zuLMu+mrKTJasWDdKm11BBxHf8/7/1/3j5dqU1WVdQAAAABJRU5ErkJggg==\n","text/plain":[""]},"metadata":{"tags":[]}}]},{"cell_type":"markdown","metadata":{"id":"8Mvb4pvhNvgL"},"source":["The median filtered image looks less blurred. "]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":705},"id":"U25ojVgkO4pC","executionInfo":{"status":"ok","timestamp":1617715102510,"user_tz":-330,"elapsed":2531,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"ef1d704a-6595-4844-856b-95e2ea9a9b64"},"source":["def add_speckle(image):\n"," row,col,ch = image.shape\n"," sigma=0.4\n"," gauss = np.random.normal(0,sigma,(row,col,ch))\n"," gauss = gauss.reshape(row,col,ch) \n"," noisy = image + image * gauss\n"," return noisy\n","\n","speckled=add_speckle(img)\n","print('After adding speckle noise')\n","cv2_imshow(speckled)\n","\n","print()\n","kernel = np.ones((3,3),np.float32)/9\n","mean_filt = cv2.filter2D(speckled,-1,kernel)\n","print('After applying 3x3 mean filter:')\n","cv2_imshow(mean_filt)\n","\n","\n","print()\n","kernel = np.ones((5,5),np.float32)/25\n","mean_filt = cv2.filter2D(speckled,-1,kernel)\n","print('After applying 5x5 mean filter:')\n","cv2_imshow(mean_filt)\n"],"execution_count":30,"outputs":[{"output_type":"stream","text":["After adding speckle noise\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":[""]},"metadata":{"tags":[]}},{"output_type":"stream","text":["\n","After applying 3x3 mean filter:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":[""]},"metadata":{"tags":[]}},{"output_type":"stream","text":["\n","After applying 5x5 mean filter:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":[""]},"metadata":{"tags":[]}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":470},"id":"Qg_-ojCZPNns","executionInfo":{"status":"ok","timestamp":1617715029330,"user_tz":-330,"elapsed":2502,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"c2f82448-3936-40f3-e1af-7819d4d2136a"},"source":["def add_saltpep(image):\n"," row,col,ch = image.shape\n"," s_vs_p = 0.5\n"," amount = 0.1\n"," out = np.copy(image)\n"," # Salt mode\n"," num_salt = np.ceil(amount * image.size * s_vs_p)\n"," coords = [np.random.randint(0, i - 1, int(num_salt)) for i in image.shape]\n"," out[tuple(coords)] = 1\n"," # Pepper mode\n"," num_pepper = np.ceil(amount* image.size * (1. - s_vs_p))\n"," coords = [np.random.randint(0, i - 1, int(num_pepper)) for i in image.shape]\n"," out[tuple(coords)] = 0\n"," return out\n","\n","sp=add_saltpep(img)\n","print('After adding salt and pepper noise')\n","cv2_imshow(sp)\n","\n","print()\n","med_filt = cv2.medianBlur(sp,3)\n","print('After applying 3x3 median filter:')\n","cv2_imshow(med_filt)\n"],"execution_count":28,"outputs":[{"output_type":"stream","text":["After adding salt and pepper noise\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":[""]},"metadata":{"tags":[]}},{"output_type":"stream","text":["\n","After applying 5x5 median filter:\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":[""]},"metadata":{"tags":[]}}]},{"cell_type":"markdown","metadata":{"id":"aQRs4HOYXVRI"},"source":["**• Can we convert an analog filter into a digital filter altogether? What\n","is the way to do it? Use the technique to convert all the analog filters\n","into digital filters and plot the amplitude and frequency plots.**"]},{"cell_type":"markdown","metadata":{"id":"vILmpRRCXZHa"},"source":["The bilinear transformation is a change of variables (a mapping) that is linear in both the numerator and denominator. The usual form is \n","\n"," s = (2/T).((z-1)/(z+1))\n","\n","We are taking sampling interval T=1 second \n","\n"]},{"cell_type":"markdown","metadata":{"id":"6_w9BTLwZ5l_"},"source":["**Analog to Digital conversion of 1st order low pass filter**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":70},"id":"qbLvqPFxU1tp","executionInfo":{"status":"ok","timestamp":1617718003266,"user_tz":-330,"elapsed":2488,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"86369294-5274-49d9-bec3-2735560d549d"},"source":["from sympy import *\n","s,r,c=symbols('s R C')\n","expr=1/(1 + s*r*c)\n","print(' The transfer function is: ')\n","expr"],"execution_count":96,"outputs":[{"output_type":"stream","text":[" The transfer function is: \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{1}{C R s + 1}$","text/plain":["1/(C*R*s + 1)"]},"metadata":{"tags":[]},"execution_count":96}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":78},"id":"iOIEnfecamB2","executionInfo":{"status":"ok","timestamp":1617718003270,"user_tz":-330,"elapsed":2182,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"ab48a37e-e6d0-479b-94b0-be0cef49a7a0"},"source":["z=symbols('z')\n","T=1\n","expr=expr.subs(s, 2*((z-1)/(z+1)) )\n","print('Substituting s ')\n","expr"],"execution_count":97,"outputs":[{"output_type":"stream","text":["Substituting s \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{1}{\\frac{2 C R \\left(z - 1\\right)}{z + 1} + 1}$","text/plain":["1/(2*C*R*(z - 1)/(z + 1) + 1)"]},"metadata":{"tags":[]},"execution_count":97}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":55},"id":"2tKvrQW_be68","executionInfo":{"status":"ok","timestamp":1617718004231,"user_tz":-330,"elapsed":2840,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"a2cf3ffd-99e6-44ff-ad41-bf3166dcb138"},"source":["expr=sympy.simplify(expr)\n","expr"],"execution_count":98,"outputs":[{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{z + 1}{2 C R \\left(z - 1\\right) + z + 1}$","text/plain":["(z + 1)/(2*C*R*(z - 1) + z + 1)"]},"metadata":{"tags":[]},"execution_count":98}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":52},"id":"gH5COS1icFMS","executionInfo":{"status":"ok","timestamp":1617718004233,"user_tz":-330,"elapsed":2560,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"9fb0ee03-e1c3-4ff3-ecf8-74214154f5c2"},"source":["expr=sympy.expand(expr)\n","expr"],"execution_count":99,"outputs":[{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{z}{2 C R z - 2 C R + z + 1} + \\frac{1}{2 C R z - 2 C R + z + 1}$","text/plain":["z/(2*C*R*z - 2*C*R + z + 1) + 1/(2*C*R*z - 2*C*R + z + 1)"]},"metadata":{"tags":[]},"execution_count":99}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":303},"id":"2jw5-I2Zbu_-","executionInfo":{"status":"ok","timestamp":1617718004234,"user_tz":-330,"elapsed":2202,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"b3bbd2ed-5fbd-40c9-d39c-4b6d103666bc"},"source":["import matplotlib.pyplot as plt\n","import cmath\n","\n","R=47000\n","C=47e-9\n","\n","w=np.linspace(0,np.pi,100)\n","z=np.array([exp(complex(0,i)) for i in w ], dtype=complex)\n","\n","tf= (z+1)/( z*(2*R*C+1) + 1 - 2*R*C )\n","\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,2,figsize=(30,7))\n","ph = np.array([cmath.phase(i) for i in tf])\n","ax[0].plot(w,20*np.log10(abs(tf)),c='r',label='Magnitude plot (dB)')\n","ax[0].set_ylabel('Gain')\n","ax[0].set_xlabel('Frequency (rad/s) [0 to $\\pi$]')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(w,ph*(180/np.pi),c='g',label='Phase plot')\n","ax[1].set_ylabel('Degrees')\n","ax[1].set_xlabel('Frequency (rad/s) [0 to $\\pi$]')\n","ax[1].legend()\n","ax[1].grid()\n","fig.suptitle('Frequency spectrum of 1st order lowpass digital filter (converted from analog RC filter)')\n","plt.show()"],"execution_count":100,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"id":"TrRLwiUUdH1q","executionInfo":{"status":"ok","timestamp":1617717855024,"user_tz":-330,"elapsed":1767,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":89,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"NNRRcDWndkFe"},"source":["**Analog to Digital conversion of 1st order high pass filter**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":71},"id":"1Jk5V_UDdkFj","executionInfo":{"status":"ok","timestamp":1617717859685,"user_tz":-330,"elapsed":1143,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"deb6294d-c073-4c15-c925-9bcaaac84638"},"source":["from sympy import *\n","s,r,c=symbols('s R C')\n","expr=s*r*c/(1 + s*r*c)\n","print(' The transfer function is: ')\n","expr"],"execution_count":90,"outputs":[{"output_type":"stream","text":[" The transfer function is: \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{C R s}{C R s + 1}$","text/plain":["C*R*s/(C*R*s + 1)"]},"metadata":{"tags":[]},"execution_count":90}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":87},"id":"bETbgOARdkFn","executionInfo":{"status":"ok","timestamp":1617717916880,"user_tz":-330,"elapsed":1653,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"921f5ba7-940b-4e2e-9ff3-53d43ee978cc"},"source":["z=symbols('z')\n","expr=expr.subs(s, 2*((z-1)/(z+1)) )\n","print('Substituting s ')\n","expr"],"execution_count":92,"outputs":[{"output_type":"stream","text":["Substituting s \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{2 C R \\left(z - 1\\right)}{\\left(z + 1\\right) \\left(\\frac{2 C R \\left(z - 1\\right)}{z + 1} + 1\\right)}$","text/plain":["2*C*R*(z - 1)/((z + 1)*(2*C*R*(z - 1)/(z + 1) + 1))"]},"metadata":{"tags":[]},"execution_count":92}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":57},"id":"MfsTshLidokr","executionInfo":{"status":"ok","timestamp":1617717941303,"user_tz":-330,"elapsed":1252,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"cc1132c8-9f53-41c1-fb7b-41ed5da22b6b"},"source":["expr=sympy.simplify(expr)\n","expr"],"execution_count":93,"outputs":[{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{2 C R \\left(z - 1\\right)}{2 C R \\left(z - 1\\right) + z + 1}$","text/plain":["2*C*R*(z - 1)/(2*C*R*(z - 1) + z + 1)"]},"metadata":{"tags":[]},"execution_count":93}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":53},"id":"v0-HMw5Idoks","executionInfo":{"status":"ok","timestamp":1617717945018,"user_tz":-330,"elapsed":1265,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"0ef58865-dbbd-420d-dc47-40ae9a50c7fc"},"source":["expr=sympy.expand(expr)\n","expr"],"execution_count":94,"outputs":[{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{2 C R z}{2 C R z - 2 C R + z + 1} - \\frac{2 C R}{2 C R z - 2 C R + z + 1}$","text/plain":["2*C*R*z/(2*C*R*z - 2*C*R + z + 1) - 2*C*R/(2*C*R*z - 2*C*R + z + 1)"]},"metadata":{"tags":[]},"execution_count":94}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":304},"id":"n37-4SIbdokt","executionInfo":{"status":"ok","timestamp":1617717984954,"user_tz":-330,"elapsed":2717,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"a486d990-e0bc-4152-d8f6-5a05eb4d7a15"},"source":["import matplotlib.pyplot as plt\n","import cmath\n","\n","R=47000\n","C=47e-9\n","\n","w=np.linspace(0,np.pi,100)\n","z=np.array([exp(complex(0,i)) for i in w ], dtype=complex)\n","\n","tf= (R*C*(2*z - 1))/( z*(2*R*C+1) + 1 - 2*R*C )\n","\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,2,figsize=(30,7))\n","ph = np.array([cmath.phase(i) for i in tf])\n","ax[0].plot(w,20*np.log10(abs(tf)),c='r',label='Magnitude plot (dB)')\n","ax[0].set_ylabel('Gain')\n","ax[0].set_xlabel('Frequency (rad/s) [0 to $\\pi$]')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(w,ph*(180/np.pi),c='g',label='Phase plot')\n","ax[1].set_ylabel('Degrees')\n","ax[1].set_xlabel('Frequency (rad/s) [0 to $\\pi$]')\n","ax[1].legend()\n","ax[1].grid()\n","fig.suptitle('Frequency spectrum of 1st order highpass digital filter (converted from analog RC filter)')\n","plt.show()"],"execution_count":95,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"id":"kMA_FklReKFY","executionInfo":{"status":"ok","timestamp":1617717431395,"user_tz":-330,"elapsed":3335,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":67,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"aR5BF2bVepg6"},"source":["**Analog to Digital conversion of 2nd order low pass filter**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":70},"id":"C9wm435UephU","executionInfo":{"status":"ok","timestamp":1617719562891,"user_tz":-330,"elapsed":3948,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"eac934af-c043-4e5c-9210-e7f2caae0b19"},"source":["from sympy import *\n","s,r,c=symbols('s R C')\n","expr=1/(1 + s**2*r**2*c**2 + 3*s*r*c)\n","print(' The transfer function is: ')\n","expr"],"execution_count":128,"outputs":[{"output_type":"stream","text":[" The transfer function is: \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{1}{C^{2} R^{2} s^{2} + 3 C R s + 1}$","text/plain":["1/(C**2*R**2*s**2 + 3*C*R*s + 1)"]},"metadata":{"tags":[]},"execution_count":128}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":83},"id":"9HgY3Xy7ephW","executionInfo":{"status":"ok","timestamp":1617719562895,"user_tz":-330,"elapsed":3567,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"a76c442f-a4ee-418f-afc7-e1887e7fcb1a"},"source":["z=symbols('z')\n","expr=expr.subs(s, 2*((z-1)/(z+1)) )\n","print('Substituting s ')\n","expr"],"execution_count":129,"outputs":[{"output_type":"stream","text":["Substituting s \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{1}{\\frac{4 C^{2} R^{2} \\left(z - 1\\right)^{2}}{\\left(z + 1\\right)^{2}} + \\frac{6 C R \\left(z - 1\\right)}{z + 1} + 1}$","text/plain":["1/(4*C**2*R**2*(z - 1)**2/(z + 1)**2 + 6*C*R*(z - 1)/(z + 1) + 1)"]},"metadata":{"tags":[]},"execution_count":129}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":63},"id":"fzmNBD7VephX","executionInfo":{"status":"ok","timestamp":1617719562898,"user_tz":-330,"elapsed":3164,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"5f1d8620-5a1d-4d98-a525-7462eb6f421d"},"source":["expr=sympy.simplify(expr)\n","expr"],"execution_count":130,"outputs":[{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{\\left(z + 1\\right)^{2}}{4 C^{2} R^{2} \\left(z - 1\\right)^{2} + 6 C R \\left(z - 1\\right) \\left(z + 1\\right) + \\left(z + 1\\right)^{2}}$","text/plain":["(z + 1)**2/(4*C**2*R**2*(z - 1)**2 + 6*C*R*(z - 1)*(z + 1) + (z + 1)**2)"]},"metadata":{"tags":[]},"execution_count":130}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":91},"id":"6XO2HvrpephY","executionInfo":{"status":"ok","timestamp":1617719562900,"user_tz":-330,"elapsed":2274,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"26e8a3c6-d8ae-4238-cdd2-7c68ce24fb4d"},"source":["expr=sympy.expand(expr)\n","expr"],"execution_count":131,"outputs":[{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{z^{2}}{4 C^{2} R^{2} z^{2} - 8 C^{2} R^{2} z + 4 C^{2} R^{2} + 6 C R z^{2} - 6 C R + z^{2} + 2 z + 1} + \\frac{2 z}{4 C^{2} R^{2} z^{2} - 8 C^{2} R^{2} z + 4 C^{2} R^{2} + 6 C R z^{2} - 6 C R + z^{2} + 2 z + 1} + \\frac{1}{4 C^{2} R^{2} z^{2} - 8 C^{2} R^{2} z + 4 C^{2} R^{2} + 6 C R z^{2} - 6 C R + z^{2} + 2 z + 1}$","text/plain":["z**2/(4*C**2*R**2*z**2 - 8*C**2*R**2*z + 4*C**2*R**2 + 6*C*R*z**2 - 6*C*R + z**2 + 2*z + 1) + 2*z/(4*C**2*R**2*z**2 - 8*C**2*R**2*z + 4*C**2*R**2 + 6*C*R*z**2 - 6*C*R + z**2 + 2*z + 1) + 1/(4*C**2*R**2*z**2 - 8*C**2*R**2*z + 4*C**2*R**2 + 6*C*R*z**2 - 6*C*R + z**2 + 2*z + 1)"]},"metadata":{"tags":[]},"execution_count":131}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":304},"id":"wrmBXV4HephZ","executionInfo":{"status":"ok","timestamp":1617719565195,"user_tz":-330,"elapsed":3697,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"4caeebca-3e20-4bea-ceb3-332a27cc059a"},"source":["import matplotlib.pyplot as plt\n","import cmath\n","import warnings\n","warnings.filterwarnings('ignore')\n","\n","R=50000\n","C=50e-9\n","\n","w=np.linspace(0,np.pi,100)\n","z=np.array([exp(complex(0,i)) for i in w ], dtype=complex)\n","\n","tf= (z**2 + 2*z + 1)/( (R**2*C**2)*(-4*z**2 +8*z -4) + R*C*(6*z**2 - 6) + z**2 + 2*z +1 )\n","\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,2,figsize=(30,7))\n","ph = np.array([cmath.phase(i) for i in tf])\n","ax[0].plot(w,20*np.log10(abs(tf)),c='r',label='Magnitude plot (dB)')\n","ax[0].set_ylabel('Gain')\n","ax[0].set_xlabel('Frequency (rad/s) [0 to $\\pi$]')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(w,ph*(180/np.pi),c='g',label='Phase plot')\n","ax[1].set_ylabel('Degrees')\n","ax[1].set_xlabel('Frequency (rad/s) [0 to $\\pi$]')\n","ax[1].legend()\n","ax[1].grid()\n","fig.suptitle('Frequency spectrum of 2nd order lowpass digital filter (converted from analog RC filter)')\n","plt.show()"],"execution_count":132,"outputs":[{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"id":"xGCjFeTXiFIS"},"source":[""],"execution_count":null,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"Qf01qri5iWfz"},"source":["**Analog to Digital conversion of 2nd order band pass filter**"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":74},"id":"ROx-pA11iWf_","executionInfo":{"status":"ok","timestamp":1617718690496,"user_tz":-330,"elapsed":1759,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"82e603cd-52e5-46a9-e5d5-26abeea862b2"},"source":["from sympy import *\n","s,r,c1, c2=symbols('s R C_1 C_2')\n","expr=s*r*c1/(1 + s**2*r**2*c1*c2 + 3*r*(c1+2*c2))\n","print(' The transfer function is: ')\n","expr"],"execution_count":113,"outputs":[{"output_type":"stream","text":[" The transfer function is: \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{C_{1} R s}{C_{1} C_{2} R^{2} s^{2} + 3 R \\left(C_{1} + 2 C_{2}\\right) + 1}$","text/plain":["C_1*R*s/(C_1*C_2*R**2*s**2 + 3*R*(C_1 + 2*C_2) + 1)"]},"metadata":{"tags":[]},"execution_count":113}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":87},"id":"9qF1Za6qiWgD","executionInfo":{"status":"ok","timestamp":1617718713024,"user_tz":-330,"elapsed":1473,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"fd10f8cb-0f13-45a6-cf5d-d203a39f4848"},"source":["z=symbols('z')\n","expr=expr.subs(s, 2*((z-1)/(z+1)) )\n","print('Substituting s ')\n","expr"],"execution_count":114,"outputs":[{"output_type":"stream","text":["Substituting s \n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{2 C_{1} R \\left(z - 1\\right)}{\\left(z + 1\\right) \\left(\\frac{4 C_{1} C_{2} R^{2} \\left(z - 1\\right)^{2}}{\\left(z + 1\\right)^{2}} + 3 R \\left(C_{1} + 2 C_{2}\\right) + 1\\right)}$","text/plain":["2*C_1*R*(z - 1)/((z + 1)*(4*C_1*C_2*R**2*(z - 1)**2/(z + 1)**2 + 3*R*(C_1 + 2*C_2) + 1))"]},"metadata":{"tags":[]},"execution_count":114}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":60},"id":"Su1T2o5ziWgF","executionInfo":{"status":"ok","timestamp":1617718719947,"user_tz":-330,"elapsed":1429,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"e54f3da5-e76d-4a65-e7b7-321041123262"},"source":["expr=sympy.simplify(expr)\n","expr"],"execution_count":115,"outputs":[{"output_type":"execute_result","data":{"text/latex":"$\\displaystyle \\frac{2 C_{1} R \\left(z - 1\\right) \\left(z + 1\\right)}{4 C_{1} C_{2} R^{2} \\left(z - 1\\right)^{2} + \\left(z + 1\\right)^{2} \\left(3 R \\left(C_{1} + 2 C_{2}\\right) + 1\\right)}$","text/plain":["2*C_1*R*(z - 1)*(z + 1)/(4*C_1*C_2*R**2*(z - 1)**2 + (z + 1)**2*(3*R*(C_1 + 2*C_2) + 1))"]},"metadata":{"tags":[]},"execution_count":115}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":303},"id":"IUAzwJH9iWgI","executionInfo":{"status":"ok","timestamp":1617719691623,"user_tz":-330,"elapsed":2477,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"6ff9d8d4-1b03-47ff-c590-c649de8f2c0e"},"source":["import matplotlib.pyplot as plt\n","import cmath\n","import warnings\n","warnings.filterwarnings('ignore')\n","\n","R=r=20000\n","fh=30000\n","fl=1000\n","\n","C1=c1=1/(2*np.pi*fl*R)\n","C2=c2=1/(2*np.pi*fh*R)\n","\n","\n","w=np.linspace(0,np.pi,100)\n","z=np.array([exp(complex(0,i)) for i in w ],dtype=complex)\n","\n","tf = (2*c1*r*(z-1)*(z+1))/( (4*c1*c2*(z-1)**2) + ((z+1)**2 *(3*r*(c1+2*c2)+1)) )\n","\n","plt.rcParams.update({'font.size': 15})\n","fig,ax=plt.subplots(1,2,figsize=(30,7))\n","ph = np.array([cmath.phase(i) for i in tf])\n","ax[0].plot(w,20*np.log10(abs(tf)),c='r',label='Magnitude plot (dB)')\n","ax[0].set_ylabel('Gain')\n","ax[0].set_xlabel('Frequency (rad/s) [0 to $\\pi$]')\n","ax[0].legend()\n","ax[0].grid()\n","ax[1].plot(w,ph*(180/np.pi),c='g',label='Phase plot')\n","ax[1].set_ylabel('Degrees')\n","ax[1].set_xlabel('Frequency (rad/s) [0 to $\\pi$]')\n","ax[1].legend()\n","ax[1].grid()\n","fig.suptitle('Frequency spectrum of 2nd order bandpass digital filter (converted from analog RC filter)')\n","plt.show()"],"execution_count":134,"outputs":[{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABtsAAAHqCAYAAABlZ/G/AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeXxU1f3/8fcnYQIkrBq2L34VERfcqhVarRuo4L4AolgX8Ke1bq3YFncUtVWrVbGI+hUX3CmiooCKWomARUXqUloUN6iyCCgIJIHEcH5/nDthMrmTTCbLzITX8/GYx8CdM/d+7j33npncz5xzzDknAAAAAAAAAAAAAHWXk+4AAAAAAAAAAAAAgGxFsg0AAAAAAAAAAABIEck2AAAAAAAAAAAAIEUk2wAAAAAAAAAAAIAUkWwDAAAAAAAAAAAAUkSyDQAAAAAAAAAAAEgRyTYAAABkNDPrb2bvmNkGM3NmNiLdMcUys36ZFFcQy8Qm3maRmS1pym3WRabVUWMKq4v61k9jH7+6nLNmVmhmj5vZ8uB9RcHyJdF/x5TNyPPSzPY2sx/NbEC6Y2nu6nruZvrnTabbltraMNnQPplZVzMrMbPhTb1tAACaO5JtAACkUcxNiUSPA9MdIzKLmY0ws5HpjqOpmFlHSc9LKpD0e0lnS5pdQ/nDzWy8mf3LzNab2Woze9vMzjAza6KwgWbPzHqY2Rgz26+JN32npNMlPSDfHvypLm/OkDb0LklvO+deT3McaWdmIzMlMVPXzxtkrpDv05vN7HMzG2tm2yd4T0czu97M5pvZOjMrM7NvzOw5Mxuc5HeIjG+fnHMr5eP7k5nlN+a2AADY1rRIdwAAAECS9Iykl0OWf97UgSDjjZDUQ9LY9IbRZPpK6iDpPOfc80mU/7OkHSS9IOlf8jdNT5f0tKQjJP2qkeIEMtlASfVJNs+W1FpSecyyHpJukLRE0of1WHddDZA00zl3U9zy3SW5JN4/QmlsQ83sIPl9OCUd289AI+XPoYnpDUNS3T9vkNk+lE9+SVJH+XbwMklHmdlPnXNl0YJm9jNJL0rqLOklSU9JWi+pu6TjJD0n6RJJ99WyzWxpn/4qf+2dK2l8I28LAIBtBsk2AAAywz+dc08mW9jMciW1dM6VNGJMaAbMrK1zbkO646iHrsHz90mWv1LSXOdcRXSBmd0jaZak883sHufcwgaOscmYWWtJ5c65H5t4u9l+Hm3TYm8qp/j+LZI2NVA49dVVIe2Bc25zGmKpIsnr82JJaxT+A5ttQgZ/h0n68yaD9wFbLYv7bj3OzF6QT3SfKJ9Ak5l1lTRNUitJhzvn5sat52YzO1o+YVebrGifnHNLzGyOpF+LZBsAAA2GYSQBAMhwwZAyzsyOMrPRZvaF/E3P04LXzcwuMrMFwRwMG81slpn1D1lXKzO7I5hLotTM3jOzgWY20cxcXNlq80sEy0Pn4zCzlmZ2jZn928w2BUPwTDOz/RO938zODcpvNrOlZnZFgmOwv5k9a2bfBmW/NrNnzGwXM8uLDhWY4L2jgu0dVstx/l8zeySIY7OZrTKzf1jMnBZxsf/GzBYH+7rYzH6TYL27mtkTZrYiGJJoSVAHBSFlu5rZX83sy5gYXrdgXh/zc3scLmmnuOGR+gWvFwXr72lmU8zse/lfZseeR/1Cths2x9OSYPlPzOyN4LxaZWZ3mlmL4Fz6i5ktC47BbDPrXdMxjlv/vmb2gpl9F7z/P2Z2hfkbmJUxSHos+O+s6P7WtF7n3FuxibZg2RZJU4L/7h2z/h7BOseY2Qnmh47aFNTVHWZW7YdpZnaymX0QlPvazG6WFEl2v5Pd96DcxCC+TsG5+a2kYvmeezKzvczsVTMrNrPvzewpM+tcw3ZPN7O55uciKjGzd83s1JByLtj2kUH5jfI3IpPZt55m9qKZ/WB+GM8XzKxnXJkcM7s2OGdWBtfFf83sfosb3qsx68iqtq1jbOu1/7GZDQspP9DM/hZcn6Xm27jXzOzwkLJ7mW+zlgXrXGm+XT4+pkyrYLufBvWxzvzwp3ckeaw7mtkEM1sTnANFZnZAgrKhcwOZ2RAz+yg4Vv81sxuC41Gljbe4dj94nhW8/KhtbYuKgteTruNkBcfKyffQGx6zzWhMoZ9ZcetYohra0KBMUm221XJ9Jth+C/kb/W8458pDXs8z3xZ8GJwTP5jZ+2Z2aVy5HkGM0c/EL8zsFosbEi56zMxs9+D1b4LyH5nZcTHlOgTnQGhvLjO7NVjPfjHL2pvZn80PzbfZ/OfwM1b9ek/4HSaoz50kHR5XHz1i3t/HfDuyJtjOp8G51aDts9XweVPTPgSvFwTH6Avber0/bmY7xW0j9jvExcG+bDJ/3Z8QlNnHfLu+3vxnxF/NLNl9ON3MXgqutc3BMZtqZvuG7a/5dmEPM5th/nPhB/PfHbrGlf0f85/9H5rZWtv6uXWlxX1u1RBbUscoKLt9cE19Z/67x5vmvweGtmN19EbwvGvMslHyPdquDEm0SZKcczOdc5MSrdSys316RdI+ZrZHTXEBAIDk0bMNAIDMkG9mhXHLNsf1JPmL/E2jCfIJlE+D5U9IOkM+mfCopJaSzpT0upkNds69FLOOZ+Rv9E2TNFPSLvLzk3xVn+CDG0GvSvpFEM+9ktrLD9n3tpkd5px7P+5tF0rqIulhSesknSXpz2b2jXPu6Zh1nyD/6+NiSQ/JD63ZVdLRkvZ2zn1hZo9J+r2Z7e6c+zRuO/9P0mLnXE3zfLWQ9Lr8cEH3SVocxL+vpEO19QZc1G+CGP5P0gb54/9XM9vOOXdjzHoPkPRmsH//J2mZpJ9I+q2kg83s8OgN1+Dm4tvBMXlc0vvyQyAeKOmoIL6Rkm6VVCjp8ph4FsX8u42kt4J1XSt/AylVOwTb/Zv8+TVQ0u8k/ShpL/lh5W4L4vmDpKlm1jtIbiVkZn2CGMvlf1G9Uv5X5n+WPz5nBkVHSjpW0gWSbonbz1T2RZK+DXntOPneJg9IekTSycH+rA22G417kPy5uETSTfLH4VxJxytJddj3WK8H5W6WPyc2mtnOkubIX+/3Svo6WM+rCbb7R/nz4VVJoyVtkTRI0rNmdqlzLv6X7X0kDZFvb+LP/0QKJBVJelfS1fI3My+WdKCZ7R/MEyNJefI3N5+TH7arWH74tvMkHWJmB4T0xGrMOvpzEHt0eLBzJT1jZq2ccxNjyo2QtJ389fmNfHtxvqS/m1l/59ycIIbt5a97BfEulb9G+kj6uaQZwWvj5dunx+Xn8GoRHLMjaog1up8R+Ta8r3yb+46k/eRvJH9X2/uDdZwu/5nwhaQb5Y/VcPnzqDaz5Y/7NZIelD8Xpa3XVyp1XJvn5dv/J4LtPRgs/0cd1lFjG1qXNjtGteuzhu0fIN9Gvxf/gpnlyddpP0mvSXpSPqGzj6TB8te5guTEe/KfUfdJ+ix4z9VBjEeG9Kx7TL7N+Yt83YyUb693c84tcc6tM7OXJJ0cfI5V9swxsxz5dulj59yHwbL28sd9R/nr8d+Suslfo++aWR/n3NK4GMK+w5wt6W75nn6xc1utDrZzvLbW+53yPYYOkr+295M0NCbO+rbPyXzeVNuHmGvxYPnPyTvlr+OLJA0MjsU3ceu5RL6X1EPydfxbSS+Y2dBg3c9Imir/mfsbSask/TGJfbhU/vp/UP6c3CXYn7fND5v4WVz57vJt9gvy1+tP5Hs6tQu2HbWv/Dn4gnx7EZF0jPznf8/gPQnV5RiZWUv5dmw/+aFF3wu2/4aS7+Fek12C59h1DZFUpuQ/68JkY/s0L3juJ+mTOsQJAAAScc7x4MGDBw8ePNL0kP8D1yV4TArKjAj+/6mk/Lj3DwpeuyBueQv5ZM1XkixYNjAoOzGu7CnRbcYtXyKpqIaYR8QsuzxYdnRc2XaS/hu7npj3L5fUPmZ5vvwNtnkhy1ZJ6h4SS07wvFuwztvjXj84WH5FLfWwb5LlorFvkLRDzPI8+RtC5XHLP5K/gdE2Qb3FHsOXw45h7H4G/y6StCRBfEXBOv4Y8lr0POqX4H1L4pYtCcoPjVu+QD5R82L03AqW/zZR/CHbe1v+Rui+MctM0uRgHUcmE3cdrrP/kU/KfCEpErO8R7DuYkk94mJZKGlFzLLc4FxeI6kwZnl7+WRKlfpsoH2fGCx7MmQ9Twev9Y9bzwuKu84l/TRYdkvIeqbK3zRuG7Ms2gYdVYdjHD33xiY41x+Ii7N1yDrOC8qe1hR1FHNuLVXVtiha/vvYOCUVhMTcJdjeyzHLTorfjwTH7PvY99XxnL4g2MaNcctHBsvjr+ei2GXynxHL5JNjHWOWt5H0Zcix6pfMslTqOOacm1jTPtdWViGfWfH7nWhZzGt1abMnKsH1WUPs5wbvOSnktSuU+DqN/Qx4Kih3XFyZO4Ll58UsGxMsm66q7XXfYPmtMcuOD5ZdHLfeI4Plv4tZdo+kUkk/iSu7k3x7Etv+jFCC7zCJ6i1Y3ko+STBbUou416LfOfoF/2+o9nlE7HqT2Qf5HxU5Vf/+ET2eT4RcM8tUtc2JfgfZImlw3HoWKKadqyX+sDaqt6TNku4LOe5h1+L4YPnuMctax54/McufkFQhqVvIPsZeK3U5RhcHy66NKxtdHnrthsTm5BN8hcGjl3ySc7P8d7jOQbm2QdmPk72Ok9juxJDl1c5zpbl9kv8RkpM0riH2nQcPHjx48ODhGEYSAIAM8aD8pOqxj/hfMd/vqs8Ncpb8TYOpZlYYfUjqIN97rYe2DpVzSvBcZYgy59xUbe0ll6qz5G8ALIiLI0/+V7WHmJ8rItajzrkfYuIoke+dETu0z9HyN0nudM4ti9+oC3pQOecWy/cWOseqDi11nnxio7ZfK0fj6G81DMMX4ykX80t153to3C1/A/tEyQ8FJX8D7WlJLeOOy1z55MHAoOx28r8Sf9U5NzPRftbBX+pYPpFlzrln45bNlb+RPs4552KWR3u27KoaBMf3F5Jecs59HF0erCvas2FQvaKuur18+QRUG/kbUdWGbpM01Tm3JC6WWZK6mlmbYPEBkv5X/rxdE1P2B/neS8nEkuq+V6nPoKfJiZLed87NilvP7SHvP1P+htpjsedhcC6+JH+z8aC493zknHsjfkVJuC32P865F+Tbl1NiljnnXGmwL7nmh7Ar1NbeYD8PWW9j1tH9cW1RtHxH+RvH0eXF0X+bWZugB1uFfE++2Jij6zrWzNrVsN0fJO1lZnvXUCaRU4Jt3xm3/H4FQ8fW4gD5JPRE59za6ELn3EYleT7XJMU6Tqu6tNlx6tLedgqew3ronCn/o4Cb4l+IfgYE1/5Jkj5wzsXP+XartvZYjXdPbHvtnJsv38Mltr2eKZ98PSfuvefIf44+FcRgQayzJS2LO07F8p/jYccp7DtMTQbIJ7MfldQhbjvRfY9up97tc5LC9mGQ/HG/NXahc26GpA/lewvG33eZGNfmfCx/3S53zsUP5TlXVdu5hKJtlHntgmO1Wr4NDrvmljvnJscti16jleeGc640ev6YH+p0u2DdM+WnJulTS2h1OUYnyrdt98St4yFtbVuTNVB+/1fL9wC9V/5HGkc551YFZaJtdDLtZto0UvsU7QVdnxEQAABADIaRBAAgM3yWxI3txSHLesvfKA8bGi+qS/DenvI3O8LWs0jS7knEmUhv+V8+r66hTKH8UHdRX4aU+U5S7Hw+0Zs9HyQRw4PyNwNPkE8+tpWfT2W6c66m4yPn3FIz+5P8MFwrzOxDSX+X9GxwUzJe2PBS/wmeo/PVROcvuzF4hOkSPPeST2Als5+1We2cW9cA65HChxddm+C16PLa5mPaOXj+d8hri+TP0Z4hr9WZmbWS77nVR9JwFwzzFyLRuSj5/dkYE1PYUEv/CVkWJtV9j79mO8snD5ONpbf8+VXTMFFd4v4f1k7UZp3bOlRkrEWSTjGzgpibwadJ+r2k/VV9TqWOIetozDpK5nqWme0inxQ9Wv4HDbFiExlvmdnj8r1hzjSz+fJDoP3NORcbx0j5niH/MrMv5ZOH0yRNSyLB3lO+t0uVG8TOuc3BusKOYazouRj2Q4v6/vhCUkp1nG51abNj1eVaiZ4nFvLarpI+dM5tquH9neSv/WptiHPuezNbofA2pNbPW+fcj2b2lKTfBcNLLjY/D9RgSa/FfI52Ct4XTWSECTt/69qmROvjkRrKROujIdrnZITtw87ySau1Ia/9W344xEL5HvpRYfWxVlW/I8Uul7a2cwmZnyP3ZvkfCcTPCxv2eV5buxpdbwtJV8knXqPfV2Il094ke4yiZavsq3OuzMy+SmJbsd6VdF0Q747yw2DvID9kZFS0DW1bh/WmQ2O0T9F6dDWUAQAAdUCyDQCA7BH2i3CTv9n1yxretzDF7SX64zvs+4NJ+pf8jYxE4m/KVaQSVA2ek/RX+d5sUyWdLn+z6aFk3uycu87MHpEf1uhQ+bmYRpnZ7c65K1OIJ3oT404lmEdLW2+iNaREPQdqupmS6DthTXWU6LWwm8hNLibRdpT8sGpP1lC8pv1M+/7UsTdIGJOv/2OVeF/jb97Xd5uJgzEbLD8P4HuSLpO/wbxJfii4V6XQ0TfSWkdBr5LZ8m3KWPn2boN8UuFqxc2z5pwbbmZ3yB/zQ+WTTtea2Ujn3L1BmRfNz9V4nKTDFZyrkuaY2VGu7nOaZYwU6zjdUmqz63h9Rj8Ht6vDexpCsu314/Kf4+fIJykGyyf3Hgt5zxvy8x0mq65tSnQ7o+R7P4VZXsd11ldDtYuJ6iPlds7MdpRvo9bLJ9w+le/t5OTbrLCecclu7y75ueP+Jv+Dg1Xyw2b/VP4cyMTrWZLWxP6QzcxekG+7nzOzvYIeexvMbKmkPcysdbRHbgZqjPYp2g7V9EM5AABQByTbAADIbp/Jz1f2TvyvgEN8KX9DZDdVv7Heu3pxfa/wG4Jhv5r/TP7X7m+mMORhTaK/yN1P0ms1FQx6dDwu6bdm9j/yN62XKfFNibB1fClpnKRxQbJmpqQrzOzOmCGHpPDjtWfwHP2l+GfBc0USvRY/l78htl8yYSZRJkx02LKwOt1Z/sZZU4j+un6vkNf2kD9Hw35tn7SYRNtA+fkMH63P+gLRmPYIeW3PkGVhGmrfV8v3cEg2ls/khyn9r3MurBdXQ+lgZl1Derf1lrQqZhjGs+UTL/1jbwSaWdj+1EWqddRbfg7CsPLRdR4pP+zi/4s/n8wsfshfSZJzbqH8jx3uMLMO8r0sbjOz8dEh2Zxz30t6UtKTwfB8t8nP3XWypPghXGN9KWmgmbWL7d1mZi3l2+jaEvlLguewHs3J9nKuqS1qrDpuCInirkubnaroj1/ChttdLH/Dv6VzbnOC96+WT/JWa0PMrKOkbkqcmKqVc+4jM/tI0llmNlo+6bZOfrjZ2BjWSWrXQMeptvooTmI7DdE+p+pLSceYWYeQXuV7yie/1lR/W4MbJJ9QOyl2eGFJCoa8TXROJeNsSbOdc8Pi1tsryffX5RgtkXSUmbWJ/V5rZhH57yop99wPen9eJ99b8nJJtwQvPR/8/2z5URLSqSnbp2j9pfqjPAAAECdTf4EEAACS87j85/mtYS+aWeyQMtGbyaPiypyi8Jur0Rt/3WPKtpSfYD4sjq5K0LMtLo66eE3+BszvzaxbyHrjf+k9Qb7nxJ8lHSg/L0qtPejMrH1wI6dSMJRXNDERP2zRmWa2Q8z78+Rv1FRImh4s/kD+BsaFZlYtQWlmLYK52qI33F+Rn+PpqFr2c6OkjiH7Xpto4rLK+s3sDPkkQpMIkpb/kHRi7FxVwf5cHfz3hVTXH5yjL8gn2i50ziXVszEJCyR9I+ncYI6U6PbaSbowmRU01L4H5/R0SX3MrH/ceq4IecsTwfMtZpYb/2I9rs8wV8Wte5B8+zI1ZnGF/A3FnJhyJt+Tpj5SraOLzKx9TPn2Qfl18nNBRmOW4nqXmNlAxc2FFMxnVOXvrOAG81eS8iW1smAes7gyTluHkq2t59OL8m3d7+P3RVvnIKrJ+5JWSBoRJGmisbdRkueztg5pFxZrY9VxQ0jUhibdZtfDB/KJhQNDXntK/rOm2jGKxhr8mGWapP3N7Ji4YlfJH++U28/AY5J2ku8xf4T88KeVQ1sGMTwl6WdmdmrYCiy5uU+jNir8HJop34PqqrDjbmatg+GipQZon+thqvxxj2/7jpUfQvWlBv4RUiKJ2qhfyX8/q++649dbIP+9Jxl1OUbT5Nu2y+LW8StJ7VV/T8gn//5gW+fUvF0+iXy7mcXPXxqNdaCZDQt7rYE1ZfsUbYfeqrEUAABIGj3bAADIYs65KWb2qKRLzeyn8jfg18jPSXGQ/K9WewZlZ5rZNEnDgz/IX5W0i6Rfy/8Bv3fc6u+VNEzSG2b2gKQ8+V/9hg1Jc4+kAfI9OI6Q9Kb8DcUd5XuEbJLUP+R9te1fiZmdJ2mKpIVm9pB8L7BO8vMm3aWYHinOuUVmNlfSWfI3emua6yVWf0kPmtlz8kMvbZR0gPxQku865+LnMFos6d3guGyQvynZV9LNzrmvg1icmZ0tfyw+Nj9E5b/lb7b3kh+e62pJE4N1XiqfiHnFzB6Tv3nYWv5G/hJJ0aEs35Gfl+5eM/uH/E2wN+N63lXjnPvUzN6Q9OvgJs6H8j3pBskf0/g5lRrTZfI3d+aY2XhJK+X36WhJTzvn/l6PdT8l34vrDUklZnZW3OsfO+c+rutKnXMVZna5pMmS3jOzCZJ+lPT/5Oe42THJVTXUvl8nP0ThdDMbJ3+j+UT5ayM+9vlmNkbSGEkfmtmz8sOvdZM/z4+Tv77ra42kweZ7lhbJ9965WH5OyTEx5aZIGiLpTfO9USOSTpG/NlJWjzpaI389R3usnRuUPT+mV9Zc+bq60/zQj9/IXz9nyw9Ltk/M+s6RdLn5Ics+l+81erh8HU92zpUGibYVZvaS/E3U6FxFF8n3SptWy+4+KukCSdeb2c6S5snftB4q6QvV8ndeMD/XH+Svl/fM7GH5YzVC/ljtrNp70f5Hvv272MxK5JOTq5xzb6qR6riBJGxD69hm11lwjj4vP4dhfA+2e+Sv4evMrK/8j002yfdi211bfyhxjfzn7VQzu0/+HDtMfujk2ao65GMqnpJPPtwnnyAJW9+1kg6WNNnMJssf0zL5JN1x8p9fI5Lc3juSzjOzm7V17sppzrliMztHPlHzaVAfn8vPl7iHfH0MklTUgO1zKiZKGi7pyqBtmC1/vkTbvmsacduxXpH/fvaEmd0r344cLF8ftbYJtZgi/93hb/KfrV209dgmY6KSP0YPyX8v/aP5nnPvSdpXfg7ez+u5H9G271b5H2ddJv+9baWZnSD/fXKumU3V1iE5/0f+O8Uh8u1zY2vK9uk4Sf9yztU0nysAAKgL5xwPHjx48ODBI00P+UnsnaQ/1FBmRFCmXw1lzpY0R/7GwCb55Mzzkk6PK9dafr6HlZJK5W9iDJT/49yFrHe4fPKpTL5XxhXyv3R3kkbElW0h6beS5svPE1IsP+zNU5IGhuzziJDtJYrjZ/I33NbID4X032C9PRMcCyfp73Woh50lPSB/o299EPsiSTdJah8We7CvnwXxfCbpsgTr3ilY95LgOH4nfyPyVkn/G1e2e1D2v0HZb+VvuB4ZUyZf0sPBa9HeI/2C14okLalhP7vKD023Xj6h+Ir8EHrV3hfEWxSyjjHBNnvELe8RLB+T5DH/SVCn3wfHcFFwfuXW9fwPidvV8BiTTMw17Odg+UTlZvl5qG6Wv/Edek7Xc98nKuR6iHl9n+D8KA7W9ZSkzkEsE0PKHy/fW+T7mPhfke8BGFsu9P217FNRcOx7yt+wXC+fiHlRUq+Q8r+ST9Zsku9h9aB875Yq227MOoo5t46SdKP8dbdZPnn2y5Dt7Sv/I4W1wb4Vyc/HVqWe5JNwj8nfGC4OjsVH8r3QWgZl8uTbgPfk24TNwfF7RNKuSR7z7eTbgu+C7RRJ6qPw67nasmD5UEkfa2u7eoN8AsNJOi2mXL/44xcsP07SP4N6dIppM5Kt47qec4nKKqTNSnAsErahwetJtdnx9V6Ha+VnwTaHhLzWSj6R9e/guK2T/0y9OK7czvI9dFYFMX4pPyRefjLXSKLjFfPatOB9i2vYj3xJo+Wvl1L5a2KRfBLj5yHXWb8E6+ksP+fq9/KJtirxyv8Q6En5YaGjn4v/CLa9XSrXfg37FBprEvtQEJwfXwYxrgrqZ6e4cv0SxZKoPmqqw5Cyh8n/MGBDcO7MCI5fkZL/jK8WY1DXd0haGpyXn8n3UjsypGzoPiZ7jIKyneSvr+/l27Y35dvV9yX9pw7txPQEr0WCfVmrqt/xtpNvA9+X9EMQ5zfyycaT6rDdjG+f5D9bt0i6JJn94sGDBw8ePHgk9zDnnAAAwLbNzCZKGu6cq+vQhBnHzE6T9Df5m+XPNPC6+0maJelc59zEhlw3gKZlZiPke4j1d84VpTeazGFmv5f0F0kHOefeSXc8zZGZvSqpwDl3aLpjAbJBMATyGvnRBuKHUEUdmdnd8j+22M3FzKsJAADqhznbAABAc3OJ/A2Z59MdCABkKjPLi5/DL5iz7RL53hL/TEtg24bfSzoomPMPQAwzax2y+EL5IURfb+Jwmh3zcyBfKOlaEm0AADQs5mwDAABZz8w6yw9ndKj8MEpXu6pz4QAAquopP0fkJPlhgrvJDx28s6SLnHNl6QyuOXPO/Vv8LQ4kMsHMWskPF7pZfg7iX8oPzftgOgNrDpxzK+SHlQcAAA2ML/gAAKA52FPS0/JzlDwgPy8dACCx1ZLekXSm/LxZP8rPv3WVc25yOgMDsE17Tb6H7WhJbeTnL3tI0mjn3IZ0BgYAAFAT5mwDAAAAAAAAAAAAUsScbQAAAAAAAAAAAECKSLYBAAAAAAAAAAAAKSLZBgAAAAAAAAAAAKSIZBsAAAAAAAAAAACQIpJtAAAAAAAAAAAAQIpItgEAAAAAAAAAAAApItkGAAAAAAAAAAAApIhkGwAAAAAAAAAAAJAikm0AAAAAAAAAAABAiki2AQAAAAAAAAAAACki2QYAAAAAAAAAAACkiGQbAKPoLWIAACAASURBVAAAAAAAAAAAkCKSbQAAAAAAAAAAAECKSLYBAAAAAAAAAAAAKSLZBgAAAAAAAAAAAKSIZBsAAAAAAAAAAACQIpJtAAAAAAAAAAAAQIpItgEAAAAAAAAAAAApItkGAAAAAAAAAAAApIhkGwAAAAAAAAAAAJAikm0AAAAAAAAAAABAiki2AQAAAAAAAAAAACki2QYAAAAAAAAAAACkiGQbAAAAAAAAAAAAkCKSbQAAAAAAAAAAAECKSLYBAAAAAAAAAAAAKSLZBgAAAAAAAAAAAKSIZBsAAAAAAAAAAACQIpJtAAAAAAAAAAAAQIpItgEAAAAAAAAAAAApItkGAAAAAAAAAAAApKhFugPIFoWFha5Hjx5Ntr3i4mIVFBQ02fbQcKi77EXdZTfqL3tRd9mLuste1J23YMGCNc65TumOA81TU/8NWROu+exEvWUn6i07UW/ZiXrLTtRb9qLuav4bkmRbknr06KH333+/ybZXVFSkfv36Ndn20HCou+xF3WU36i97UXfZi7rLXtSdZ2ZL0x0Dmq+m/huyJlzz2Yl6y07UW3ai3rIT9ZadqLfsRd3V/Dckw0gCAAAAAAAAAAAAKSLZBgAAAAAAAAAAAKSIZBsAAAAAAAAAAACQIpJtAAAAAAAAAAAAQIpItgEAAAAAAAAAAAApItkGAAAAAAAAAAAApKhFugNoTtavX69Vq1apvLy83utq3769Fi1a1ABRoalRd4lFIhF17txZ7dq1S3coAAAAAAAAAAA0CJJtDWT9+vX69ttv1b17d7Vu3VpmVq/1bdiwQW3btm2g6NCUqLtwzjmVlpZq2bJlkkTCDQAAAAAAAADQLDCMZANZtWqVunfvrvz8/Hon2oDmyMyUn5+v7t27a9WqVekOBwAAAAAAAACABkGyrYGUl5erdevW6Q4DyHitW7dukKFWAQAAAAAAAADIBCTbGhA92oDacZ0AAAAAAAAAAJoTkm0AAAAAAAAAAABAiki2odKYMWNkZtp1111DX991111lZhozZkzTBhZjyZIlMjNNnz69ctntt9+uoqKiBtvGxo0bZWaaOHFig62zJkVFRTIzLVy4sE7ve/DBBzV16tSkyz///PPq1auXKioqaixXWFhYpY5HjBghM6t8tG3bVn379tXzzz9f5X1TpkzR7rvvXuv6AQAAAAAAAABoTki2oYpWrVrpq6++0vvvv19l+fz587VkyRK1atUqTZF53bp107x583TIIYdULmvoZFu2qEuybcuWLbr++us1atQo5ebm1nlbe+yxh+bNm6d58+bpueee06677qqhQ4dq7ty5lWUGDx4s55yeeOKJOq8fAAAAAAAAAIBs1SLdASCzFBQU6Kc//akmTZqkPn36VC6fNGmSjjjiCC1YsCCN0UktW7bUgQcemNYYstHf//53ffHFF/rlL3+Z0vsLCgqqHPejjjpKs2bN0ksvvVSZ+MzJydE555yjcePGacSIEQ0RNgAAyFbffy917CgxVyuQ0YrLivXVuq/SHQYS+Kr4KxWuKkx3GKgj6i07UW/ZiXrLTtRb9mrMusu1XO1euLtyLHv7h5FsQzXDhg3TmDFjdMcdd8jM5JzT5MmTddNNN1VLts2bN0+33nqr5s+fr/Xr12vXXXfVqFGjdOaZZ1YpV1RUpN/+9rdavHix9tlnH40fP17HHXecLr300sohC/v166fCwkINHjxY119/vVatWqWDDz5YEyZM0A477CDJDyO58847a9q0aTrhhBPUo0cPfffdd7rxxht14403SpJmzZqlHj16VCkXNWLECC1cuLBKz73nnntOV199tb7++mv17dtXd911V+hxeeihh3T33Xfr888/V9euXXXJJZfoiiuuqPFY9ujRQ6eeeqrat2+v8ePHa+PGjTr55JN13333qX379gnfV1JSoquuukqTJ0/WunXrtM8+++hPf/qTBg4cWHmsFixYoAULFuixxx6TJD366KMJk1yPPfaYBg4cqLZt21ZZPnv2bP3mN7/Rp59+qr322kvjxo2rcX+icnJylJ+fr/Ly8irLhwwZotGjR+vf//639tprr6TWBQAAmpnPPpP22kt6+WXpqKPSHQ2AGpzx3BmatnhausNATd6vvQgyEPWWnai37ES9ZSfqLXs1Yt2NPXqsLjvwssbbQCMj2YZqBg8erIsuukhz587VoYceqjlz5mj16tUaPHiwRo0aVaXs0qVLdfDBB+vCCy9Uq1at9Pbbb+vcc89VTk6OzjjjDEnSsmXLdNxxx+kXv/iFbrnlFq1cuVJnnnmmSktLq2373Xff1fLly3XnnXeqtLRUl112mS644AK9/PLLobG+8MIL6t+/v0499VSdf/75kqQ999xT33//fVL7+s9//lOnn366Bg0apHvuuUcLFy7UaaedVq3cHXfcoWuuuUZXXHFFZaJr9OjRys/P16WXXlrjNp555hn16tVLEyZM0IoVK3TFFVfo/PPP17PPPpvwPb/61a/00ksv6ZZbbql87/HHH69Zs2bpkEMO0X333achQ4aoZ8+eGj16tCRpl112Sbi+N998U5ddVrWhWr58uY499lj97Gc/05QpU7R8+XKdeeaZKikpCV3Hjz/+KElav369HnnkES1ZskQnn3xylTK9e/dWx44d9cYbb5BsAwBgW/XGG1J5uU+6kWwDMtqyDct0QLcDdNUhV6U7FITgR4zZiXrLTtRbdqLeshP1lr0as+5On3K6VhWvapR1NxWSbaimQ4cOOuaYYzRp0iQdeuihmjRpko455pjQnljDhg2r/LdzTocddpi++eYbTZgwoTLZNnbsWOXn52vatGlq3bq1JKldu3Y6/fTTq61v/fr1mjFjhjp27ChJWrlypS6//HKVlpZWvjfW/vvvrxYtWmiHHXaoMsxhssm22267TbvttpsmT54sM9Oxxx6rsrIyXXfddVViuvHGG3XdddfphhtukCQNGDBAJSUl+uMf/6iLLrqoxnnQSktLNWPGDLVp00aSH5Lx7LPP1qJFi9S7d+9q5RctWqRnnnlGjz76qIYPHy5JOvroo7Xvvvvq5ptv1syZM7XnnnuqoKBAnTp1qnVYzeXLl2vFihXae++9qywfO3asWrVqpRkzZig/P78ytrPOOqvaOhYsWKBIJFL5/5ycHN1+++3q169ftbL77ruv3nvvvRpjAgAAzdhbb/nn1avTGweAWpWUl2ifzvvo1D1PTXcoCFG4qlD99uyX7jBQR9RbdqLeshP1lp2ot+zVmHWXl5un8i3ltRfMYCTbGtPIkdKHH6b01tYVFVINCZxa7befNHZsym8fNmyYRo4cqbvuuktTpkzRX//619Bya9eu1Q033KAXX3xRy5YtU0VFhSSpe/fulWXmz5+vAQMGVEmWnXTSSaHr69u3b2WiTfK91CTfO65Xr14p708i7733noYNGyaLmU9k8ODBVZJt8+bNU3FxsYYOHVrZu0uSjjjiCN1888365ptvtNNOOyXcxoABAyoTbZI0aNAgOec0f/780GTb/Pnz5ZzT0KFDK5fl5ORo6NChuv322+u8jytXrpQkFRZWHU/3vffe04ABAyoTbdHYwvTu3VuPP/64JD/E5Zw5c3Tttddq++23rzZ0ZWFhYeU2AQDANsY5afZs/+81a9IbC4BalZSXqCCvIN1hAAAAYBsXyYmovIJkG5qhk046Seeff76uvfZaFRcX68QTTwwtN2LECL3zzjsaPXq09txzT7Vr107333+/XnzxxcoyK1eu1L777lvlfa1ataqSgIrq0KFDlf/n5eVJkjZt2lTfXQq1cuVKde7cucqy+P+vCW4UJeoi+/XXX9eYbItfX35+vtq0aaMVK1aEll+xYoXatGlTJQkmSV26dFFJSYk2b96sli1bJtxevOixi39PWL1EY4uXn5+vPn36VP7/sMMO07fffqtRo0Zp+PDhVZKVLVu2bLT6AgAAGe7zz6XodxySbUDGKy4rVn6L/NoLAgAAAI0okhuhZxtqUI+eZaUbNqht27YNGEzdFBQU6IQTTtDdd9+toUOHqqCg+q8dN23apOnTp2v8+PG68MILK5dv2bKlSrmuXbtqddwwQps2bdLGjRsbJ3j5ZJ4klZWVVVm+du3aarGtWlV1LNj4/2+33XaSpOnTp6tLly7VtrX77rvXGEv8+kpKSrRx40Z169YttHy3bt20ceNGlZSUVEm4ffvtt8rPz69Toi02/nXr1lVZHrbv0diS0bt3b61Zs0Zr1qxRp06dKpevW7eucpsAAGAbE+3Vtv32JNuALFBSXqL8CMk2AAAApFdebl7W92zLSXcAyFwXXXSRTjzxxCqJtFibN2/Wli1bqiR/NmzYoJdeeqlKub59++r1119XaWlp5bL4MvWRl5dXrSdV586dFYlEtGjRosplGzdu1D/+8Y9qsb300ktyzlUue/7556uUOeigg9S6dWstX75cffr0qfaoLSn6+uuvV0lgvfDCCzKzKj3F4mMyM02ZMqVymXNOU6ZM0SGHHFLjfofp0aOH8vLy9NVXX1Xbzuuvv66SkpIqsSVr4cKFat26tbbffvsqy5csWaLddtst6fUAAIBm5K23pE6dpIMOYs42IMNtcVtU+mMpw0gCAAAg7SI5EZVtKau9YAajZxsS6tevn/r165fw9fbt26tv37666aab1K5dO+Xk5Oi2225T+/bttX79+spyI0eO1Pjx43XiiSfq8ssv18qVK3XbbbcpPz9fOTn1z/fusccemjFjho455hi1adNGu+++u9q2bauTTz5Zd999t3baaSd16NBBd955Z5V54yTpyiuv1M9//nOddtppOu+887Rw4UI9/PDDVcp06NBBY8aM0WWXXaalS5fqsMMO05YtW7R48WLNmjWr1gRV69atdfzxx2vUqFFasWKFRo0apUGDBlXORxevd+/eOuOMM3TppZdqw4YN2mWXXTRhwgR98sknuv/++6vs98yZMzVz5kxtv/322nnnnaslviTfy++AAw7QggULdO6551Yuj9bLCSecoN/97ndavny5br311mrHSJKKi4v1zjvvSJJKS0s1Z84cTZgwQRdffHGVOiwuLtYnn3yim2++ucZjAgAAmqnZs6XDDpPatUt57mIATaO03P8Ykp5tAAAASLdIbvbP2UbPNtTL008/rZ49e+qcc87RZZddpiFDhuicc86pUqZ79+6aMWOGVq1apcGDB2vcuHF65JFHVFFRoXbt2tU7hjvuuEMFBQU6/vjj1bdvXy1YsECSdO+99+rggw/WxRdfrEsuuURnnHGGjjjiiCrv7dOnjyZNmqQPPvhAp5xyiqZOnaq//e1v1bZxxRVX6MEHH9Qrr7yik08+WWeccYaeeuopHXroobXGN2zYMPXv31/nnXeeRo4cqWOPPbZaQi/ehAkTNHz4cN100006+eSTtXTpUk2fPr1Kz7brrrtOvXv31mmnnaa+fftq2rRpCdc3ePBgvfrqq1WWde/eXS+//LLWrFmjIUOG6L777tOTTz5Zba44Sfrkk0900EEH6aCDDtJxxx2nSZMm6aabbtLtt99epdxrr72m/Px8HX300bUeFwAA0MwsXeofhx0mFRb6YSRjRg8AkFlKyv0IFyTbAAAAkG6RHOZsQzMyZswYjRkzpsYya+Lm3ujVq5f+/ve/h64rVv/+/fXxxx9X/n/u3LnavHmzfvKTn1QuKyoqqraefv36VRnisUePHlX+L0kHHHBAZa+rWF26dNGLL75YZdkFF1xQrdzQoUM1dOjQKsvityFJZ511ls4666xqy2tjZjUe2/h9lKT8/HyNGzdO48aNS7jenj176o033kgqhrPPPlvXXnut5s+fr759+1bZdmy9SNXreOLEiZo4cWJS25k8ebJOP/300Dn+AABAMxedr+3ww6WZM6VNm6SSEonvBUBGiibbCiJcowAAAEiv5tCzjWQbmsSVV16p/fffX127dtWnn36qm2++Wfvuu68OP/zwdIe2TejSpYvOP/983XPPPXryyScbZRtff/21XnzxxWrJOwAAsI2YPVvq0EHae28pGGlAa9aQbAMyVHF5sSR6tgEAACD98nLz6NkGJGPz5s0aNWqUvv32W7Vt21YDBw7UXXfd1SBztiE5o0eP1sMPP6yKigrl5uY2+Pq/+eYbPfDAA+rVq1eDrxsAAGSBt96SDj1Uys2VOnXyy1avlnbaKb1xAQhV2bMtj4Q4AAAA0iuSQ882ICljx47V2LFj0x1Gk1uyZEm6Q6jUtWtXXXvttY22/uicbgAAYBu0YoX02WdSdMjuwkL/HDc8NYDMwZxtAAAAyBSR3IjKKsrSHUa90K0IAAAAQP3MmeOfo0OEk2wDMl5xGcNIAgAAIDNEciJZP4wkyTYAAAAA9fPWW35utv339/8n2QZkvMphJCMMIwkAAID0iuRm/zCSJNsakHMu3SEAGY/rBACAZmj2bOngg6UWwSj17dv7udtItgEZi2EkAQAAkCno2YZKkUhEpaWl6Q4DyHilpaWKRCLpDgMAADSUNWukhQu3DiEpSTk5vnfb6tXpiwtAjYrLGUYSAAAAmSEvN4+ebfA6d+6sZcuWqaSkhJ47QAjnnEpKSrRs2TJ17tw53eEAAICGMneufz7ssKrLCwvp2QZksMphJPMYRhIAAADpFcmNqKyiLN1h1EuLdAfQXLRr106StHz5cpWX1z8Du2nTJrVq1are60HTo+4Si0Qi6tKlS+X1AgAAmoG33pJatZL69q26nGQbkNGiybbWLVqnORIAAABs65rDMJIk2xpQu3btGiyJUFRUpP2jE8wjq1B3AABgmzJ7tnTggVLLllWXFxZKixalJyYAtSouK1YkJ6JILkO8AwAAIL0iuRGGkQQAAACwjfrhB+nDD6vO1xZFzzYgo5WUlzCEJAAAADJCc+jZRrINAAAAQGreflvasqX6fG2S1KmT9N13/nUAGaekvET5kfx0hwEAAAD4ZBs92wAAAABsk2bPliIRP4xkvMJCqaJCWreu6eMCUKvi8mKSbQAAAMgIebl59GwDAAAAsI166y2pb18pP+SGfWGhf2YoSSAjlZSXqCDCMJIAAABIv0huRGUVZekOo16aXbLNzC4zM2dmU0Je625mL5jZBjNbY2b3mhk/5QMAAADqqrhYev/98PnaJJJtyHhmVhT87Rj2OCgosyTktZXpjr0hMIwkAAAAMkVzGEayRboDaEhm1lnSGEmrQ16LSJopqUzSMEkdJN0VPJ/VdFECAAAAzcA770g//hg+X5tEsg3Z4GJJ7eKW3SRpf0nzY5Y9LWlczP+z+ye3AYaRBAAAQKaI5EZU4SrknJOZpTuclDSrZJukWyVNl/S/Ia+dKqm3pF7Oua8kyczKJU0ysxudc581XZgAAABAlnvrLSknR/rFL8Jf79TJP6+u9js4ICM45/4T+38zy5PUR9LfnHM/xry0wjn3TpMG1wRKyktUmF+Y7jAAAAAARXIikqTyLeXKy81LczSpaTbDSJrZzySdJumqBEWOlTQ/mmgLTJX/VeIxjRweAAAA0LzMni399KdSu/iOQQF6tiH7HCOpo6Rn0h1IU2AYSQAAAGSKSG6QbMvioSSbRbLNfL/CcZJud84tS1BsD0mfxC5wzpVJ+iJ4DQAAAEAyNm3yw0gmGkJSkvLzpdatSbYhmwyT9I2kOXHLzzOzMjP7wcymmNlOaYitwRWXFSu/Bck2AAAApF+0N1v5luxNtjWXYSTPldRF0l9qKNNR0rqQ5WuD1wAAAAAkY9YsafNmqV+/mssVFpJsQ1Yws3xJJ0n6P+eci3npRUnvyCfheku6QdIcM9vHOfdDyHoukHSBJHXp0kVFRUWNHXpSNm7cWC2W9aXrtXb12oyJEdWF1RsyH/WWnai37ES9ZSfqLXs1Zt19tcwPSDhr9ix1zMvOdE1GJtvMrL2kbrWVc859EpS9VdJvnHOlDRxH2v5QotHJXtRd9qLushv1l72ou+xF3WWv+tbd3n/8o9p17Kh5LVvK1bCeA1q1Utknn+hfnCfIfCdKKlDcEJLOucti/jvHzP4h6UP5H3yOjV+Jc+5BSQ9KUp8+fVy/2hLSTaSoqEjxsWyeu1m79dit2nJkjrB6Q+aj3rIT9ZadqLfsRL1lr8asu8ULFkufSz878Gfq3q57o2yjsWVksk3SUEkTkihnkq6R9F9Jr5lZh2B5C0mR4P8bnHMV8j3Y2oeso6Okj8JWns4/lGh0shd1l72ou+xG/WUv6i57UXfZq151t3SpH0Ly6qt1+MCBNZfdeWfphx84T5ANhkn63Dn3fk2FnHMLzexTST9tmrAax49bflRZRRlztgEAACAjRHKCOduyeBjJjJyzzTn3kHPOansExXeX1Ec+mRZ9HCw/BMhaSQcF5T5R3NxsZpYnqafi5nIDAAAAkMADD/jnCy6ovSzDSCILBKOlHKu4Xm01cMEja5WW+0FhCiIFaY4EAAAAkCK5QbKtgmRbOl0nqX/c4yNJs4N//yso94qkvnGTWZ8kqaWkV5ssWgAAACBbbd4sPfSQdNJJ0o471l6eZBuywyD5vwtrTbaZ2d7yP+Jc0NhBNabi8mJJomcbAAAAMkJz6NmWqcNIJs05tzB+mZmtk7TGOVcUs3iKpGslPW9mo+WHlLxb0tPOuc+aIlYAAAAgqz37rE+eXXxxcuULC6UffpDKy6VIpHFjA1I3TNJHzrlFsQvN7HhJZ0maLmm5fJLtOvlpDCY2cYwNqqS8RBLJNgAAAGSGvNw8Sdndsy3rk23Jcs6Vm9kxku6VNFnSZkmTJI1Ka2AAAABAtrjvPmm33aQjj0yufGGhf16zRurWrfHiAlJkZoWSjpQ0OuTlryV1ljRWUgdJ38mPinKNc259kwXZCKLJtoI8hpEEAABA+kWHkSyrKEtzJKlrlsk251y/BMu/kXRK00YDAAAANAMffCDNmyeNHSvlJDkafadO/plkGzKUc26NpNBul865j+UTcc1OcRnDSAIAACBzNIdhJJvDnG0AAAAAGtv48VJ+vjR8ePLvie3ZBiBjMIwkAAAAMkm0Z1s2DyNJsg0AAABAzdaulZ5+WjrzTKlDh+TfR7INyEiVw0hGGEYSAAAA6UfPNgAAAADN38SJUmmpdPHFdXsfyTYgIxWXM4wkAAAAMgc92wAAAAA0b1u2SPfdJ/3iF9J++9Xtvdtv759Xr274uACkjGEkAQAAkEnycvMk0bMNAAAAQHP1xhvS559Ll1xS9/dGIn7YSXq2ARmlchjJPIaRBAAAQPpFh5EsqyhLcySpI9kGAAAAILHx46XOnaUhQ1J7f2EhyTYgwxSXMYwkAAAAMgfDSAIAAABovpYulaZPl84/X2rZMrV1kGwDMk60Z1vrFq3THAkAAACwtWcbw0gCAAAAaH7+7//8869/nfo6SLYBGaekvEStWrRSbk5uukMBAAAA6NkGAAAAoJnavFl66CHpxBOlHXdMfT2FhdLq1Q0XF4B6Ky4vZghJAAAAZIy83DxJ9GwDAAAA0NxMmOCTZJdeWr/1dOrke7Y51zBxAai3kvISkm0AAADIGNFhJMsqytIcSepItgEAAACoas0a6frrpaOOko48sn7rKiyUNm2SSkoaJjYA9VZSXqKCSEG6wwAAAAAkMYwkAAAAgObouuuk9eule+6RzOq3rsJC/8y8bUDGYBhJAAAAZJJozzaGkQQAAADQPHzwgfTgg9JvfiPtuWf910eyDcg4DCMJAACATELPNgAAAADNh3M+yVZYKN1wQ8OsM5psW726YdYHoN5KyktUkMcwkgAAAMgMuZYrKbt7trVIdwAAAAAAMsQzz0hvvy09/LDUoUPDrLNTJ/9MzzYgYxSXFatrm67pDgMAAACQJJmZ8nLz6NkGAAAAIMtt3CiNGiX16SONGNFw62UYSSDjlJSXqCBCzzYAAABkjkhORGUVZekOI2X0bAMAAAAg/elP0vLl0nPPSTkN+Ju89u2l3FySbUAGYc42AAAAZJpIbiSrh5GkZxsAAACwrfvsM+muu6Thw6UDD2zYdefkSNtvT7INyCDF5cUk2wAAAJBRIjkRhpEEAAAAkMV+9zupZUvp1lsbZ/2FhdLq1Y2zbgB1xjCSAAAAyDTZ3rONYSQBAACAbdnLL0vTp0t33CF169Y42+jUiZ5tQIYoryjXj1t+pGcbAAAAMkokJ7uTbfRsAwAAALZVZWXSyJHS7rtLv/1t422nsJBkG5AhisuLJYlkGwAAADJKXm5eVg8jSc82AAAAYFt1/fV+vrZXXpHy8hpvOyTbgIxRUl4iSSrIYxhJAAAAZI5IbkRlFWXpDiNl9GwDAAAAtkUzZkh//rP0619LxxzTuNsqLJS++07asqVxtwOgVsVl9GwDAABA5mEYSQAAAABZpeW330rnnCPtt580dmzjb7CwUKqokNata/xtAahRtGcbyTYAAABkkkhuJKuHkSTZBgAAAGxLysq05003SeXl0rPPSq1aNf42O3XyzwwlCaRd5TCSEYaRBAAAQOagZxsAAACA7HH11Wr/n/9IDz8s9erVNNssLPTPJNuAtCsuZxhJAAAAZB56tgEAAADIDlOnSnfdpW8GDZKGDm267ZJsAzIGw0gCAAAgE+Xl5tGzDQAAAECG++oracQIqU8ffXHhhU277WiybfXqpt0ugGoqh5HMYxhJAAAAZI5ITkRlFWXpDiNlJNsAAACA5m7zZum00/y/J0+Wy8tr2u3Tsw3IGMVlDCMJAACAzMMwkgAAAAAy2x/+IL3/vjRxorTzzk2//YICqXVrkm1ABmAYSQAAAGSiSE6EYSQBAAAAZKgnnpDuvVe6/HLplFPSF0dhIck2IANUDiMZYRhJAAAAZA56tgEAAADITDNmSOeeK/XvL912W3pjIdkGZITicj+MZKsWrdIcCQAAALAVPdsAAAAAZJ45c6RTT5X231968UWpqedpi1dYsm8f8AAAIABJREFUKK1end4YAKikvET5kXyZWbpDAQAAACrl5ebRsw0AAABABvnoI+nEE6WddpJefllq2zbdEdGzDcgQJeUlDCEJAACAjBPJiaisoizdYaSMZBsAAADQnHz+uXT00T7B9tprUqdO6Y7I69SJZBuQAYrLi5UfyU93GAAAAEAVkVyGkUw7MysyMxfyaBVXrruZvWBmG8xsjZnda2b8lQEAAIDmYflyacAA6ccffaJtxx3THdFWhYXSDz9I5dn7xxOaFzMbkeDvyAtjypiZXWNmX5tZqZnNNrP90hl3fUWHkQQAAAAySSQnktXDSLZIdwANaJaka+KWbY7+w8wikmZKKpM0TFIHSXcFz2c1UYwAAABA41i71vdoW71amjVL6t073RFVVVjon7/7TuraNb2xAFUdIak05v9fxvz7KkmjJY2S9Imk30l6w8z2ds6tbLoQG05JeYkK8hhGEgAAAJkl23u2Nadk2/fOuXdqeP1USb0l9XLOfSVJZlYuaZKZ3eic+6wpggQAAAAaXHGxdPzx0uLF0owZUt++6Y6oumiybfVqkm3INPOdcxvjFwYjpVwl6Vbn3L3BsnmSlki6VNJ1TRlkQykuYxhJAAAAZJ5s79nWLIaRTNKx8n9EfRWzbKp8T7dj0hMSAAAAUE/r1knHHCO9+6709NPSUUelO6Jw0WQb87Yhe/xCUjtJk6MLnHPFkqbJ/32ZlRhGEgAAAJkoLzdPTk4VWyrSHUpKmlOybaCZlQSPmWa2b9zre8gP+1HJOVcm6YvgNQAAACC7rFghHXbY1kTbkCHpjiixTp38M8k2ZJ4vzOxHM/vUzH4ds3wPSRWS4kdBWaQs/huypLxEBRGGkQQAAEBmieRGJEllFWVpjiQ1zWUYybckPSbpc0k7SbpW0hwz+4lzbklQpqOkdSHvXRu8BgAAAGSPL76QBgyQVq3yQ0cOGJDuiGpGzzZknhXy87H9f/buPLyuqt7/+Hvl9Bw6l6FQRpmHApa2lHlqGQQUEEFAvIgTIM56FQW5KPDTi+IVHBC9CDhcwaIgokgtY5gppUArVijzJIW2DG2SJidN1u+PdUJCLLRpk+yzT96v59nPydl7J/nkWbTk9Hu+33U/UCDt7f3zEMLQGOOFpNeJDTHG7m+tfQ0YGkIoVd7A+aYQwinAKQBjxoyhvr6+j3+EldPQ0PBmlkVLFrG4bnHVZNPb67puyg/XLZ9ct3xy3fLJdcuvvl67555/DoBbb7+VYYPy9+awqiy2hRBGARus6L4Y46OVx291OX1nCOFmUhfblyrHqubI7IWSf+nkl2uXX65dvrl++eXa5Zdrl53hTzzBuK99jdDWxpzvf58lxSL0YC2yWLuwbBn7AU/PnMmzY8f26/eWlifGOB2Y3uXUtMo+bf8VQvjRKn7NS4BLACZNmhQnT5682jl7Q319PR1Z2h9oZ4uNt6BasuntdV035Yfrlk+uWz65bvnkuuVXX6/dnBlz4CnYfc/dWWfoOn32ffpKVRbbgGOAX6zEfWF5J2OM80MIdwMTu5x+DRi1nNvXAma/zdfJ7IWSf+nkl2uXX65dvrl++eXa5Zdrl5E77oCvfAVGjoQbb2TnVShcZbZ2o0ax+fDhbO5/N6peVwPHApuRXkMODyEUunW3rQU0de9qywvHSEqSJKkaFevSGMnW9taMk6yaqtyzLcZ4aYwxrOhY0ZepHB0epdtc/RBCCdiCbnu5SZIkSVXpz3+Ggw+GDTeEe+6BvHWIjR7tGElVu9jl8VHSeMmtut3zb/uB50WMkcZyI0OLQ7OOIkmSJL1FqVACoLXNYlvVCCGsD+wNzOpyehqwSwhh0y7njgDWAP7Wj/EkSZKknvvf/4WjjoJx4+DOO2GTTbJO1HPrrmuxTdXug8BC4FngHmAxafIKACGEocDhpNeXudPS1kIkWmyTJElS1SkWUmdbuS2XAySqdozkSgshjAPOA/5AekH0LuAMoB34YZdbrwbOBP4YQjiLNFLyQuDKGOPj/RpakiRJWlktLfD5z8MvfgGHHgq//z0MH551qlUzejS8+GLWKSQAQgjXAPcDc0gdbMdVji/EGNuB5hDCd4GzQgivkbrZ/pP0ptWfZJN69TS1NgEwrOQYSUmSJFWXvI+RzH2xDVhE2rvtPGAdYAlQDxwZY3yu46YYY2sI4RDgIuD3QAswFTitvwNLkiRJK+XFF+GDH4T77oNvfAPOPRcKhaxTrbrRo+Hhh7NOIXV4DPgEsAnpNeVc4MQY4/91uee7pOLaGaTXmw8AB8UYX+7nrL2isdwIYGebJEmSqk5HZ1tex0jmvtgWY3wReO9K3vsCcGTfJpIkSZJ6wV13pUJbQwNcfTUcfXTWiVZfx55tMUJY0RbMUt+KMX4D+MYK7onAdypH7nV0tllskyRJUrXJe2dbTe7ZJkmSJOVWjHDxxTBlCowcCTNm1EahDVKxrbkZmpqyTiINSG+OkSw6RlKSJEnVJe+dbRbbJEmSpGrR3Ayf/CR89rNw8MFw//2www5Zp+o9666bHhcuzDaHNEA1tjpGUpIkSdWpVCgBdrZJkiRJWh2PPAK77Qa//CWcdRb8+c+w5ppZp+pdo0enR4ttUiYcIylJkqRq1TFGstxWzjjJqrHYJkmSJGWpvR1+9COYNAleegmuvx7OPRfqavBX9Y5i24IF2eaQBqjGcupsG1ZyjKQkSZKqS97HSA7KOoAkSZI0YP3rX/Dxj8ONN8L73geXXQZjxmSdqu/Y2SZlys42SZIkVauOzjbHSEqSJElaeddeC+PGwZ13ws9+Bn/5S20X2gDWWw/23RdGjco6iTQgdRTbhhXtbJMkSVJ1sbNNkiRJ0spbsgS+9CW4/HKYOBGuuAK22y7rVP1jzTXh9tuzTiENWI2taYyknW2SJEmqNna2SZIkSVo5N9wAO+4Iv/wlnHEG3HvvwCm0ScqcYyQlSZJUrUqFEmBnmyRJkqS3M39+6ma76ioYOzaNjtxrr6xTSRpgmlqbKITCm/+QIUmSJFWLjjGS5bZyxklWjZ1tkiRJUl+JES67LBXYrr0Wzj0XHnrIQpukTDSWGxlaHEoIIesokiRJ0lvkfYyknW2SJElSX3jsMfjUp9IeZfvuC5dcAttum3UqSQNYU2uTIyQlSZJUlTo62/I6RtLONkmSJKk3NTXBt74F48bB7Nlw6aVw220W2iRlrmlZE8NKw7KOIUmSJP0bO9skSZIkpZGRV14Jp58OL7wAxx8PF1wA66+fdTJJAjrHSEqSJEnVxs42SZIkaaC7/37Yc0844QQYMwbuvDMV3iy0SaoijpGUJElStSoVSkB+O9sstkmSJEmr6sUX4cQTYbfd4Jln4PLLU+Ft772zTiZJ/6aptYlhRcdISpIkqfp0jJEst5UzTrJqLLZJkiRJPbVkCZx7LmyzDVx1VRodOW8efPzjUOev2JKqU2OrYyQlSZJUnUIIFEIht2Mk3bNNkiRJWlnNzfDzn8N//zcsWABHHw3nnw9bbJF1MklaIcdISpIkqZoVC0XHSEqSJEk1a9kyuOyy1Mn25S/DuHEwYwZcfbWFNkm50dTaxLCSYyQlSZJUnYp1xdx2tllskyRJkt5Oezv84Q+w445w0kmwwQZw883p2HXXrNNJUo80lhsZOsjONkmSJFUnO9skSZKkWtLeDtdcAzvvDMceC4UCXHst3HcfHHBA1ukkaZU4RlKSJEnVrFQo2dkmSZIk5d6yZXDFFfDud8MHPwiNjfDrX8OcOXDkkRBC1gklaZW0x3aWLlvqGElJkiRVrWJdkXJ7OesYq8RimyRJktTaCpdfDmPHwgknpKLalVfCP/8JJ56YOtskKceWti4FsLNNkiRJVatYyO+ebYOyDiBJkiRlpqkJfvUrOP98ePZZmDAB/vhHeP/7oc73pUmqHU2tTYDFNkmSJFWvYl1+92yz2CZJkqSB5+WX4ac/hYsvhkWLYPfd08eHHuqoSEk1qaPYNqzoGElJkiRVJzvbJEmSpDx49FG44AL4zW+gXIYjjoCvfAX23tsim6Sa1tjaCNjZJkmSpOplZ5skSZJUrWKE229PRba//AUGD4aPfQy+/GXYdtus00lSv3CMpCRJkqpdqVCi3FbOOsYqsdgmSZKk2tTYCFdcARddBH//O4weDWefDZ/5DKy7btbpJKlfvTlGsuQYSUmSJFUnx0hKkiRJ1eKJJ9L+a5dfDm+8AePHw2WXwfHHw5AhWaeTpEw0lh0jKUmSpOrmGElJkiQpS21tMH166mKbNg0GDYJjjoHPfQ722MP92CQNeI6RlCRJUrUrFopv/t6aNxbbJEmSlF8vvJA62C67DJ57DtZfP42KPOUU2GCDrNNJUtV4c4xk0TGSkiRJqk52tkmSJEn9Zdmy1L32i1/AX/8K7e1w0EHwgx/AEUdAqZR1QkmqOo2tjpGUJElSdSsVSu7ZJkmSJPWpp56CX/86dbG9+GLqYjv9dPjkJ2GLLbJOJ0lVzTGSkiRJqnbFQpFyWznrGKvEYpskSZKqV0MDXH01/OpXcPvtae+1gw+Gn/wEDjsMisWsE0pSLjSW7WyTJElSdXOMpCRJktRb2tvhjjtSge3qq6GxEbbeGr7zHfjIR2CTTbJOKEm509TaRLGuSLHgmxQkSZJUnYqFomMkJUmSpNUydy5ccQVceSU88wyMGAEf/jB87GOwxx6pq02StEqaWpvsapMkSVJVy3NnW13WAXpLCGHTEMLvQgivhhCaQgizQwiHdLtnoxDCtSGEJSGEhSGEi0IIvtqQJEnKyosvwg9+ABMnwg47wHe/C9tsA7/9LcyfD5dcAnvuaaFNUq8KIRwTQvhzCOHFEEJDCGFWCOH4bvfUhxDico7BWeVeHY2tjQwrDcs6hiRJkvS2inV2tmUqhLAJcC8wG/g40AiMB4Z0uacITAfKwIeANYELKo8n9HNkSZKkgeu11+Daa1MX2223QYywyy7wwx/CccfB+utnnVBS7ftP4Gngy8BC4L3AlSGE0THGn3S57zbgG90+t6V/IvYuO9skSZJU7UqFUm4722qi2AZ8H3gSeF+Msb1y7uZu93wQGAtsFWN8GiCE0ApMDSGcE2N8vN/SSpIkDTSLF8N118FVV8GNN0JrK2y5JXzzm2lU5DbbZJ1Q0sByeIxxYZfnt4YQNiQV4boW216NMd7Xv9H6hsU2SZIkVbtioUi5rZx1jFWS+2JbCGEUcBTw0S6FtuU5FJjZUWir+BOp0+0QwGKbJElSb2pogOuvTwW2adOgpQU22QS+8AU49tjUzeZ4SEkZ6FZo6/AQcHR/Z+kvja2NDCs6RlKSJEnVyzGS2ZoIFIEYQrgb2BV4Gfgp8N0YY6zctx0wt+snxhjLIYQnK9ckSZK0ut54IxXYrrkG/vY3WLoUNtwQTj01jYjcbTeoq5ltgyXVlj2Aed3OvSeE0FT5+E7gtBjjnP6N1TvsbJMkSVK1KxaKtMU2YoyEnL05txaKbR2bevwv8DPgv4ApwLeBN4CLK9fXAl5fzue/VrkmSZKkVbFoURoRec01cPPNUC6nAtsnPwnHHAN7722BTVJVCyEcABwJfKLL6duBXwNPAJsCZwJ3hhB2ijE+8zZf5xTgFIAxY8ZQX1/fh6lXXkNDA6+89grrrbFe1WTSijU0NLheOeS65ZPrlk+uWz65bvnVH2v3wrMvAHDzbTdTrCv26ffqbaGz8at6VEZDbrCi+2KMj4YQPgxcAVwVY/xQl69xOXBQjHGTyvPHgb/GGL/U7XvdBTwXY/zwcnJ0faG089SpU1fjp+qZhoYGhg8f3m/fT73Htcsv1y7fXL/8cu3yaY2XX2bErbey0cyZrDl7NqG9naXrr8/Cffdlwb77snjsWAtsVcw/d8mUKVNmxRgnZZ1D2QohbAbMAO6JMX7gHe5bH3gU+FX315XLM2nSpPjAAw/0VszVUl9fzyl/P4WdN9yZ3x39u6zjaCXV19czefLkrGOoh1y3fHLd8sl1yyfXLb/6Y+3Ov/t8vn7z12k4o4FhpeobgR5CeNvXkNXa2XYM8IuVuC+QOtMAbut27Vbg4yGEkTHGxZX7Ri3na6wFzF7eF48xXgJcAumFUn/+JeBfOvnl2uWXa5dvrl9+uXY5ESPMmQN/+lPqYnvooXR+u+3g9NPh6KMZMmECm4TAJtkm1Urwz52UhBDWBqYBzwL/8U73xhjnV7YumNgf2XpbU2sTQwc5RlKSJEnVq1QoAdDanr9926qy2BZjvBS4dCVv/2flsfsAz47n7ZXHR+m2N1sIoQRsAfx8FWJKkiTVtnIZ7rwT/vznVGB79lkIAfbcE84/nxnrr89uH/lI1iklaZWEEIYC1wMl4LAYY9MKPgUgVo7ccc82SZIkVbuO0ZHltnLGSXquKottPRFjfCaE8A9gf95aNDsAeDLG2FB5Pg34cAhh0xjjs5VzRwBrAH/rt8CSJEnV7JVXYNo0uP56mD4dliyBwYPhoIPgrLPgsMNgzBgAljpnX1JOhRAGAX8Atgb2jDG+shKfsz6wN3B5H8frE42tjVU5ikeSJEnqUCykYltrm51tWTkLuCaE8H3gRmAy8BHgxC73XE3a0PqPIYSzSCMlLwSujDE+3r9xJUmSqkSMMHs23HAD/OUvMGNGOrfhhnD88am4tv/+MMx/oJVUUy4G3gt8EVgnhLBOl2sPAdsC55EKcs8C7wLOIE1O+WH/Rl19bbGNclvZzjZJkiRVtY7ONsdIZiTGeG0I4URSMe2LwHPAZ2OMV3S5pzWEcAhwEfB7oAWYCpyWQWRJkqTsvPEG3HRT6mCbNg1eeimdnzQJzj47FdgmTEgjIyWpNr2n8vij5VzbHFhE2prgPGAdYAlQDxwZY3yuPwL2ppa2FgCGFX3jhCRJkqqXnW1VIMb4W+C3K7jnBeDI/kkkSZJUJTq616ZPTx1sd98NbW0wahQcfDAcemh63GCDrJNKUr+IMW62Ere9t69z9Jfm9mYAO9skSZJU1exskyRJUnV55ZXUvTZ9Otx4I7z8cjo/fjx8/eupwLb77jDIXwclqdY1t1lskyRJUvUrFUqAnW2SJEnKSksL3HNPKqxNnw4PPZTOjx4NBx2UOtfe8x671yRpAGppr4yRLDlGUpIkSdWrY4xkua2ccZKes9gmSZKUR+3tMGcO3Hxz6mC7805YujR1qu2xB3z726nANnEi1NVlnVaSlKGlbUsBO9skSZJU3RwjKUmSpL739NNw662pwHbLLbBgQTo/diycdFLqYNtvPxg5MtuckqSq0tKWOtsstkmSJKmadXS2OUZSkiRJvWf+fLjttlRYu/XWVGwDGDMmjYQ86CA44ADYeONsc0qSqlpze9qzbVjRMZKSJEmqXna2SZIkafUtXAh33AH19am49o9/pPOjRsHkyfDlL8P++8P220MIWSaVJOVIc1sqttnZJkmSpGpmZ5skSZJ67tVX4fbbU3Gtvj7twQYwdCjstRd85COpc23CBCgUskwqScqxlnbHSEqSJKn6lQolwM42SZIkvZMFC1Ln2u23p+Pvf4cYYciQVFz79rdhyhSYNAlKpazTSpJqREdn27CSYyQlSZJUvTrGSJbbyhkn6TmLbZIkSX3lX//qLK7dcQfMnZvODxkCe+4J55yTimu77mpxTZLUZzr2bLOzTZIkSdXMMZKSJEkDXYzwxBOpqHbnnel46ql0bcQI2HvvNBZyv/1g550trkmS+k1LWxojOWTQkIyTSJIkSW+vo7PNMZKSJEkDxbJlMHs23H13Z3Ht5ZfTtdGjU3Hts5+FffeF8eNhkL92SZKy0dzezOBBgynUuf+nJEmSqpedbZIkSbWuoQHuuy8V1+66C+69Fxob07V3vQsOOgj22Scd220HIWSbV5Kkiua2ZkdISpIkqerZ2SZJklRLYoTnn4d77knH3XenLra2tlRE22kn+NjHUvfaXnvBJptknViSpLfV3G6xTZIkSdWvVEhbbpTbyhkn6TmLbZIkSeVyKqZ1La69+GK6NmwY7LYbnHFGKq7tvjuMGpVtXkmqISGEfYC1Y4zXVZ6PBn4MbA/cApweY8zfW1urSHNbM8OKw7KOIUmSJL0jx0hKkiTlyfz5aQxkx/HAA9DcnK69611pFORee8Gee8K4ce63Jkl963zgeuC6yvMfAQcA1wIfA1qAb2SSrEa0tLUwtGRnmyRJkqqbYyQlSZKqVUfX2n33dRbXnnkmXSuVYOJE+PSnYY890rHxxpnGlaQBaFvgHIAQwlDgA8AnYoxTQwgzSYU2i22rwTGSkiRJygM72yRJkqpBx15rM2ak4tp998GsWdDSkq5vuGEqqH3uc+lx4kQYPDjbzJKkElBpL2Yv0uvUv1aezwM2yCJULWlua2bd0rpZx5AkSZLeUSEUADvbJEmS+teSJTBzZiqudRzz56dra6wBkyalwtruu6fDrjVJqkaPAocA9cB/APfGGJdUrm0IvJpRrprR0t5iZ5skSZKqXgiBUqFkZ5skSVKfaW2Ff/wD7r+/s7A2d27qZgPYems48EDYddfUtTZuXBoTKUmqducCfwghfBIYBby/y7VDgIcySVVDmtscIylJkqR8KNYVKbeVs47RYxbbJElS9YkRnn46FdY6jgcfhKVL0/W114bddoNjjkmPu+6azkmScifG+OcQwlhgAvD3GOO8LpfvBeZkk6x2NLc3M6w4LOsYkiRJ0goVC0XHSEqSJK2S+fPTOMiZM1Nh7YEHYNGidG3w4LS32qc+lYpqu+0Gm28OIWSbWZLUa2KMTwFPLef8JRnEqTktbY6RlCRJUj4U64qOkZQkSVqh115LxbQHHoCZM9n9rrtgwYJ0ra4OdtgBjjwSdtklFdd23BGKxWwzS5L6VAhhHHAmMAnYGNgjxvhgCOE7wF0xxmmZBsy55nbHSEqSJCkf7GyTJEnqbsmSNP6xS3GNJ5/svL7VVrzx7ncz+LDDUnFtwgQY5pgrSRpIQgiHAn8G7gF+A3yry+UW4POAxbZVVG4r0xbbHCMpSZKkXCjWWWyTJEkDWWMjPPxwZ2HtgQfgscfS/msAm2ySCmonnQSTJsHOO8Naa/HP+nrGTJ6caXRJUqbOA34VYzw5hDCItxbbHgZOzSZWbWhqbQKws02SJEm5UCqUHCMpSZIGiKamVFibNavzmDsX2tvT9Q03TMW0449Pj5MmwZgx2WaWJFWr7YCvVj6O3a4tBtbu3zi1xWKbJEmS8qRYKFJuK2cdo8cstkmSpHfW0ACzZ7+1sPbPf3YW1saMSQW1o47q7FjbcMNsM0uS8uQVYIu3ubYD8Fw/Zqk5jeVGAIaVHCMpSZKk6jcgxkiGEAYD+5I2rB7c7XKMMf6st4JJkqQMLF4MDz2U9lmbNSs9Pvpo5yjI9ddPxbSjj06PHYW1ELLNLUnKs6nAuSGEucC9lXMxhLAN8HXgssyS1QA72yRJkpQnxUKxtsdIhhD2Bq4B1n2bWyJgsU2SpLx49dXOwlrHMW9e5/WOUZDHHQcTJ6bDwpokqfedBWwP3A7Mr5y7DlgfuBH474xy1QSLbZIkScqTgdDZ9mPgKeA9wNwYY/5+WkmSBqr58zsLaw89lLrWnnmm8/qmm6Zi2gknpALbxImpi02SpD4WY2wBDgshHAAcAIwGXgVuiTHelGm4GtDYWhkjWXSMpCRJkqpfzXe2AdsCR8UYZ/dVGEmStJpihGeffWth7cEH4aWXOu/ZckvYdVc49dTOjrV11skusyRJQIzxFuCWrHPUGjvbJEmSlCelQunN32HzpCfFtjmkMR6SJKkatLWlsY8dRbWO47XX0vW6Oth+ezjwwM6i2k47wahR2eaWJKmbEMIawCeASaQ9wj8XY3w8hHAcMCfG+M9MA+aYxTZJkiTlSbGuSLmtnHWMHutJse3TwK9CCM/EGG/vq0CSJGk5mpvhkUfeWlSbMweaKu/0WWMNGDcOjjkGJkxIx7hxMGRItrklSVqBEMI2wE3AKGAWMBkYUbm8D/A+4MRMwtWAxnJljGTJMZKSJEmqfgNhjORNwFDg1hBCGVjS/YYY43q9FUySpAHrtddg9uy3Ftb++c/UyQYwciSMHw8nn5y61SZMgO22g2Ix29ySJK2aHwPPAYcDDUDXt7HeDnwvi1C1ws42SZIk5Umxrkhre20X234KxL4KIknSgBMjvPACPPxwKqh1PD7zTOc9G2yQimnvf39nx9rmm0MImcWWJKmX7QMcE2N8PYRQ6HbtZWCDDDLVDIttkiRJypOa72yLMZ7dhzkkSapty5al/dW6FtUefhgWLUrXQ4Ctt4Zdd4VPfSoV1caPhzFjss0tSVLfawbebu7xRsDr/Zil5jS2pjGSQwY5WlqSJEnVbyB0tlWlEMJmwNNvc3lejHHbLvduBFwEHAi0AFOBr8UYm/o4piRpIGlshL//vbOg9vDDaX+15uZ0vVSCd78bjjyys6g2bhyMGPHOX1eSpNp0E/CNEMLNpDGSADGEsAbweeCGzJIBIYTtgZ8Ae5AKf5cC58QY27LMtbKaWpsYXDeYYFe8JEmScqBUKNVeZ1sI4ffAGTHGJysfv6MY47G9lmzlvUR60dPVEOBGYFrHiRBCEZhOmv//IWBN4ILK4wn9klSSVHtefrmzoNZRXJs3L42IBFhrrVRMO/XUzjGQ7q8mSVJXpwF3A0+QCm8R+CawA1ACjsoqWAhhLeBmYC7wfmBL4AdAHfBfWeXqiabWJtYorJF1DEmSJGmlFOuKlNvKK76xyqyos21doONfA9ejCvdsizG2APd1PRdCOIb0s/2uy+kPAmOBrWKMT1fuawWmhhDOiTE+3k+RJUl51N4OTzzx74W1+fM779l001RMO/74VGCbMAE22cT91SRJegcxxudDCDsB/wkcADxJ2qftD8AFMcZFGcY7lfRmzqNijIuBm0III4GzQwjnV85VtcbWRgbXDc46hiRJkrRSioUaHCMmjh2OAAAgAElEQVQZY5zS5ePJfZ6m9xwPPBVjnNHl3KHAzI5CW8WfSJ1uhwAW2yRJSVMTPPJIZ2GtYwxkY9rzhEGDYIcd4OCDO4tq48alLjZJkrTSQgiDSSMaL4sxngWclXGk7g4Fpncrqk0FvgfsB/wlk1Q90NTaxOCCxTZJkiTlQ7GuWHtjJPOo8i7DQ0kjIrvajjT6400xxnII4cnKNUnSQPTKK28tqj38MDz2WOpkAxg1KhXUTjoJdtopFdbGjoU1HMckSdLqijE2hxA+BFyRdZa3sR1wa9cTMcbnQghNlWsW2yRJkqReVJOdbd2FEEaQ5tRvA/zbb+sxxq/1Uq7VcSQp29Ru59cibWbd3WuVa5KkWtZ9DGTH8dJLnfe8612poHbMMamoNn58Gg3pGEhJkvrSrcAUoD7jHMuT+9eRjeVG1qjzTUKSJEnKh47OthgjIUf/JhdiXLlt2EIIWwL3kObVDwMWAGuTCnavAW/EGLfolVAhjCLN6H9HMcZHl/O504BNYow7djv/OPDXGOOXup2/C3guxvjh5XytU4BTAMaMGbPz1Knd63d9p6GhgeHDh/fb91Pvce3yy7XLt67rV9fSwrCnn2b4E08w/PHHGf7kkwx/8kkKzc0AxLo6GjfbjIYtt6Rh661p2GorGrbckmUjR2b5IwxY/tnLL9cuv1y7ZMqUKbNijJOyzjHQhRDeA1wK/B64AXiZbvuFxxjnLudT+1xln+/TYow/7Hb+BeA3McZvdDuf2WvItzN38VyampqYtL7/qeeNf1fnk+uWT65bPrlu+eS65Vd/rd1vnv0Nv3zml9y8780UQqHPv19PvNNryJ50tl0IzASOARqB9wKzgeOA8yqPveUY4Bcrcd9bypohhHWAA4Gzl3Pva8Co5Zxfi/Rz/JsY4yXAJQCTJk2KkydPXolIvaO+vp7+/H7qPa5dfrl2ObVgATz8ME9efz1bLlmSutUefbRzDOSIEalDbf/90+P48YTtt2f44MH4q1118M9efrl2+eXaqcr8rfL4n5Wja6EtVJ5n9Sr7nV5Hvtb9ZJavId/OZCb7Zz6nXLd8ct3yyXXLJ9ctn1y3/OqvtbvvrvvgGdhz7z0ZUhzS59+vt/Sk2LYrcBLQUnleijG2AVeGEEYDPwL27I1QMcZLSe9s7KkPkn6m5b198FG67c0WQigBWwA/X4XvJUnqT+3t8PTTqZj20EOdYyBffBGALQE22SQV1I4+unN/tc02g7q6LJNLkqR3NiXrAO9gea8jNwGGVq5JkiRJ6kXFuiIAre2tDKE2i22DgcUxxvYQwqvAhl2uPQLs1KvJVs3xwP0xxieXc20a8OEQwqYxxmcr544A1qDznZSSpGrQ0gJz53YW1R56CGbPhiVL0vVCAcaOhcmT39xb7e6GBvZ6//szjS1Jknouxnh71hnewTTgtBDCiBhj5RcRjgOWAtWcW5IkScqlYqFSbGtrzThJz/Sk2DYP2LTy8UPAqSGEG4A24JPAv3o5W4+EEDYE9gG+8ja3XA2cCfwxhHAWaRTIhcCVMcbH+yelJOnfvP56KqR17Vb7xz9g2bJ0ffjw1KV24olvjoFkhx1gyFvf2dJaX9//2SVJUq37OfAF0uvI75Emo5wNXBBjXJxlMEmSJKkWde1sy5OeFNumAuOB/wPOAqYDi4H2ytf5aK+n65ljK4+/X97FGGNrCOEQ4KLKPS2kn+m0/oknSQNcjGnkY9cxkA89lEZDdlh//dSp9t73vtmxxpZbOgZSkqQaFkJo5637tHUVSa87ZwM/jjFe22/BgBjjayGEA0ivI/8CvE560+bZ/ZlDkiRJGihqvrMtxnhBl4/vCyHsCBwCDAFujTE+0gf5VlqM8YfAD1dwzwvAkf2TSJIGsPZ2ePzxVEzreixc2HnP1lvDLrvAySd3FtbWXz+7zJIkKSv/WTkWkwpaC4D1gMOBEcBlpCkmV4cQPhpj/G1/hosxzgX278/vKUmSJA1UpUIJgHJbOeMkPbPCYlsIYXNgaYxxfpdzn+lyyzJgImnfNknSQNPSksY+di2qzZ4NjY3perEIO+4Ihx+eimoTJqSxkCNGZJtbkiRViw2Bu2OMx3c7f3oIYSqwVozxwBDCb4CvAf1abJMkSZLUf2pyjGQI4WDgBuAI4K+VcwXSCI2uYgjh5Rjj9D5JKUmqDkuWdO6v9uCD6XHuXGit/M9v+PDUofaJT3QW1rbfHkqlbHNLkqRq9nHgP97m2i+BK4EvAVcBx/RXKEmSJEn9r1bHSJ4ETIsx/nU51ybFGB8ECCFcXLnXYpsk1YpFizo71R58MB2PP572XgNYb71UTDv00M7CmvurSZKknhsEbAfcuJxrY4GOXy7KQHN/hZIkSZLU/2qysw3YA/j6Snyd6cBPVz+OJCkT8+d3FtQ6jmef7by+6aapmHbCCelx4kTYYAMIIbvMkiSpVkwFzgshDKJzz7Z1gfcD55K62yBtX/BoJgklSZIk9Yta7WxbF3i264kYY1sI4TTg+S6nF1XulSRVsxjhhRdg1qy3FtZeeqnznm22gd13h898JhXVJkyAddbJLrMkSap1XyR1rX0b+H6X8y3AL4DTKs9nALf0bzRJkiRJ/alUSNvR1Fpn2xLg3/6FNcb4g26nRlfulSRVixjhmWdSMa2juDZrFixcmK7X1aX91A46KBXVJk6EnXaCkSMzjS1JkgaWGGMZ+GII4RxgHDAGmA/8Pcb4apf76rNJKEmSJKm/dIyRLLeVM07SMysqts0CDgeuW8F9h1fulSRloaOw9sADqaDWUVx7tfLvU4MGwQ47wBFHpKLazjvDuHEwdGimsSVJkjpUCmv1WeeQJEmSlJ1aHSP5M+DqEMLtMcb/W94NIYQTgBOBD/Z2OEnScsSY9lPrKKx1PL72Wro+aBC8+91w1FGpqLbzzun54MHZ5pYkSXobIYRxwJnAJGBjYI8Y44MhhO8Ad8UYp2UaUJIkSVK/6Ohsq6kxkjHGP4UQfgL8OoTwWeBG0l5tkfQC6D3AbsCPYowr6n6TJPVUjPDii6mg1vVYtChd7yisHX10KqpNmpSer7FGtrklSZJWUgjhUODPwD3Ab4BvdbncAnwesNgmSZIkDQC12tlGjPHLIYR60qbVpwEd/4LbAtwLfCDG+Oc+SyhJA8krr8DMmamg1vH48svpWqEAO+4IRx751sKaHWuSJCnfzgN+FWM8OYQwiLcW2x4GTs0mliRJkqT+VpOdbR0qXWvXhRAKwDqV04tijG19lkySat0bb3QW1TqO559P10KAsWPhkENSUW3SJNhpJxgyJNvMkiRJvW874KuVj2O3a4uBtfs3jiRJkqSslAoloAY727qqFNde6aMsklS7mpvh4Yfh/vtTUe3++2HevM7rW24Je+0Fu+ySjgkTYPjw7PJKkiT1n1eALd7m2g7Ac/2YRZIkSVKGOsZIltvKGSfpmR4V2yRJK6GtDR57LBXUOo7Zs2HZsnR9gw1SQe3EE9PjpEmwtm/YliRJA9ZU4NwQwlzSVgUAMYSwDfB14LLMkkmSJEnqVzU9RlKS9A7+9S+YMSMd99+fRkMuWZKujRyZCmqnnQa77po+3mijbPNKkiRVl7OA7YE7gJcq564D1gduBP47o1ySJEmS+llHZ1tNj5GUpAGvqQlmzeosrs2Y0bnP2qBBMH48fOQjsNtuqbi2zTZQV5dtZkmSpCoWY2wBDgsh7A8cCIwGXgVuiTHelGk4SZIkSf3KzjZJqjUxwhNPwH33dR6zZ6cxkQCbb572Wdttt3RMmACDB2ebWZIkKUdCCAE4CNgdGFM5/QJpnOTNWeWSJEmSlA072yQp5wpNTXDLLXDvvem47z549dV0ccSI1Kl2+umdxbX11ss2sCRJUo6FECaQ9mvbCmgDFgIBWAcoAI+HED4UY3w4u5SSJEmS+lOpUALsbJOkfOjoWrvnnjeLa3s/8gi0t6fr228PH/gA7L57OsaOhUIh28ySJEk1IoQwBphO2qPtvUB9ZZwkIYQ1gP2B7wHTQwjvjjG+kllYSZIkSf2mY4xkua2ccZKesdgmaWBYujTttXb33anAds89sHBhujZyJOy+O89OmMBmxx+futbWXDPbvJIkSbXt88BSYJ8Y4+KuFypFt2khhHuBh4HPAd/s/4iSJEmS+lsIgUIoOEZSkqrCK6+kwtpdd6XC2qxZ0Fr5C3rrreGww2CPPWDPPVMXW10dz9TXs9nkyZnGliRJGiDeA1zcvdDWVYzx9RDCz4CjsdgmSZIkDRjFQtExkpLU72KEefM6i2t33QWPP56urbEG7LILfPnLqbC2556w7rrZ5pUkSdJWwIMrcd8s4Ot9nEWSJElSFSnWFe1sk6Q+t2wZPPww3HlnOu66CxYsSNfWWQf22gtOPjk97rxzKrhJkiSpmowC3liJ+5YAI/s4iyRJkqQqYmebJPWF5maYMSMV1u64A+69Fxoa0rXNN4dDD4V99oG994Ztt4UQss0rSZKkFQlA7MG9kiRJkgaIUqFEua2cdYwesdgmqfo0NqZ91u64A26/PRXayuVURNtxRzjxxFRc22cf2GijrNNKkiRp1UwPISxbwT2+ZpUkSZIGmGKdnW2S1HNLlqT91urrU3HtgQfSqMhCASZOhC98AfbdN3WurbVW1mklSZK0+s7JOoAkSZKk6lQsuGebJK1YQ0Nnce2221Jxra0NikXYdVc47TTYbz/Yc08YMSLrtJIkSeplMUaLbZIkSZKWy842SVqe5uY0FvLWW9Mxc2bqXBs0KBXXTj8dJk9OxbWhQ7NOK0mSJEmSJEnKiJ1tkgSpkPbAA3DLLam4dvfd0NKSxkJOmpQ61yZPhr32gmHDsk4rSZIkSZIkSaoSdrZJGphihEcfhZtvhptuSuMhlyxJ13baCT7zGTjgANhnHxg5MtOokiRJkiRJkqTqVSqUKLeVs47RIxbbJK2a+fNT59pNN6Ui24svpvNbbgkf/nAqrk2ZAqNHZ5tTkiRJkiRJkpQbjpGUVLuam+Guu+DGG2H6dJgzJ51fZ51UWDvwwHRsvnm2OSVJkiRJkiRJueUYSUm1o2M0ZEdxrb4eli6FUgn23hu++1046CAYPx7q6rJOK0mSJEmSJEmqAcVCkabWpqxj9IjFNkmdGhrg1lth2rR0PPtsOr/NNnDSSXDwwTB5MgwblmlMSZIkSZIkSVJtsrNNUr7ECI89lgprN9wAd9wB5XIqph14IJxxRiqwbbZZ1kklSZIkSZIkSQOAe7ZJqn4tLamodv316XjqqXR+++3h85+HQw9NYyLXWCPbnJIkSZIkSZKkAadUKFFuK2cdo0dqotgWQhgJnAscCawHPAv8L/CjGGPsct9GwEXAgUALMBX4WowxX8M/pZ565ZXUuXb99Wn/tYYGGDw4da999avw3vfCpptmnVKSJEnqc5XXj18BDgW2BZYC9wJfjzHO63LfZsDTy/kSV8UYP9T3SSVJkqSByTGS2fkVsC/wDeAJYApwARCACwFCCEVgOlAGPgSsWblnTeCEfk8s9bVHH4U//Qmuuw5mzEgjIzfaCP7jP+Cww2D//WHo0KxTSpIkSf3tXcDJwGXAmcBQ4AxgRghhXIzx+W73fxW4u8vzhf2SUpIkSRqgHCOZgRDCUOD9wJdijJdUTt8aQtiBVFS7sHLug8BYYKsY49OVz20FpoYQzokxPt7P0aXe1daWimodBbZ5lTflTpoE55wDhx8OO+0EIWSbU5IkScrW08CWMcalHSdCCHcCzwGfAM7pdv9jMcb7+jGfJEmSNKDZ2ZaNAlAHvNHt/OvAxl2eHwrM7Ci0VfyJ1Ol2CGCxTflTLsOtt8If/5gKbK+8AoMGpa61L34RjjgCNt54xV9HkiRJGiBijI3LOfdqCOFZYMMMIkmSJEnqolhnZ1u/izEuCSH8HvhaCGEO8CSwH3As8Nkut24HzO32ueUQwpOVa1I+LF0KN94I11wDf/kLvP46DB8O73sfHHkkHHoojBqVdUpJkiQpN0II6wJbAZcv5/IvQwhrA68AvwPO7NoVJ0mSJKl3lQolO9syciJwBfBQ5XkEzogx/rrLPWuRut26e61yTapejY3w17/C1VfDDTek52utlYprRx0FBx0EgwdnnVKSJEnKqx8ADaT9wDu0AD8FbgQWA5OBrwNbkrYykCRJktQHioUi5bZy1jF6JMQYs87wb0IIo4ANVnRfjPHRyv0XA4cDZwFPAXtXPv5cjPGyyj2PA3+NMX6p2/e6C3guxvjh5eQ4BTgFYMyYMTtPnTp1dX6sHmloaGD48OH99v3Ue3pr7epaWlh7xgzWq69nnXvvpdDcTHmttVi4994s2HdfXh8/njioVurl1cE/d/nm+uWXa5dfrl1+uXbJlClTZsUYJ2WdQ6uvp68hu33up0lFtaNjjNeu4Pt8GrgYGB9jnL2c65m9hnwn/pnPJ9ctn1y3fHLd8sl1yyfXLb/6c+0uffpSfvfc77hlv1v65futrHd6DVmt/1J/DPCLlbgvhBDGAZ8G3hNjvKly/o4Qwgjgf0IIv4wxtpM62JY3W28t4N9eJAHEGC8BLgGYNGlSnDx5cs9+itVQX19Pf34/9Z7VWruWljQi8qqr0h5sDQ2w7rrwsY/BccdR2mcfNiwU3Eiij/jnLt9cv/xy7fLLtcsv1041aKVfQ77lSQhHAD8Bvr6iQlvF1aRi284s53Vklq8h34l/5vPJdcsn1y2fXLd8ct3yyXXLr/5cu3rqaX+unf32248Qwoo/oQpUZbEtxngpcOlK3t6x39rD3c4/BKwJrAMsAB6l295sIYQSsAXw81UOK62u9na480644gr4wx/SHmxrrw0f+hAcdxxMngx2sEmSJElvq4evIQEIIewFTAV+HmP8/sp+q26PkiRJknpZsa4IQGt7K6VCKeM0K6cW/gX/2crjRGB6l/M7A43AwsrzacCHQwibxhg7PucIYA3gb/0RVHqLOXNSge13v4Pnn4dhw9L+a8cfDwceCMVi1gklSZKkmhRC2AH4C+m14Bd68KkfrDzO6vVQkiRJkoC0ZxtAa5vFtv70QOW4PITwTeBp0p5tXwJ+FDs3pbsaOBP4YwjhLNJIyQuBK2OMj/d/bA1IL74Iv/1tOh55JHWsHXwwfO97cMQRqeAmSZIkqc+EENYjFdkagB8Du3YZTbM4xji3ct/ZwAjgbmAxsC9wGvDHGOOcfo4tSZIkDRgdBbbW9taMk6y83BfbYoxtIYTDgW8D3wTWJXW7nQ38oMt9rSGEQ4CLgN8DLaSRIaf1d2YNMM3Naf+1X/0q7cfW3g577AE//Skcc0zak02SJElSf9ke2Ljy8W3drt0OTK58/CjwVeAkYAjwHPB94Dt9H1GSJEkauDrGSJbbyhknWXm5L7YBxBjnk14Arei+F4Aj+z6RBrwYYebMVGD73e/SPmwbbwxnnAEf/ShsvXXWCSVJkqQBKcZYD6xwl/UY41TSGzQlSZIk9aOuYyTzoiaKbVLVWLSIja++Gj77WZg7FwYPhqOPho99DKZMgUIh64SSJEmSJEmSJFWtjs42x0hKA0mMcMcdcMklcM01bNXSArvvnp4feyyMGpV1QkmSJEmSJEmScsHONmkgWbAAfv1r+MUvYN68VFQ7+WRmjh/PLp/8ZNbpJEmSJEmSJEnKnTx2ttVlHUDKnfvvhxNPTHuwnXYarLtu2pvtX/+Cn/yExi23zDqhJEmSJEmSJEm5VCqUADvbpNrT3Ay//z1cdBHMnAnDh8Mpp8Cpp8IOO2SdTpIkSZIkSZKkmtAxRrLcVs44ycqz2Ca9k+efh5//PI2KXLAAtt0WfvKT1Nk2cmTW6SRJkiRJkiRJqil5HCNpsU1anlmz4Ac/SN1sMcLhh8PnPgcHHAAhZJ1OkiRJkiRJkqSa1NHZ5hhJKY/a22HaNPif/4H6ehgxAr74Rfj852GzzbJOJ0mSJEmSJElSzbOzTcqj5ma44orUyfbPf8LGG6eC20knwahRWaeTJEmSJEmSJGnAsLNNypOGBvjZz1KR7eWXYfx4+O1v4dhjoVjMOp0kSZIkSZIkSQNOqVACoNxWzjjJyrPYpoHn9dfhoovgwgvh1VfhoIPg9NNhyhT3Y5MkSZIkSZIkKUOOkZSq2cKF8KMfwY9/DIsXw+GHw5lnwm67ZZ1MkiRJkiRJkiThGEmpOi1YAOefn0ZGNjXB0UenItv48VknkyRJkiRJkiRJXdjZJlWTxYvTfmwXXJCKbMcfD9/4Bmy/fdbJJEmSJEmSJEnSctjZJlWDpUvh4ovhvPNg0aLUyfb//h+MHZt1MkmSJEmSJEmS9A7y2NlWl3UAqde0tsIll8DWW8NXvwo77wwzZ8LVV1tokyRJkiRJkiQpB0qFEgDltnLGSVaexTblX4zwpz/BDjvApz4Fm2wCt90G06fDpElZp5MkSZIkSZIkSSspj2MkLbYp3+bMgQMOgA98AIpFuO46uOcemDw562SSJEmSJEmSJKmHHCMp9ZcFC+DTn4YJE2D2bLjoovR4xBEQQtbpJEmSJEmSJEnSKshjZ9ugrANIPVIuw09/CuecAw0N8LnPwbe+BWuvnXUySZIkSZIkSZK0mgqhAOSrs81im/LjllvgM5+BefPg4IPhwgth7NisU0mSJEmSJEmSpF4SQqBYV8xVZ5tjJFX9Fi6Ej34UDjwQ2tvh+uth2jQLbZIkSZIkSZIk1aBSoUS5rZx1jJVmsU3VK0b47W9TUe3KK+HMM2HOHHjf+9yXTZIkSZIkSZKkGlUsFB0jKa22J5+ET38abroJ9tgDLrkEdtwx61SSJEmSJEmSJKmPOUZSWh3LlsH558O73w333QcXXwx33WWhTZIkSZIkSZKkAcLONmlVPfEEnHACzJgBRx0FP/4xbLRR1qkkSZIkSZIkSVI/Ktblq9hmZ5uyFyNceimMHw/z5sFVV8E111hokyRJkiRJkiRpACoVSo6RlFbawoWpi+3kk2G33WDOHDj22KxTSZIkSZIkSZKkjBQLRcpt5axjrDSLbcrO9Olpb7YbboD/+R+46SbYeOOsU0mSJEmSJEmSpAw5RlJakeZm+OIX4ZBDYJ114P774StfgTr/c5QkSZIkSZIkaaArFoq5GiM5KOsAGmCeey6NjZw1KxXczjsPhgzJOpUkSZIkSZIkSaoSeetss9im/nPbbWk/tnIZrrsOjjgi60SSJEmSJEmSJKnK5K2zzbl96nsxwg9/CAcdBKNHp7GRFtr0/9u79zi7xnvx459vJjMkIpGGJCgiqFspp9FDS6VUqkLRCkURpc7PpaoXbU9pG+X04t5KKXFcznEr0dBGCaFJRGnVqbY0aOtWcUldIyZMMnl+f6w9sWdnrjszs2bNfN6v137t7LWetdZ372eWvb+etb6PJEmSJEmSJEktqKupK9SdbQ62qXvV18MRR8CXvwz77Qe/+x1suWXeUUmSJEmSJEmSpF6qdkAtDY0NeYfRYQ62qfs8/TR85CNw3XVw1llw880wdGjeUUmSJEmSJEmSpF7MMpI5iIg1IuL8iHgxIpZGxL0RMa6FdhtGxIyIeDMiXo6IqRExOI+Y+7y5c+GDH4SnnoKZM+G002BAn/hzkyRJklRwETEnIlILjzUr2plDSpIkSTmoHVBbqDKSA/MOoIv8BPgs8A3gGeBkYHZEfCCl9AxARNQCs4CGUtt1gPNLz5/LI+g+65Zb4LOfhbFj4dZbYYst8o5IkiRJkir9BvhWxbJ3mv5hDilJkiTlp2h3thV+sC0i3gscCxyXUvrv0rJ7gCeBU4GTSk0PArYGNk8pPVVqtwy4ISLOSCn9rceD74uuuAK+8AX40IeyO9pGjMg7IkmSJElqyasppQfaWG8OKUmSJOWkaHe29YW6ftuRvY+7mhaklN4B5gETy9p9EniwKUkquYXsKsW9eyDOvu/ss+GYY2CvvWD2bAfaJEmSJBWZOaQkSZKUk7qaOhoaG/IOo8P6wmBbU039yk+9AdgkIgaVXm8FPFbeIKXUAPyjtE7VSglOPRW+8Y2sfOQvfwlrrZV3VJIkSZLUlgkRUV96zIqI7SvWm0NKkiRJOakdUKwykn1hsO3vpeedmhZERJReBzC8tHg48HoL279W1kadtXw5HH00nHsunHgiXHst1NXlHZUkSZIktWUu8CXgE8BxwMbAvRExpqyNOaQkSZKUk9qaYpWRjJRS3jGsIiKGAeu31y6l9Fip/XxgPeAw4FngK2TztdUA66eUXoyIvwG3pZROqTjWfODZlNJhLcRxHFnixahRoz54ww03rNb76owlS5YwZMiQHjteNQa88w7bfO97rPvb3/LU5Mk8c+SREJF3WLkrQt+pZfZdsdl/xWXfFZd9V1z2XeZjH/vYQymlcXnHodXX2Ryyhe1Hk93FdlVTzli0HLItnvPFZL8Vk/1WTPZbMdlvxWS/FVdP993F/7iYXz3/K27f7fYeO2Z72sohB/Z0MB00CZjWgXZNIzuTgZuAP5RePwr8GPgi8Epp2WvAsBb2MRz4U0s7TyldBlwGMG7cuDR+/PgOhNQ15syZQ08er9MaGuBTn4L774ef/pRNTziBTfOOqZfo9X2nVtl3xWb/FZd9V1z2XXHZd+qDOptDNlO6QPM+4N/KFhcqh2yL53wx2W/FZL8Vk/1WTPZbMdlvxdXTfXfH8jtY8cKKwvy99Moykimly1NK0d6jrP3fU0o7ApuR1c7fDlgD+L+UUtN9ho9RUVc/IuqAsVTU4Vc7GhvhyCNh1iyYNg1OOCHviCRJkiT1Y53NIVvbTenRxBxSkiRJyknTnG29sTpjS3rlYFu1UkpPppQeB0YABwP/Xbb6dmCniNikbNmnyAbl7ui5KAsuJfjiF+HnP4ezz4Zjjsk7IkmSJElaLaUykrsCD5UtNoeUJEmSclJXU0ci0Zga8w6lQ/rEYFtEnBwRh0fE+Ij4AvB74C80H2ybTghmpu4AACAASURBVHb14S8iYp+IOBSYClyXUvpbz0ddUN/9LlxyCXz963DqqXlHI0mSJEmdEhHbR8RtETE5Ij4WEUcBc4AVwIVlTc0hJUmSpJzU1tQCsKxxWTste4feOmdbZw0CzgI2ABYB1wFnpJRWNDVIKS2LiL3JkqMbgXeAGwBHjDrqxz+GM8/M7mb74Q/zjkaSJEmSqvEK2dxtPyCrivIm2WDbASmlZ5samUNKkiRJ+akdUBpsW7GMQQzKOZr29YnBtpTSj4AfdaDdc8AB3R9RH3TNNXDKKfDpT8PPfgbR3nQHkiRJktT7pJQWAvt0sK05pCRJkpSDot3Z1ifKSKqbzZwJkyfDHnvAtdfCwD4xRitJkiRJkiRJknqh8jvbisDBNrVt/nyYNAl23BFuuQXWXDPviCRJkiRJkiRJUh/mnW3qO158EQ46CDbeGG6/HdZeO++IJEmSJEmSJElSH1dXUwdAQ2NDzpF0jPUA1bLGRjjsMFi8GGbPhnXXzTsiSZIkSZIkSZLUDxStjKSDbWrZGWfAb34DV14J739/3tFIkiRJkiRJkqR+wjKSKr4774SzzoKjj4bJk/OORpIkSZIkSZIk9SNFu7PNwTY1t3AhHH44bLstTJ2adzSSJEmSJEmSJKmf8c42Fdfy5XDoobB0Kdx0EwwenHdEkiRJkiRJkiSpnynanW3O2aZ3ffvbcO+9cO21sNVWeUcjSZIkSZIkSZL6obqaOgAaGhtyjqRjvLNNmdtugx/+EI47Dg47LO9oJEmSJEmSJElSP2UZSRXPs8/CkUfCBz4AF16YdzSSJEmSJEmSJKkfK1oZSQfb+ruU4POfh2XLsnnaBg3KOyJJkiRJkiRJktSPFe3ONuds6+9mzIC774apU2GLLfKORpIkSZIkSZIk9XPe2abiqK+Hr3wFtt8e/uM/8o5GkiRJkiRJkiSJupo6wDvbVATnnAPPPANz5sBA/xQkSZIkSZIkSVL+mspINjQ25BxJx3hnW3/1zDPwwx/CIYfA7rvnHY0kSZIkSZIkSRJgGUkVxde+BhHZ3W2SJEmSJEmSJEm9RNOdbUUpI+lgW390zz0wfTp861uw0UZ5RyNJkiRJkiRJkrSSd7apd1u2DE4+GTbdNLu7TZIkSZIkSZIkqRcp2p1tA/MOQD3skkvg0UdhxgxYc828o5EkSZIkSZIkSWqmrqYO8M429Ub/+hd85zswYQLsv3/e0UiSJEmSJEmSJK2iqYxkQ2NDzpF0jINt/clpp8Fbb8GFF0JE3tFIkiRJkiRJkiStIiKoiZrClJF0sK2/eOghuPzybL62rbfOOxpJkiRJkiRJkqRW1dbUWkZSvcw3vwnrrZeVkZQkSZIkSZIkSerFagfUemebepEFC2D2bDjlFBg2LO9oJEmSJEmSJEmS2uSdbepdLrkE6urgmGPyjkSSJEmSJEmSJKlddTV1NDQ25B1GhzjY1tctWQJXXw2TJsHIkXlHI0mSJEmSJEmS1K7aAd7Zpt7immtg8WI48cS8I5EkSZIkSZIkSeqQ2hrnbFNvkBJcfDHsuCPsvHPe0UiSJEmSJEmSJHVIke5sG5h3AOpG8+fDX/4C06ZBRN7RSJIkSZIkSZIkdYh3tql3+OlPYZ114LDD8o5EkiRJkiRJkiSpw4p0Z5uDbX3Viy/CzTfD0UfD4MF5RyNJkiRJkiRJktRhdTV1NDQ25B1GhzjY1ldNmwbLl8Pxx+cdiSRJkiRJkiRJUqdYRlL5Wr4cLr0UJkyALbbIOxpJkiRJkiRJkqROsYyk8vXLX8LChXDiiXlHIkmSJEmSJEmS1Gne2daFIuKQiPhFRLwQESkiJrfSbsOImBERb0bEyxExNSJWmawsIr4QEX+LiLcj4qGI2LPb30RP++lPYeONYeLEvCORJEmSpF4lIsaUcsuWHo+XtRvfSpsf5hm/JEmS1F8U6c62gXkH0AEHAWOAmcCxLTWIiFpgFtAAfBZYBzi/9Py5snaHAj8DpgDzgaOBmRGxU0rpkW57Bz1pwQK45x74/vehpibvaCRJkiSpt3kB2KVi2SDgTuD2FtofDjxZ9nphN8UlSZIkqUyR7mwrwmDbISmlFRExhFYG28gG5LYGNk8pPQUQEcuAGyLijJTS30rtpgBXp5TOLLWZC+wIfJOyQblCu+QSqKuDY1v7qCRJkiSp/0opvQM8UL4sIiaR5cfXt7DJn/vMxZmSJElSgdTV1NHQ2JB3GB3S68tIppRWdKDZJ4EHmwbaSm4hu9Ntb4CIGAu8D7ixYt83lbYvviVL4Oqr4eCDYb318o5GkiRJkoriUODJlNLv8g5EkiRJUqZIZSR7/WBbB20FPFa+IKXUAPyjtI6y52btgAXAeyKi+KNT11wDixfDCSfkHYkkSZIkFUJEDCW7APOGVprcExGNEfF0RJweEdbrlyRJknqAZSR73nDg9RaWv1ZaR9lzZbvXytb/q+tD60FXXQU77AA775x3JJIkSZJUFAcAa7LqYNsbwA+Be8mqpuwLnAGsB3ypJwOUJEmS+qMi3dnW44NtETEMWL+9dimlyjvQelxEHAccBzBq1CjmzJnTY8desmRJp45XU1/Prg8+yDOHH87Tc+d2X2BqV2f7Tr2HfVds9l9x2XfFZd8Vl32nvmY188xDgUdTSn+paPtH4I9li2ZHxDvAVyLizJTSyy3EkVsO2RbP+WKy34rJfism+62Y7Ldist+KK4++W/TiIurfri/E30wed7ZNAqZ1oF10Yp+vAcNaWD4c+FNZG0rtXq9oU75+pZTSZcBlAOPGjUvjx4/vREirZ86cOXTqeLNmwYoVjDnySMb0YJxaVaf7Tr2GfVds9l9x2XfFZd8Vl32nPqiqPDMiRgAfB6Z08DjTga8D2wP3VK7MM4dsi+d8MdlvxWS/FZP9Vkz2WzHZb8WVR9/d8vYt8AqF+Jvp8TnbUkqXp5SivUcnd/sY787JBkBE1AFjeXeOtqbnZu1Kr19NKRW7hOTcuTBwIOyyS96RSJIkSVKPWo088yCyi1Bbm69tlUNVPEuSJEnqJnU1dTQ0NuQdRof0+GBbN7kd2CkiNilb9ilgDeAOgJTSk8ATZFc8AhARA0qvb++5ULvJvHkwbhystVbekUiSJElSURwK/D6l9I8Otj8IWA78uftCkiRJkgSlOdsanbOtS0TENsA2ZBNWA4yLiCXAv1JKTZOTTQdOA34REd8mKxV5AXBdSulvZbubAlwTEU8D9wFHAVsAh3X3++hWS5fC738PX/5y3pFIkiRJUiFExAbAbsBXW1l/CfAv4EGgAdgHOAm4MKX0Sk/FKUmSJPVXtTW1NKZGUkpEdLYgYs/q9YNtwMHAd8ten1h6zAXGA6SUlkXE3sBU4EbgHbIyIKeW7yildH1EDAG+AXwbeBTYN6X0SDe/h+71wAOwbBnsvnvekUiSJElSURxcer6xlfULgGOBLwN1wN/JBuZ+3P2hSZIkSaodUAvAshXLqKupyzmatvX6wbaU0hQ6MFl1Suk54IAOtJtGxybOLo558yACPvKRvCORJEmSpEJIKV0IXNjG+p8AP+m5iCRJkiSVq60pDbY19v7Btr4yZ1v/Nncu7LADDBuWdySSJEmSJEmSJEmrrWmAbdmK3j9vm4NtRdfQAPffbwlJSZIkSZIkSZLUZzSVkWxobMg5kvY52FZ0Dz4Ib78NH/1o3pFIkiRJkiRJkiR1ifIykr2dg21FN29e9rzbbvnGIUmSJEmSJEmS1EWa7mwrQhnJgXkHoNU0dy5suy2su27ekUiSJEmSOmHx4sUsWrSIZcu6938eDBs2jAULFnTrMVS92tpaRo4cydChQ/MORZIkqVcp0p1tDrYV2fLlcN99cOSReUciSZIkSeqExYsX89JLL7HhhhsyaNAgIqLbjvXmm2+y9tprd9v+Vb2UEkuXLmXhwoUADrhJkiSVKdKdbZaRLLKHH4YlS5yvTZIkSZIKZtGiRWy44YYMHjy4Wwfa1LtFBIMHD2bDDTdk0aJFeYcjSZLUq9TV1AHQ0NiQcyTtc7CtyObOzZ4dbJMkSZKkQlm2bBmDBg3KOwz1EoMGDer2cqKSJElFU6Qykg62Fdm8ebDFFrD++nlHIkmSJEnqJO9oUxP/FiRJklZlGUl1vxUr4N57vatNkiRJkiRJkiT1Od7Zpu73yCPw2muw++55RyJJkiRJkiRJktSlvLNN3c/52iRJkiRJOZoyZQoRsfKxwQYb8JnPfIZ//OMfK9tMnjyZcePG5Rhl15gzZw4RwSOPPNKp7S677DJuueWWbopKkiSpb/PONnW/efNgk02yhyRJkiRJORg2bBj3338/999/P+eeey4PP/wwe+65J2+99VbeofUKDrZJkiRVr66mDoCGxoacI2nfwLwDUBVSygbbPvGJvCORJEmSJPVjAwcOZOeddwZg5513ZuONN2a33Xbj17/+NZMmTco5OkmSJBWZZSTVvR5/HBYtsoSkJEmSJKlX+eAHPwjA008/3Wz5XXfdxfbbb89aa63FrrvuyqOPPtps/XnnncdOO+3EsGHDGDVqFPvttx9///vfm7WZP38+u+22G0OHDmXo0KHssMMO3HTTTc3aXH755Wy77basscYabLLJJpx99tntxjxmzBi+9rWvceaZZzJ69GiGDBnC4YcfzhtvvNHmdvX19Zx88smMHj2aNddck5122ok777xz5frx48fz0EMPcfXVV68stXnVVVe1G48kSZIylpFU95o3L3veffd845AkSZIkqUzTINvo0aNXLnv22Wc59dRTOe2007j++utZtGgRhxxyCCmllW2ee+45TjrpJG699VamTZtGY2MjH/7wh1cOeC1evJh9992XsWPHcvPNNzN9+nSOOOIIXn/99ZX7OOecczj++OM54IADmDlzJscffzzf/va3mTp1artxX3/99cyePZtp06Zx/vnnc9ttt3Hssce2uc0XvvAFrrzySk477TRmzJjBRhttxMSJE5k/fz4AF198MVtttRX77LPPylKbEydO7PBnKUmS1N8V6c42y0gW0dy5MHo0bL553pFIkiRJkrrIKXecwsMvPtzl+21sbKSmpqbNNjuM3oEL976wqv0vX74cgCeffJITTjiBtddem49//OMr17/66qvcd999bLHFFgCsWLGCAw88kMcff5ytttoKgAsuuKBZvHvttRcjR47k1ltv5cgjj+SJJ57gjTfeYOrUqay99toATJgwYeU2ixcv5owzzuD000/nu9/9LgB77bUX9fX1nHXWWRx//PFtfgZLly7ltttuY8iQIQCstdZaHHHEESxYsICtt956lfYLFizg+uuv58orr+Soo44C4BOf+ATbb789Z555JrNmzWKbbbZhrbXWYr311ltZalOSJEkd551t6j4pZYNtu+8OEXlHI0mSJEnqx1555RVqa2upra1lyy235Mknn+TnP/8566+//so2Y8aMWTnQBrDNNtsA2d1sTR544AH22msvRowYwcCBAxk8eDBLlizhiSeeAGCzzTZjyJAhHHbYYdx6663N7mgDuP/++3nrrbeYNGkSy5cvX/nYY489eOmll5odqyV77bXXyoE2gAMPPJCUEg8++GCL7R988EFSSs3mpRswYACTJk1aeWebJEmSVo93tqn7PPUULFzofG2SJEmS1MdUe2dZe958882Vd4N1tWHDhjF79mwigtGjR7PBBhsQFReGrrPOOs1e19XVAfD2228DWZnJCRMm8KEPfYhLL72UDTbYgLq6OiZOnLiyzfDhw7nrrruYMmUKBx98MCtWrGDChAlcdNFFjB07lpdffhmAbbfdtsU4//nPf7LJJpu0+j5GjhzZ7PXgwYMZMmQIL7zwQovtX3jhBYYMGcLgwYObLR81ahT19fW88847rLHGGq0eT5IkSe2rq8l+NzY0NuQcSfscbCsa52uTJEmSJPUSAwcOZNy4cau1jzvuuIP6+npuvfVW1lprLSArTfnqq682a7fzzjtzxx13sHTpUmbPns1XvvIVDjvsMB544AHe8573ADBz5kxGjRq1yjG23HLLNmNYtGhRs9f19fUsWbKk2R165dZff32WLFlCfX19swG3l156icGDBzvQJkmS1AWKVEbSwbaimTsXRoyAFmrGS5IkSZJUNEuXLmXAgAEMHPju/6K48cYbV84FV2nQoEHst99+PPLII/zgBz8AYJdddmHQoEE8//zzTJw4sdMx3HXXXSxZsmRlKckZM2YQEa0OJO60005EBNOnT+fII48EIKXE9OnT2XXXXVe2q6urW3l3niRJkjrHMpLqPvPmwW67wQCn25MkSZIkFd8ee+xBY2MjRx99NMcccwyPPvoo5557brPyk7fddhtXXHEFBxxwABtvvDELFy7k0ksvZY899gCyUpVTpkzhS1/6Es888wwf/ehHWbFiBU888QS/+c1vmDFjRpsxDBo0iIkTJ3LqqafywgsvcOqpp3LggQeunF+u0tZbb82hhx7KSSedxJtvvslmm23GtGnTeOyxx7jkkktWtttqq62YNWsWs2bNYsSIEWy66aaMGDGiCz41SZKkvs8729R9brkFVqzIOwpJkiRJkrrEdtttx1VXXcWUKVOYMWMGH/jAB7jppps45JBDVrbZfPPNiQi+9a1vsWjRItZbbz323Xdfvv/9769s8/Wvf50NNtiACy64gPPOO48111yT973vfc3205rPfvazrL322hxzzDEsWbKET33qU80GzVoybdo0vvGNb/C9732P119/ne22246ZM2c2u7Pt9NNP59lnn+Xggw9m8eLFXHnllUyePLnzH5IkSVI/VBM13H3k3YwdPjbvUNrlYFvRbLdd3hFIkiRJksSUKVOYMmVKm22uuuqqVZaNGTOGlFKzZUcccQRHHHFEs2VPP/30yn9vueWWTJ8+vd2YPve5z/G5z32u3XaVIqLN9zN+/PhVYh48eDAXXXQRF110Uav7HTt2LLNnz+50PJIkScp+o+2x6R55h9Eh1iKUJEmSJEmSJEmSquRgmyRJkiRJkiRJklQly0hKkiRJkqR+q7xcpSRJklQN72yTJEmSJEmSJEmSquRgmyRJkiRJOUgp5R2Cegn/FiRJkorNwTZJkiRJknpYbW0tS5cuzTsM9RJLly6ltrY27zAkSZJUJQfbJEmSJEnqYSNHjmThwoXU19d7V1M/llKivr6ehQsXMnLkyLzDkSRJUpUG5h2AJEmSJEn9zdChQwF4/vnnWbZsWbce6+2332bNNdfs1mOoerW1tYwaNWrl34QkSZKKx8E2SZIkSZJyMHTo0B4ZYJkzZw477rhjtx9HkiRJ6q8sIylJkiRJkiRJkiRVycE2SZIkSZIkSZIkqUoOtkmSJEmSJEmSJElVcrBNkiRJkiRJkiRJqpKDbZIkSZIkSZIkSVKVHGyTJEmSJEmSJEmSqhQppbxjKISI+BfwTA8ecl3g5R48nrqOfVdc9l2x2X/FZd8Vl31XXPZdZpOU0np5B6G+KYccsi2e88VkvxWT/VZM9lsx2W/FZL8Vl33XRg7pYFsvFRF/SCmNyzsOdZ59V1z2XbHZf8Vl3xWXfVdc9p3Uv3jOF5P9Vkz2WzHZb8VkvxWT/VZc9l3bLCMpSZIkSZIkSZIkVcnBNkmSJEmSJEmSJKlKDrb1XpflHYCqZt8Vl31XbPZfcdl3xWXfFZd9J/UvnvPFZL8Vk/1WTPZbMdlvxWS/FZd91wbnbJMkSZIkSZIkSZKq5J1tkiRJkiRJkiRJUpUcbOthEbFNRNwdEfUR8XxEfC8iajqw3bCIuDIiXouINyLi2ogY0RMxK1NN30XEmIhILTxu6Km4BRGxeURcGhF/jojGiJjTwe0873JWTd953vUOETEpIn4ZEQsjYklEPBQRh3ZguzUi4ryIWBQRb0XEbRExpvsjVpPV6LuWzrsHeiJmZSLioIj4bUS8EhFvR8TjEXF6RNS1s53fd1JBmV8Wk7llMZlXFpM5ZTGZTxaTuWQxmUd2nYF5B9CfRMRwYDbwV2B/YDPgPLJBz9Pb2fxG4H3AscAK4EfALcBu3RWv3rWafQfwNeC+stcvd3WMatO2wD7AA0BtJ7bzvMtftX0Hnnd5+wrwFPBlss9+H+C6iFg3pXRRG9v9BDiotN2/gCnAXRGxXUrp7e4NWSXV9h1k343Ty16/2T0hqhUjgHuAc4DXgQ+RnUOjgZPa2M7vO6mAzC+Lydyy0Mwri8mcspjMJ4vJXLKYzCO7iHO29aCI+E/g68AmKaXFpWVfp/TH27Sshe12AX4L7J5Smlda9iHgd8BeKaXZPRB+v7YafTeG7Etmv5TSzB4JVquIiAEppRWlf08H1k0pjW9nG8+7XqDKvhuD513uSj+mX65Ydh2wS0pp01a2eS/wNPD5lNL/lJZtSNafJ6SULu/eqAXV9V2pTQK+mFKa2t0xquMi4r+AE4HhqYUf/n7fScVlfllM5pbFZV5ZTOaUxWQ+WUzmkn2HeWR1LCPZsz4JzKr48XwDMAjYvZ3tXmr6wwVIKf2e7Mvik90RqFZRbd+pF2j6Yd1Jnne9QJV9p16g8gd2yR+BDdrYbELp+Rdl+1kIzMfzrsdU2XfqvV4B2ir/4fedVFzml8VkbllQ5pXFZE5ZTOaTxWQu2aeYR1bBwbaetRXwWPmClNKzQH1pXYe3K1nQznbqOtX2XZMrS7XBX4iI8yNiUHcEqS7leVd8nne9zy7AE22s3wp4LqW0pGK5513+2uu7JlMiYnlEvBwRV0TEe7o7MK0qImoiYnBE7AqcDFzS0tWIJX7fScVlfllM5pb9i+dbsXm+9S7mk8VkLlkQ5pGrzznbetZwsrqnlV4rratmu7FdEJfaV23fvQP8FLgTWAyMB75BVpd//64NUV3M8664PO96oYjYEzgA+Hwbzar9b626UQf7DuBq4FdkcyOMA74NfCAiPpRSauzeKFXhLWCN0r//Bzi1jbZ+30nFZX5ZTOaW/YvnWzF5vvUy5pPFZC5ZOOaRq8nBNqkbpZReoPlEknMi4iXg4oj4QErpTzmFJvVZnne9T2nOg+uAW1NKV+UajDqlM32XUppc9nJeRCwAfg3sRzZJsnrOh4HBZBNbfweYCpyQa0SSpNXib1yp53i+9S7mk8VkLllI5pGryTKSPes1YFgLy4eX1nX1duo6XdkH00vPH1ytiNTdPO/6Fs+7nJRKP9wOPAMc3k5zz7tepJN915I7gCXAv3VlXGpfSun/UkrzU0rnk5X/OD4iNmulueedVFzml8Vkbtm/eL71HZ5vOTCfLCZzyWIyj1x9Drb1rMeoqFkaERuRjRi3VOO01e1KWquNqq5Xbd+1JFU8q3fyvOtbPO9yEBGDgZlkk+rum1Kqb2eTx4CNImKtiuWedz2sir5bRVltd8+7fP1f6XnTVtb7fScVl/llMZlb9i+eb32H51sPM58sJnPJPsM8sgoOtvWs24FPRMTaZcsOAZYCc9vZbnRpckIAImIcWf3T27sjUK2i2r5ryUGl54e6IjB1G8+7vsXzrodFxEDgJmALYO+U0qIObHZn6fnAsv1sAOyG512PqbLvWtrP3sAQPO/y9pHS81OtrPf7Tiou88tiMrfsXzzf+g7Ptx5kPllM5pJ9inlkFeLdgWJ1t4gYDvwVeAT4Edkf3/nAhSml08va/R2Ym1I6pmzZLLL/UH0NWFHaflFKabeeewf9V7V9FxFTgLWB+8gm1f0o2eSSv04pfaYn30N/VrqqZp/Sy68CQ4Hvll7/OqVU73nXO1XTd553vUNEXAZ8AfgS8PuK1X9MKb0TEXcDpJT2LNvuUuAzwJfJJkeeAowAtkspvd0Dofd71fRdRBxHNpH1bOBlsnIfpwOPAx92UuueERF3kPXBo0AjWYL0VWBmSumzpTZ+30l9hPllMZlbFpd5ZTGZUxaT+WQxmUsWk3lk1xmYdwD9SUrptYjYk2xywV8BrwMXkP2Hv9xAoKZi2SGltleQ3ZE4k6x2qnrAavTdY2T/wTkWGAQ8C5wD/Fc3h6zmRpJdWVOu6fWmwNN43vVW1fSd513vMKH0/OMW1jX1XeU5B9k59hbZ/3QaTHaF96EmRj2qmr77B3AUWWI7FHgR+B/g2yZHPepBYDIwBlgOPAn8J/CzsjZ+30l9hPllMZlbFpp5ZTGZUxaT+WQxmUsWk3lkF/HONkmSJEmSJEmSJKlKztkmSZIkSZIkSZIkVcnBNkmSJEmSJEmSJKlKDrZJkiRJkiRJkiRJVXKwTZIkSZIkSZIkSaqSg22SJEmSJEmSJElSlRxskyRJkiRJkiRJkqrkYJskSZIkSZIkSZJUJQfbJEmSJEmSJEmSpCo52CZJvVRETImI1MJjdt6xFVFkHo6Io7rxGO8v9dH4FtYdHBEvRkR0YD9TI+K/O9BuTtnfxSkV67aJiLsjoj4ino+I70VETQf2eXBETG6vXVep+Duf3lPHlSRJkvoac8iuZQ5pDilJnTEw7wAkSW16A9i7hWXqvIOB9wDX5XT8icCvU0qpA23PBR6LiB+klP7eTtvfAN8Cnm5aEBHDgdnAX4H9gc2A88gusjm9nf0dDKwLXNWBOLvC5cAdwMU9dDxJkiSpLzOH7DrmkOaQktRhDrZJUu+2PKX0QEcalq44q0kpNXRzTEV1MvC/KaVlrTXors8wIgYAnwSO70j7lNLTETG/1P6r7TR/tYW/kf8HDAI+nVJaDNwVEUOBKRFxdmlZr5BSeg54LiJ6TUySJElSgZlDdh1zSHNISeowy0hKUkFFxFUR8YeIOCAiHgXeBv69tG63iJhbKv/wSkRMi4i1W9jHCRHxz4h4KyJ+FRF7lZewKJWYmF6xzfhSm/dXLG/zmGXx7hURfy4dc35EbFuxn49GxG8iYklEvFGKYcfSun0iYkVEbFqxzaal5fu38lltDnwYqHwvLX6GEbFLRPwyIl4oxflwRBze3ucHrN/S8YGdLRFDoQAACJRJREFUgHWAu0rbbRsRd0TEq6VtF0TEiRXb3AwcXkqyOuuTwKyKhOgGsuRp99Y2ioirgM8Au5eV5ZhSWndwRPwlIt4pvef/iog2L9qJiNnRchmbpseYKt6bJEmSpCqYQzbbxhyyOXNISVpNDrZJUi8XEQMrHuX12scAZwM/IPtx/FREfISs/MOLwEHAKcA+wJUV+90f+CkwE/g08Bfgiipj7NAxgY2Bc4D/Ag4FRgI/b3pPpQTtbmAZcBRwCHAvsGFp+1nA86V15SYDi4DbWglxT+At4E8trBtDxWcIbALcBxwD7EeWtFwZEYeWvefOfH4TgXvLEpdfAY3A54BPARcBlYnsb4FRwHat7LMtWwGPlS9IKT0L1JfWteZMspIifwR2KT0uj4gJwM+B/yMrKXIR8DVgajtxfA14jexzatrfFWR9uHNK6enOvClJkiRJ7TOHNIdsZZ9tMYeUpNVkGUlJ6t1GkCUN5fYiS0qa1n88pfRw08qIuB74bUrpkLJlC4G7I+L9KaVHSotPA+5IKTWVpZgVEesBx1YR5w87eMz3AB9JKf2t1GYAMAPYkuyH/Q/IkplPlNWlv6NpnymlxtKVc0dFxBkppVRKso4CrkkpLW8lvg8CC1JKK1pYt8pnSHYFX9P7CGAe8F7gC8D1pVWd+fwmAteW9rcusCmwf0rpL6X1d7ewzaNkydSHaDnBa8tw4PUWlr9WWteilNI/IuJVYEB5WZHSlalzUkpNCeodpdz2BxFxVqmMR0ueLh3vrqb9RcRXgT+nlH7XyfckSZIkqX3mkOaQ5pCSlAPvbJOk3u0NsvIR5Y/yH5gLK5KkwWRXft1YfiUjMJ8s4fpgqd1A4N+AWyuO94vOBtjRY5Y83ZQklfy19PzeiFiLrITJ1e1MAH0F2VWD40uvP1Z6XXkFZLnRwMutrFtYkSQREcMj4icR8UzpPSwDjgPeV1rf4c8vItYHduTdKyZfBf4J/CwiDomIkS0FVUr6Xi/FnpvI5iD4N+CmilU/J/sdsUsbmzeViXm0bNn2wCMttJUkSZK0+swhV2UO2YPMISX1Vw62SVLvtjyl9IeKx5tl61+qaD8cqAEu5t0f+MuAd4BaYKNSu3VL7RZVbF/5uiM6ekxY9Uq5pkmk1yztJ4AX2jpYSulJYA5wdGnR0cDvU0qPtrpRtv93WllX+RkCXEVWfuQcYAJZgnpFaT/Quc9vH+DJlNLjpfhXlPb5YmmfL0bEvVGaU6DCO2XH7IzXgGEtLB9eWtcZ65L1Y+Xn1PT6PW1s+36ysiNPAkTEIGBzTJQkSZKk7mIOWcEcskPMISVpNVlGUpKKrfLqvddLy6YAv26h/fOl55fJyktUXhFX+fptoK5iWWUJiY4esz2vAStofYLocpcD0yLiP8lq3X+1nfav0vrVfc0+w4hYE9gXODGl9LOy5eUXqHT084Os/EezeQBSSo8Bn4mIWmA34EfAbRHx3ooyJeuUYu+sx6ioqx8RGwGDqajD3wEvkyW+le9tVOm5rfi2pXnplW3JLvQxUZIkSZLyYQ5pDtkSc0hJWk3e2SZJfUhK6S3gAWDLFq5m/ENK6flSu+VkExjvX7GLT1e8fo5VJ0OeUM0xOxj774AjKybwbskvyK5ovIHsu+yGtpvzOFmN+45Yo7TPlVcxRsTaZJNQN8Xaoc8vIurI5kdocdLtlNKylNI9wPlkCeI6ZduuR5bYPNHBuMvdDnyiFHeTQ4ClwNx2tm2g7ErIlFIj8BAwqaLdwWSJ7f1t7Ov9NC//8f7SNn9tubkkSZKknmQO2SpzSHNISeoU72yTpL7n62STSq8ApgNvAhuTXR13Wkqp6Yf394FfRMQlZBNM7w7sXbGvGcAxEXEB2Y/9j7XQpjPHbM83ySbuvj0iLgPeIqvn/oeU0symRimltyPiWuBE4PqUUksTOZe7D/hORKyXUvpXWw1TSm9ExIOl9ovJfth/k2zug6FlTTvy+X2UrKzJyuQkIrYHziWrV/8k2VWe3wD+lFIqv8JvHNkVk79t57215GfAyaX4fgSMJbtq9PyU0uJ2tn0M2D8iDiBLlJ8Hvks2efeVZEnpdsCZwLQ2JraG7CrE8itV30vWp5UTtkuSJEnKjznkqswhzSElqVO8s02S+piU0nyyH+jrAf8L/IoskfknZTXTU0ozgC8C+wG3kE3AfEzFvm4DvgUcRJYMbAJ8qdpjdiD2eWRX8Q0GriFLJnYn+8Fe6ZbS8xUd2PUcslIVLSV5LTmMLIn5H+DHwM2lf5fH2u7nR5Yozk4pldf6f5HsMzmN7OrBi4EFlF31WLI3MDel9EoHYy6P7TVgT7I5AX4FnAFcQJbwtOdi4E6yz/VB4LiU0p3AZ8mSt18BpwDnASe1tpPSpN3r0bzcx0NkieNDnXtHkiRJkrqLOWSL5mAOaQ4pSZ0QKVWWapYk9VcR8X7gL8DHUkpzcg6nTRFxNlkZirEVNepba/9jYPOU0sRuD+7dYz4BnJNSmtbJ7WqAZ4BvppSuaaPdHOAVsvIejamAX+qleQwGAHcD/0opHZRzSJIkSZI6yByya5lDts8cUlJv5Z1tkqRCiYgtI+JA4HhgakeSpJJzgI9FxPu6L7rmUkrv62ySVDKJrDZ+e/MIQFbjfxktXC1aEN8hi/+jeQciSZIkqe8xh1yFOaQkdQPnbJMkFc2lwL8DvwR+0tGNUkrPRcTnySaRrmbC6J4UwDGlSbTb8h9A0wTWz3ZvSN3mMqBpLoVX22ooSZIkSVUwh3yXOaQkdRPLSEqSJEmSJEmSJElVsoykJEmSJEmSJEmSVCUH2yRJkiRJkiRJkqQqOdgmSZIkSZIkSZIkVcnBNkmSJEmSJEmSJKlKDrZJkiRJkiRJkiRJVXKwTZIkSZIkSZIkSaqSg22SJEmSJEmSJElSlRxskyRJkiRJkiRJkqr0/wF8MSY9NuognwAAAABJRU5ErkJggg==\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"id":"vjj6ePzllZIn"},"source":[""],"execution_count":null,"outputs":[]}]} \ No newline at end of file diff --git a/Project/204102311_SatyakiGhosh/Music_Classfication_Based_on_Mood.pdf b/Project/204102311_SatyakiGhosh/Music_Classfication_Based_on_Mood.pdf new file mode 100644 index 0000000..fab3f68 Binary files /dev/null and b/Project/204102311_SatyakiGhosh/Music_Classfication_Based_on_Mood.pdf differ diff --git a/Project/204102311_SatyakiGhosh/cnn_mel_spectrogram.ipynb b/Project/204102311_SatyakiGhosh/cnn_mel_spectrogram.ipynb new file mode 100644 index 0000000..7a3b9b2 --- /dev/null +++ b/Project/204102311_SatyakiGhosh/cnn_mel_spectrogram.ipynb @@ -0,0 +1 @@ +{"nbformat":4,"nbformat_minor":0,"metadata":{"colab":{"name":"3.1_vgg_model_transfer_learning.ipynb","provenance":[],"collapsed_sections":[],"toc_visible":true},"kernelspec":{"display_name":"Python 3","language":"python","name":"python3"},"language_info":{"codemirror_mode":{"name":"ipython","version":3},"file_extension":".py","mimetype":"text/x-python","name":"python","nbconvert_exporter":"python","pygments_lexer":"ipython3","version":"3.6.1"},"accelerator":"GPU"},"cells":[{"cell_type":"code","metadata":{"id":"62Dvn3E6zGJl"},"source":["from google.colab import drive\n","drive.mount('/content/drive')"],"execution_count":null,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"sXnDmXR7RDr2","executionInfo":{"status":"ok","timestamp":1619003289655,"user_tz":-330,"elapsed":2403,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"6d328400-c416-4456-e165-fb768444e2a7"},"source":["#%tensorflow_version 2.x\n","import tensorflow as tf\n","device_name = tf.test.gpu_device_name()\n","if device_name != '/device:GPU:0':\n"," raise SystemError('GPU device not found')\n","print('Found GPU at: {}'.format(device_name))\n","!nvidia-smi"],"execution_count":110,"outputs":[{"output_type":"stream","text":["Found GPU at: /device:GPU:0\n","Wed Apr 21 11:08:09 2021 \n","+-----------------------------------------------------------------------------+\n","| NVIDIA-SMI 460.67 Driver Version: 460.32.03 CUDA Version: 11.2 |\n","|-------------------------------+----------------------+----------------------+\n","| GPU Name Persistence-M| Bus-Id Disp.A | Volatile Uncorr. ECC |\n","| Fan Temp Perf Pwr:Usage/Cap| Memory-Usage | GPU-Util Compute M. |\n","| | | MIG M. |\n","|===============================+======================+======================|\n","| 0 Tesla P100-PCIE... Off | 00000000:00:04.0 Off | 0 |\n","| N/A 35C P0 32W / 250W | 8777MiB / 16280MiB | 0% Default |\n","| | | N/A |\n","+-------------------------------+----------------------+----------------------+\n"," \n","+-----------------------------------------------------------------------------+\n","| Processes: |\n","| GPU GI CI PID Type Process name GPU Memory |\n","| ID ID Usage |\n","|=============================================================================|\n","+-----------------------------------------------------------------------------+\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"collapsed":true,"id":"-_vP0DNotWEH","executionInfo":{"status":"ok","timestamp":1619011519632,"user_tz":-330,"elapsed":1225,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["import os\n","\n","os.environ[\"CUDA_DEVICE_ORDER\"]=\"PCI_BUS_ID\"\n","os.environ[\"CUDA_VISIBLE_DEVICES\"]=\"1\"\n","\n","import tensorflow as tf\n","\n","config = tf.compat.v1.ConfigProto()\n","config.gpu_options.allow_growth=True\n","\n","sess = tf.compat.v1.Session(config=config)\n","\n","import numpy as np\n","import pandas as pd\n","import pickle\n","from matplotlib import pyplot as plt\n","from PIL import Image\n","from IPython.display import Audio\n","from matplotlib.pyplot import imshow\n","from sklearn.model_selection import train_test_split\n","from sklearn.preprocessing import OneHotEncoder\n","from sklearn.utils.class_weight import compute_class_weight\n","\n","from tensorflow.keras import layers\n","from tensorflow.keras import models\n","from tensorflow.keras import optimizers\n","from tensorflow.keras import callbacks\n","from tensorflow.keras import regularizers\n","\n","%matplotlib inline"],"execution_count":170,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"RUhpKUt3tWEZ","executionInfo":{"status":"ok","timestamp":1619011523393,"user_tz":-330,"elapsed":1272,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["BASE_DIR='/content/drive/MyDrive/IIT_Guwahati/IITG_DigitalSignalProcessorsLab/Music_classification_by_Genre/'\n","IMG_DIR = BASE_DIR+'spectrogram_images/'\n","IMG_HEIGHT = 216\n","IMG_WIDTH = 216\n","NUM_CLASSES = 7\n","NUM_EPOCHS = 50\n","BATCH_SIZE = 32\n","L2_LAMBDA = 0.001"],"execution_count":171,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"6MbP2TLktWEb","executionInfo":{"status":"ok","timestamp":1619011532022,"user_tz":-330,"elapsed":1159,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["# Randomly select 1 spectrogram from each category for plotting\n","sample_files = ['230_Hip_hop_music.jpg', \n"," '239_Pop_music.jpg',\n"," '243_Vocal.jpg',\n"," '233_Rhythm_blues.jpg',\n"," '199_Reggae.jpg',\n"," '225_Rock_music.jpg',\n"," '245_Techno.jpg']\n","\n","label_dict = {'Hip':0,\n"," 'Pop':1,\n"," 'Vocal':2,\n"," 'Rhythm':3,\n"," 'Reggae':4,\n"," 'Rock':5,\n"," 'Techno':6,\n"," }\n","\n","all_files = os.listdir(IMG_DIR)\n","\n","\n","# Get class weights\n","label_array = []\n","for file_ in all_files:\n"," vals = file_[:-4].split('_')\n"," label_array.append(label_dict[vals[1]])\n"," \n","cl_weight = compute_class_weight(class_weight = 'balanced', \n"," classes = np.unique(label_array), \n"," y = label_array)\n","cl_weight={i:cl_weight[i] for i in range(7)}\n","# Train-val-test split of files\n","train_files, test_files, train_labels, test_labels = train_test_split(all_files, \n"," label_array,\n"," random_state = 10, \n"," test_size = 0.1\n"," )\n","\n","# Among the test files, keep half for validation\n","val_files, test_files, val_labels, test_labels = train_test_split(test_files, test_labels,\n"," random_state = 10, \n"," test_size = 0.5\n"," )"],"execution_count":172,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"DYTRFp2PGt18","executionInfo":{"status":"ok","timestamp":1619003289658,"user_tz":-330,"elapsed":2378,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"2f178e03-fe83-4d8d-e909-7c6afc5a9d7f"},"source":["print('Training files shape')\n","print(len(train_files))\n","print()\n","print('Training labels shape')\n","print(len(train_labels))\n","print()\n","\n","print('Validation files shape')\n","print(len(val_files))\n","print()\n","print('Validation labels shape')\n","print(len(val_labels))\n","print()\n","\n","print('Test files shape')\n","print(len(test_files))\n","print()\n","print('Testing labels shape')\n","print(len(test_labels))\n","print()\n","print('Example of a training file')\n","print(train_files[0])"],"execution_count":114,"outputs":[{"output_type":"stream","text":["Training files shape\n","1379\n","\n","Training labels shape\n","1379\n","\n","Validation files shape\n","77\n","\n","Validation labels shape\n","77\n","\n","Test files shape\n","77\n","\n","Testing labels shape\n","77\n","\n","Example of a training file\n","1087_Rhythm_blues.jpg\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"Uc7BzMK1Mf7y","executionInfo":{"status":"ok","timestamp":1619003290210,"user_tz":-330,"elapsed":2922,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"0486d453-fa5e-4608-80e8-43cf0906a2d0"},"source":["# KEEPING A RECORD OF TEST FILES TO USE THESE FOR OTHER METHODS \n","\n","f=open(BASE_DIR+'pred_probas/testset_filenames.txt','w')\n","test_copy=[i[:-4]+'.wav\\n' for i in test_files]\n","f.writelines(test_copy)\n","\n","f.close()\n","\n","f=open(BASE_DIR+'pred_probas/testset_filenames.txt')\n","x=f.readlines()\n","x = [t.strip() for t in x]\n","print('List of test files:')\n","print(x)"],"execution_count":115,"outputs":[{"output_type":"stream","text":["List of test files:\n","['1565_Techno.wav', '1370_Techno.wav', '244_Techno.wav', '339_Hip_hop_music.wav', '794_Rhythm_blues.wav', '720_Vocal.wav', '342_Pop_music.wav', '304_Rhythm_blues.wav', '1345_Pop_music.wav', '1078_Techno.wav', '997_Rhythm_blues.wav', '1734_Rhythm_blues.wav', '1434_Rock_music.wav', '1442_Pop_music.wav', '655_Techno.wav', '14_Pop_music.wav', '1689_Techno.wav', '406_Reggae.wav', '261_Rhythm_blues.wav', '1378_Pop_music.wav', '1268_Rhythm_blues.wav', '489_Pop_music.wav', '1847_Pop_music.wav', '849_Hip_hop_music.wav', '537_Pop_music.wav', '1474_Vocal.wav', '187_Techno.wav', '419_Vocal.wav', '657_Techno.wav', '592_Pop_music.wav', '551_Hip_hop_music.wav', '1596_Pop_music.wav', '569_Vocal.wav', '1238_Techno.wav', '1178_Techno.wav', '1391_Techno.wav', '1018_Techno.wav', '1004_Rhythm_blues.wav', '1155_Rock_music.wav', '1381_Rock_music.wav', '1574_Rhythm_blues.wav', '411_Pop_music.wav', '1555_Techno.wav', '29_Rhythm_blues.wav', '122_Techno.wav', '1422_Rock_music.wav', '1025_Rhythm_blues.wav', '1459_Hip_hop_music.wav', '353_Pop_music.wav', '1313_Rock_music.wav', '1645_Techno.wav', '319_Techno.wav', '49_Rock_music.wav', '1448_Techno.wav', '1184_Techno.wav', '294_Techno.wav', '1451_Rhythm_blues.wav', '217_Hip_hop_music.wav', '473_Rhythm_blues.wav', '498_Techno.wav', '1237_Reggae.wav', '728_Rhythm_blues.wav', '1342_Vocal.wav', '405_Pop_music.wav', '1836_Pop_music.wav', '1638_Rhythm_blues.wav', '1795_Pop_music.wav', '627_Techno.wav', '1420_Techno.wav', '168_Techno.wav', '1192_Hip_hop_music.wav', '948_Techno.wav', '384_Vocal.wav', '205_Rhythm_blues.wav', '1686_Techno.wav', '1684_Techno.wav', '829_Pop_music.wav']\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"id":"757dLN0YNmPU","executionInfo":{"status":"ok","timestamp":1619003290211,"user_tz":-330,"elapsed":2915,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":115,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":478},"id":"TUVgaA9JtWEd","executionInfo":{"status":"ok","timestamp":1619003299565,"user_tz":-330,"elapsed":12261,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"e079a6b3-ebb6-42dc-bd7b-8b7a181c8939"},"source":["f, axarr = plt.subplots(2, 4, sharex=True, sharey=True, figsize=(20,10))\n","coordinates = [(0,0),(0,1),(0,2),(0,3), \n"," (1,0),(1,1),(1,2) ]\n","\n","for i, file_ in enumerate(sample_files):\n"," im = Image.open(IMG_DIR + file_)\n"," axarr[coordinates[i]].imshow(np.asarray(im))\n"," axarr[coordinates[i]].axis('off')\n"," axarr[coordinates[i]].set_title(file_[:-4], fontsize=18)\n"],"execution_count":116,"outputs":[{"output_type":"display_data","data":{"image/png":"iVBORw0KGgoAAAANSUhEUgAABGoAAAJQCAYAAADWhoL3AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOy9ebxlR1Xo/121p3POPXfse3vudGeeQwYCmGBIgIRRECcMohIUBYWHwIMfD1ERePDwpyL4QAkKwYgRQUQQBRIgk0ACmed0kp6HdN++85n23lXr/VH7pi839/Zw02Oyv/3Zn3O7du3aaw+19qpVq6pEVSkpKSkpKSkpKSkpKSkpKSkpOfyYwy1ASUlJSUlJSUlJSUlJSUlJSYmndNSUlJSUlJSUlJSUlJSUlJSUHCGUjpqSkpKSkpKSkpKSkpKSkpKSI4TSUVNSUlJSUlJSUlJSUlJSUlJyhFA6akpKSkpKSkpKSkpKSkpKSkqOEEpHTUlJSUlJSUlJSUlJSUlJSckRQumoOcyIyBtEREXk4oN8nutFZP3BPMfTnUP1rEpKSp5+iMgHCv2x5nDLUlJScvQjIleJiB5uOUpKngmIyMXFN/wNT+dz7gv7K1epqxbOEe+oEZGTROSDIvIjEdkpIpMicqeI/KGIdM3K+67CIbFNRDrF7/dF5DXzlG1E5B0i8qCItEVkk4j8xexy90PW9SJy7x72X1W82IMLKb+kpOTpxUHWb0tE5G8LvZaKyEYR+YSI9C1Q1mn9Nb1ZEdkhIl8XkQsWUmZJScnTn/3Rc3Mc+5YZOmdw1r6TReSLIvKAiIyLSLOw5/5SRJbtp4wDhR14517yXVLIcuX+lF9S8kzgYNk0B7KuzyhzPpvmGyLy/IXegwXIsUZ8R87Zh+qcJUcP4eEWYB94I/D7wNeBLwIZcAnwYeBXROR5qtoq8j4HWA/8JzAMDAC/DHxVRP5YVT80q+yPA/8D+DfgL4BTi/+fIyIvVlV3MC+s4Grgn4H0EJyr5KlRPquSA81B0W8ishi4BVgOfAa4FzgDeAtwkYhcqKrNBcr8FmAKSIAzgTcBLyt05g0LLPOZwIeB/wN0DrcgJSWHmP3Rc08gIsvxdWYKqM9R7kpgGd6G2wzkeJ30O8CvisjZqrpjXwRU1RER+RrwWhE5R1XvmCfrFcXv5/al3JKSZxgHq812wOr6HEzbNDFwelHmS0XkRap64wLL3B/WAH+Cvxd7dBSXPANR1SN6A54N9M6R/mFAgbfu5fgQuAuYBIIZ6acDDvjXWfnfVpT7ugXIuh64dw/7ryrKHjwM9/F6YP3hfp7lVm7ltns7iPrtr4rjL5+V//Ii/f0LkHVO/QX8YpH+zcN9P8ut3MrtyNsWqufwjbLb8Z0k+2w74Rt7CrxnP+W8tDjuk/Ps7wYawH2H+54W8lzlzfjDL0u5lZvqwbNp9pB/QXW9OHY+m+ZVRfo3ZqVfXKS/4QDfs3nLPVjnPJgy7+leH265j8btiB/6pKo/UdXxOXZ9qfg9Yy/H58AWoAuIZuy6HBB8g2YmnwWawOsXJPB+InPMezIj7cVFONyGIizwbhH51ad4vuUico2IjBahg98WkZPmyDcoIp+aMWxiU/H/RfPIf8BkLUIh1xfhgP8mImOFvFeJSF38kLX3ici6IlT5dhG5cB65Lp6v/FlpF4jIf4nI9qLMLSLynyLyvL2VKSKxiLynCO9sFmGZPxGRty7k+kueORxE/XYJ0MJHgM0ut83uXuEDwbeL3xOmE4q6e7WIPF7og0dF5CMiUpt5oOyet+V0EflkUf9aInKLiLxoIcIUuuN6EXmWiFwnIlNFOPNfiEgoIhUR+fOijrdF5EYROXUeudbMV/6stFeIyA0iMlzIv1FEvjpTt85Xpoj0iMj/Fh/S3RaRXSJy81PV9SUlRwoL0XPihz+8CngzYPfzlBuK3/79PO67xbGvE5F4jv2/CtSYEU0jIheJyLXFd79V2CO/NVfhInKCiHxeRDYXdtVWEfl3ETlvRp7LRORLIvJYUd6YiHxHRF6wn9dSUnLIOYg2zXwstK7vie8WvyfOl0FErhCR+wr7ZoOIvGfW/rsKO+BJ7WwR+eXCFvgN8XO8fL/Y9XnZPQzr+v09Z5HnKds/+4uIvE1EHi7Ke1hE3raPx805d2phP6qIfGBWuogfCnub+LbWlPihcpfMUcZviMithf5sFPr0iyIytNDrPFwcDUOf5mNl8fv47B0iMgAEwCDe2/pS4Puq2p6R7Xx8RM2tM49V1ekxyucvUK5A5p+DJtnPsj6GV1afLv5/BXCNiFRU9aoFyNYF3Aj8CHgfcCzwduDfReQMVbUAItIL/ADf8PocvkfrHHx44AtF5DmqOnkIZP0ecAPwXvzzeCNQAXYBzwX+Gq/I/yfwDRFZPYdce0VETgauBbYDn8C/U0uA5wPPwt+v+Y6N8Q3Vi4HvAP+IbwifCfwC8H/3V56SEp66fkuAthZdGdOoqhORFnCciAyq6vABkHXamBku5FuN16u9eH2wFl8//hdwofhw4nxWGf+Ab4x9DN9r/bvAt0TkZap63QJkWomv018CvgJcBrwTHy59OlDFD6kYxOuPr4nIqbqA4a5FA+rr+OFlHwXG8EPOXozXoQ/v4dg+4OZCpq8Af4N/tucAr+TJjraSkqcTc+o5EenBfzs/o6q3isjv7akQEangh0ZVgNPwegT8kIp9ptCPV+GHIbwa+PKsLFfgh3JcXZz35/BRP9vxw+cn8c6cvxOR41T1D2fI+Gx8AzAC/h6vLwaAFwAXALcVWd9QpP8DfojHCuC3ge+KyCWqetP+XFNJyRHCU7VppvMekLq+F44vfkfm2f9mfBvh7/Hf+9cDHxORzar6T0Wez+LbKJeyuzNrmt8CxvH6ZRnwEXyb7Epgun7Pvk/7cs5pDpn9gx+FshQ/xH4SHwTxSREZUNU/XUB5e+LqovyvAJ/H27m/BlwrIr+gql8HEJFfB76Av5d/jO+0XAW8HFgM7DzAch1cDndIz0I2fIX+Af6DefIc+4fxIVla5PkyMDQrzz3A4/OU/y/FsfF+yrV+xnn3tA3OOOYNRdrFc6RtYEYIIb7hswGvPKr7Kdv1zBEeCLy7SH/JjLT/XaT93qy8v1+kf+gQyfruWelfxTvXfgJEM9KnwxR/d0/3dVb562f8/38UeZ+zF7nmelbvKdI+Mkd+c7jrSrkdfdsB0m//Wuw/e1b62TOOPXc/5bqqOO4k/Ad+Od6gum9m/cOPS1fg5bOO//+L9N+akfaBIu0WZuhbvKExBTywgPu3vijzl2el31boj38HZEb6dP1/yRxyrZmn/Otn/P8vi7yL9yLXk8rEO7IU+J058pf6o9yettue9BzeYbmNwqZgL8PGgbfO0GsKrAN+bYFyrS70xH/OSj+5KPurM+TfQOGYnZEvBv4b73g+sUgTvGOmDZw1xznNjL+75ti/BK/3Z8t0FeVwgnI7wrc91fVi/15tmhl5D2Rdn9YrM22aF+OHXs3VBrq4SN/KT7d3avjG/w9npPXhR2f8y6wyVhW64dNzlPuGOWTc53MW6et5ivbPPt67abkmgZUz0mN8R102K/1Juop5puTAz9mjwAdmpL2GOWwlfMDJT4r3QIq0rwITQHi43/0DsR3xQ5/m4a+AnwH+WFUfmmP/LwAvwUdgXIv3HnbPylNj/kkd2zPy7C/r8R7Uubbv7GdZf6MzQgiLv/8WH+J38QJkc8AnZ6V9r/idGeL3GrwCmL2qwWeK9LlWmTnQslq8N3omN+ENnr9V1WxWOuwhTHEvTMv96sJbvz/8GjAKfHD2Dj00k1GXPP04EPrtr/D1/V9E5OUicoyIvAzfwzJddxai3wAewuuBLcB/4Y2b96rqZ4ow31cBd6jq7B6ujxYyzaU/Pq6qT0zSraqb8Q6fUxYYlrtFVWf3ht+M1x9/rcXXvOBA6Y9fFJF9jlIt7tWv4p1RT1pBptQfJU9z5tRz4ocx/y7wTp17CMVcfA1vY70G/y0ewze89htV3QBcB1wmfjLjaaaHi/598XsecAzwOVXdOuP4FPgz/Kqqry6Sz8b3ZH9eVe+e45xuxt+N6b/FD/VehLeHbsFHEpeUHG0cCJtmmgNW12cw06a5Fu+sfbeqfnqe/J+f1d5p4iPvT5yRNobv9H+1/PSUEVfgdcPfs3/s9ZwzOJT2zxcLe21arhS/UE8I/NwCy5yL1+OdQl8TPzXHYDF6pQ/4Bt65M30N43j79hUiIgdQhsPCUTf0SUQ+hPeoXqmqH50rj/70LN2fF5FrgP8WkdNUdbRIb+JDoOaiMiPP/tLQeUL1RWR/5715YI60+4vf4/azLICt+uRQwl3F70xFcizwE501PEFVcxF5GDj3EMi6bQ5Zp5/dullyjRZ1cREL45/xSuB9wDtE5Ef4UMV/Loy2PXEicOccspaU7DcHSr+p6k3i5zj5JPDNIq8F/g4fAfMafI/DQvjF4liL1x8PzHCcDuHDku+bQ+4REdnG3Ppgb/pjrv17Yt0caXPqjxnpC9Uf/xffIPs0PhT5ZuBbwDWquqcQ20G8I/tbCzxvSclRyXx6rhhKfCVwnapes6/lFQ2F6cbC10TkX4Efi0htPj26F/4e3xj8TeCjIhIAv4Hv1Z6ur8cWv0/SdTPSpnXddANivpWknkBEjsdHNb8E3wiZiT75iJKSI5cD2Gabznug6zrstmm6gZ/Htwf21Gn72Bxpu3iyDXElXof8OvBXhdPgCnyb4Tb2j309Jxxa++dAt/3m41T883nS0LkZLMEPNf8IcBHeqbdLRG7Adyp+SRcwPcbh5qiKqCkmFno/fmzam/fj0C/gx9D9woy0rcCgiMw1b8wKYHhmD+/ThD1NyHekeR33JOt8+2Zew54Mmp9yUKpqR1UvxfdWfbQo/4PAg+InNCwpOegcYP1G0aOyEj/fyUX48Pw3F2k58MgCRb1RVa9T1e+r6t2zotuOFA6l/tiFn0PrEnwUYDe+R+lhEfmZvYtaUvLMYS967veBU4C/FD/x7gkicgK7e9ePFZG9Gv9F1ModwB7nttkDX8MP235D8f+X4ueS+IIWc/kdDESkjp9H8KX4+fJ+Ce+wuRQf/Xyk2WklJfNyoG2auTgAdR122zT/pqq/iZ9f5kNFFPJc7JMOUNUf4Ic8Tk8u/iJ85MffLUDG/dE7T9X+OVTMZ2fNFUQi+Kin+UasXIq/16jqWvz8Ra/Av0ur8c/0wcIRflRx1ETUFBX+T/A3/bdnhW7tjWrxOzAj7cf4CZaew+7Qr+mJqs7GfywPN6fixxPO5LTidy7v6oHiMeBkEQlnRtUUYf0nzXPuwyXrfExPAjYwx75j2T384wlU9VaKyaVFZBVe+X8YP1ngfDyMH56RqOp8Q+lKSvbIQdBvABSNijtnnGcp3nFzQxE6e6DZiQ9PPX32DhHpxzd27py9D68/7pqVdqToj/XTicX3YRmznFzFfb6+2BCRs/Bjwt+PNxbmYhjfm/WsAyZ1SckRzD7oudX4DsT/mqeIW/HLY9f34XRV5v7+7xVV7YjIF4G3FUOxpoc9fX5Gtmm99CRdx5N11/SE4mfv5dQvwg8lfaOqzjwXIvLhfZG9pORI4GDZNHvIv6C6Pg//C3gt3mH8nafonP0s8AkReQ7eYdPGD+ueydEcKTfX0PR9td1G8ENIZzOXM34tvv35I1Wd2ptQRXvsP4sNEXk5Prr8nfgOgaOGoyKiRkT+GF/hr8Z/wJ40dl9EuoreiNnpAbsfyszVe76Erxx/MOuQN+HHts2uSIeDtxQrMAFPrMb0ZvyYzBsO4nm/hh/C8Nuz0t9UpM/luDhcss7HtGH04pmJInI53hCamTbX+NbN+Ebn3pT/F/HDF94/e8fTYWxkycHnIOm3uc5j8EOhAnxo/QGnkP0bwDki8tJZu9+L/+bMpT/eITOWwxWRlcDrgIdUdX+HPR0I5tQfwDuY9d2cR388iF9pYF79Udyra4DTZI7lfEv9UfJ0Yl/0HN4R8stzbNcX+9+IH5YwXebSec51CX4Z4D3qxL0wPYfEu/FzLdxY9NROczuwEbhiphwiErF7kYbpzqu78MOh3igiczmxp+v6dINQZu2/jHJ+mpKjhINh0xzkuv5TFMOtPomP7rv8KRZ3Nd458278kPN/Leavmcm04+FAOpsOFb9W2GvAE8NX34HXZf+xl2MfBroLJ9b08aY4fjb/gLe95hzeJiJLZvw9l012e/F71N3jIz6iRkR+H/hT/AfxOuB1s+zXx1X1WvwY4BtE5Cv4iaFG8EOYLsfP1v8FnbGsoareIyKfAt4qIl/Fe91Oxc+AfQMwe7mzw8EwcIuITPesXIGfvO63D1Jv+DR/hjeOPiUi5+IjS87Be4MfKvYfKbLOiao+JCLXAb9bGEF34nuzXoPvDY9mZH9/YQj9B8XM4XjD7BTmvtaZfKLI+34ROR8/YXQb38t2Mk9u6JWUPMHB0m+FAXQr3imyDr8K2+X43os/VNXvH8TLeh8+DPVrIvJpfH27CN9DdSO+h202IXBTMTa9G+/kreL18eHgOvx9/qD4iQDXAc8HnkexDPkMPlsYKt/BrwJTxV9rN9642BPvB16IX873MnZP+HcO/p78+gG5mpKSw8i+6jlVvYsnR9YhIq8s/vyGqs6sf38jIsvww4I24OeVOA8/Sfck8K6Fyqyqd4nIbeyeEPhzs/ZbEXkrXsf+WESuLM75Wrye+Mi0Y0dVVUSuwC/PfauITC/P3Ydfnvtb+GGTN1Ms9S0ia/AdRmfj9cA9wJkLvZ6SkkPBwbJpOIh1fR4+gXcY/JGIXLPQqJpiDs2vsNvBPNewp/vx1/B7ItLEd3DvUNXvzZH3SONhfNvvb/HX8Dr8UPAPqeqmvRx7Jf65/ZuIfAJI8cM9n+SbUNWvFO3Ltxbt0v/A22Ir8RNVn8DuSJzviMgYfrTMJryefQPeeX71wi/1MKFHwNJTe9rYvXzafNv1Rb5B/KSOd+ErfIZ/iNfiV+aROcoO8C/JQ/gVoLbgl1qtL1DW9cC9+3At+7o894vZrfA6+A/16xYo2/Xs4zJoRfoQfnLMzcW93Ax8ilnLYx5iWZ90r2bsU+CqWWlL8cv8TeA91v+Fd8b9VPn4Vam+VDy/VvH+3IKPKJK9nR//wfhDfI9ZG69kf8yspf3KrdxmbwdLv+GXSLwG72BoF8d8m/1cgnEeWedcIndW3mPxH8Qd+I/vY/gJ3mqz8n2gKPN0fENleyHvrcClC5RzPTOWz57jXGtmpa9hbh14Er4BNW04/QvekPyp8vHj6L+O15EdfCTeDcAv7uP5+/AO4UeKe7ULb2D8yuF+P8ut3A7Etq96bh+On21//AreYN9U6I0WPprtr4FjDoDcbynOOzFbd83I84JCD08UMtwB/NY8eU8G/rHQcyl+rsSvAefOyHNWoXdG8Q2f64GfZe7lbZ+UVm7ldji3fa3r7L9Nc8Dr+nx6Zcb+jxb7f7P4/8XMv4z2vHWxqL+KH77zpLZokefl+KiP9qz7tF/n5ADZP/tw756QC9+hthZv/6wF3r6v96e47juLY7cCHyv05Jwy4Z3WN7Fb367HL8f92hl53lS8R9N6dhs+GOOSw10/FrJNrzlecgQhIm/AhwBfoqrXH15p9szRJGtJScmRxYxx7Meq6vrDK01JSUlJSUlJyYGjGNpzC/A+XfjKVCXPUI6KOWpKSkpKSkpKSkpKSkpKSo4i3oqPGPr83jKWlMzmiJ+j5nAjIkP4IVJ7Ykr3YRbqA00xYW91L9lSVR3ZS56DztEka0nJM4WjpV6KyAB+ONeeaKnq+KGQp6Sk5OjhaNFzJSUlT40jpa6LSBd+/srT8fPTXKmq2w/mOZ8qxUTOQ/uQdURV04MtT4mndNTsnR/jl4zcE3+KH/93qPkE8Jt7yXMDfizh4eZokrWk5JnC0VIvv4qfC2JPfAE/XrqkpKRkJkeLnispKXlqHCl1fQg/T+AU8BXgPQf5fAeCVfh5DffGJexeia/kIFPOUbMXRORC9u6dfUxV97Ze/AFHRE5j1lLTczCqqrcdCnn2xNEka0nJM4WjpV6KyHlA/16ybVXV+w+FPCUlJUcPR4ueKykpeWqUdX3hiEgFv7rl3rhN/RLmJYeAPTpqPpn/gX49vYxd9PHc6k0c7+6lV2KO1bWcqA/wkPlZPrbrTTyQHcMrl97IZfJFPrL109z3mWPI7ughOLsBz4uwjYhjVk+x6pwfopqx4T+exeiXFtOsj9P3zphlp9/BCdbym/YTXNC4jpvit/Cum9/L5hF4wfNzbtuZMWX7OGbZFlYsb7OrGfDpif/Jac2bGFgccU39Ur5kfo4eV+HZndvpqWznpvRCvnnHKxh7+Bhk1y28+ndanFj7J863bdYFHb6pP8e621/P5isf5dxf7mH4bMOGWy3HrXC84tQv8ButT3H8eIePJe/gs995IyNBjef//AM8bOqMueM4NfwRy5IRHhg5hVMnb+M9K/+a7mCYr2Rv5K+2XsGpPY/z7K6buCD+MavtY6xkGII2/+VO41r3//Hj65eydLCPvlO2ssVNsW3sIqrJvVzQfzfnyXc4TrYjEtM7NcmL8nWMupz/0/XrfM6+k9ZtA1Tu2UV6Tszg6Rmr6z/iZLkPmTiZ7155IVs+18eKdxrM6x5jUwKr2yu5yF3DiT13MzzVx6pkO2P2DP7x717Ahr89g+5tQnPZrSz90nMYHdzCEt3MSQMNGlFGYjMy+rl1bRe/138v72n9OTujcb68+HIeC59Llt2LTRbxeOeV/OTL9/GyVwqr+n5Mz9QKPvtnw7zqrZcjg5+hx2xl5/Cf8KVPGF7yK/fzstOu4i53Dldv+l2i1haetzrljk9tZLz/RSx/fc5E7V4a3+9FbzmR5vYAghZLzks4/6W387y+v+SC1m2sMoZv6nP5TPg21usx1NZWaP5zQv30mKGff4RmdQuT657N2LpuTH0ji88ytKcM7Qf6aK0NWbnkHt54ybc5sfJd1ruzuElewZQ4+mQnw3YF908cRzjeZmhFwvg9g0z+50Ze+AeOvPt6RvJn8UDrLM5NbuddD/41L7zr2/CCZfzbqsWM2NWENiKOYzoKgR3hJLOZ1WaEtW4R3w8u5E53AQ9PncbG1hDL+yc5N/wBr+cL3CFn8E9b3s76q5cijyj6ggRzRoeTBu/j0mX3MBDeSU86xUBYZ1SmuK55Fp2uc9jWUZZObubtejMvmfoyjw3288meN/GtxmvZ9N818suGZN6KfhQgyYc0Sl8O8iyyoEnNjRK7iIke6HlPP0HfFCN/9GckozG5dJFHMaRjQIQQAYLifFkoERawWCIsEX66LkvIJE5yHOcR62XADlL+A2QEdADIECaACkoApom4GgI4E4CDGk0slo50kajBRFuovflMet73O2y64mss/naD7TyAUwcSgfYQYTA0yangOIeA43A8CtyCYxzoQ2iD5Kg4UCHUCEHIAERADf6fw2JBckTAEYALMFic5KAxAd2EQULHKaGsAVmDlQn6XngS2dh6Gnf8OybLsJxEwhAwQodRCOuEucGwk9yMouIwdhDLqUAdQx/er92FwwBTGDaCPIqTnWAc2AhUEbLimVSL+z9JgEOJUVIQUF2BmCWoW08gOxDtwkYDVH/9DfT0N9lx5ffon9zMmHSwSZskbRO4HprBMkQcYT4JCIYuMoYIyDFsIqWD0kXAFAFNMllF7ymvZOLhewjtA+SMoBhUDOAQNZhi5JWVHEgIdAAhB9mAMERuLkQ5lm47SZo8TueiE1j5okVs/rMvwOjjEDZB2ki6EsyrEHFghxHqCB2UR3A8hJgmzvUi9INY0Ebx3gnOdDDaB9qLMgKMElDFUkHpADkCqCiIxbiYsHjTrckBC1oDDRGaQDcx5yKsps0jiNxFQBPUoYRAD9bUATA6hWgDMCgONQ00cOCOIbank7MaF08h2RiiFVzQAL2Xuhslj2Oqb/9Zwj86mR3dR7cuCrZt02TTIK11DnojwlwxOyeRRQH2hCram9MTP87ywV1EjFFxVToEZC7DhTE72zWmWEyibYaq27F0GJ06galHFhE1lGiVY6I+RXWsyjGr76DLOO758TGk1VV0H7eLvsoOIhazfgeQJbDFYEYjbAayLcQ8DG5XjuvNMGIJFnWTDViohdRGhOZIijkdho6LGb52M0lniOZ9TaKeHlzFYDc2MNsrMBDBs7dzyp9E9NdvpsdlrG+cxNrxEzGjQv+x21jctRUzNcgja5eT9yQsOmYTRCNMjR9Pa6QHyVOCUIjNCGeuvo21necwNjIE29ezdM0iNm+zyIY+4tuhedcUmBrYELa3CRoB8riSjxmkEyBuDMwYurgP7emHVGFLh8BEuNUGvSyFZQ7zowjd0UZbMZwYI6dZdNsEg1kPw26C+BKBFf2kj46y8sIpjj39QaJgmM16MlseP57OcA1jMjTIkHaVdB0wHMNGha05oTHkYQ69IbgppCFoI6f32VVax1fIJrfRe+Jy2lsc7R+O06U9hEsc47UOcW+d7P7H6TtrMWO0SALI0irBopTgmGEWHRcy2pyCoEZVlPHthuz+RSRbQzouJVwDurmD3lUjSQNa/S26XmQITxKaEx3czip2c4Y8FsPmEG3mBOTQrGDTHI7JkC0JPGrQlZs4/sNV7EmOTQ9G2FuU2kQvzVZK9XkVMh0h2NiFvTvGJh2iSwM4PSLdnMFmwWyLcDs7BCtigpYj29ZBuyPkvClqFydkD0/hrq3DD6vYrIU5LabSI7TuaGKWKV3vsmRrHmFZUGfHpm5aLMfdmaN3twmP7SYD5D5FezsEixOYBDvWIu6NyFODe7BNnNbQjpJVDdESJc/aEIfo2SFrzryLl53+36xyD9JFQKqOKRI2ycncyjk8albSlKVHtS4CkPCPFFNDckusLToiBFqhgqPVLSz9i3cx+fgOWn9+NdVxyxR1VDpEmuEkxGqOkQy0l3Dlz+IWH0t+zzcRt9Z/flhGhcW0zb0EThAqWAIclgAlwSCkpERksgxkGbjHCXgQDZcR5ktITz0W87rTWNbVZMsHv040ZslpAJOECDmGAKWCpSNtMu0CqoSAYFEyhBSH4kgwhARYHO8YarIAACAASURBVJbcACYBbSBOQRMELfaHBBJjtYXDopIgEiAmQOlCtQPO0quQx23cWy8kfffzcbespfr6a+ieOp7h41ew9IsXs+W2e+H3vwWmAaLgqqARBktIRkhAShUbLgETotlmQm0iKFm0DViJZOdTMT2kbj02yan9zPOJmqNM3HYT2BjOuQytdcMPvofRh3AmhyAksBmhW0Tafxq1P3opwWCTiY9vpO+uiCbfIY02Q34SBA260u0kEjJyzvnU3nsxSdsx8baPUxuv0Q4dWXUS0w4J7XPpjU9FOteyY1mHRW95NXL7QzS+fjstWUH0rBcSNNeSr/0Xwjynzc9Bch5x/gg97kamLhtl8Ct/zNSdLSZ++8vED6V0wqVotAbaMYGxVCrjtBpN9LXnwJ8uo+fGe0jffjW0hmhFAWI7GBdjRQi1QURCa8n5yMCz0EduoDt7hMnqiSx5x/kMvldw29ay9sLvsGh4FRN965DPv5tW7wB8/Gb02z8A1yHoXkbvub/GyJ23Utt1PW1ZhoteRJRuwPZcR99V72PEVEg++D3c3T8gs6Z41yJUT8QmFyJrBtH+DEkt+thmGLsJIw/ipAISY+yLiWQFHfNlQnmEOD+LZu8QQx9axdLLz+Xhjz9A9ue30JNuoxlMEbqQZhAROqXLKY2eKu5NlzNIjR2f+ipdLz+L1tvPx14UzamP9jj0aTSo0pYES522W0xTlmFMzhQhTQxTGtIOhsjSlXR0KblUGQ8WgRWiXInaCTaPsLmA7aKhdRyGlq0irQoEFcgyrPYwLhFjURVroGIzwo4BpyARmUvAdqMsoaljTEZV2kGEDYQsrDBuhtgpS3ESM2UGyVFGGaLjqsQ55GlA7ip0dDmp7GLMNBi3/aiFKA0AQ4MKGENGwLgZYlctZE06SdMFkIWQdZEGfTQkJLcJTfqZ0JCGrqTDdtrSTyijWEI6LGICw0SwjAY9WLE4JkkloBkM0nL9WKmRuoQ07mWqnZHhsCxhkuNo28XYIAUJSMOUCZMyLhG7oi7yTuAbZWkdl9UYB8ZlGROyhcAMkbs+JIXeDBoag6uR2gpO+unQTzPsYZc4RlhEM4ggc1Q7Aa1ODzaH3HaRhktohTtRGmQmYFL7cXkPahKm4g6tyNCRflqyhobsJKQOeQ3sEE0JGWeAqqnjskkyU6Fpqih1nI3QPKZDlVHTy5gM0NGYKO8i7vRgOm3ECs6FpLqY3NWpWkPeNqRRQu4CxsIBdskSnPSQa8auoJed0Wo66SIisSCOTiCMRYM0aJNKjHWgspIxgUaikARgHdYOMhVUGTdVJkwfk/QyQY5TSytYQ67Lca7JhBGsjSGr0HEJu1hKO+gl04SJsM6uroCRiiOo9DDKStosIxAlx9EWxQUhUyajoaOkUqHBciZlBWnQi5MaDQmYND20NaHDEqbsIGGeEHRy8kxwGpBLNy2GaLIYE05SCzLadpDheCU5y2hTYVRSRiqOjjSYSqo0qYGrIdnRP2e40VECRlHNQOr+meJAcoLMEKUVxHb5T7g6GtooGvoKWBAQsSg5OMURIQRACmYSjIBLcK4XzCSikBFiJCiOz8BawCAYlGkHt0HFIdoGQjAVnBNUhKLFjCIYB2GuSFj35oZGQOFf0SmsgNMMW0yHZQNBXUpAC1GHEoFMH5eDWJwqBsGf6MkoAeoMGFvkihA13sGEIQxiOjYlkAR1CTYENW1s4MA5YoQWlkwy0DZIA4IKNk9QKiAV1HVQQgRFsfirdYUTBvxdtKA5lgxnLUYFIcCixXF+1U7B4B02QXGPnU83EbiouHZBg0k0aWMlwEmEI/f3xCaoi7yDIczAhjgMVpqEYQWXO+SJ59cBY8B2iucbkEuAiwXbEqDm76saBAtk3nk04/k7STFqUY0IxCLOAnWEFDQAjZGOABGxCpIlWBEMIbmzOBEQg6jiV5lsIqLgQoQQJUckA+14JxsGXAWVXkQSVN2M++eeeOpPrBGgAk/cxRDUAFmRDuBQMpwR1IVAiGJwagrnpsPSRIIAEFw2iZEWqDfPIfH3Ty05OSAEmcNoG0cLgklwY6hrg8bFs6rsb9U/AulH8wg6SphCRYWO6yNXxUVAFNCUHpo6RcVUyIMeJrUbwxROIiZMHx1dhNCkQwsLtBkkMyE2hExA8wq5SWnJUsRGaL4YcQbVkMwEiCa4vOrfMQfiIEohsxC2wDZDgmoCApW2kGcGyaDegbTl/aXOgrp+0BDSboJWiIsVk1boakUELZi0gssr5DpEalqMm4g8jiFU2iYiJySRCCuGLIjpSDeRTiHisFGOCohJcFqjIz3Fu2ghqJK5rkJnGHwVq0IQEWTgWhVqLSHvgOYQOCWkSuqmCDND1lKCTNA8IRFDOwXbqRB1vKqWhrcbtCNErYBOs4vEGgh7MHkbyYGsgsuFzAziNKBFP23pxqrBm8ZVQhXIIbLgrGLzABMKZCFRO4BOL/EUtK2DHD9dqO3CBuBw4GJiK0hbIAwIMyXXLkwOgRpiB67jyxOtodrCmRQJBsjzGppZsCFhDh0XIRmQQ9Ix1JtC3lshBZqRoAJRHkEaEOZCmCsN64iMEHagkULYFoLUoCmktoemdkHQIswd2qkRp4ZWJ0KtoEEdJaYrF9oa4JzBWiVyStAyJC2YTB2hghPAVohahjzvw9gckwckHSHLwUlA2A4xFcBWkZYl0JyUAUJXQdWQRwrE1FoxtYYyHEDSFvKoStIBZy0tK8SpIWkGNBoJtcyQWotNHNWOIe0kSB7QsUrqBsikj0ZQJ0PJUZokNLSLXOtA12HQHQee0MbkZMQ4qkSkanBhhzTvoFKjLZARENsKFZo0ojbkDf+F1RoQE6r/DorWkKwGTklsiqNGCrSDSdRF5OI7uFBvQ1hRmoElcCnGpYTaIpcWkBJjyEnpIsPlMc7W0E6HKEuI2UUeTIKmqKuiJiaXlKbLcNKFKBiaOFGcKEgANkEKW8dKjqVQfCpI7lCBUA0B4r9sAiqCdRkYBTHgIHGWqmuTM0IjGscFAXnaQ47BaBfSiQmzZd7pwzi4lQQdA/kAgiNwAQ7Bye6pS3OEVHJChEouQEhK6L/xahBXQW0CxFj8L7kQuBgNFDVTBDamZhNa7QzfylasS7AaEKj1X/o4JwtzQlHIA0KtE9ODyx1Ik9wqkOBUwSlRDqFVlBYhQj1Xpqba3uYIMnIBQwskQPMaBAnZE884BBeRa5XAAC4AcgKmiBgHVZSQNJLC1quD1v2HhWESU0FdgKGGo4rmBnF1cL7jBxknZIoKdRrEWNMmcEqQpcTtiI5mOEYQl2FsgNM2GIe4OoYExFLtCGYiwDZj0izDaY5QQ2wF1NcLJ76TK0BxeYfEems4FfG2lsQYZ/G2UgR5jTgHZx3qwLoEISRWIdUIZyoYcd4WIi3sqhBMgpOIXBQ1qbfXCXCSYCUAE6DaxqFoqiSdLqr49okhRtrzt9P26KipspV+u5nYTbEk3MiA3UZPmDDoUrrV0R0o3fZxel3OYL6BQU2psJm8vgjpSaFiMWEEUU4sLZawg5QWO5JuGvUY4jF6sazM1zGkwopsnP4J6KuEmHgMkg4GR9UkpGKpucfpd+O0MyFyOWEaELcjeittlsp6BpzQw1aqjDCkG6nLNkaSbrQySY/mrEpH6NcmgzRZLDuYCCfIk10EJqMLGA5zqkZYnG1hqANhu8pAOEwYjIMRqnYXvcYSSkw/j7OISQbzx+hym6i6Fv15xrLOBDW2U2MnPXYbgwyzpNNkUA2NirJItrPEPUbFrsZIjdiN0SMbabCKbreNZW4TSzrjDEQpiNLXalK3hnZYoV+nqAbDZEGAq4zQpVWW2QlWZrczFK2jpYsx8QjUoRV3U3GjVHSCChk9soNB3Y6kU/SbKdoygEl2QLKCqWo3Wh0nCRrU0+3UdQdVHcVom8iBNQmBm6K306G/HSPxKEtr6xkPHqSeb8QEXaCbMbKVujUM6Faqqkg0Smy2U3MbGWKE7bILiQ2JjDLoJhnKRunWEUR3UtMqoe7AVMboJSOxG9hm6rSqNVyXAR1Hk4gKm1nuRlk06RgK6gzFOQPBWmCYKhV21YR2dYhVbj392UZGpUZquuhhlKWdlIk8Y4JumlGdJNxADyMM2Ckm3E76zXqMpAy4Nl1ZH8NtxTLBIs2ZCnppR9vpMnWM20ZDexjWhEG3gb7OJF02xHVggAla9nHEpsSh0FZB85zuYIo6jiE3wTKzkSW6htGsyqRtssg26TW7WNLusDzaQb8+wq7KErQrQpNejBmlJ99Ev26mx26gV7fTH3SjrsWy9gYI61RsRD3bTr9mhFMhQ5GyJNpJr65jR5gAi/b5w39EIh1yaeOSBlRS0obi8hAXjEKS4MIM30AVb/jnMaoO3wh3qFZBe4A2yASo4qj76BScb+e6Oo4quIrPm2RYNwV54ewhgyeib3zDFBdDmCK5LXp+AnLqiAb41oBitEJoHV0yheg4KbUiWsH5VgiCo154bSIILRp6x5CxFtTH+vjgx4p3mjAJaFHOtDNK8Zkc0w12ISrcIVVs4UARDI4KbVsHOuQmQlXQQGnGHfK4Q2gMgcXLFgTFRzoEF6LSi1NQO1X4iBJUamCqODFgLRKm/v6LkrsK4mqoGoRO4ZCRQn4tZAUIC3eDN4C8Q8SgJkGJMVRRBExEGnbI4wBMxzukFN/6lIBca95gUOtlJibXKtCHw+E0hEDBpQTFGTUQOoGCZk/EXVGYhbsdIeqNQFHUGdR0YTXwObSOxgZkknYnxkoC1QatWh2RnJAKQgunIZYKLnQQGUgD1MVAAlRBQwxt35g1FVRrxbs2iUoODKIs8g5HBBHFqY/wkelrecJ5p8WbocV7M/NeS/F3Tm58jBkuBAUlKd6e1BvzLsA3agzoruIcXeAMmBQkwUmMqKLGoRqiTsBUIffHOQGRJhVGge59rvZHIqFOEktGxzRxcYW2E1zcROKMQA2BC+lhlEX2caqMI9ok0CaqHXJi+m0H61pUXIu+aByVHM2VLKiTJzEJhoprkRul143QlUckJsNJD1XGqOkExiVUJUG1ghWHhBE2MmglJ+8JcFkGXT46rV2toJUmGodMVeu4ygRRaCEeQuMpOkEOSU5W7cPVcrS7SbtewVQTkAn6jKXP7SQmpcvUwW0nMCF1HaZfhzF5RhQ4smCAhCYVa0m1jQtCcB2UFsIkFW1T1TGmIkMeToJJMKaFrXTRrsVQS4EMmwjUUlKbkHdbXO5woZKbJk4m/HVVwGFwVaUdhtiuHK2BrRnoDpGKw1mD1oS8q4PWmozZIQibuLgNSQKVKUSadOXjJDJKv6vRUEPLxKj4Xn7CGsSKDQM0slBVsqAK4nBRB9EWaVeEtS2ohURxTtYexpkYm2RQbdPMK0jFQdIgrwgajdNJElRHyURw0oVLHDXdyYBto9kEuQbEWgVypiTCVquQW1zcDVGHTr3p5apNERlDnYCUBhr2o7GSV0JsrQoy6X1HNQWZxMUBLhG0HqGVSarSBLuLShCTx1Wa1ToqDUi6wbRJ4zpUDDb00T8VY2mbNjbpoV2toV0ZeRxitQ01Ja9ESDhJl7W0naUZVcjrFs3HsUkPnUqI1sagO8dIQLcdoVdqTGpKZpROXCHrgjQyEHXI6hVcLSatKkobOpZ2LcJIB+rDtF3NO0gTR6vehQszTGzQSkJNdtGfbWVANlERBRXGSZiQfnrcdhaJgfDo1kUACQG5tlEclgSVaHdEibbRsImEFrQCGDANVCpkGuKKBm+ggoojTaZwtRTxWggrmVf7WvPHS4r/Fua+LPw30xpFtQuoIxqiOJwIzkCLGFvpIMk2gkSwQZsUi0qLAMXHpIBKsNuRLwrqMIh3OoiCCEYLB6g4ijBmRH0XQ1bUWaMGh/OdZQCB8Z86VSAgTdpI0AIbYvJeTHEfnFqqrkWsU1h2kGNok+DCCUycA49jKDrNCAhUCMixKDYUcA7ncrJAUAlQGxY2aEhkQzL8PUYcBosNOjTDlncGBwbn2nRqk9igH6NJ0ZFoEJTQWy4+klpCRCegOslEHKNpUnSs5BB4s8eJQJBBYAnMBC7qMBUkmDDABTG0Y5QEJ0ouFQgmkMokYiyWCpgQlyi0FEwVm3kPsY/C9ZHohoxq1qaTBXRsTIiQikHDGmTWO65CKeKuGgQmJqJJi5gAg7icQGvkVNDAFR1W4OI2WX0rLmnj8n6QGGcsmSQoNd9/RoBql3eyhYoTISL2naChITMWXE5AxTvKFAJi8qAGNAgk9h1WroojRWhhpIKlA/E4WVxFJCRCcK6N0sCK9e++ZIhrAi0wimhhuwUBeWLJAt/piyvsVwdGY9AcESUiIdAamcnoBAaMkJNB1MF3ED6ZPTpqnsvdmOoSMq1yjrmZVW4zE+uU5Z1J6p1Rurse4oXH3sGzejtcHNzHMY88xsWrf0Lwq5ex8QU9LO5TehZvIpOM46NtnB/dSsIoQ89bzC3V1SwdcJxx0nWczF2syic5++770Btzxp83zNLz2yAZx9VHSXosE8EYa6L1HBNuoyMtlmxrore2YXHGuRc8SNA9Tk8gDJltBHY7ixnDnXwSN55wEp1tNU6t3s9L9AeYzTkDvYIZGOTrJ5xF9FvLWXHMNo7r38hxz404Pd7Oa4IfkfzTDnTYccbPP8gJl+XUTZuzkgc50bTZxhaOlwc5QSY5dmA9K3q3sGpiA/GD2zmr/y5edtwtLAu2cIG5jdO23MCK7W2CFgS1Jhef1SGJrmXz4stIu4Y402zmtModPDi0lBPlh7wguJtT40eJJyZojExyUlhn11eHcd1tLrpsE1tX3c7GM06lujxi0cCj/EzlBxwX3EBIg03VkK0vOJdhs5T42Rlndq3jFJkiCfs5izs5vfMj0nYfvW6Eh7obPPCcs/jhK2skDRg8ZSlnrr6Hwegx+mUnkRkjIiSSgG2yk/jEOqduHWfH5zez9LwWl7zsRwx2HmJxnDFuevlJrYvokkVc0HM/J3Az7copPP8lJ3Ncz6OcKXezSHdyfd999Fx0POcsH+bcnXczmAibVlzILjfJQDTKmpdVWLTEcFr1bhbLLTx65hmsXXMa27cnoL0cf/wo59d+yAtbN7J8XYfH72hw/qWL+KXjvsuIdDG14jRuesnp1I9pcmlwM4O6nkeX5mzsGeCU6GFOqawnVcvmU05k03En0SvrOMM+wKmjD3FCX0g1jGjg6DEdxuMdHDN4DNaOMxA0WHf8mWz5pT5Ord3MMrmZzZLTVZ/gIncrJ9y/mda1LQaP3cW5Sx8ms4tIgoRWrlg19NqUcPsIdbX09g1TqbfpNxndNeX4Wh/Lw0c5Udax5uENDK5q8tiyYwl/8VVs2T5A9yrDsQPbOJ/7OdfcyArzACYHM9HFUiZYUhljTNaytdJFL0Llm7fTuLfFop+xPPvs/2Zi8TIqzz5zId//IwqnFSpDdcxpPaRLhK5RCLYaGkMBfc9SevsidiQNOkQ4FhH0nY0bvQfH48XwkeWEcgZWR4B7UBpADwGLgIkiOqEbNduJg7PI4hNYcl4XeaPG8O3/j7s3+bEty877fms3p7tt3GhevDZfdpWZxepIFmUKNCQBlAxBgGxowIkN2ID/Af8hHnhmwFMPpIEBDQwDsiVANmlRZolFslgiqyqbypeZr40XETfitqfbey8Pzn1ZmpCGJdqkdAaBePddRNw4zT7rfOv3fUuGDrbphnWagUwYHoMzkOZwQ2iJRoE7GMagz0is8VpQWThbXPPph5b4T35BdQy0zD188T1cVtHuPqd8dEp+5z67r66JzzMMShSH0RJrTgjpBnR7EIYOxdIAih9kj4NQo4IQ0FTizF1i2oG9QekhHjG7+32WNz9jdraAyQNWN1se/kf3ufnsBdv/q8PgBxHw7rvoKqddR0anHxLbY9rVU2ANeguuIj/5JnY6JlohEhjNC1qFEMDuHxIvC+LNDkkXxMTXUsJAdSiDKJId/h6wYofCBMPR8R1WVyu0Xw/CVHWft793ghRX3Mx2cOuBHOQKlQzVh2DehfQakY5i8oDx6a9y9aLE5x2mu6FprkEcVoe9SAZv/cqU5/Up9Wc/HSxKOtjhMANJRcoGASU1wIQsf5++HUF6TlbcpX98n/ytktlXBRdLOPrNnPt/15D+z/dY/88/AbMmKDh7H/9wRHY+p3u1wDdjiIFunxF3Nxi9IkqOKx+h6YRY/xTlYyCBu8vo+FsYu2Lz6imS9CB3RcyBZorYAwk0nAcqHmtnxNBhaVAJJB0oGSuQn8wI2R26l5/hgkEZEaWG1GEocMVjlEf0uzW5fUoTL4AJhZvTxDVu+oBi/kuk6QRxZ7DrabeWbGyoL2bE1c9AXvPwXmCSPwMe/f+xZPx/tn1w8oRyNGHzzogLV+CN8ihtmZgXmALUOkbpinP7ilKucCJsdMqekiAVIe/xOoijuenxsmE3Lnj57jvcpBOmbsfCPOM63eFD+6dMTWL04SMu8kcU+ZKJWWGkYX7HYdWQjjy7fsGKGbKz1N/PyH1BSB3EnEYNxbQkJEd/Jcy6gvmdDaPTllaOsEHo7iZGI0NdeXQ9Rp4NMl3x3oQ7xU94ZP4Q8Jg8o1rkMM24l3/FW/IlOj4ivhe5sXtmbkOmPcV4wqgqh+/ZUHDDI54R8xzvFS0aTssXTErl5m5Jf5qT3vP4ZDAOdl847K3F7ZR8r8Q99DanWkxpkiH3DlPD7hLGx0J4y7P/TiC770nvGNxnSnct9O9D8auW2OdUG0PRZNh3e9J9Yf3A8vB8xfv2E854xUOz5NXiK7rZmJ4RN4y50hNu5kf0LzP0HGQFpjeotZiF8vDhiEYttXqOTq45HT8ldsqyWLE8mXGbedgI7syRj0dMppbNgzGLtwX1gmokpp4y23LPP+OhXREqqGWPpaF/YLk5OmXf3uM6VdQZNA8rsu8qi2kCt+F0/ppFeUM3Nby6b1l9MGX7smD/zDFup8RmWE/7doragN074rVQVD1//fELbPkFn310h9sHjwm9IegMmezB9CxPlfB2RgwN999bc3xvz+VRwfJ9T7yyhKsKXxgmoRweYU8Nk8WGu7MNlw/v8uI3cuxdi93PMI8c8UgY/c0ps9Oe44dfMPdfck7G8Ungyu1Y/vrb1B9NqfKeaW+4+rCAkWVxBK62XF8LsbSUlcE3x0gybLeC7ZQss8TkYQT37rR8v/qcv27/NY/5U3xbY2JkV874ExPxYnjFJfCNv+zl5C9g6wGlP3CXSMSFioKMYF7wze8o1zPPp+UEs+tQXeHy9zk6+5D16hnt9mfYYOiccvIbjtGvTPniq3uEl1dgvgDtKNKHdFyhqjgahJ4ei9WEi4HOjHn013+LLz9X5OoWCUs6MeTTE6oPfpP011o+/AdbThvL9n855ea3W0gWL0pHC9pBzBjrI3o+p0UO9/JBqkixx9MiQDxQKjbJwbDO118VO7zroNFIElQiVhMFjloi5//V32b299/m5T++IP3Dn1O0e3ZsCax5505LfvoTug+W/IlkBPcWb/3nH3H/mxeMrz7nYwY6CTF4DVgiQYCk2KSIKNV338U+fo/bf/Y/4TZCEsGppacHtqCCYYMUK+7+x3dIX0Re/aBC7Zb7/8Ujnrzs4XcXKE+J0g42qqRkamlbh7u64ez7Y3b/yX0e/MaU7Y++w/JffQXtAswNoBgxmEcFo2977q8zXkng7G/8GrtvPaYGTr+Y8vxfRGb5gmV3l/zOknf/bs5Kpyz/11Pm3/4NzPdm7H8/klLEEBF2aFoeTGjnlOZLfslu+OGTS9Imkthj8hPSo3tMzkbUT54zskua7Zrp3Z75vddM76xYST1UJlqi+dvM3vse4fKPsK+fUNiC/PsVH/43j3jxD6+4/R8LPCWt2eDkiF4TKV8TOAdzSn1iaB+AOT/C2TmSbzn9rb9BSD386xbDYKHDBJQSNXPe+aAgW+25WK+BKZ29HOqdKKAXMPqM2a+dc/PKkv5khdbPEVnT2wjag6mJ8RarY4b61QEj3MNTJt+9T9t16Je7wcpGAoVcMxp2B6vgKbvxlMXfGlPVAf7RjPvvzmm/XfNvJdS8yyes0hxVz3fljzndLNldeyZ7g2wj9xev+Nbj32Obvebb7SWzy0vef/9H/ODBt6mnd9D8NdPyp2ACRzzhA37ICRs+nX2X9huPGM2f8L3yn/LR/iXvtdecPb2Gj5XxRzCZfMY+q5mxorA33NrEu2nDB3JNa55y0m4oXyV8Ut6tn1COfsJIC5xGCl1zXHf8zP+I3198E4mvuJc+5qPwp6w3lofGs1+U/O+zv0bzXgnFE94x/4oHI+VD+ZJv7P6A4vM91YtHvLt+QfbOZ7hsxJ3wKZNww1lW8Hb6Ge9Lg/pz5m7L4mLL7GmH8ITvut/hTC75tv6UxxevGb2u6DYjsmzL+dtL6uM/4My/xSq7x/vyGZX8gNaf8n39bX5VfswDtnSbQHe5Y1I+xv9pS7UoqX95yTce/JA0rnF5yTv+R/wt/W3e6/+AJrMYMyc7+U145x6cbfnI/JAJL9mFu7wrH/NO+4RQT5i0F8TJlNnRFfG9lptQIe+94t7oB3zET5jGKywdLimun2LcnH0pPO5mnP00MrsXeJg+QZuGD63hYnTCTXZCXDzirfh7fM/+kFdGqU7GjG3Lh92X3NEVf5T/GHPacT9/wgdXl0zHP+Hd2Q9AITNbuvMFevaCh+YnfMAPqWfCJ4vvs52O8d0ev3jKW/YP+fb6Bd2FpfuyZXF7yXf1R7xUeF168rMJJzPlW/pH3As/RwqD2gXfsR/zy/rHkCIfj77ATl5Sphve2XzJezc3JP8JW9extkJJ4EJe4LJnOA1Usmc3m3DJgrv2R3zU/xgrC27tim/2P+Xx6w18FfGbyHu3O2IX8XnGWjpsFhnvA+ka8BWm2lB2P2dTzPgq3WGWLXhbfsI9fcrk5oaHd2oeZL+LPvwVttMZCpyzjAAAIABJREFUd2YveN/8gHe7H/J++hMeyueEdkpsF9juK961K177+2RkzNOEk5fXTD+JuIfwy+/9lCfnv8dXRxPgN/6ty4C/EpssSIVDz3u6exGqCbYTwjxgjiLZAnC3qD0hxTFBHmC4BlmhrIAcI28TtUL5U5LZI6kE3gK9OVAqI5QXhPgIdfdpz0BXW8SAjQXJNIOCnhyKPXR9DOgIg0M1EA+5HjACI5iYEMDqjsK+ws33rEQhBZD2wGwsCHwItkJlSxwZuuOMcJnjKTEovSaQCmPuDJ0hfcYg0AwJJEOXSxhoFP3aDCUMtAVyB+USaA9xPGOiuwfuOTIxhOMMGiEc56TXkUyUHA+2IPkJaiZACfkpGs+BBtEvULkiGUMsT0lTR+8V0T31aUkIgZQsYZcj67uQpqA3B+OQ5U2B+YbyEMlBDYmepGkQRQA3mhAv8wEPxaFSYU886jsotgwmrQjSABZlAuYUuAU1GHOGZOfgPPg9qRsBHsQcpKAROEt3ZGlKDjY4MxSIHKgsHYgiIaLSA6B2jsopIh3JH6GLkvbulnYzRfcl8d4N/cNr2tM9yTgggBkTzTkym9AsxsRNRkwZNuZoe4LqDPPG0GaOQB4AXx36ahkaj0jZOZIniAcc2hzonzSwU8iBptKDfUzHKDOE9aGU74HikLljSSNHLBQua6SPRCkPxyaRiIiZojwCVhhtUG4AP4iRCGoWtKM54cghLhso9ST4mcDVgp4CKx1FtWJs1n9xa8Jf0rbwX2C8Zz+dknFORc+CT7mXvqTE0kmBiTULNkz0kky2ZHJOTolITqYtmRX6GKmI5LpmaSymaJnHUxbpmnP7lC/Mu7yrP2ZmOm7GOzoXKNhyFNcYWTHyFYGGLnM03JI4QeYV++OMVHq6uCd0d+g6i6/WJMkIfsGqazg6ekE239GejLFmyn4VaCYZcWIwmxbxGVGFuLildC84ZYlSskovObeKWsMDPueefsXeXnBa9jjuMKUlp8GYHSM7Io8tpbSUsuaYV+y1Qm0iFXvmNiGmZJuXrO+XdOKgT0jmSFnALB1xBfu9RaKC9MSTkrZt2fmI2XviKMBDT/c4ke4vsWcTuscjahuIr8CeB7r7SmsTdZvhVg1nJxfIbMeOHcXoc87lYx7zORNeUPkpnZ9RMyFLx9TmETcnjrp1QD4s67VgHMjZlu7919RZziaOmbsvWfBjLAV1MFj/Fulc6EdT0klGKLfUs4wgO5jfULnndEQsY+YseZB+ylsscWLYYzA0dHnG0+ycl2zIuUMfJyTnaInEoz0jvuKU1zxIl3Quoyk69pOHNOmUtlkQBOKmxpZjohqkXyN9QZoLTl9wL/+EI/mErnpAM96z5oSkGSU1FkdzLtTzEWz3jGbPuJNfEX3FMrYkdxctPW2WE3c9wfbkjxJH2VOOuWU9SbQPx8RUYG422PtH9Hci+2LFaPaKhfuMh/qKqdTMKmUsG7q8o54d4TAUfUkqBIo1ZlRjg0OKElOWmOkNLTtSukOzzUn7RJScJGCqjqPxp7ylv89b/CHvdF+Q7wI+9LS+ossSawxzvQZ+6y97Ofl33iJhIDHVYumJskdlsBuqb6hmL9mWOZYSh0X8GkkVZvwObG8ZmBelt0J/L9J+4CDLgFOMfAUa8BzRWAdxaKAMnIQAid4LKctpjt9Gv9pCHNZ4tZ7kR7THJ3QPr/CPPqa4Usy4QxSyZOkxiGRksialETs5AhxGB+lJTE468J0pxUMrajAw26/p0EO+YDIYLBEhHujigcgBJWDw2Czn8r2S7d88p/+jG8Q/g35JMALWMcleU/rPibOMqA6KCfFdwVc/427+jI+RwW4skd4Eej3UBmmgeqKJhIWjvl+B67+mrCPZkHdnNliNWAKd72juBrhN+EOkhn+/BO0wEhCNB0rYDMQPFkwAtui8Ij3sWPUe83pGUA+uBG3ISFjTkCYr+sWSjD0AMpnDu4/oYqJpRqjdINbhpKLLbpGz17hFg5TK6m5OfqYksydPgUgYSDt7S0yRPRVHYjjTC6pwCWGgV9Q3yNTSn1TwssC4GnRHKgKSL3GjNcYMuVmigT47YnX8Nv3uJROucdKxPWvof21D+y9f0MtgV/cqjGLGvlVM2OIRJOU4aUkG4JioE7TsuHznGHPbQ9aTcAN1LVcIGSKeRXbJzu94ETuUipQE7NDmNNIRzRXmSOE6oeEWH68QOrp0aLCmBmGDcANSY9QOouLM0U0942AwW0vQSM2epH5IDjRbovXsQkXIK+q7HrtKkJe4CqR6zp/lfPhzhZosROZscOqZbAKmBr9O6GuDrCE3gZFusdowCj2lKFaFE33J3eqYKUtGtIj0jHRFxhqlJzhHLAqcWOZ9omobWHWkrSJLOLpd8nZ6AXTM6XDxJY/llsfphjkty1hT6BZqgZ1D9zVjrSlbJdOStgbbJk4n1zzmE/b2U+bmFbqLEORQ/vdkbPB+T2Y75lxwlLY81hdk6xpugetItg+cypIle8QmbOpZaMdJ2FL5Bi97MjXYzpPWitvWzNkzlxXjbkteK7pXdBmxVpGV4EaRxWSJLz7mmJ9TxhUju+Mo9BSmwbR7xntL+8xiRMg30OeKKHgCOTcc6y1vx5cUtw1T9ZiiYzTaUVR7TLHlxLzmLD1nIc9pVTjTmmyfIFnUDeW6zRrMSQ0UjI4N49hwYm64qy+Z9BvcpgZznxcTiyfDY5G+RtsS04zJVjV5FihMS+VvOHZTjmPH+DYwy1tGY6XjCqMtsQ+I3zEprilkDW1HVq1Z8JSVTPB4/DgjmltmbFiklok2CDus98zYMpdrCjpCDW7lcMuWrFcK9hTWY7SlyjpGsqfSW0qzJ2NLpTlTbaniDsOeI3vJmGuy1OBCQHcJ024Za0OHo6LlRG7Ya04bS6wzZKljIjWeDkdgJluOMczCCtPUhNagq5K4BNcJakvQFj+K9EtHfG5hrBR3PTEmTOgZmyVRFEPDgC0qMQ0Gj4ldcVxccZY+5S37c065RGLE2ooiQH27wpNRzwRDT2EKrDFDJslKkCUUu5q7es2jdPF1bMW/t1sfkLyDWYSJ0rfwRjuwjkNGTEQSQIbkHqVANDsgsBFxBXQGTAvSkZJHGPBw1CKUiJlhXY5W0MyG7qnImwfgdMBnzQFL1UEISYONaRAWdHg4lkF9l68zV8DS4sohswRxiBEkHiSVXJCpRVohlBamSioDSIdoOPw8T9IxaIEIoP3Xpcrw/28O8iA/DKLNsNqJLSGOEfWgETWerBLYZIM9JgFWkAKCjweXdwITMDOP7nqQFi0MKeaIjAabFqCZGeyJlSCFQjRIAUZ1aED0gjqLHjpwbwxPcviUSRTVw34ZXhn2rRxMR9YOx5R8+ExZR6gOcpR1BwonHY7DGMjBJ+iHsGJVQ+gFKoPkFmn9EKpgWwxmeL8riScGM/VEDJD94hNqAH2TSxQHRFci6gJunBPWJdHlaJ6gUHQ0HM/cK5gdxR1LwwZJg8VN7QipMrQSmBzsRkGQYGCTIVphsOBAvIVdAO0G/NzNSUUFuUeMYtKA1qp5Y/z7RW6NOYDayhSRI5QdhjDg7DpkFqlYdJSwx5H0xZoMiFKgpsWoQTUgfsDf2RpE3hyfhCYLWqDODbbzMRinaAjDdTbyYHcIgWQCkYj8B5BRUygYSdzjhjtpg0jPsdwyZ8uIhr3MqF1GkqFAb9Sz54goPQXtIZLaYk1NpRsqImspyegpuOJYLynThpFsmaUtEzqmWnPClplcMZdbWo2EmBNMRhIlo2fCFjVrMjvGA61VlvaYVoU89URatJzifaIqNoxMz7RK7MhwZ4aYKzIB0+hw7hkYzXtyCSQtaNIYsZ6CHbkqZarxpqeip6RmIy1GOyZ6w4hrWnKQQIWSaYsxglWHw9MgCA6XDFPT07hL/MwS45hWJ2gekWnEdBZFkNSjokTHsG5OlJhFpFe6sRLHkbxSRibQF4qYFjvNsZVh5nZ0fkufeRxLZv6aPNaUPjHVG4645H56ARJZ4YgyodCOqTSMSeSiuKojtoOdIaUIDsoqUZkNiT0JQ6UBK0NiVrRCjAGVHOMN3idyv2Mse4qi4S5L5vqUHksrDSfcckdvmJprgvY4zanIaWJPzS2t2dJqhRUl8wZPzQNumOhXnLFkbjY00XNqFnTk7KsMPZ1iY6Q1YdhvfU812hH7liZU+GSYyBUnesk9hc4UrFCaJFSmY6uOopoQLOREFn7JsX5J0ilbM+bWjwnS4sZTcAHFktnIQjYs9AVrr4yn99mMQVRIo4TJdizKZ7wrn3I33jBLLRO/ZRv3lD5noQUVNS566jRjm3XkbsNcaqLJCPmYwgpH+hR0T03DjRnTZgKSI0Blau7pZzyQFyza1VCDt44YeyQFcm0Z0TLW9i9zGfkL2xLm69umVQUJRNvTBIumltKsKLIJKVMSAU0rtKrpz/bEpoadxYYIJhBKJVYguRlCfjUDiQS+ZLA76SCCGMVHS7KG7KNj5IMHXJ4H+HSNk+fALb0q4mtkJuDtkIOnPRoTIx2qopUZ7lMu5cTMUP3qPfoXNfLVl1jdUsuQ92bUDDkfMlAyQ1qKkhDkIIfIIRPQYIiHAiMpQ4agGPo0PPdMRsLI3XD3Gy/o/tOfoqnlePkBn//uCqc1MzqatoQ0wh8XyEmPikH6CUo7UDSD8RKjSlRFZahHkgR8DmSJaBVPoFdLzxurzYpEiyEgIuhZB6MC/acj9FM/uOXzNUleD5SRetAc1ZaeDvU3pJlSjwP6VkfYKrm3mFSCXpNSw1AFeLISpu6GEbcQemTnkSsDpxa9m+DtSL865DT6DnUgyYCrmX9zBx9l9L/XEijoxQIbJH2FI6OgJKXEbd4TzqfI4oz2+hLT7dDVFj89ot++pHMX4I4x7hjDGlVIODxmaKxlG2SekNeGJH4IGPOJzHbE+SBcbX/+GvfHhvwf38MsP+Dl/pia14Su5Oyfv0COblk9mdHEEiTHjEv0Zgd9R0IGysg+ITJHTcNInnM6C5TnJ3RPrkhYkilJ4VAvx47RHFb5hhQ+w7MkoBiZINGTfI7EHfAKtEW0INCgs4QWOXrRoC+vcbREGWrZVkHzONRqDKJQZpTccfhXwtL9mdf4ny/UdDArFNM3cNuivbC/SphPAvktNFnPxFi86XCpGepZMh5yRV6+JIQ9IyPYBEfqyE2GSiTZSKocNivJTEFpErurmv4y4p8n/OtnvCeX9CSO8Rzriof2ZzySp2y6CXt5gE9b+p1CDmkTyPuEbHuKbMr6tSHayJ3ZFd+Sn1BPnjLXNfG5ENqcYMZEKkYE5nnEW2EmDd/0zznZP8df1GwvlPzVBl3NeZRuuZUCgwMjTE3DVBomkphJgxch9p5mJdibwJEGFjSUYU9cg7ns2P+8x6WE/a4hLmoenG3o3BPuyHOC6XA4puSUteB2EX0t1H8UKEJNuIJd3tDqlsy0TKh54Fq+mZYUFztUBBnBeKJMqxY7vuLReM8d0zNnick8p90GexNwNtBkhgBU48D4HUsUOL8/ZuaUuW552yw53V+gy4bdqGQ+fUCJQ1xPoz2TdkS8dfQXClPFKozHDUduyVGd4AslO1UWsxLHDT4G0lYpCrg3N5SxI2wD40XLfVnSqCDpiHnh6ewtM71log1HtqeQnuk45ywmTs01JTt2axhfGvonMN4JFTc4tRh6ZkcVhb3kVDccpT0FDRUdi9gziR3G7odsIdaIGLRRuptEcdxS2kCGMmPPNDV0UvDKZGSSUaaGmS0G+xIJZcOGmqN4SdztaFaJ8nliM4K5VWg29LGlmEH6Utg9i/AgkX9nRBtbtIssys1QhGqHVY8aS0qBhQbeZseo2HA/fsm35RX33S2WRGc8sxhIF7eYeYWqJ0eZqWKCI+093EB8WSPbkofS8EF69u9WCfwV2Cw7XK7IcUm96DBRyfdCmydwzSHMVfBqSHSkUUSl/VpYAcHmZrgRfi0VGBA3fCceq1NSGuErA6cGc6yYZsAbDf2Q6iHu0Mt5Q7EEoEFxgzXKWDQesmNEDiJCCVQIkdEs41oAtWgaBBxMjzlqqO6N2O9azDjHHVu6qWBMh43DBCdVM4SykWMOAbpvQo1F34hIX/MpKEPoHRLBWehKREeQatQYRnPh8kYHqicJGENRgGQWETe8nif8sScte1qzoZgLSR1qS6xmdGoh95gTiywglQKdI59DLxYNCWsMUplBI0uJjgBkb7iRrz+vkYNIoh3ytR9YkdwNalw42Hl8JJ9D1xqwHsHxdQixesAhhYG6RWgJzXpQokrFjMHsBtd0tC1Ei2MEWUF2T/Cn48G+luLX581A08Agxr0RlBy+LLDZiN1mjisKwhR0bDFjoFTGBZRWOP/gLsvsD/FNwpCR8gJ7J8ccJbq9xdoh6iWFwa9MGMIPbZ6QytNfR4aRPmmggipBsuG9JjmivMmW+YWd7BeElQBTsFPo3wg5DPtVLZiM8tSTHuf0v3+L0g1ijOQkBUtHVnVEOvrD5KlBAPSD6CJgSoM9MfSngvcGNYaokXxqabOhkB5IqYye/C9sTfjL2uZYfAwcyyVjc82WAkPJsamZsuQGIB2RSYcRoeWElMZYc0MlO8YoQSt6EynYU5GoGDGipnI7Tt0Nji257phIzUQ6jtgRueRUXzCRHZfGsWSMoSBPjiMMFT2ZWUOZMKllS0Z0kV1yFMlgLISRZaSGo7xnypo7xzlftueUb0Gd9oh35DtDmAvRJu4/GjGjQaJjF8ck4xizZSTCyIBTRxQh00RGwkvHsbnlWFtUPHsZ7AFGenbkGGMI5AQ/oqPFClRpw/1xSxoZ9n3i9dqxG0fcpCKESHTDdaDGoa3BFgWcKuk2INbBsYEzQ3mayGSPmxyTCksqMswdZT55TZm9ppOEG++4x5DH1+See7LhnBWP5ZokOUu5R69DRz4QmGhgYjv6cWAXlT4MYrhmkXIKE9tT0jKVnIV0OErWuqCWMb0a1OaYcUYx6Rj5hpnp8ZPAY/eCu7ygpeJGO45kxandMSLR20FidVqTq6IUBNmSpGRDD2NPlbY85DnH8oqZ3FBpzdaM2bMFXiGzAjs6IdUdt5mgxqJ7y52TnLqxvDSQ145Jtmcia85xZOk1jXjWXaAqElcSuZ1MeTW5z+m05y17y325Bmu4oSZOEn3b8GDm2ZbKRXDMTMu9sOGeuSQUjsui5bP1GVQlOuuoZMd38y3ftl8yi3ucNeTU4BoCgy1HZUeUgr1X5tkabxKJnp01jKfKqaw4N0/x1KzUcFmdUo9LagI5HXOu+EBe8ai/ZL5ZYW4DdWMQr7gTi5rDem70z7rE/73aojiwPZFEF4fmgiaGaH+FufZsRw1hFtm9GPLFzDhRfsOzqzvCczOQu9Lhx8roRLgcK4kOFQeyJ8ZPkbgf2BU52JPxYJTp3/k1+v/y12l/rPDDS0z6BMstvXrMtMY+UGQGecjQaGiTAfwwmdIlTJxgmcG8Yvpf/wq7/21M/fwr8uCw0ZMYyMMOpTNmuOfoQaCSwwTCIc5lmPcw9MuAN70rPTQmBvX54dmcMl/yt//BmtHf/yVUpjz7ne/yP/zLf0SFcs6OJt0hWIf/4C7jb9QE6UjpiMDr4a6qBp+EDEstSnCJvk9YDC6zmFlBmwIZUL9p3tECPUPksAHTcPxBRvvwmPS73+Hmiy9xPkD+HGU3EDQpAyMoASEg8YZs5pGFxfx6RXgijGY5JlXQf0ayWxJTgoyYj0fc9Q3n+RJ0R70ydC865N0J3AG5yAm/D0EETMJIIIsZRgNv/b0F6/d6bv95YG9HpFhjzAbScyz3SLxFsMKFayi//S384xI+fYrZ1/RfLJF0j3jxE1Y8A/uQIhsxoiUPgqQKi0VUsVlifNfTX3T0ouQ6JbeOUZYw995l+p89Zvff/ffc/JPPWf/2I/Z6BM1dtuZjYvOAL//bf4YVJenfo5IKYcxRBk27Y7vfkFHRpgvoPgM+Qo2lLC84n1ge/uqv8+QHv4NoeyCiMhQhZoHRA8iebNg3T4gs6WTI4nMYBCGxpqcBQNSRZJhkV05Lrv/Fx3RPLsi1pbcRl+JAZieL7zKmOLqwZVZGiv1QG2GhlebPvMb/XKFm1CVmxZqUWrI+IKo4w5BWBOR9YB4v6OJzstAgBkp2LPiSiOFaLEM/ZSjsvELJnlx3w0lr1hT2KZUuGfUR33hMSKTQYnXFhMgoCqN0yTw95bi9IGsDF9k9fGrR1iBtwajrYQs0YDRS9UpKDSNd4vmK1lxitCdvFdcG0CGT27IimT1RYJxqzvmc47gk6xOuBXqLJVDqmlJbCm2o4oqJv+VIr4eBXjrGyDBUVlTIEhynl4zZMemVvAZaS9ll+BAw20QVVuTmBsipuCGmPbm5pdQ9k9jj94p2MGrBtBX5HqIqXhtyXTPlhrkuuZs+5axZ4qVj5BMu7kDW4C8Z8Zxj/YKz9CmGDcf1ltgnsB21BFQasC193tD4CdG9xHOBkZpIh017DD211lhN5LqnSB4XwYREkZRJBGmE0gWKsMJknqpvGdew7hpIG8baMG0SxQ4mi5qiX5P7QB4EG6844hOO9H26lOFkT5QCT41lC3RAh8iKQq4oWVKyG+JRQ6TqIO+EGkMvI5LNiLrF8oppes1RuGHsNhQyJSbY2RFiPQ1jAkJmepxGvIKEQK5bKgmU2tPIjCgFndhhgLNuKW2k0FuK0FC6HRPTMUk3uLYjS8PlW0SwwcHOMdca50FuHWYHrXaIJrKkTLqeSbajMY5SW/IUcEbJdMtMl0zSkq0smcfnnOvnnPfPaM2a6GqsWsZxCFncS30gR2ZkwZH1DolgkmPS98ziDVMu/t/d+f8KboYhu1R8ArMjeUvMCpID4w1I/IX1g0DK0kBkfD3hJg4h9mIRtQexxYAMVAiHwLAhmU5QD60HK0PnY3hgF4axLocw4QPlYnQIGVZheO3rh2QYRKH8MFWnQ3zLG7xpeGgeBlCqCwQfUNuRBDqrqB1+lrwhdAA1fggp08hg3OHr3zN8fcOp/CJQFlVww1SfX4gOkZQp+J4ggXAodHrDkNSvGXLo/ascgpRNTzCHQk09Ru3QvRIIHnAKrgNt2GcZSToSgZSPsG6IxNMDw5JkIF0M5t8Q0w7i0NfkCkOR4iyYQ0g0As4TLYcx5WGwQxF50/EDi1iH6hAkbVRwHVBAcv1wTN8IbTJMwhJp6Sql9y3QgbSDmCYHK1Ea9kciYugQFWLvUGtQChJ2OF6+PwxVslhVlB3BGdRFohz+JhlCgHEGNT3BGTwDccQhcBnToZKGQhkYpuMIaofp5sm8CXU8TBwQdyC44Beh0m/OgRJM9ovzUg/n6XDCkrzQZf2BMIqHSVOD8KUH0o+DMJhSf9hvh9YQGSp+mFrmEo1LSBbAm0PA4kE44zCBC///cKX/1d9M2jJO15ybz5mk11ylU5KZc8QLJuaaBktDRsEOk5SeY1Ch1I65LBlrzT7N6UXIdYeXPRXCRIWJdkzMJSobMk6BgUgqZcUUy4jVISErAR2OYboYYokyhE4GejrT0TJM6TERiELnE73rCWkI5hwozh0gdCmRfELtHnGW3nvUR6LdE+ipXcbOFkSBkfZYDbjYI8YTZIRS4LSn4pJF+jmP2itKHHtrqL3QAUUqqVNJLx17IpnrCYfZcg2ejXG01tCbMeo8nQB5QuueUJTDU5gKmQ7GPFxHcna4Bk2gNw2IIxqIZljTfJlosz1e9vS0ILdUvGCqHQ0F43TFSPZkqaagZWS3VCzpmVKnDDG3YNZEKUmH9QQxqIGYt7TSkCSixmDZIvREkcP53pPsFrUFrQ9UNhBNwlBjuKLkFYaCHVNyNky55Eh3hBBQYwjGohKY6IYjtbRpSSbD1BGnLTlrSlYUbMjoseIR9uQkCp4hbkLISrpsRHSK6Jo2awiaoIQ67WhoENNS6S01FZERNvUUSclJGHtLlBVq11hZMeKWUYLSvsIxJTM78lRTG4/YCkNHRsDR4VlheE0qzohBML4lI1BITcU1OXuGR909uXZM2BFkiJ7uZUyZehbpBhsdezEUdgrpgnPzmrvpZyTpQPc0ukZ0BlKS01LJMMxjwpqq35O1IHXCGAgxkMUd3t7i/wMQjeHAzMaEVYtBiGmw/DgsPTlGQHUP9Bg8qS8QLcm6Oa4taEOPYUwiYd2WtniJzp4TjCDBglsTWGKoySjodGjuGoZJY7Uz7DKIZmgYGWosPZIySIJYUJsIKZHUE01GNIYsCcSASTCIS4e2U8oJxtIhRBfQbE/TJ2w/QVKJmh29RkyqsKpE0w7Fob4JIHaoHBpA/8ZtUA/1RCcdhbwm9/8Hrb8kcUws5uAilsiYJSGdgDuic2uS/wRkRSMzjI2Y6Ik4+lKHqUIxQRxaT50o0XL4pUMDaahTDsMeTDoQQSUYpXVXtLYmZC24itYlcCuGZ598wBpNg+rQWJF4xcJ+juEOxkDNKeMMkjHgcrANdRhIb2c2FGRk6RbCHp8iXerR2BFcgWIxCRSDaMABG5tIk4aL4xXbfEfMu8EgrYatacC19F2iQ1loz5RrVu4FWl3SW8XGHnRJES7Y0iCxJuYNMdtjaMiSwaShmaq5koqM3tlhcAaJiMOoUOgS9X9CHDs036NtItcnNFwRpSeYEmJDXguOU3qZY/UVIjmdA0zAaY3DIyaimdKFkhTGVHHNxl7Qzu7QVDUpCCYaCoaWK0ZJXvGmHZroZHgSfaqJElCNCOFwXAdjXqLBVkPWUt55XPJ4UVoXoPdUath0Y7pUcYWhr2rUQBUSxIYkgwn2z9r+XKHGrCL5ZEkfA/064kth+paBVuFVoqg6cl7S9XvMbUJ7R0nHhA07NiwZscGTZMK1TthHmEnDtM/JXgjF6Z6zxSuqvqF5VROve8wI2vkRazvFsKKwKxofWXLGSbMn1BneKLoFfZWG7msr7L4A97Inf+fNaFtB0oQNC26Rb4VOAAAgAElEQVQlsheDVhFZN5Qm4qXGyJApESgwbc+oWTP2FnM0IY7WSJmIc0drRyhCQcdcAjNaRrqm1xlrTijVYBNUXtl7mMo1rp+z/rnDPINi7NmqYXyr5K/B7RLdeMqGu0RmzNJXnJs1rXQEO+Hy8zUnosQp6FWNaaHMM5yvMORMFab9monc0C53qPRIZQniCabCFSNO/ZqHvOZu3NLttuizDWlv2c8cdjGnp2Jfl3R1ic0NhVnhqLlIU7bpbZbjEXfyV2z1hEsmWNmTpR5pwHQNxh1hvYWfB8xkT3mv5MpWrIOnbwIp9Dizp9QWv1O47RjfS2SSUJuhowybrzjlllvZcWt6mlb/b+7eJGa3JL3z+j0RcaZ3/oY75B1yqslV5aE8tNu2TAu1uo2gEaibDc20YIF6C0tg0xJS9xoJsUViQ4NAgjYCgSwkulu25SqX7XLZlXZVuSqHO37jO50p4nlYxPluloVdGxYofaTMmzfve78T7xkinvg//4Fd2LDza7asuLQNR0o23HIqe0pKhAVVAfgIG7hp1vwe7/MD26B6D0/LKRGRANJQElAWfCc94sOyoUueC33A8/Kc9+wTUqHI2jC35HjdUp4oe1fxR/Ye30xfxizwuGgZCcylJWDs/IIbv6DniGkNMUAZodgTR+BVJL0Y6R8aoSmwFz2uLUjrhrH2aAc2eNK8xDNDbM9gW3oUmwAbcXlLM4+Ku3xN1V1RneeNbSRyPEDoIvG9A5e8x/fsEW9RURYRPfUEixT9iIsdbfFZ1z0BNEjhkCaAz9F74wDxKhIvPTwE/N3iWMG8xNkZyjMgYqFFyhwFK+besF1MHE5Gkv4AtU8QP8/PdQVaGM6306amIJdE06ovcAeOOFvk89oUu4iBHDK1E8PocQ68KE0VwE0O+hIRC0AN0mQ2jjgQzdY37i61KWZGjeR0AJLlDfUdjQJwphNs82mikpAQUcxVNPMTxu0xFwti4CJ9ATRCtRb8GdzcOHZHGNuJqo6A84gvMFcC5aQZz3/+hr8zJTA4D1o4JAh+CWoOvFB6kKYgyozsbTKg9qOMj7vjjoF01wGTDECUxY8ANQGqOl8fzRnDcsdskk9baS54VBzOBDd4bl8IfAGcOZwrsrRIPOKKLD/zA34BxexTQMWASUs3nbvAJjNebGA4djhnYBGnAU2C3ezhCHzc0X80UCSYVbmTlgGLIxwviR+vkPkG9h1mgq8WEyhTZuYmhkk2Lbz7XmI1tqioTkBHyYU0kscquWD41Fz6jv2TfYxcCJMoqpwu9RShLiWuNmiyHt6hCAewnCRhEhGfZVbIFaovELmezncAW4LMkJS7QlZ4qBUWjlALhJwxppboRzjYjy05PhPH6JW5v+ScV8yIDAJe9txji2Mg4ejcXSBoySUbkvOcYpxxxIWWjgUNJUvJM8sMwaRnI0dWRFqrWKN00qAI0TKj98ZWHJjzint0MmeUGdduzZUsaIFlWqJWc3QjV1oy3CyxC6HdeFrXgetJEa6LBWOouDksGC6h/8QjbzXYeUdqSiR4pDRc7bjghB3CratJJqg1OLen8QUx1Ty3Oa/0jNoXPJIDP+U+5PP1n1F3PXuruZD7HNiAu+KJO9DyEVc03FrNzp1xQPhE19zKfW7jA/p+CWPA9ZJj0KPQ1EJ7DLBPyFZyVHkS3M5lMH5ZIusVGgzZA/sRZoYORnuYMcyfcClvsTgO/HwDD90tt76jSANRZrz297iN90g4PB0DK3oCAy7/Oi5IhxLpFNsCtUOspmXFEUOk5pzrCYoccHakLgP6ZMa+i4ytsndzdJU30B1LBE9Nop6egTUtj+QZSY50bpHjwqc5/B1ecs/v6PAcmdP5OaIlnTsFCxylY8+S1hrUZjQ4NtLxUs9JaUGYw+I0cH0z4qsAZ+AXC177e5xbhTFwLY7nrOirhDllZzXwFt48yZXsZYmj4KFsOdgOsVsWG6PzW3DnhM6RfM2Vv8eLdE3rA4W01PM9nS0prCDOam6lYssa8W5K/Qm52SHZHL9nTi8r7vsDX7XnJPFsKYi8oip67nPNklfsqXCS5X4VngrDzICSLTW7UBJnJWWbYBDEEj4pCzHOrQWu//zy81k9RAhJWFFiKLcyUtBRsSK6NTvfQB2YVyfALSqR8aXy+td3dPsloj1HFB9X3A9b1m9/yDv/8Ff4rV/7pzRdhSgMIQcfkCaQ1yUkDThx7PYD/NDgN0bkD48kZgzSU1iFvp4x/pHC59dsqzVlG9HrEUt7Olrwa1JyONnCYcmr//ES+8Zz/FAxuFvu/72f5F//z/4Ov/tPvs4f/Jffod7X2fej7JB+ls3UGQg6Y8ZIQOlQWstNu0n0jrmcd6lijGFE2PI5PmaUj+nsOW39LhQHeqk4IOjpgurzp3zxKxVPTvd0dqSVR7gwsEprbhdznv7jv8eTL8/54B/9Ovvf+GMCkoHmY8I+2qOppBMFPH7aZ0IkkHIKpFWsg2ddXnH8iTXf2oyExkMMOHbAGmQAa3G2RqkpFn/Cv/lrie+FH3DxL5b0t0/prhLqRmbnP4Ocrjl+6/sE+YjVek9RLhh9hXeOmz/4Bt3Hv8fsnb/NbPeU29/rkRdzku5w6vHjiv36ll/4r/5t/vQdz7ATbF9zakovHfOf+jLv/o1f4sP/6escLlp+4Re+xl+Ln/Dw/ku+/J//W/yTf3GAiwtC/5u8/vBbWAqILyl/MhG+EjBf4JzHWY/JgDtRvvif/Bt8759dEv9kS5WMoehYWOSJvuRX/9U/xX9pw3/xP3yRp0+f8u/9wy0nJ3/Gf/Ofvstv/3cbkG9gRSKOv0Jp59T0HGnZFrk+neEZOeKfrHn/7/+7vPiflfYHWx4djBfvdDz8B6c07//7fPCP/lvSn31CoAV/wuYXf41nv7lj/+v/G0UXmPEl9vYC7IdEGXNNepe2Kp8mrLr1gqYqOVzewqDEqSbNeVQJiSsWP/dVPv8f/C2+q59wNVQcf+sCth8ztA/x6clf+or/2KrJHARVggZcdJjzHNYD7gRmt9nnqE49XkFiRY4tKzCpccwRFkQW9DbnSM3oS8wKZCxxfaAchMqgSMaYSsQGKJXWe3rmJDo6MSzM2el92rhFpGTwZd4c34VxqMcfoDgWOKvoS4iD4GSO2hmjGVGMVBmjRQrLvtUVSqGKl56ZjixGB94xVCU3NWyaEV84zPzkSxAxH3NX1VlGba3BJ0VSnBjxIyo9UUrGuMiatCLSVYoUUCk4CyRt6DihZ4mQCAxsi4q9LREVRjzbGuqyhTJLFXtxKB4ngcYHZupok0BRoqXSUTHSIK6mkMgsDsw7qKIndh5EUCqSOCJLLJ7DuMINjnIy3trzFs/9gmu3413/R6R0wi0ndOwxX2DKFBNp9NUM0pYQBbRi58649deZnphGVrplTosTSOYwPJ14LrynrYVKYW0jK7uhtz3FKJAq+tBwkA1H7qE0LLhgqdlPIoUKPCiJYwm7UPNc3udC7jGTM9yYcK5nkILO1Tmu0C94nWZE95hbV7O1+xxYcSoDvfdYZWCOkGqwgZbAjdznuXvKLEVOuAQ8Cx1yKJ0ERjyOAq9zNIZs6Olyz5oo+FRgbsRCQQojWildYRhCdCF3JMQoMIJ5Oleg5pAYqKylkitKblklYbkraLRmsMBAh6AE2+NjoExGh3CQFdEFeo10RaSsHU6NQu821J/tw+FRUcQbmEc0zx1pdFQ4GLMkw+EnVkZ2eefO9NeNSFBs6mjIXYUmIKEHfYlpwvFVJGSPBoSsI55GkKVSWYI0CXqn88w//UwKiAjCmH1FACPTSiuJVMEjDNwZ5d4d5vzExMi2sWIypQ656Wf7vLkOYKOC2pvP37WN7rbl+ZjkL2ZgAV9lvwhsikHULv99B14TTiZlzQjE/Bu9A4h8/jWDP9N5nJFSyt9DEhKM4PK8lbXad+NI+DCRN96ML5DbbBNYI4DZj4z9rsjKTBEXigksS2Q9tZuwJvk0AlHc9JnpKvh8DWwCrLylfDlckdkvBDBFdUrvUkcQcC6RTQiLSSTkwPJdMLJPhhHyWdLNxEyJ+bTJI2lJEUHUoQehsKzLRw1vgYIe9JrQP6BIG/bTmuMrGLMuLz+3dxdQhjeLveHz9/JATNM1knyf5a4sdW8egjvoBpQQ7oRyflqnyUAe+Z0SyaBYftqO+R2DCawrpw3NDfAKczuwhjfsJSawK9lUyOf3J0NcEegRUUYLdLb6f73bn7WjYMe5veQhL0EKdraiUFi6SEeBSU76GK0gSkFPpNY9G17wkGfkN6skSMHKLvE6MPgZIY0sZUfje6BiZVnW3EqZ75SUHGTGDaccWKOq4GGQggNzWgKDDzTa0ANHmxGHGhkMxg3WloQwo0yJzq3wQK8lbmjgqMyj0uoWZAm+wPmR4HtypL3HIyiOwVUgA1sU72uu5W12PGFmL3jLPuY9/YC3h2fQJdbNHI9wacaCK875mJ5PeJXOeeHWVG7LTEeOo3JZnhNSJKT8HhQqDE7BH3BukZ/JKEgUigNESooxNz7G1lPpEhk7ii1YPyN6QXqh7D2hdJReqKygMiXIiKgxlwMlHTsaWqlQCgSXO+ZUJBoiDaoNop5aYUyaLUK1ZuSEHpeZTdR4CoIalVT4KDjXvdkEubBGOZJ7x57CRubWk6TIsjEUcT3JF/SywqnQmFA4Y5NeoDYyeGPPimsec633USlJIhST+bqQ/T4aPI2LFArOhFKNxhIRQXyeI9Uv2HOPKGugo2PFljOi61lKi47CMozc40BjI+oCSQqCJipLLBjovNASUHEUroYkHIp7XLkjgwWcnhIGCClSGPhypBPhwCqDVBxJFETJc40TwaxE8Mzskqf2p2xTBoO977I3oN1Q60ApJ+xkz7W1lCxobKQXz5ElR9nkPYQvwbWoL0iMuFCRzFOKMLfxrwRQ48wRy8jOYo4bDjVpGPM6pzNIgeg7jqGnkQrTAhd7ytuSfqzBK6qgaqzGC87lNd3pHspbQldj5hlCh8WCHlCXJlPbAOqYHwLzrfDqyuH6giQ1yVdI9FRxjgwOTOnoSOKyIEMkg2oxP4sjRyzNmL9SjscBT4NKwc16y+v39hweH0mhQVggljCGN40YJJLE0U6MmEEzk9pp5oTqtAxmto5RotQkZjan17dZ2JrrwwbGQHQVyoyohjURX14zd5c4jMsIXhVYYFXFs7c70pcqDucdo2+o1JDUEiLQV3R99uQScXnJtszGNhsYKDEnlEPLhpeMwWF1xJdA5zDNtavYgEjE0zDQEJor5rM/4Sx5quuvYkehmBg9gz8nNfcwuSLZM8QbgzOiqyhiQRMHunakORwoK4Nj9u6LQBULytGj85b9I2j8kWR5DTsQiNLQz0penZ0Tl2s4vKRohCfxh2j9gu/e++swP4eLkcb9AQd7jclXMVsyzAti84o1H9FIyRgUHRdoFdidViTrqfqWGs8NxinGSdzybv11NECqf5ntWc346L+m3Pwh7YN/AOEpMx0ZrWNwJbG8oRivQAc2B0g9jGkkkdBCeX1/xXZ5xDtjMwo7DtyevuTloy+Qqszy8ThSXXOcVzQHYJ+IVnE9W5HG27xvUIfFXJsmc6AL1GaTi0JCJKLeiFIgtiCb9zkcI9DQ1jN++KSiTUIZoOgDWEeKjmK8/5e+4z8WqBmXgphQpWm7MBtJpYfHNXadKPoevxXCzJDSEBcRlL0tuGBNR4kRKaWj5kCpCVzJUOwoH+6Q2Uhna5xd4aXDqUIHVddT6oFblKPNKXXPTC5ZlheMxQmt5KXOzYBViY9K0YIMCU0DolCZUtmWki1BB5wzxAm+yMG4jRkrGTlhyxk3nLpsihydR2dLUhBEhebQs9ZbFhIQIj0jLZEoxoojb9nHNKYE12FLQxvDuYEQXmNyhavzVa7OHdXcI/NEqAbmbstBdkCk8469q2nVE0msGsO1SikgpUMqGDUhqaXmwJYFbRSKbYt2CZlFqIUgA5VrGcuOra8Y2gY75o1oanrC4FmNA4fjnmIF/QHG/YjNKwbLvhulwTEt2YZTSo4skwOvGJHBimmySzi2VJsRZqCziLoeM8WLQ5IQtjse3b/ghA5vA1I4oihdXbArPXgPR1jsd9yb3TJwwVlxQ+Wy0a9wJFgulRwjwXXZeNF2BGfEGCi7xEJ77tlHRGuxOOOZn9NLorADwY5E6/DsWWhHpGGgI8tdBDQRpcSig7gndJ46CTMOvO0/5EM5Q6VizjXJRRIDc7bcTxdU8jGlN5b9LX7XU+08sq0pzoAqYfVIEEVdRB96ZG/UmqhT4OAONPU15/KKcpJZJDwhlRTdLe8cP+K9+Yd0bGjsQLUdKETpxEgk/LVRX4Btek52xv3wQ57Ol5ylM+ZHQ7bktJ7jwHxouV9c8mNYdZ+Ro4UykUkZA6QmJ4EcXyMpG0AaVdY904JTTI5gk0GXTjInc5lCOkmARMj/nSaWhZYky4pip2SmAJPGOD/80+/zRt8kkTggVmYwQxRocFJMMqOIUhBMqK0l2JilCsAbVJ4BcWOmx1rMm/AIlvJ7B0x/J7NVZFKvOLtjz2SYxv4cQyVXJ1la5XMTQLL0Ln9iIBTk+TAaOgCjUcS7n5vlNoSEqzLYjCRIiRQVHwANkxmyZglPLLDekNrjAqhl35uMkShIRDHU3XGR+XSsYj9SM98BQkX+3t5P3ysBPWi+F5IMUgaA75A1m6AZ7yuSGEbHyAXBXeN8jcSESp5n871K022tsSPYcMcquRvNnWl0mphNINqQZWE9RRXpXYlKlb3CtprlTdxSVkfAs7/RCdjoSOyI9jEhniLdAzgqVB6tmWRFMZ/THJpGRDuykXFBZuWMGXuzO3Aujw1hahz4DM6JTFciy5aczxHe+en1oI5coo2kpGiSbAKNZc02BUKHkTCdgC1ifrfMgy3IqU9llo4lzcMrYn5H1Gd2YXTTtXSk0TG+efY/u8fn7AVf1Wd8Tp5xKxV7V+CSp6HD1Nj4m+nZdQyMBHnBU3vGF+wDvjh+Hz8oZ8WOvi5Y6xV1P3BWHLnwM4IbmcnISrN8am3XJPG0DnoqVDcEEWo5gNvhCSSDQUpgicoBLy1V8lRRiOUCW3mGVuCmgo1nEEN9wqOkYmDwEDY7VuuBZf2c6CO7saEXpXQ73rEfcG5bLuU0s4PUMzphBAaZs0vn3HaO94sbvpQ+4vGr18wS9L6gLAcq3bKwinv9Bbf1gs4lxBkr1xF4zUGUzmcPChduuF6+y+vhlEFXzGjR4w3Fsqb4RBivjVE9YQVpN2KlIesCKw9Qb7Ghx45r3F4RD75QlvNL1v6Std6yLJ5Rc5NlYSbclyue2A8wF+mk4EhFayVBBrx0VEBQQxjAV2gBcTbgThxWDiTyZrC0joIeJ5rTsigZxxoVowqeQSOiPSu7xctr5lxxwg33dEeN0UoFXrjVUz7hPjvOeEd+wMqORGtYc03JATXjmhscNrG2jHs845Qtr+yEQdYcZSDKiij3EHdFWUe0fMgheUo6nB6hX+AIBO0xHzlaiZOSuUV2/ZHNbMtDd8GaHT/kPQoZOdPXeG9cuRMumHNFRZ9XTRLQhYjzilrLkYLr7ZqXVxvicY1zO4rTxNK9ZsYWx0jDnhl7REClIFiktj0NAC1LecGSH7L1T4lSTxDzQOBIKZGaIwUdSZQOT3KRkcQtDY8NVlHhdYvdJnQ/IIssfW5dSZQKSfWdCvkzfTTSED8XWf1LP0PRn/H8f/0D7OKWgYCK53zY0t5v2fzdR+wp0N//CKyjf3BEQk163tDHwOhGmuKKd2Wg1Q1qNwyc5TUlGZImzzuz7LmKYNFR/P4PMSuw5ddwP/0A99ESfXWBIYyLI8V9WJy2nBcfszldcvK1p7z6w57icIFo9vdzViApoq//EPT7QA08YDmbcxK2zKoOCZHIiLMejYZokZsPAvU7b1H/y28Rm4LF9+H1b/wWokbORYQwGRAPZtTiUALf1s/zvd89of/NLftveLD3CHXNWnY0cYd3DxhDiZOBmeyow56kji0LOAl8+a2WYnGg/9mHdN99wuHrv0/gSESJoYAQcETEsoWGm9Isk0RGGyBEFvOB5A4cz87wP/8AO0mEeUnyP4VLzxBeTuv2lJV5dkYhJd732JORYwvzuYBbEzb3KB4abXkLqabtFnQp4O2IJWGUE8LnH7A/mRHuCSyv6HxPcfITBPsmm/KaYnNkXkeW+jHb2nj2Uyuav/lL7H54i58r9VnF4Z3Pw9kj/JMfUJc3zNnjSqX80j3iRYsczijkSM8BsVNkcc6jkxt+3v0Wev4+33j/KbffewuJt7gE6rYELkisiLLEBcfIyF4f0fVfpj1ZM/vcinN/SuXvMfvl+yx/p8H/9pwmluwe3/Dw3+nZ/p+KfjBS/Po3sFcfYWNPosG5xOa8ZPc0sqneR5d/xIwXnEvHsFSuZUthEWUG79/H/UxB/90dEmuYr5j/rTNk0XD4Rof74BnGPjcj3X2kuEcacm3lZYe4A81bZ2w3ihxOIB4wu6Ilx827mwH/8YB9rkFOBWYHoGKIJerTX/qO/1igJi5qVGIuXMWgdpRLIziHPBfkz3rkGkIwXJ2IRaKk40jDhS3pncNI1DLQ2JFGc+pD7zqq+weUjl3aMFDgXJs7vZ3hu46aHhVPZyuKeElR7qiqI+o3jEqmYjegiwqJe/QIFhUZDS8goiQ6ooyMMhDdiBSGLwQvua9YoczkyJIbFmGLmRJ9wNcl4hwWhTQmCtlTS01hoCooJWYlVRo4dReUKMGNyBLGJlJqYpZ2VHqkKEGCMn9gVOZhngizSO1bSjqURCeezmYkmREx6oUR2kRtILWHEjQpfowUjEQccQiEqy6bZJYeqSI1B2YcEBdpKRm7Eg7kOLy5gnd5o9gfceZoe2gPA84q2kzOwjOwtZKX3GfFNS7d4FyOk/PR5WI8AT4xX9dQt1DD6DNtVaInqEO2Wx6Pe05sxGzIlD43Zt8XVyLq8977Ys/60YGrsGVT7piF5yy5xpETlmp6kB7vehrbUske8eQmcgdz7bnHJwwM3No7RDmbioe8+VOUgiNrO9AR2VkgyESXsMjompwWEzuKoWCuM0I68lb5jKf+LXayoLED0XuitMzYs2FPsBc4lHm6RdoRty1g65ARqBJuYRQB0IHqgRDrDHaWMRALZeWP3PMXCIneBQZdkFyBxIEH+1vem7/gE3mLwvXYdsCKcfJ4iNg2IVd5LfM3xia95q35J8w0EHpFt4IVC8b2NVU/clrv+KxbQ5iMSBFhPjEGEoSUcMdXMDSYNRNbQMmuqTLJO/J2FfPIHVAzsQzyceeNUk1L4ae+Nh5weVf8KYhgdwyXOz+UEnMDpLukJBCybORT0MThUWZMxsDM8/gsj+4O4BGVPKxk+GQQ70zoXPb6cA5XOFQglx2feuF8+u8fBWp0GsfE/nEDonfyoDGHT5UFKo5ujCCG6R1QM4FATpCqAF+AFNgoWASCR2OJpSLDBUpOODeDhWRMDAe9J/a88flxAJpQuWN7/AWHgJjDkc/pvWQGzt2Y0nTvkiH6Kasng3OZHedChUiFSCLyGuSKqnwAfZxIJzH/PPO5CLKAHQRtc3NiCuHMbBaDnBch07N4x1pxFCFvHXzh8xN1CLiUELnAqp7EKbvXA6SCREfiCLwmpVdoNyBtZidpZLJ++9QIOId9TewtyJtFSxmHsbtLdecfY9zRbWRiEvHmH8W5Kanp7pmRKb7blBQ1p8UZE/AzpUyRTafNHOgdA6pHcJg1iMx4A2RpgtHhJsDHksuJG5p9icyEODr6vwJAzRN5zrvuGW/xGjhlRQsuUctIEtjoJbXzdFQMFljJkS+GD3gnfcxb/QXlLjJbdWxnFeu0Z9H13MqIKzaYy6mD6iLXuuaB3eBMyKXsEzotUIHaH6hlS4VDLDuc7KTGW0/hRtLgKLSG0OJmDfFqQF/mh6YrDGaGM8V5IzljtjFW64662tJXwsCKIXlq3/M2n/C+e8YnPER4m52s2DPD1NFLwy1rLvvIym35UnzB6lkPLqCnNUFHSovUaeDsuCdVNa/EI94zJ9LQU4mjd2VuFNVHXlYVW18zhDnzumOIAzUdcecZ60jyHr8EdgkrHbIEigNF2RLGkaFLpGPCGvBuYNnccspHCAdO7Iq57oksgIKNbXlLntOZZ8sqN4YU8BEvPRUDIY2YjuBLrPQwU2xtxLIjSTbYFusREiop+wOJ0SfPmAbmK0dP9oZY0uJ1S+1aKtczlx2dVQysiXi2tuEH8h43bHjED6ldx4Bj6S5Y2hbxnkJ7Ojnh0lqEyD275JFcAQPXPMZc4hLPQIH4SN20tJVx7I1TcYhOoGoQnOWN5FFrxM2YmbHte+azI4/8Ryzkml7yHLTUa0aE17LhFQu21KB5zR0FYjBMFLWRXgpeblc8+948e4QtO6ovJVZux2Kae50ptQwkA0eFN6WWI41km/kFA3PpCUTEBKcF4h0mGZzOFSt0lLRS4MjJUz1znMJqFHg5wgF0r5QFDAgHybOY2V8BlAYIUpG+AP4/+mXK4z34Z6/xF3EC95UlN8zuddR/9+fYvppRfPvbjETSiSKbDbxaTozlA3O/54kc2LstWEvEAxM7xjJ7m1QgGL0EHAn3+99h98Ez3N//afzPvI1L58jr76HS42cDdgon94V77gWbjXL2136eV//0luLwCYkbepelwy51HJ9/A/wVka9hNuNs7jl1e5oQwSeUDkfM9f+0KuMC/r1H8B/+TcbTms3/fon8X781tXampoVNLTYHwXkiJd8ZPs8//813+fAf/zbli0s4eUA1q1jJLaNuCU7A15QS8WypOSAUjMwIJ/C1t49cz1/y+ueeEr/3lPS736fQC0gjyUn2OpEBo/y0yTfFbgsJcwOzciT4kX5TEH/pc3CeaOrA3n9xYuxmL5QMSAVk8xZB55R+hEfGOMC4BMKGcPoY9/AFvfHO0D4AACAASURBVLuiHB1dN6NPJZE9SQKjX2Jf/jmGpRCXAvUtFhRbvI/zNct6S7XpWJU9G3vBxWzk9c9+jZPyXY7/x45gf8DsvOD6J97Le6/3dpTFNUtGfBDkJx+Svn3FeDjJDVK3x+sT/PyUB8vv8nPyATePTzn7yk+z/+QdVG8pRsPCgSRXCGuQGu+zrPt63NDpU+zekvILp8zDQ6J/Tfk33iV83SFfLymTEN6+YvEf3+PqpUd/v+Xl//J/43lFoysGVvgw4+ThkpePlJMnX2RcfJOGKx7Ikd3aI+Xk9UaBfuEc++mS+EmP2QJZL/D/yhPS0xl6syN89xKnF4zmEbmPKytSvAEOOOuQsKV85z7u/prwYsswfh94RaTM1+TmCB9H5KfXVKcjvmkhlYzq6WmBzV/8jv+4CaALFQM7Cpc3K0Eb1O3Reo/Vd5QpR26QOlqneDpMKnrmE9U6Mt4ViwJJK0xLhExL6t2Kg9tQyIiFEXGQSGRbvAKz7DHSyZKtr+l9RZIC9blgEZc5bdGDc46gcyTeorXj6OYcWHN0kd5vSZWh3hCfshSKcup6t6g7YuYZbcnoSgYP6kba2tF6P5n5BrzNs6+ELlB3JEo2GjIVKGEoRoJWVGNBGWeIO0JIxFIpDQhCDA2DVUSZMVBSIQQtGGxB51bZRsqmofls4OkQJFVEa1AWFGlPOQZ653NErdsiRIqk+FQSUpElaSPQB9RXDIXRO89QQEtJlBVYgZihkmVTnQjXYcNz94jHvObEH0lSIQSKlJFpHAx+hroSs2uSA2WGpjVmcwyH18QqKQEYAhQh4cUo4oxIQ3IOIlTqKUbFh4RzCeGIYESpSVZlwYlFgo6IGaN3jBP9f3DgvSNJTcec1jdAg2NOL0sOThhljmnN4D3XsuCaCs9yipyr6CQbgWIQJKCUJPK5wRNwueDBUWpPEkfnSxIRx4CZkSybqhVBsABm2QtCw0giEmroO+idY2FllqJYJFg2kYpSYBKykZdzFIMxtwMiu5xQYFPMNBVKAumBCLGBpJTWYeZJwZGcMEre26lzuFRQpc++L0SwbBgrGGiVE540M3BDLLBhUjiRjYIdhlpD3tzfGblBhvDvjGf1jVwmb257zPrsNaLkec3aaQR/nmGB9CAOdJZ/ZWJS2B0iNpCr4YkxQ8qTbbwzMDbeyHTyN8ybYQKiOUmNyWQve9FkVoncGdG+Gcvd+O+KTptG+yMh2JblSMi0UZcsDRoCxCBoINNRS2EMTP4zPv9MzQlQZgFSibNiAqtCZszgCVoig8OJ0IWcRpSELAf0YRqFA3PkEk8ZLH56c+Uv4p/LG4DtU+lZXkxRwxlYEsSMbL7b8aleOIMWZlWWXFmL2ZgjPgmYjdNzcOc7IyA5ndBpOd2fO8Bk0iGb5HskMU+qKcey+3wCvOS4ZGNJYTmxxbwHqShT1gElyYIqb0dsjPg0UWmN6Z7e3cPcp0eLDCL/uSdFpsvlfuT/pgmY9NwZBOeP3vG905vPGZoBtEla5STL1Jz3n4I/lsEfYwDJTK4MXg4Ye3A54j2ffrJ4NsENQhizibMCd+aO+WOBRInjsw/UjNwgco1Yh1qNkwbRLeYVcx6xEeiIk1yyIeLsmkCHmwBYz5CbRWkkDIlQaWYmaU7dyGbRhp/W3GA1Y7Fh609QqZiRqFJBMck9EzkCtowFLgjJHMoSoidM4QhtX1AOZGBSZyQsM6GSh3GBDJFQCdiRoB1eZ1hyeBep7YbGFXj3gE6ERA2idDTs3YbW93iDebfH94bOhIijMLJ5pHnKmJingbnraTXPn2oJdZHaRmoXKVHEcm2ZNHu2JXq8Rco4SVxF8qs+BqDIU/ngmfUN9IJphaE4A9dHKnU451GzHB6ghkmFTw1qghWKWsloDQMzeqmIVOib8jggqc7NJZjkGoJa9uFSyaymKDUDjlESPTEDu6Pix4QMbjKCr3GxRqsFvdUMCL1kC+DOapDELrvyEVNARelcwklLxQFvJXWqKMRRyZIhjdmc1Quqirjcue1jjbkVlkosGl7HPA3EBaoVREjO0+qSzm9oraJjzWhrhtQy2oFkkVJ6ZtYyWkOUwA7HkTmjzHGxzMCIGxlwOC0RjMHPOEhF7+5jcU7oHb4WZEx47xA/Y9BT9tLSiCI6kqRhJNfZsEDHGbgNFSsq5ymTI6jhPRhKtJJkc1QWRFuS3IzSWtAaH+8T5UOwZgp28jgfiBYZXEGPQ03ezHef9SNKQWqOtIsOkZZqDmEGYxIofQ4qkCu0PkBRELwx6gxXzlHfQzlHhkRwJdXYstALjuEaNxdoK0gVYhWBWzyZTWwIVgjoQKM9kqCOid28RGY1Vpc53EEcvoQQhMBIkD2hORDmHvFzNO0QZ5hYdnApRwY/gqvwswqqV4zhNWkWcXNHNVPEwegNi3mGteBwjWKzHlsacaH4qsCLQZGZpppKYgr4hSKF5jaXrSn1farwmjD/CKsd4hwlBaMfcLOOwvfUNjAjURJzGAUeQscQPqF3z4nVObEpMAJREnNGUDia5tAEl722jLxuliYogSFFKoPKjvhii1uNDCKIC1hVkUaXmcZiec6WAlcWVOVIGXeE5NAkDOMAhdKHgHcBMaUh4JInZZ5fTs00j4hDXKCKuZ5JZLAbC5AU53eE8pKZ3BJQpEjc1nCoGsqxoq2VcaFQeLQ60OgFc9dCuWVcBig9OMsNqdkCGWusKihDZM4NO39DnA/ofA7FgCsVfEvhPZGIuCE35zQyq24ZwjPcfE47j9y6D6n5E27nl3SzB5ROGaUjzQeu59e05SXQUlqV99ooVoHOCvbeGCsYUMQLgQFxL9k2LWleoSVZFr80hsKoR8GlEvMFfZ0Ym4iUNUKBiBHCnDGcYF6BAG6Fs+xBGIsWaxp0toChwLRkSNnwX71xFEgBPJFg+e8bRfYk/EuOH7uD21Gw0Irm2FLsQV7uqRrQwSFNic06uqVweW/F5uBo+j1r6bmlYi8b1uMVZRi5ZUbPjPO0Y3n7fd5e/x3a/VM+cMJvz/6Y6uwF7//iQHx5Q/k7Qjpu2KZ7fIzyVI6chhuuWfJt+UVGm9FLwq0V/1NgTwbSeaR6DD4JzPbULxL9LjB7e0SIhIlOoOsCnnq02eJ4wDN7mz/pn/KV8js8liPBEm7oqG5u2L5MuFTjrUT1nMJuWHHJK0q+zTtcV4mftQ8ZdJGpeAvgFIpVzd4H4gw2PyH5CvvAzEr8bk987Pl29Xm+mX4Wpys2xZa3U8sfhpfsrEC3Z4zfEtJG0LcLuIDyFvroKXZCZR2XOF7II3Rp1D+rpPOOrnYkVrRpwciaj90Zr9OCx7cQW6F5/4zj7pJF21HvE3624fXz+xArHi8GzqrX5NQR5b5cs2XGntzpuKdbrn3gKMZSDWzBa7/g+ebA5lcL5NnII/kzfsYNnDdX+BV4nbMtIyYVWlbIoeckjbjumOUitSJbWNQrDh9+h5/83J5vDk/5VvFlLuWM93iBs8gLW3KQ9+lsTc8JX+RbhAjajdQ7KNqCzhouJ4O6VxdzvnCypi6M3hKXLHiuK6JLfMwZH9sKLysiyk/qNc0xe8XIHPxpx3W9JvKAAzPmtIh55nrNjVvw0fiAa25Y8hHJL7lE6aJnqT2HZqR6LyFPQb4lsEvI24n0vsALz+w6Eque7bqnc44ijpzYLT0zXmvD0R1zdM6zAvnjgdUvH1i8LcxVqbd7WPYcZI7ZKfzpC+QlDF/tCe9WFIOxePWMlX/MZan0FfhzIWwc8tEP+FV/CfP/7wXB/5+HF8FCjtikAa06DqsSlXM++ucNsXLI8RGeK/B7dDkHWWZmlUWiT/gHFXSGXkScZoZGoMrPgytQd4WkkeHYoXEKyvHFxAZhsoMZsHS36CpCC2nM3k+TkbDYDk0GPk3eLD1mERVjd7XD9AwkTR09AUaCGekW9DDDdkYxGoyG1wJlhHAEV+BPhHRMFEODcWSUdhrH3QZdJweVkpECZQC7ZNhewljhqDB6cIlmbcjLnmom2IOS7voZD37lK4TdwKWf5+/jBiwMqPNgkaK9RbbnFIMxak9yPWNp+DWwAqkle64oqDNSeSA8XjP+8Zh/FsaAok5w6jKzxX4UoMjMGNIk6SmM1Ah4zV0oiXBvzSevhObDQLheEhgy4JxqCnWMYqTCZ89DFKXKHUNVPBFxNWgNvs0+PLQg1wylkop2kjlmqCql3OlP9Aiai527LFAXyOSaRJcilDO4ONKFBa4xPj9/xgN3yW01gpQEU3JiTwkva47ViBY1IPg2YbdbvO5Qesxm6G1C9/vJ9wWCJayMhAVoKsEURckyvACWYyCVKfoSSDiQkn4XKSjxGL3XiRGaGNwN5Ve2tH/dwX/fEXYeJxnAggozR+pAXQ/S4swT4glj8RB3dg9evUBCjzv3aILBFDZHwqpCXxQwtBQYgwVs8Hj7jFP7gN/hX6O0BY/Td3nh3+cDvswRx8w6ahlyt5mGThoqUU50z/fk5/8f7t4s1tosP+/6/dda77inM35TfUMNXUNXuz3Ebqfbc9sxMcKKE6vlgDDBiWIlVwlXBCG4QZGAKwQIIQVF4i5AZBRwTCAJMQ7GbhsiE1fPNX/1zWfY836ntdafi7VPVXXL7ptcQLGkI33fOVt7eKf9rmc9z+/hnDXvHp/hjrfsmOFjzqTYEm94HsvLfDPeIIhhImsKdnQy5qHd0suEb+gLvM9L9MExk8gaWNqCnpyNv8WF3GauI9o+ydndIOTDFN4bGDaO/r0azoXuHHRSszTXmZ7c5zn7LvOHz9MulHfkOvmrAacXtE3JcD7h9+Mdbr/203w9e51H3GLBMaX2BCKNHBIpyYbkKH00vs6D01NOsrfYFTlvlq/wjJIzrQjZIY+uHeCl5EJG7GxFgTJgeMIhKzliFac8Nke8LTdYPJnizsY8bUvIxtjnpuweKebrOWYNMgPmEbPqKF6paCeOd96YpknWhZK/W+GLSPRLLl8/YF7eQuOMtTnhfZdKIDqp8QxYgRv6kCfxDu/bIy5MBXHKOlzjHXeNB9sC91CI9z2aO+Spw7yf0b18xOLVxMnLNxnjvIXxgqd6nQeXNwhvjckfH/HsSUDbnPlJwYMfP+FyM+Ls03fZmIzPxG/Q64yv8SILO2KtE94ZXqDKhdo+4yZ3ecIdDljxvH6T5+SSp3bK1+T7ecpdai6YY/kmf4Jv6C2+Ja9wGW/z9ElFv7iGPYvwdMAdlHSScWanuI2B+8o8v8nf+6mf561X71K492k4ZqHP8XDnGB8fsoyRuRzzhnyGTiacxNRItcxmNABmzCDKTrfQH9JfOMwhPM5m5FqyGaaA0H0QsR+Mudzd4PHLJ3zt2prRyOOlI0cZG4/Enmgca28J9oTm/Cmfu/YZHtgj1oz5przGZX7IjA+4zgXiD1mY65xpyYJTLhrHuOpxO8PlGzO2r0ZeOX6D2184QuOKc3vAOQc84YSHvMhSrzGYP35i9EkaO27zE8ff4G/c+/cpiy/z8J/f4R+3f5V//Ou/wKPfWjLVN/gp/iteff4bfP0v/dv8L+/+CjmRg79pWB23yN/5M8jDW1T3f5cj/kfuhcijVx7wU3/wK7zxN9bM/84/Y+bPGJhSMaejYrBjxJ8RZUz/Q/8G3ZdeZvxv7TiIj2l+78fx3/wZXA76vif8asuj2X1+rh3x56q/zZ/61/5rfvMn/2P+7q/+WfR//i1u+DeYZ0dsf+GLHP27n6N7vOHl57/Oz7zyNa6H3+PEGEZfeoEbv/g8x8z5aVmQ+ae8J8rKXkNDZCf/Nz8c/lske8o/+kt/hn/6yl/men/OD/7032Upwtf/4V/j6bd2vParb5LX/4Db8To/XP9P/OW//p+w+Osd/0z/LL/5tVPyfs1IZ5w/9zZf+PuvEcKGQhyf51vce3XD//aTv0z4J/exqwNM82kOD7/OZ7/wh6xuvcLv/trLxKcPmf1LK+a/HLG/s+DoW0c80QQBQQZaNaT2SA+2QbBc423+1R/9TS5+9AH/zYMfY/X653D/2Y7wHxUcfrOiCDvOzIA/fJu/+u8cc7v626z8HZ6vfp5n/3mD/q3/jtNPz1j/nOLubwj2+yg++8P40zXH8gYnYUF27zmKz/88y58SRj+4Zec95l9+CY5y8gbM9vOchp6/8Jm/x4wR39r8JG+Nnyd+4Rnj732OIVbsft2gNxfEf69ErOOg+X0+q/dZhILfmv4h13/1+7k4v8nt/+NLPJ6X7H7xiNEP9ywPA2665UguccdvceuvlLz9r0/AHPPkrqF64Yus/T3Cr73ByD+ga4UT13BdLrl47St8/r8o+IHwB/zc49/m9uU7HJ7+On9r9rP8vvwAPTcoiHwKMH7EY56juPHzTB4/ZFk+JP4H30/8lZL7wxp5XGGnkThd8hpnbM193jpdIn/xZzn8pdd5+q0In4VrueXpuzswJT/4yxnX//z/wEHxmND/DL/24M9TbKbkP1rTnwjTi8ju70e63FIdP+N1/79ifkD5rf/yZfTOD+EefQn/zwPlOwes/tNfw72/gd/+A+79m9epize5+fqLvD39LOKeo6b5Y8/x7yrUFJqAVF4zMgKITQjMfWbRGOgt9GL2q5/QY3A0ZOxAIpm2jFL5ImosLkTG8YJcnxEt9JyylSPUPMHsVy5dFAoNFDiEHGIk2o5BciyOUVQE++HUpLcGb6FCyXQgi4pXEI1kdFRxoFDZT7gcxECpHVNZp4wrOZ6MzJPaSOy+3yUYymCJmiYWIaY1ViMR6yNiLZ1aLCatznZCEUvGGinijnrPtVATGRhQo4hqqiWULY0cEXWKCQZva3p6ginSzbdEgmO/SgedjfRZslYPOLztMbKjsSXWlBhdY7QhmD4txuqHZgGCCXiTIEcge9Zjgp2CkOuOqT6j4gwrgsFTSk+mLq1smS0WyCk+XJwtNDDWjLwt6bqBPO4LWPdOFLURTDKjRqP7xd0EQ7W9IRscKhCyHrsTbJeThQR0cppWmgmW0vY4XTLWNUiPTzovwRYEO5BZod+D/AI5rYPBpnrvQj3ZPuriNKT2YI1kkp7Fakt0HjXJF2koILY4E8mixxpLcBFlSCsw4ihiZDx4NgZUCvaSffI2aEAixEwRm1bjnYKaNGHPHXiv+KJiayq2ZpagkjTM2OFkBTZggzDtIqO4Yyha1oXnSCIT7Qg+InEPU42KxJ7CRPK+pzQtme5L3kxAXWCwEZXhX+Q+4P8Tw2MRUYwapCvAByyK8ZbRpkIZs1CX/HsKeSzoSc03sndjSMrdfBhbYe/u0KuDWlPMI6YMCEpyGuh3gG4/csNcOUESPFikSTEPfLpuaZWaEoiI3VcnRweaJ+fDxyNVV21MKsSopOXgtNJ0VVMNVye17gUGkptHPwq6fPQu02eImqw5VlP7QCS1HSGOwae6Z5V95EqSs+zq07mkTBFkQM2+lUMTCDgYm1YA4h54vL8EqtV0Hsh+6+wNKVbTKr9gPub++SOGkpwYH/5HPgYi/igWlFrQP3JDpSX25MJRDMZccXYckZIYLZlVJAxgmvQjHsMIS5XcK/vV170N5zveWArGEdP3oOJgz+mQmI6dq/YlNF1/XUxI0oEBrKJDl+DUe0ed0YBai8Rk4ReJRNW9zTm5WA2GAEQN+2Nqf6zsd1RqxuKPcSVdbcaahE/cw5jVAH7vT8tx0VJoz0C3F30OELVpXwPCHkCtqfRV9o4c3bO+VML+PNPkLL2q4jbsYwp7x1rkw+f8JI+GnDNzl8wY1hzQ6YhWCnJpiLrA0GEJFDQ4OtS0DECHoZGSAkOvFWoyBkmR1k4Va1KbW6GRSiOdKWhF2UrFxmS06uhtQYknk7S2PYihddBrj6glmowYc1TSPoD9McKAtTmuE/ocUKEnw1MTYrrnynuhiAcIPeWQMbSC45DgJ0j2lCpukkvGBLo4ZS37NgcpCJpy+qo9aoWVrVnJCR0FTaxpuc5akqN3qSUDEwa1DDg6Duk5YWCK8SdMdUa+q3AeQk+qeRdS41SmRAMugoQCJWADmM4QRTA2QUQJShYEM4wxCgNrLOO0GCIT1I2IWuLdiK1U7GTKMhvRUqNUDGR0pgSmuH6E9WA14iTFvKNkSLBkviTvS/LWofaIqDcZ98ccbCrW3iEeTG8wA3i1hCgQc7KY05oxKzsiaEUTZgyc0FMwkFEg9HpMY5SdHpJRszZb5lKz0ikdpzRSoTJhTWQgY2CMiRNMPECGjGIQ7CAMvaH0Qh8s4gJlUEKvRJORq6fTiBiHDxWEA+wwMPgRK7dknbxbECs6BVxPUMVKlq59KtShJPQZwWdknZCVFs8WsSNcLPHRgLHghbwrqYNFuw6XW3LbgwcTMyIOFzOsVjR+gg+BrTtli9AZgycjMCHSIOLBdBArQjRYHIQBs3cfu+AYKNnJiNr2BEYoFV4yBgo6k9PK/z+iT7p3mgqOdEe6oZQVxpxBsUTdmgxPrhsyu0QKj9JhsxMKecpOemJ9HV9tUbslJycQ6VyLL3p85lmZgYAFLUDB+I6CEb1MCVmA4hm1NkRZ07mCWB2gxhLLCMZivKEyjoweEUWNh6wh5huazqDhCKRE8mSTzt2WXNY46RA1lCyZ6iOm9hkZj9JcUQqsGLAtIzVUrFF2TGRHKe+RmSdkssPKTaJrsW5OYVZk9GTSct1vOdA1Qs9Ne8mxf59K2sT4Us8hczosGSsUS4wj4JDBXhDzBpctERqs+P1EWlGdQjTULNiYFS1jvGRsJBAyAy6naxyiJfgNVpVeHCs5YCenyamokBg9JVvSfJBYQd9RdSUZOcZIums1ifsaTAEOOhJjtSkH8mKFMR15OCDoEr9nDcYs0EdHdD1tFbEq5KFF3JZKFlQaEsdKI2JGDMbRWcBGijjg1DFIRjQeT6ARx8AoLXDVa1aTEu8jmk/oStBcCU7x5IhWSG9wEYLriNmWmBswA54DMnqiUaIklIBhR24aTNiipqVT6F0gFit6N09A5tLg9AgxF2jVsz68z3D5AJ+vsNJhw0BNZCsWbw0DNR0VXop0TNuCZQV91SK5El0N1RrqDRQWJ8kR3+QNIW/YzhyuNmihtJnB79stY9YxiCUTwcSOzPVszZxY5JBF1PQ0RnB5j7cDBoO1CsU5zj2k0ha480ee49/1rqmKLYNkaJYT6x6qgnVmKaylHO0oZkJfT1iEjFHbUnYbBoSSgTE7CrNhpmuOxHMoF1jbk6PctHNuuYdc2Cln/oR5GBOsRYywOlf6sw0HoaXeN294TbYyyHAiFNqhYpLOEBStc9pjg/E5LpbIYoMJ4IxS0tHREyWwzjJiVhJMan0aaUtOYCUl83DIafcQWw7gYoqqPIb2aWJX9kALgJARcSEyGGEjNsUyFoK/r/Sxw9zJkJHBe2HYQHYixMLSt0IxLjCmpzY9dAMx7hAinXg2gyPaDLufS4UWdBXQHYQ8vW7qz2rBCd00Z2sOcMHAektxlKxaWtTsvE1MminY0qPDwO4cKqvkNRhJkwNsZFKtuWvOeY4LRAomNEz3RvWlTFmSs+MxPpRsFsJ0NVAPW1yn6DrD7DKCGbMLsyQMjNIkJ0iZLMAhxUICMGigGARZpBaWWELxoGb1hyvylwJh5ghEHB5nhYyeW3LJ8+YpY2kwZPStYFqhv4jk2xRN6jUtxDsDTgZKVow0cqBbDmXBiR3YMuOMCR5BGZhKR7Vdoc0AxyVdMQLTk+8hmoGI0RYjhh6H2oDzZ0y1pSFjg6OzGQWO1XagtDW2Ap7PkSrDjlbpRBrlhKxP0kBQWhnzhCN2HGERRjpnSkfZbGGjdEslHxqOOafMN5zerSg2F+w2KwoZw0YY1hDPAxoKTJEhG6gzQ9YLcQV4izEROzZEU3/iWcIiFs0UcQazVGIzJI2gN8z/6VN03EPnUJSoigQHV3wXHBiHSVzdfWQj/SNqD7FP2sBewMgqS5ikxyax4ePCwV5c2cfdUsRoH8vZA4UjZwwJXUeyMpn0+JgT+o6rGE+a5qcRFCRENCoa9jEtm9hgSV8SsGCcTZP+1N9Bcj6k9/Xx0NO3Cw17cUpscoTEtE1cYagmFaFVdADVkq5LDW0fyilGMZUSC5/+nefYieBcTYwVpndJFM5M2h/sWTdKiseopoIINcn1gv1IQd6/34/Uof3v5eoz7GMOBjBmLwVE8AN9A1kHqnvgsgauIlmYHGcFL7J/TgPO4HIhDi5tN437t2BSXM5YikrIipxeDB+yjbgCvO2FGhMhCkKBOzqASsCmVhmbQRcGfBRUSkoDlWyZXBuDST1xqjVqRsR+ia4v4STV1Kb2qB4lptiYBESUPHN0PsU20ia9Ehrlo+Py28Stq/HtgmLqqRn2kSTAeAIGZcqwqvFzhWiTewtPqpnf82eCfhi3ilzVtpe4arTffT7dv29ABsFGizfgDgxhXOHn6ViIQfHxk++omYcpX+FlHugR0cC5HLOLOSprcpbkDBgcTtO1aG1KPDMGLBIjE+nwUcnpEDoyA1lcc2gnSAgcy5oRG5aMaMnZUNNpvr+2BTrxCD5xFyS1OFo6DiWS556OQ86bgm3rgQzteygCkin+MnGoYmfYhSltc4JdGsKlh5vK5tJD6+A9Rc+UeGC4iEdMdcQBOw5lS8/AQxnvF+cixmyZHOao8ywpWeeGcxmx0IJFnPDQH3Nh7nEeRmj0bCiZ6wHbMGYVRlw2h2wua+Jc6Hcl211POBf0bIvcK2CSsVoE4lxhFWCTMbQ2MbEWHf17kZi16PeW6BGwUmQU8UtDuC88eM8Sbh/ghiNW6zVZPqU/OGK9HHh2OOUsP8HowFxqdozY6ISNVmytw0UhLIfkdlwqYdshhSc7gGHr2L0b0Yue4Xzg2Z0xFycnDPOK9qsr4jxHhwxdC1Ey9EDZnClhI2zvjVmNU3VyFyseDcc8XR9Slsoo78hQzsIhmTqe+WPeMSMWGF4yH3CpNffNCRutyYB5PGS3Hksr2wAAIABJREFUqnn6qGTT17S2Z1gIcu4J80BYQbNTIjuMbfG7EXKeQ/GY09mIQXPWzTU23QmYGbvdmkfbmsfuNnNR2rxm2EKjhnpaEE2KkTppGFDEWNQ12JkSqsBEzrnOksVk4NGnRiwoYevAesLbO7qnLdw2zJ4XrGwoxdFT0g4l26UDnxMupnxge/LjGzQCWzMBK/SUbBhRaUMlO6zJEpy1KQlPlGxnCa0hk4FdzDg3J9gYaDWjszltHNFIyUoyNvLJF40BsmzK6dERI97kND7DmoKJe8rJ53bMrkN18B4n9Hh2HB99jZ/61VcxXcvjaz02m/OZn4CnvTK/uMVkfIeZvMeYNTO3YuYCc6u8+Bd+iU1WsfiNN4jvvUegx2NRt+b5z62xX6yxbonVQPnymunNgrit2R5lLMUw7Ssm+ZaCC5TrLM9KwpOBfNhR4dllY6p713AnDYVaptOeWjqsrXFseZn3uWXeZkzLKRf0GE54woQO2GBkzcxtWWOYmAWf//RbHIdHHJo1A89x8toZB3caDrI5JXBNn/BSfJPSL5lllt58he1dg4kFhTQcSc8dfcTGpNjTQImSU0ukdWf8iS/9OJvqnGN2TDljWbaY2mND4HnzkKPJV/mN4TF1/EGquKZmYO0z7OQat159nSdv3SfIAt1uERXWccq5jsENjA8G/MygTvde4J5gBlDL5ftLhDE9Sh0GzJtvI5wwvvY8mzoQjmpmPznFHkJ9b8dJdsks5JipUP6QMHy/MIx6tAFzaDEvQpYJZX/AzLzFLeYYPJUZUhFEdMj9ju43voY5vknEJJaiCrn0mH6gDTXdqMbMOk7+lQPc3TH2faF63jC5FWgM9DZjwDJhyTTvkHcN41uO5w8esRor2x87ZvUbHdnqAgk7okKQUYIBq8PIgMkD+U4p7JrZT9R8z3/4Km9/+ZTyeyJT+5DP/9L38A/bc1760zOGJyXv3/ccfN+I0fQhTbB0Lx3RtT1diPhsQqsFm/89EucF4aWW+jCnn3hGz6+Y/sWbrG5VmHtbbps3cXKOf8nz6l87YquW3Ymh30L/uztOf9YxP8mIL3pyiYwpyPOBLFtxeCqsXq0JwEu/8Dne+/UHTP7kp2GyYyyG0Y0dX/grE77nix9wWHwZ+JN/5Dn+Xa9U1kc6a/CFMFSCLR2LrCKXQDWOuInQuUOehQmzYcXR9hLUMtGGA11jtONQl/vSv6dJfbSRA/uME/eIORXnXGPpR/R75kyzgrgZKOMKhyEyw1OjWuHU4iTQ2W0CK3mQLjDklouDgnWouNWXxFLwRJCGCTta6fAysLUjcpfj7QaVgVq3ZNqzZMql3GGI38B4D3agG8BvctikKVBDwEuGtS7Rc8TQUbCWnLGCrA12LthDxQyRXka0oaNo0gJoNIFglbxyDMZSKmS+JTMbyCNeAp4CYxJIzQaD3WXItkKaDWZsKEJkomsmbBEZcTGesrKnVH1PtjnDTVOlnWqJ15ouy4hTyIqI7yK6NOjMYHLw4lObihmYZkvu6BnPsaDRkkPm7MIhxvTsZMSFXqdlQacV2pT0TU+uWyyG2Dvi4BhkyjpMaOwFIWtADumpcdpj+ogE8AhePBURuw1QpNWybCfkZytmd6ATwUsgl4Cqx0rDDR7wMu8ScAhKGCAblGITcb6kp2QQpTKpgjLXnlJbqqhMZc5B9Jwaz0In2HjIzqTGlrHuOF7tsG2CSO/KEoen1ICRAWWgjpCbjC4KQkcZLpnS8lhhTU7jhJEVdgOorRhKyKoEyws2iXySC8EJxhhMhEEKLjhlwzVOmHMoG47YUjUe2gLpGgo/cMIKWFIfRGwIyC5QhgCtIA2YuWEbx3gbCNokbksvqWK5N2munzsGHX/SWcJkZDQJ2EDoBHpNAOsobL42kE1JN3ikiFHwe4fKlYhiXMJtuH030r71KbIHRWrimogYTC7EKqEbnDV8VOb9ISXkSq5JTgENwDgxZtwWleX+/GogHmAYQcjAF8SwhI/Ffa4ECTVCiAkUi4/JLGL2oFwkOWeMIDbVdOsVx4QcJCTnzIeA4r37RPgwhx+d+fDvggFTEXOQQog7jw5ALPA9+JBjJEtCkg1ImSI+CLQ2EgrI1SJNDl1yNqaSGw86oEZSMZONEK/gt5JEGvbuy4T12Y8rkWH/InrF10l/MgJY+eixUfEthF727JQhCS97Zg4m2xe7CaIZkBPRPT/KASVIQWI9JfkBE3EVmNzsj4WrWvYrp05qxUtWnhR9M5MJfS6IsYg1iO1B2yTt2Cwlj2VFMRslOCmOwAjMiOg3SLdJ+l5m0nGoPh1d1ibBjpgYvqIY0cQEigHvde/U+g7B62NajX7bdvUoTdpO5IlBpD5F2+IBYVcTmwK0QOhQs8PE/EOoNl5Qc9V+pvvjx0JmQZLDQVIoPDWl7Y9dGWdQl1c9VmiQj3E/PrljYU645AZF3FKYHS01nVFqUQ7T2j5GLEYtg1gaSoY4ZaBK5zEbHBtycyUkCy4GxqbF0nIgF4xlDZzSyZiGGRpLCpMoQ50IUTPyaLFSYzSjRBnrQCmXbDFc+hGhneAkQ32E0hAzJfRgesUHSxsOaBql3Bo2W4tE6Fag6xnmrELnoLVykZ0ylSkvsOBI1qxi4H2T3GiIQ2SHq1oCylyucZbf5BmnzGXMuRzygbnDB/Iylb1LHXc0UvDA3ORhOGE5HOKbMfGpYO8rsgz4jSNbZgxPBHua1HU/j8gC7ALMDgaNODH4tcV2Gd5lxO+zdFNN5QtlIJxZ5HLG5mlNmE2IOma+HFGMchid0vYdz4YzHuY3UVG2OqGTERs5YG4dDQajHm0GQmOxW4cxCtcC0QphK4Smxrxr0ceWpYKPFeGZha9EzAJsKQQLobDQRIYzIYaazZCzlglbpjQc8Exvce4PONUVMzlHUJ5xQiY1FzLlTV5gKzXGOJYUPOJ5ogdrK5bmhLPtc3QPHWYtxGJAWwdPHWwEuoBvSN8TNjIsLSwEmcyZVKnx6aI/5rI5Zjx2tCHnWTigM2NWoaXSKbr19NHhpgALrCpu/107iEHtDkZK7yKTeMGnzWPeGa159MI1Qn+AeaxItMhZRvNMyVxPcc8i9GA8PY6NN7RNTd5a4mrMZTaQnbxCE5XSZFSSKr9XMsEyMKLFiiNKILYl4ZHDhhoJhmgCG1NyzimF9qg4Wkoaqego2VGx/KTnwfdDbKAYBUrzjNNhzSRbcBAeUN59h/HtiI3f5BoeKzs+mHyZez9+TqmWrX2Ow7jh9qeX/BM7ZTO/TtiVjM37HFJynZ4PQoAchh//Pi6mQvydC4p3H+FdjzcOzDkHr7xJ8dqCXjIqX5MdPSY72bDdHLKV2xByXKgoix1WtgxhwnZeoZcb8rhJ8fSsgpMCO1vidMxo1DChJUPIfMOnsvvM9AMyDC01Z0w44BmGFTa0YD212bKLU4654Ma132EWHqOUdLLi5NZvg1EOTcNo6HnOPOKevksIAXUZ8AYc9izDDXJpOGbNIO9wqS0lgqfCi8GaFRTvMvuhL7KqV9xlzc14wWPzLRhtyeKKV+U+d8s5/6C9j+EnsSwZMdDFjFjPMJ96Bb3YoORoF8moufCwMGPUbanrZyxHNeoGLC1Ih2YKpmJz0eCp6RHqvsV8cI5yTDy6x1AG9Ciwff06VT2nODnjyDxkpkfE8Y72U9Df88i2S0DxIhBvGbpjQ9g0zOQBpzwhElOJC55clcmjDv9/PqT4pVfYuGG/MBkZx4G8U2KY4KscUy7Z/UDN+uAazZFS1DvGs5ynrRLsCKgZyZxxPkdXyujQ8XLxgHemS5av/xh+bJHVDhu3BIl0kjiDebRkBnIR8gHGsiK8fkH/qS/QzG4hk5Yj83tMfuQW2Te+yuQXdzye32T3Bx13X1WuVw94EkY8u/ECzXmDmtTE6iUjfNWRNZF29hg3exFHjz38KvLFG2zCC3j3LjdZEuWMJ7fmDH/uCbsYWPf3MA9G8PULwvMl8VOG4e5AqQN1iBRFTm2XVBNlZcZs25biR+6iX17gXzshVG8ypoejp5z86Yf8yGe/xveY/x74m3/kOf5d75qiqViaCdEq+bBGPexsnaIUvaBDAodtsgO6fEALwTPimKdc6DnzcETJhtvmTSa6YaVHjLNHoGc4FXZ6wjlbLuwJnakQI5hMkUlOn1lUE/VBUvAKIz2I0OGSy0YAF2nrgnMpmNoJUSI+gpYRw5pjLtDoGWlk0rV0Q06wObV23NQnTM2crZTMOSR6ixUPhSMWgnE7dD8lipR7C32qII8xp5cRHXVy9qwEGRQNHhcbMl+klicLSMCEyBAhuikD2f55FS+OXh27qyaY6NMieZ5uzrEFaqEfBdpsYJCKhhHeZRiNrCQjFJa6jATbk3uD2QWKA2GR59w3JZk95lBzvH1Cn1tMZvASMGYHPlL3A9PhMbV9xhCvM2HFDfMWgsWECmssT2nwpsbVESmF3gHSYkJJ3ig2rKnrC8rtjry3bDOhCZZZGOG2DnxPTwTbYWKD2c/JrLNghFFjmawhDwFjhS7mDKbAsuKGvs/N+D6X9piOnpAZNBekhN4pHSUDebIR0mEFSm+wnSQoF5ZMG0ayxkmyCWc4jAQyF1KVMBHwZDFSbltmZsmk3nKpE2paRtJhekvVKcYpNh8Ai9+DWfMScC1DRoqYFQPBKVIIBKUHymDIQ8SospYpC06ZsqVgQ86WLA/IDrIgHF4Ik23Og/GUx6MldxdCQUwTRWOSFXvIUBFCPhCKgNgdkZgYixNBCwhhoKL7F78b+H95OCmJeYRCsTHB4aTo0BjIQkU+1LRqUiRIFGcEvwerpjiGScVBkia55kp6MZomMh/GbFwS2Cx7Z0Oqf0yP+M5ITJq8it3bxfatPOgMY2pifIQQkuAhIEb3oNH0WkmqsWBLtMjRbv/cQTG5IM4kwQVI4GNNgoCG/e/z/c/At4sdKe704XRdcihqkDlcMU0ok6BCQIqIlgA50oPvcqJalB5c2EdWChCHHqS5ZvSCaJEEcTOgTlODuDMQ92KYJQljyajElfihV46Q7xxXzB4RrgQSrkQdI/srMclKHdlHn/bbSAJXwF8Vk7ZaBOI1hFuoydFa0S7AZi/iiUdEkf3rDjZZiQWPsIcYS+LS6FVzlnoEQ7QVocrwLm1N0SwJVYXfR2QdGnJEc4JPn+dD95WMMLpOfh0BMp9aImyWNm4wiMkhc2htoOnTZ1MHeQ5uv41MurZ8FNe6GpFvHwWG5FS0mGT3JXGNosmhzKFsUNcyUBHlBiJd+jzGEQdJka6PO8oMRLff/jYjFPtdGBVsmiwPgb1SaPeOsvgd7/OTOdYypmdEYWtmbBhI36cdFVvGRIWMgoyWTDuCRIwcMaiwFFBGGDMhJ5LjAcfKlXhGFGaNo8OjbDlmySkXHLOWcXp+reljTUbL1CySL08BmdJ3OUEz+myEhgyaHLMWtDXEaAl7DrbxaT/6rGQTHHmI6Rq2BVlMYadkjaCd4DcOz4QWx6BKkAxvKtBIQ0UnYzqdETpLcDO2ruaR3ORtPsMHvMbaWC7lNs/0BYTA1LRsfcaFv0dYjMlW4DcCl0BnUK8QBduB7XOKy0g30gTm3pKiQ0MgDxbrBb8tcGroKcl2wEaJa5CVUu5gWFqKpaHZZYBF+wLKA7TJYZfTHpyy4DlKUmOcQ0iVGAVbGdP7E9iNYDCog8FHXFcw2kW6DQyuIhugj4oEga3DLkC3UDRguwi5Ydcrrre4HTR2Quw8ax3xTG/RxWN27QH4Eb5Vgm6QCuZhjDM9K5RBDlkIvBug9SM2+hIx9Gi2ZqtTBj9OLXFbJW8KtINhB+INOihZAGsqIo7Mu1QhPhT4tiKfBmweiW2GD4YQJ7RDnhZIG0+eFcRFwANyrcPTYXcTrBcyMSne1A9EyTFlhrcrnk08l1lB4w2xVepdju0s4VIwWYnpPMPao+4ECs+QOaLJUJ8hK0E6S2wO2W1nNJlH8vRdbxRKm+HNii2RIRwguxn5uqTvNH1NBmURR6yYsKNkZybEfYykEUOvBYMe0DP9I7+GPmnD/PAHPLk7sLIHBC2x/YYT94SZvMfGFLQSqSgZxQ1ju2Bh5kRWXJeSmV+RmQsKc5YWKcwFanuEU5bmGrt7Hve9D1jcHIgHAX3d4teHxAcXuOY6sTxCpKaSd6kQqnCXSGBnGrQUuuoEXA7BsbQjVswS3qC+JPv8ju5Umc9vEuc3qbXCconJKyoWXA/vcF0fE2JHZCDXNUd+w6W5xrn9DG/F53iBS277d4i2ZqQNnYdr9gkaOw7YsOsPqIsVU+mwGjhUsOxwYUM+3y9QlZEb5QVN9k2K7BJRQ9QBlR6JEcOI0kaiFXYn15FX77E47HhOLjhiQ6UtoZxj7ha0j++wLgytNtgfmvDkqIBauOwiQ6701xwPrwf6XKG1+GhZyZQznbDkDhQt2g+4doIfXWd9cgfRY6R5Bd2Bbh5hGaE6sMsL9O4JfmNZjjO0iJgDz6Ca7ruKhkwanEqKxDoQs8VlW0w10BcCtRKPwY/A5pEbsWfQBVlYMqKj0DX9KehLGe1py2jUkhnBRE9OhxroNSOKYmWD1kp/uCPeahG7wds7iBH6GNlyE0vOWsdQRbKjHd4+oyJgNaKTMbvTE2bXlMG8x5Rn3IoVx3qNadyRN4k94hGum/to9mUe3rpNNqm5x1c5lTe4dv0+Rfm91OMPmJ30SP4cM11hwlPOylusJ4FOIhmejkh7A/pVQKcFsQjMwjkzPWeVFfhbRwTmDDaQMWZnWhr7jK2fMeQ9UhdovmLIkskkCnhbkcmWI33ILFYs1aF5jxyPOLt5E17doNfeZ5I9ZkSHupptsaF1W8J34fd9V6Gmk4xnWkNwnC7OoOwYolCFAnlsCGeRHYYdgZ6GOIM5OcfmKcdmwRN7BxsGXpBzNiHjobnHbHaGGsvGX+NRf4ue97iQQ0I2wWaO0xcHHr94zNyNGGJPaRwiEKTDmh5wbJmwsSVlDozAT6ZckjHSChvWRK+43FJLxy3OcVGZhQPGy4btLsPYnGnfcNM+47hcc2YnrHxqowiA5CXlyZrqplAfK9FYgpYM0SXwLCnf3VDTxox+5QnPAgyCzSxVNjCmRboNQw5FJXQL6NcZZZ/TY+jU02cFpjilCQU7Tqj0DKShuplyff5+j2YRcx0mrznOTiqemUMec8yRGciGgFfL1ngOrkcubQedIg/PmNyJ7MqKR3KX6G/RNpdMT0t0VNPaFdbmTCbANxvyBYyOmiQWqGUqPbV9j0wLjl2kkzW/yzHO1BzcDJQ3SjZ5QZllsPPok45JN+dovGXS9IQPBsINQ38a0V5p3+qpCpBPGWbHDtY9oUoW/r5ZM57VPDt/RpgrOYEe2DGlk5qRdNzROTdZ0cgBPRCnBXpocDfAj+M+a1gzGIfPIdCTtYJfW7bjMWsZ0WtPZgJRPWhBzoitN2xrQ5wI0jTUw4ZSPdWi4aa54LLe8oBTJrLkmB3LZkp41COHUM18qu50hrwSrt+02KmnPAI3OGRS0IYeaiEnY6sRu+4pe4cZOnZiWFBxXSzGBJwK2ZFBqgp5f0n79prNi453R4fcqXa8dDii6dboJIdRcijFXqHvGeyO0S1DWG6RynP8OnDHwiGQKdZ98oUapMKMKrKpTV9EjUcygX2eNV5NCPcNTEZ0rxTsoy/iEAfi0qRc0I+cKTGSKofB2Jx8lNGNU0GTD/5jUZMrR8p+JAwMIWpaGbRrsIbMvEg1foXl+rdRHqdJrlHEDEjqfgUUw95hkI2gKJHQ7sUDoaoNQ11hxKXnVo+x6foCqcWEmIFUXLGmvl1E2r9BBMyYsjxi4x4lHUlyyGvKkhRNmkRGt2Hxbs7yIeyWGYUWBFaAxzqDsSOQnNkLE+IO/FcgMxWKwzuPlAYZCVlu6c9bJJAmf1NHWYCvdS+CXAkWH9+3+tE2Zu/8Aa7cBrIXatJjBSRL8//IHsj8sdatPTsmGZYMyHMYXkGLjOyaoXMG5pqsbtqj4gmamvNMJdjiqlVJPhRq5Oo19uAdwSDZiNFJzRpBTZoEOytwaMh66IqMQSa06tlcgASHskPJETPCiMFkFcEqMhGqMmeYHBAWOTY4fMzJpweMbh6w2FzVhju0LJFcEsNm/z6NWOKHG3TvrEI/TEMJB5i9q8gSkjgkCTo9GMPx8xHzvZb7xQrLK5jiC4Th/yIOHUSD7xqUFfv+9bSfcsFUBsoaMxlhj4Thg8ROMqVgJha3gd6maJoiKUKl3ykiffJGI8kB5WILErAhRRG9hS0TOq0piRzQUUlHRs9KCzyWjY5YSUUMRwTJSb5NGLRCxDFhywVjxrLjjLvM44wLe8SFFPQ6YhEOmbdjCul5YWSoZU4vwtLXPH6soLcox8Jw1sDjgbiw+GWCcrMRpAWNPcMiYkORJN1c0dCzfjeDJyDaE+YGXZegHtuDFIFOHJdas8AhmrEwE9Y6xbXXefrOhueOahY3SsRMeCN8ivv2JawuuYw1537EMHTMy5wn762JC5CvbZDHniwv6BoPZU10Hqly2t6Ta8H693bEdxrs7WPCWVoMY91BK/SXgPf4cYYa8F/vcE8y4v1IvGjJtjmxjTT3A2HUwHiC6VJ4r33agWYsa2UxqRnHktJsMDSgHdGMaXTGoycl4VkJDWgRiHEgvB9Zd3mqoj4Sggr2mqUbQJ4FeGZhUJoGWLTURyWx7emnBb6KID27DwZWs4wHo5s065rtxYCqcHkmNJMZk1sZlxdrmrFLLD6/YhGUTX+NYVEl56MBRgWiEbkYYB3wC8E3GewADK5Uhn4AcQyDQmFwRolWQK/z5FHkzvUth9WO5eb/4e5Nfm3Psjuvz1p77193zrnn3vu6iHiRTaWd6XS6nNjYLiFsSoIqBKoBQiUkEKopYsCMOQghRkjMGDDmT2CKhGAAlCi5Ghd2uZxNRUb72tud5tfsZjHYv/cijZRGUAMq/ZPihSJu3Di/82v2Xuu7vk1HOWxgDsz3gmnCbmfujoq8Mkqb6Z803M6e/DOrw8lFYMpVrhsz9J5/9PFTPvstoQye+asdfNUxfaW410a8NWxbyF/MfLXvKGVAh5H9r2yxqNjNyPjpgI9KPBy5iQ77qJqkm3raYuyGxKzCmS23d0+5+TNFzgU7F8Ylo77h7fkJ92XPpMa5OKJtOXjPjBBNSaWp1+8vAVDzvf/hr/NREF7yT3kIT3DlyCOd+Q6ZL8qWKV0wN4HOLfSpB75BKF/y2J3p/cJcLmlt4FeHxJWdOTMg9mt8Ml9x/3d+jd3f/j6PPx7ZSOTwn/8rPPrRb/H5f/LfsPtk4KzfYOOe8iG3OF4whz2jPaZli6dDfQ+MSAdv/TV3XLHRI9/+wQt+/N/9AQvf5fk/ecpP/osRKZ4LdSz7yKM08tHxp/ym+wkv24E38gEH900+LH9Kq4lP7Vf4n4+/Qbv9Cd9r/y4LA9vTA02z8KAdItdsUoEAjoWtPqUrMztuGd3MdPKkHztiXIdC1wvPv5tp3C1QxxheWkQqA3rHgSAz6a/9Vdy/9QPK8y/4Jm/4wA5Ev+W8y2z/zu+TfzNz+uGPeeX+Ht/973+fQ/obqB55bAe+KFs+/zTw/IsNb/8wc//pRBh63hL4YvKMfoP0Ww6ffkX+DPjtP2D3w9/GjycOf++a6adv+erTz7DiwCVeboX4H/0u7n8xhmdwbGe6b3/I+Jnghgvs2TViilMPk9FloxEociRdLfjnO/QgTB9Cdn+Fg7YMo8O7kV03csWRR055892A/dd/i14iH338z7jzhSgD6jLJBeZFMMsMCA0dpQX7fsezq4HT50rbQtsvvKDlbf4r3B03XP92ZP/9mXtf6OMTruPA/aOBb/37fxP3N39KH/4x37EvuWLmp/6afVyQcyKJJ0nLb5U7Bvv7XPx1z+duy3fvf8LvyD8j/Lt/wB/qHd/bv+L+97Z8apc0FL7j3vDq6oaxe4I5z5YCTrj+9xKvPtlRLq9p9MQ3+omn2oM4PvqhMRTh6DYIT0A27OMTbl9eEy4HtvvM6YNLltsN9gbcNzectUH1S/7aN5S38pqzPGITZnYfb9jsC+X6h3znBycu2rcMFOa8geUxb/ge/0AefoHw6f8BqBndjnu2XDrQrJQyo2Sa1KBRiEUYZWAxj6iCq2ZGj8o9F9xQdEJZ2HFglmtudM+tPGKxgSI9STekPFCkpUiHiSINnJvEmW41wjSiCh2O1iJZCifpeWg8LtRvkFzPWTZMEsjugUmgcZ5QJva85WgG9EiGRQvROxrxtGmk8yNwzSgD0TsGpZoOq2A6MYVCNo/REkugkZr+Ya5dnUwcTWnRVCfJRap3zSKC82u6qiiaq/lrkcBJtkxsaiAUC9VysufSZtQeiEFoZI0fxmEOohaiKhOXLFwxyYFj2HPUHb1k0MDMwCyXWAn4Eqmq5A3JXZH1DGJEKTjvmBCyrPGWJWAWUCDYSMcdYjd0ZjydZ7Jb2LYDxRJZaoRaoWFZIywzJ2ChQems1FSmLJgaHY5mAUI1xcx5qea6rk4/vDmyM2anmPNMkomiNafeMh0PPLE3fDi/4dYecfDGGBwbXzD3LmRZsWpDhWL0pvQlcESq6RUglkASs4NRNoCsUe/VO8OlRFcm2qyEk3KhI1f5AVA8Bjbiy4CWSq8I5URLU4s6KWSXCZpYPDjLBJ8qIyMYyQrmBbf+rokjSUOmIVKTWUoJzBbpXIMZLE6Ym4FFLgnLHpKSPeRG6WSp0z0nzO6a127DkUyjHdEJSwd9OFcmSJaVQfHLfThrkeKrYa0H8dUc3ADTuU4/EJwpWVaPW6tQiK0eLaJVKqNmaxu+No1WA73TKuyIUurnBN7Zy6x0z/eWcavf7DsflYBZ5f7VD2ow2YE1K4NE19+HItW4WN4zXgIwVOM9t468S3nXa1PMqG9m9SyxInWjtqb+rtVFUJH3eMfXtee77n2D+e49ACI/w5WgAAAgAElEQVQ40OqgU9RRXCY7QDNeWNk065eXClQZPbiOJdSUJ1Gj5Kb+d1KzhnCuKpSi453PKCExtUCbQRZYLU7Le2BmLZSknpeYVhnT+y+zAiT67vu88/d59w1X+dd6Xwp18l2BGg9yQWYLTExNwdoE6ioDhsovSlT50eKojKzyjs0DXzOT3oF+uUrhLJC9UCJ4rT43yS1Yl5kzZOeY9IIFpZldlb6twJyULdkainjwC+Yziw4UcWAeRyBZV+NzfcJ0AXEoLWUFoeozvd7td7K3X3AYYC68F+vVv8Uq+RLPEgTaKr0yeors+Zo/Jlg5YvIGZH53YUFLZQ4Wq7I6T133g1FcweHoE6Q8Ikw1IhVB/hIwasQ8TjKOGnVe1AOJhHFmwMRhEtnZPfX99ozasNBRBIKt9YNtOGl1qkl0eJSJIwsXbGzmYM84Sce4xiFPdIy6IXKNAhMFx0yxAWd7JHqsNDQJyuyRY6Bf4BD9GmcNPgtWoCvCJsPDkskISIeLDnVg+DoIAMyMShh0jNKRueaOLSd7wokLptJxUQYoMMozXrnvM9Lxxn/AQS5xJhx1x1wu8GNgX5SHw0g57cmnAlNGnCA24qyajmZXQYIiLWFqmOdCn4RxFHx0lOjRrMxzlW9nFUwDsijdqMQpwtihpUaEN7OyjI7SKGIJbx0afY3Wtg2zDCzq6Ci8ywIUAmKKmqtFXBHIii4BH5V2ERaFJVHrELW65jmHUSN56wWsQA5mNWY9OhbX46JQsmeyJyxlX1M5nEGpNVUqhSyZRRrgAAqRnoWrutcUgRLQtMGbYKkCs5a1smjWpLj3OHY0RALFL8SSV1pkt4K3Vod08kAsY5XsiuJLYJlauqmBqTARyEkp6RESG9ziKBPILJRqsYVTyPkxBxvoLNMsHSm6+v5rqurbkhHz+MWz5Ctotmh2OJuqxdkiBBFSafCLx4qiuZBFiHJm1IkgPbnssfQYWXrCLKQklCL4osy2JZYB0Y6oger641AL2FoT6s95xP0yH2X4Q7T8CK8PRCoL0llkyz0X1tCREEs4Rho748lMsiHjWUQYfU3489VCG5hpqOa7p/4t0b9m00HMjuN+YL5U0k44N5+xdD+j8d9jy8gihegKZ/Ms7Egy4KwFM7IvjFLZg8Ei4r9gCj/mIGfC9cJ4PaLtFcJCw4zPZ7Zx5rHdcXJn7tsLmmKECfpghFBIxbHgiWKEfGJfjkx5pmm21GiQibkMBD3Q8DkDEMqCSqTnCEXpYkBzxqaILTNdb7WWkYBnZLARLcYgt3T6Buk/o2wyPnzFFa+5sHvORFo+x3Y/5mHfcuje8FxeEvqJSb+FcOaNjbyxj0gXLW8fFpbLL7GbexZX2HBiXx4QecnidoyMLG6h9IHb4nB0lFahNQ4eRB2uTOT2LVzMpMsTx7ZDMaIu2BAYm4z5BSe3ND4j7Zc4d09gIUo1s43NAq2CBAgLIpmQjeAWVN6ytxuexsRZX8DWsDxiekKlCph9KTgC3hzBMr1FShrxHLAGTlpqaTbNCA8gJ5xmRG/w7T0+vKJ20ZDaEbt4wd31r9B1r2hsZBcPFGDj3uBFKCsLuCtnru1TBvsZl80Fd+UDLucb9s2fceEesbUnNR1UdxTdkTnjWHAk1BIN1RrDW2bpbrDhDP4SsxNFXiGaMCLFv8Z4oOBoy4AzT1mN4H0ecfZuGKagBdEzGzvwKP2URRK3uielZ2yWa1z7wBJeMG9v8b6wtQc6UbLe0Mgrgr3F6f/H1KfP8jUPviV3CdvX4W1nCZ8XaBO6F2K74ywNpd1UY0VgY8ITFi65o5eZ1gxXRqJOnGiZTBj0juv2wEVxNCWRJ6N0oD34oaWokqUQKSQaOnqcnZF3Ea4lwgycjZQh+ZZj8dwjdB8BmxZzmYaZVgtqZ2RxtE8uuHcTXY6kaaLd5jrZdp7+6Rb0jMVSZQpDQLeQtGGmY8LjpFTAotQYVDOp1PCTwAhzKsThmrMqvdbmicbheyAmRg28zI95sVzzZFa8v8MnEF+40pGOB5pgpFeQXhncLLXSThlbhGgdhcBiLa/WoskTMXYc05YXZ092Lb5MDDbiyMy50LrMEOBBDPxMQphLVwsdEZJtEBy9O7FIwBB28sD+cOJu9jQf1yXU2h7pC4tkJtmi+xl7DskHsl2Tyg3agagnp4q0uhboIUvD8T6jO8X3Cgdq0bVpuHjaIr42Qt4yoUxs3IknZjwrL3h6+xVfNo8pTwrmDe0D3kN0jkImY5UWbZ5BBF0iqVRb4GQZVWMujoN1vJWBjszioS0F/2Vi2hruO0a+W8ifJXSA9hv37Noqm5skk8nV+2QGnR8Y2o5eBRqtjuq7gajgO8Ffb2jihHUZNwmdEzQbxTkOvmPJDV2ppq1RWhYB5xXuEyVAvmqYh0B7bkl//xUxHwk/gNkZqTHCY/B75cZ9wJ8W5aAQ8obBfc68UMGHBCEqkn/50w1ENqC7Sh7pAC+k0ShzBT3epyCtrIzyjp2xgnfZIGWrIEBtryrZZNNjKaCpslwQQTtBLqAZhKZtmGX1PgHE8gqbrIwGE2ossgOrkgDpevxW4Jxr4cy2ymesX2VYVUxZz7YBt8V1jhCUxWe065jPmeU0M+BJLOtGUEhZMd0CPdWfplnBmvX8V8ZKPdcKD+Vmh79y0La4qUHMyPtA+FDZnPeUNw9oBmkL3WPoH7maRrQCOtIK4gMQamR5WpPc6Ov5U5lNYEyHDMeMtCP6gcJWafZQrgSCYVO9M19TamS9JyuA9B5IsArmiEMDtQNgvd5SZWzqANHV96TeO6O+j5kFycJwfUG/23KYDb9XzDfIUCerlRvp8LJD9k/IQd6zVOqxpuasoJEhIBXMM5RcWHdQw7UgW0dKLV7AXQ2c28xpcfzof/w/cEuPccDUMzSXnHLG7Tf4x4GoUwVydx3JN8jiwW2QTQ/tKtEqgmPAcPjOkKFl1spNqpjXLwJABDrH8LjnOHpI3Xqtxgr2aEN0oUpLtEEJEKjG1rOvEid7BXIEptrE4yB4JAjSBpqLlryB/PIt9gQoHU1qmP/kE+yLT/CMJCkUo/rs/JIf3frwNqv5tVXKFwnhSCCarz+z+pxM0nPigoUGKQsiI84KxTzn0nGQQCodzoRRE1lGFoy31lQnKiuVEJOE8ZDICaYEL7Jy2e+4ewHjqzP50wA6c+wd5dURXkVivMReR2CBlxGGS9JeuHwU2TYvcM8it98QjrOjnKCcUn2mk2JTRp83iDuzoDzYnpvzjpf2IbenPcch0/fGMi9oztzNLf8ofoerEBhTi3eJkw482EB8WDj+t3/G+X//E2Z5iv3ev43dGdop+SOH2Zb8M1Cv6GOwfqDbCWUIsDWm11B+lEgj9FctcS51eCiOOEtdelNiXARLDibDJkP2UG4XymvFPXWUbSD5gppSjnVPMHMYjkiDWQ/Wopbwcs/Ta+PeFji3lfHxVSTdTRiONDTYrg4oUdC9IFeQotLeQxdh8S3tI2E+BPI0U+6UchEwHdn0hfkAty8VGxPhWYZByTZVLmFoiUuh27ToOsDxeJZbw5LUBDpdWQE3gp0UmQXfGi4ItsCclTA0hEVZ5gzbQJ4g9EYRx/3hQMyKuI5+27DZGWEnBF84vo3YFFh+nODLEftQiYcBezXBp0IxVweRyWCJkAo5NjTnQvrkgemJVvDwdsTkEvmW0l0BoowuVRxeMt4FDg837J56uGqYX1NrmL4lJuC11bTQK6BVRgLedsRp4O7VGTs3xGOAJFXWP89s2hnvMsU8Uwk1HYZEu1YGWd+B/r/8x+/yFd+XL3jGl7R2T2LPkgXRM517zT7ecLUcMTfS6pmZA29oiDzhwjyBe7IcSdISxLi0NzyXn/LrF39KsI67BEe/5cF5rreBsh9w//F/wL/zceJXL/43rn7jR7xw8JU94YYNd+K4L4GXrwp2M8N1i/ZnGnnAEVikZ/DC9+SBmTv6Z54n/+mvctM7ok8EZoo5TrnhQEBbx54Dj8obdCl0x4XvX/+U2wvP4N7yqlzzHb2h82eKDjVtT870LjLynD0HenmBU0/mih0j33CfwHVB3ybiFwnZCDQNI45iHmTgbAETo9WRhjNXTyb+tb91B909z/Y/pWciiLLnxDP7nN/9nRP2PeV39j/iV+RPeMRTPucfcLKe+9KxoLgnWy53C/qf/eucjv8Gy+U9P0yv+fWLA/9E/yE/0W8Snjrufl+YvwHlk0D7cmH6lxT/O9ds/9Vr4MQzHfmwecuL3wDttpQXyqgjbW/EnSGq9dzswAfP7/kP/6vf5g+/d+KNTzRLx4LD7xQGIe7gqj9ypbf0PjJppHFHnnBLp5kmf0b5aEdUjwbIBJIZbjE4Hdl2M1ud6eSINo7Lix0ncyRV2kHxweFk4ZmdaN1P+dZv/BZjMJ5w4kPOvHIP+B+c+e5/+S0eD2e6JwsOYfQdSVo8Hu8yuTWcj1zpPUf1nKgm+nsBN3TMYUD9SMMN97LnZBcUEpETD7Ll/nVAz5HdkFCZ2VrhG4zkqy2f2UI/eFoVsgqfv3qApx/UntccXTFURpb2RLNvSRtF54G+7zk9OGwj7B4b37JP+W33M34ijj8qwv2f9nz1v575+G9nLh8d2H4k9DoRMrT+TLhKfNjf8G39I77DH//Cd/wvBGre+m8zMTK6Gw4DXDi4TAub+Yy4RNkERq18inMQrK/NkbPCjjNX+UhgIbmCyEJPIeOYWGjlhmt5xcADms74d72QCmHoiVYdp5EaBXYmEFECxoCwWyLNCEyhRomiFDqidoStEJtCzIWGhlDOmD3USXrrWErAl0RIBVcy3hLFTkifKQmEQBEBN2FeqSKXnhlXb6TVIaYCRaq7SVntKXJSDtpybSNb72pSVGvExsgBFrY88Iw39iGdy5ALsgithx0nGplwKuRR8bMhc20oLRdizky2ZaQlyZGsgVgaFvEs4jmrq4uLBgJnGmYKylg8hII2qxxBrE75S51ORzwn9UyA14hjRonsbGY7jiynjl1RTJXUO8zXTX7CMQ+G34ATh5SWLB1ZQNVhyUMwUgu0BUdLiTuS3kOzTnxywJxgXXUi2pIZbKFjYSMTe05c2xv68YFdmjlbonEjJluiwkzGIQiBxW05+bD61JwxtixcsUgG6Yl2ycglR9tWTwHNaFOQwzqXXxx5LpSTw0mmLTNBjwSORPYVmEtN7bHncz3PpChWp9wuYRlKF0hDS5mprKhSXzRxUFrPrWyZpaErhiuOWXsmSeCNvVvQAq5xxKZDxpaLl46QM/nXoDSKakY8WJs4aM9LfcIbGp6Lo9X/c/XmqFNRlzNiyz9nKfD//3GSgMkGJlYpTKKJQo4NxeZqV8IKfxSlKcIk1QZYRRBdfUukMubEQNTwfUO8X6ENq414VqsR6x5846kx2msUGwt17PdzMd+akFwZbKSA+i0uvF/Q1t9rKcmv71wFNeqEwIG0SCM4A3EFFwIsBZtilbus38y0sCQocoGyXwOW3yXivcv1egd61OQiB2QabFN9TZQ18DksnDdQhoAmTw+gC0sPbpPIIrVpLwVcjdjEFKeKqjAjqLXVkNZAtM5mMQdzRpdE8Q5cfO/du+bm/jm2ecVFVvrQO4+anz9EcI6fMxNewZT3MWbvRsbruoaiHoQZK0LsO+zCw1uPeYNtAj+hMlMkYkXJ7LDumoSQ88pYen/83Dkb7wEbA3IGN9QfmIMSatNmE8gAZ+eZ5ytOL1q8tWTJGAuiA0hHagd8HTgSBVKra9KYx6TFQrMq+TJYIdNSRKuEr9H351XPrT4lX//5c+IyEdxFRyGTcO+f24Kr+5xSJ1CAElBVstRkq+rR/Jq1e6/PMYI4rQxYJ/itkoJh45GQBrJ4OlGW2wc4vabhzLLu/ZGfv7a/nEdLTepobaaxiGrdT4WOWVz1wSDhJeGYSXjMekoRdH1PxAwzYZGBMxcs2iJmRAHPiLDwRnp6WXBM+BLwconFrkYZx8RRNmRrmZeA3O3gpUP9jHUd5UaQ+0QrMN04/AQy9rgBli7itl+y8W85upHp8Qa+bHCnC+Lo0SFSUobc4EKHczPGwmRPeCG/yqc8x6IiywtyZ3V9KZmYBj7XD7nH2BNoreHOagT4dizY3/WE/0kRDfg93Euhf2pMKGlrSJpxsjqqbRSbYXR185SXgnvwpBzJbamskNzgvJFLwXslpVhljCI1hjuBc4ZOETcrmYBdJWI+Y8sFHEHtTENCzXOWliJ1wt7oCRUBOeHVU0pBFkc+GxY3WMnYUKpH1Mr2K8FXr69uInWeuROWmGmGBqKvIjejsgXzV3QhMd1vSacBOCNhIdOQY65m5KLkPOOd4PKIaqaEyqQhtlAS0jr8YqTTiKaWLI7kE6YQ1EMUinfMU0Qbv77vMxoESsNy8CQ6CgeakMn6hi4ksIby0KHlCXonuFeC7RxhFniA8BCgsSolL6ECX3mNeD8o58OOuPW0KSD3M02n6EVkaqCkAC5grq5Cpo48jQSvxO7M1DxePehWDP8U0VyZhN57igVm2VafmbnHJw/JSALqhbJkOjkQZCSjROnw4itrKE+ozytT8JefaQzwMV/wrNwzaMTwK9O9x9PR4ukscrEcaupuF7jjEW/lkhMfM9uGDyzTu4y3hY7MLs88dp/yEX/Cwfc494RUHE42dJq4ax3zDz5g/r2f8Gz4h1zIJ9zwL3PiObfsmW2LpCekY4+eCzIITa6unDMb5iI03PNxfknUhfNg3PxVx8PYsJENwTyJhlPWaijLFsGTmMEZISW+OX/Gwzbzsjju9Fcwe8AU1CV6izjJiBQSxsZGPuKeJStvcFy7Bz7kUxgy3GU0QcmBmQ0ngSyCcclkO4oIXg44Fugy7vmf8gFfcV2+YEOm0OFXFtDF9RfIVeSSP+bj8oY9M0MybvmQT8K3kTLj24T1D3z1Qxj5mNdyy0d6ywf8iJGWe5RT95SfPc3VU+Z8qsEr18LpUcvx+UzHyEcpsrMjy7WRs8JypJwKG+84uowj0JYdmxJoup9x/Zt3uP4FKo8Q21X2+FB9yBCjbU80vEF1IZknaGYob1CZuG0Cm2bDya4hTZhesuBxJeDHI0N3Ry93NOwJIvTtBbpsKNYTfajSTTOuJFPkFd3VKxre0I437F3hQRJ5/5bptxaG8gXX6ZYiwp04EqDZaFWr52Rb8HrEc4Xg2TJz5MSpdXi3Y28tvcDJPJ31bKQmR4+yw6ZHhFNBWChypi8nel7TDVeUJaPhTGCmWfbYcYs+uSRKx1EaOjchdScHb5jTyl5DsanWhINEnujnPJdPeMtjlJ759RXNP040/+aXbB7f0QweJ6c6aOTMEA7s/A07ecUju/mF7/hfuFKZWaXbW01AETGGnGmWKltZFHALDQFPBoVMR5Yaf60GRRpGaZhoSDRkWkYJnOWCgtKnewZmFp3IwSr1fjawvjJqpKmMAxxFtTrHW8OiUpsLMxy+EjjFkcVzzspGq2kapmTxGB1Za0Gc9Z3HTEumw8STQsNJHD1UAMcA58mmNYYOT1LPIu2KywMsFKQWtSJIAVcCUQcmjFkOLALmlOwLUP+/BU+WDEy1NwyKilDMmKQQpeaKOCkV0ABC8QQ6IrbGXDccyg4l09mIidVC3jooDckCSVsgEd3AJI4olS6frCZPmStgSiGQXGARRyhCaxOdO7HJMyFDUyJ+mSl2IukJrMGtAFEOfpVoVX8CvKOIUnJBxRFdZpF3D1s1/BzNE52uPVYkO2XymbkJjLYlSQuWyLaANEhOhJQZnOJLQaTGFtehZZW3OKqTebaGglC0pq8YjqYsBDlgqmRpgQ5hYRY4WSQrqzmhY7FUCzQDtUSxWOUh5imimKvNVHYdGY9ZAVefK6T6WxQVkitkNdq1iHtvSquubqRS44zr891RbK6Ub5kpwNkJD642RC4bOJgUohib1ZAiu4yJURhYeMRZIkU9ZZWdLAHGTlHfMPy/3v7/xTqSBERq6pdJrgk7pUetwd6l9Kz9PqsMiFIorJRwE8pq7F2rvzpNy+R6D983/Cs/S1e/KqkAQCXFB8xqzHGV6zjMHJR2/dgMEiilbvYVTfDvmRiKoeJgNap9H0FdHNlglvrMSC44rfLLav1bI7hNKyhMGii2B858LclaG2kp6yT/nQhKV2kMVCaRw5FBc6XsS224E9R/FyBpQqTU/Jr1M4t4QHBWJQClgDrPu2hyYzWcNa3exvj1c9frj4Dq+7N6d5/g/U37+nzfQyH1n78W4cj7Rqeuv6tp8TumS6lmw2YR1UJWzxwKeUjY7errEgrmrEpwDNAGKx2YXx+JCm/9OTDJqPf7/Xeth5Z3BC17p4yAsoJ+fiG7iNdLZNng8VVm6QOJpl4fX01oESF5g3YBt6zPl9Tmv1TpV7V9burgAiNI/vq5x94DNX8OoHl/TVdI550h82oMnBCKCWJWTfQt1itvuvKy3jGVIqxAzvv7JrqSeIzsSjUelrq/xVwlCMXqOg0TamF9Ln/5gRpvMw0QbAbK+/VFpKnvvQBWKKJEGmYJJJSoINpXiY+m+twYJHEsVEkGlTtMTyDrhrmOICiyrHv/O5HmiSLCJHtKDujy7r0Bj5Bzh5WZ6AA1vAZyorLAsqOJG0JJaAlYCYTk8UmIUp8pR8WtU6kgv9Iz0zO7DTlWt3VX9hSMqJmiQpYNi1wy8paNKzUxCQgW0Wwc3SoTC4poQa2Kvrw25KLgxvWTA+YmpuCqhEgLqkbO63pgdc2qsp6CuoIrSnKKmlCyIKnut7aUFUSTtV7ImMywVgeqBV9qAT5pJtmCaURpUWtQbSm2oMXhTSlS6kCurFJTV6WUdVLwDiDNmFNSDyWfmXoPD/Wd1ixIFiQHKjdziy+OkhSXa42LeLJVEKhC60YqM+oKuSyYCur8yk5zVRnqDNMj+AbTQAqJUvK6KAVKUFJcJZJS1n2rMjoLASzT6sxIQcSRra81D+ALODwexTIgHkugbd0zNVekWSKEhhpwINXovpq+D9UbzTIlLKAeyZXxG7PgMhS7IqSExgmlULSu5yIV/PdiRNIKHAtFqvG/loaSBGQBzWTpwQVkBeGT7jCZgIyYskYyVKm0/OVg1GRORDESHUhXmU5F8NR6Xkqh+Cpp0qJ4N5BsWyX4qsxsKGzqINscVmDwnt4cZoFFMiITF6XF+QO5abBmIekRJzcMMtGZoKUOxMQ6rGwp1iCuJiUWGTFXQxw6Il6PFE4UKqidfMSc0FL3Kge0xRgKJIt1Cq6V4RsbOLlCMsG0Y8KR6UnWEBnIskMpq9ulo7XEJhkZz+w6zhRyuSSnu8p+VSqou9aWBQ8mq89hxNlEWwq9zGztBeIeCCS2pbCxQhKtXoncY0wIiis9Qc/48JqFHWe5JesJ0x2ljPgQoMyUZmSxe7yeGJgJVkV63lVppTkYnVQJUQuLq+uz4FjUSJJYAmhY9+ciQKKoQ4rRAkEWxJ2BM65c1wG5JEwiRqhbfHKUpqpTkB4r4B3kEplZmORMZqicZ6vrlBOlEyVYHSYMJNSOOLtDaREc0c9oHmkpOMs4UbQsmB6xfqZQ8NkTJHAjkeAiTxR8MQYSJgutznhzbGJ9zbuc2YmQotC1BoyonRmWM1ud6ZvIphwZy4GjXuIl4C3UfRJHIBCYCXKkyMhYHsAf8PZAT6EpLT43SBHUCUmMJBGzjJZAJ4YxUWSg+AZVVzOArK7Vvoy0NmHiidYQDLw0iCRSeoDgWCpEjbczXU50MpBTxy+K5/0LgZqn9oosEEqskb9mFE0VhZulJrBIZFdmdgvIBAnHgqyqJMdRNjzYngfxjLREtrzNj/jUnnNjW75J4cLOzE0mPwu1Mb4vlOSZWuUImHVs6OomjHDQwNvNwOZCwc3UlqfhjHDQwnXXstwvBF+gFw603LoL4r6m9KQycxt6bnYfcVP2THngRvd8pdd84N9ysYwMoWDLhpQgm1HIWKk05rN0dfLCiKcW+GLADI0EIgNfysD+YuLi8Q0pbJhLpp9vacoDoguP/JFvl1cEhQd1JF042sDYdrhekKXUZqItlX7+BsJB6O1Iy4HJrvmn8qtc8pYLO+GSI5ZLpqmhWMch7ThyQcNCskxUj3lggUXaStEOvka0aWYmcLaWHcIjGwnplqZkcEry4HxB/ULfejQWLAujdZzLRFsgFWFUx2QZFqOMRhZjcuC3HlJC7US/1eoNoy0MIM0ZC4Xu2x1vdz2fyHO+J1fghBnllj2TbeG00LCgEojOoSroDGVyYIVBDng70087pPFoawiJIA88Lrd86F7wM/smRiKVjLlVh940qPfkc6KMC6K5sgAGsOAQOk5lxySburi1C9YqY/+IO9kwdke6XpkNzG0pLWRJBJlIYpga5IzrDOkDWZQGcGac1RjFMdOQrCOUgPSCPC+MH7XcB6MfjzDfkC48561jVM8jbbE4wQI5C3MKTH7DIU9k11Yp3saxPBIOFxfc8Ixf/+epBP4FONQMI+J2RkgQH7XMk1DE4yzXIsFqW4/k2viuRilGjecOgyBdjeA2c6CKtLVxsKygHiPhmrpumLfq/g+AR7SHVTTzdeOuiO1QenI5gs/gRorL4FIFc2gqs8dFgjPqavzzwFJlCUlQxCmY1mQWy+8TpypzJ9MOMPktIvvK9NGAma/fR6rjUT3c2jJUJo1bwYa6kgFTpMlwTJAbKD3gFJ9BW092QqR6o1hiZTe6mtyTqp2CUyGxMuMQ8I6mMbKTNfLHQTHiRPVgsAZ5J0tbwdD/213ma/Dm67/s3RQaqyCDsxq1LrLCFKkiJs7VZCOpxsKqSvukJT8vLK8imlsKBrIHHkM+UqVxBUoCDCuJKqFaT87egUQrGLL+TMzwBZbJcKUC6toYJTWIQek91s84OyCnM4HHZGtBtnSXF0wlweAQ9TBnuo8hTkr6k1inNmKVwRgFcjVhhhb6HnxtGEWrK4+h1ASon7+W8v5PM5jHNVDMygAAACAASURBVHmMSAX00sq6cjgTnHpkhdtN8ntwrIKeLSYCa8S3kauk1dVzLE6rmbTUgYmIoA2o1AI74Nd20/0coPTLe6g5Bj3jJHKgZaRDJVJHBJlmlWff2waVjgcbSOKZpRDZMNrApR1BIoGJziJZHIeknPJALpe0TUQ11+ZLFVEBS0ibCOVM3x7JrmM+GDZT5YHbheJi/Z2SwStzB3atjDcZotY37DRw+0fCOO542Bj66Z7yKaRkcJHrLTp7JIC7LIzaYLbDieL1js4Js+ywNEDOdP0R/8wxJs8pDYRGMDnRyMieltl6jlth/huPCNe/jsgFd98Ay575IyU+DpRZkd0OjQFdEv6yMiDtlVCaRHQGjSA3Bi+trieDQQuYkE4Z2dcocpUKQ5KbOrwzR8rVJ5B7h/cBzNU8vljXtZaJhpEjnnvdE9kgZVfZY5eKPDjsaLWx8QXJDougG0ODo9wocoS8sUqny6BNRh470uNaG8uS4SB1VnB3zfmcGI9CPhlmnnzna73AifDM0Tcd00MiF+XQBESMDsFdw6QFWRqKwtwAQwe3aZWmZrR3uF1hPoMcjSCefF6wJcPkybPDnGHLESkBtYAjo9KQ85Zx3JPuV/bdzihupsRAsgaajO2FnDJuI1WKPAGLMEqk2XrwMyLCbA7bGGmAsFFc8nUZSRl5KFAi0nmsBE5vBtJDh82hNpzRYw4oHkuJ1oOQ17U54pkg9RQJ1XZBoGmpbHwNzOWCSbbs+AqTmcW2q8ePx8lUB6F/CQ5PT1ZPJqMkPHmd/k+0VlB3YmkcOQsiJ654yWtaHI5WHjiTecUFZnCyvnomIsQ8kLhi0YFRPKFs6bnj8mLLa4whCo/6wsYibSnsbOHAxF2ZOfkZ2yZs7LG9kPqIcOKSNxWENGWWPY4zg2U68/R0iM0UyXQy054jjsSwG4llpjtUj7T7TcvbfsfZLmis0MkNkxVm2XLgmtd2xSATO6vpPl4m1J2YtOM1jzikpzzizLf5hHfmapoSOr2hHRqc9HgOTLawSMAXGKzwMbf8XnnBG7dbPcVecc09ixV6u+NKJsDjSkNUpVfoTJilZ2NwzUQpIzsDTYa4M73doi4xmatptAKDTmx95H4GWzqGi8iyhziAs56FHQ9uwiTTUMhLQFyHtQXpDP8skKdE6I7s5MjWZvbFuMQ4ciY1irfCMo04Abv3xOyJQ8+iUgfSUr3TEE9rPZ3tiNaRgbTu5loKzEYoLR2ejhmVhUbm6kXDgrqRzk00NrHVhZISV2YMopXRzIRqxJmjY09nI+c80Zpx9TCSW2iHmUKgWUDvjX1/5O665a2/4sAFSXq2ZQI5YO5Axy3OFaKMZKm0imyJ0imH2wVNRucSTie0OK5c4Sgv2ZAxU+bmjO0BHeko7BgZOFcbEc307jVelKNtOGUjDxl2cHaFKQ8QAg4Yc+DohHRlPLgGT2DjAxHPSIfg6YlsZWSwiQv7xZ5ZfyGkfJFvGaimO1rrWExgyWDF8AoNEz2ZFkXmOoup5UfLTMNYNjxwyYkNCWWh5XX+gBfxYx7Sjs4VBibGUEhPGiwJ8ZiZzHMWYaSQSkumxcyICvcSOLse3TS1GSvz+6V7toD3A9PDGW/VKO9Ex6SXyFYgZQIwquPcPuI2dZyt5cY2vNVnTG5DIdI1hhWYp0rvrEZEypQ7TrIhFr8y8PU9c59F8KosON6kC07dHncFySkld8gkOFsotrD3Jz7wb8B5XrtLRDJnbTlLQ2hrOoMI1aPAQb419Gy0nAkcOdqWP7ZvEaVjI4X/i7s3/ZEsS8/7fu97lrtERK5V1VW9sns4pGbRRsGQZQMWDAsSDROw4b/O8D/hz4b1wRAswrBoUhJtDjUznJ7ptfbMjIyIu51zXn84N2sI2ZwPNm2ifYECqquys27eG3HjnOd9nt+zZOFobSVwZ2VaIid6ZjzFChOZHKo76CSBgzR1uqUzoiPJNhw5x9RxISfOhwNtGqmrm4yTCRRC3FKSsBC4kchEgyZQE05ZMTwyGnYqzAizi4SmhRHakug3xqzCpAHrQPxA6Q+0vxV4s4l8K1cc1g+NpTgS1yxLD8eMy6lyYmJBwowJ5FRjbxsGLuyInzOZSIkO2oLKwhkDj+yODfc4K2hRKFI3KtFV1XQ20jTjnMci2AaSB0rLwRpGc5W11EBuG07hPW7ZcAwFtxFSAiQgASRbtbyLYS7UhVPIyFnLLNAyE5gZrFbbpaIMdHWiuinIh8r8qK+tV4A77Ck5MrhzXojj6LU6fibHkcheIkc23JaeUbbkRWHjKTvltNvxjVz/P10L/I0fzhTVCblQytbgzFWBQZSCkquPqi4xJJG18ICafogfuUbQtgqrD5tbbR0SZI1FBRDDeUPXRqRc8rrBVUSqE6KaCh5cKoLQ4qRfXRpGnWmvfByb1y8znBvxfp18SFV330FhBTRUQankKgT82jHi695ajdgpGjcgO4yAvXPouHfnVbfCD0KH4x2YtyzwAFAchWigqVBcxnpAPfEBiKm6CiAZzQ4pAcSTLNdnv4GX9RqrrdGogm+ojrRSfg3TPEIaq+vHEddr8+BZsb/0i3d/Xt6pDqtQ867CqFpPtZY78TDBriJOdRWo1CpoVSVcd8T3POIzcZ3SGlsK5yDNKlgkpCwrKic9WGjenYtIWYUSeKiXNiuoGWUCK6W283mQWbEEqXX4ZibYCTmdqPmNABIJuw5tAr4TggoyLfhHQnnfQZPwJPzKwsnz+rM46vdoI+ahWOHXd1oe6Mx/+bR5109m4NIqXj283kxRPGqCiBE8PICTTaoxqtaUA1wgdlGFwUr9qhsstTodd01FJamisavfvgULFc/tiNVRU6gCwnf8KCJs2LPhBpFEQRHLPFCPGiuYGfdsubNzknU0qbq4Bhpuyhl7eiZhLUyooP1TcbydNryetpykI5iSrGGhqRBcFmJ0uLawOYMohXyAMq6D1F4xF6njrFUkVpAzhVah9ZSckaPw5icdX/7RGbd/fglfQP4WliMQMuAps1JawXaF0Xv2dBwloN5omatDdIGGTGze0Dw+MLWF47whc46XwkaOXMhIw4BtJ/iPHrP8lz8k/7PvYc8UroXyfoudRWg81kWkE5AFvYrY+eoUOgXKYrhg2JgobwssBtFwXXVZlyEhqti8PnvyhBSrF2Z9/NUYTWUrqCgUWKb6NGrkxLnNdCSKCFkCRQKjy9i5hz5U0dtVl6EYsGT81qPb6ny0o8GhrM8IpbQBu+4oZ4rbRVwGdxC4gbRXhnnLcIJ8MhiE+QbsTpDjhCsT3lcX0by49ZlpoCPb64zfTPV+V4MwtB60qec31yctjxrYOlxbiwUJhgxGTJ4y1+sicyJabYJy1PbD2RrGU0O5FSSB9gJ+vW6pvkZKr5SUCY3A1uC8IBtZkQF1SOYl1WdRX8UcORNoGoxMDMJ8VygnxzJBWZT9rTLce2QtXWAWJAkkwSj4WKDMNc7Ngkqq99iAUF/j1oJ0wuwCJ1oW8VUwJnMicqhF7EQM/xvqcL9LR4OtYNP6mZBJZJlRORDcHRoG0IBZt/pvDzhbcIw4S+QUOHLBjW05ZSUUEEukoJxUMGvw9My+oXf3lfHhAyYjl/KKDXcUMkFGOjlSSmYSIE74xiG9YtFwZeaaey51j1BY6AnF2HGkkxmcJ0nEM7NlIp5mlr3hi9KlAX8/Y8VxaAJHFVw2dmlix8AR4RQCR7flrpxxYMsiASeJVm7ZuHtM4IZLfiHv8YV7RClCdh56T5kMHSY6oDPY2sJWag7ECzRu4JF8xQ/4KRdljyg4Hen0ni1HzjhyxT1nnEASk870jDyyTEOgL8aZTZxxopGBLib6sLCTkQ0LYR3nhXzPxt/j2hOSDcwhFwn9DOw9SN6TzON0oZMTbanJFVNFesH6EX/p0MaIbiDKLY3csGHPue3p9YDTEb+6m50UuIN876DUgaKVTC3MMjZ5ZFtAaSrM262FNNT1FLkOnWs2pdbieMk4l3GacSXReUdnA1d2ZLvs6WWgk5GOhJIociDqkZ6CSxVsrWo048T2/kSbB5ImioE8Nzb3e1q5p/jMLBXW73Mi2YLKwoYjDTMmujrhjaSZEmCcR9RcdZshtCac2cgTPbGVTFHHLSf0DEyqUBhtJtqCFxDJbMPIhgmfM7YkpMmwEUZRJsI7KXnKgbF4yiYwO0dBCRKQ4ql8R6GRkY2c6GSgl7+6nfc3CjWV8FAXH7auX9WEIpXJEcTwNmIsLK5gEZTV7ksgl4rvWugpFnFWLeuDOyOxAxM8tziZmc1qhKiuWxhdZLYWcKjVSV3SQsZIFmlSwKU6RfSuUC+DAzpS9qh3JJGaS2ZLpsEtC/0C/VwtmQvCtEZ+zHkmqw0L2UXqvntPCCCSgMqLKNLWTLYEKgq24BV0nWiWnClScA/tHWpkTagaTgXxLYjHy4xwqjEwLusH5MrZYRWBcMaaeqCaAgxbP3QG8dzJNRBQS4yaWCKUUqFuasJCw8QG3JZZF0Y1Bh84So2hBVEoI8ESBcdMXzlAZWI7FXoztOSaxWckmTGpx6Kv94ctDw0RkjO4BlsbwUhQtGGRpooVCg+T3MESs1/BLc6YQ+YQYG4jSTwmHqcNWEDQ6lqSCnlKUmtQlyYxRChRKAKtLcQykTDGqu3WWnU6lIhLM8EmfMk0CF2p4lt2C6UtdY1jYEVZHjY4CklrlEspNMmBhZpIlQ2TdCwookZFktRNSMi1MWcWobiuzuPFmINjltpP5ZmxdRtjKJM0pAZKP5F2wqQR0obGemISQnIsuedAw9HleikXx+A3HFzHnsDBd4yuW4WIiUmNe5R7+a4HnyBJbZTJuqA+Q6xA36JCUmXRNdoCQBXoHgC0lQNTW8jQTLZSxQ+MlAasVFs62tR4RuFdVE2cro4RV50r75wGf9kZIJhVh0Ptie2xyUEWhJFKdJlRm1A3U4HoD6JOPUzWzZbWmnBRqG1K62Pf+zUKRRX0pLaDIOvPVr8LDy6IB1JNjQslTK3yb0hVHJJY9SvJmKaqWbz7aRxZjMKClAWHQqnV53ltrVIxnJYqlD8IQV6wirJ5969XCzE4qXGZKk3JOzHE3p12Pe/6P67n/eC8WeOD8uBskcrtKuvuS0hVtSm1FaBqaIqpkJ2R/a9FoULG1mcMlhFLVTYywa0xt7/K9SGAmD3oc6hJnSppATXU1ZiSC4AuOB3wliFXgQrLEJS0xlFWrQ4rQg5QQoJQXRlia9tWzeuRbar3ugbXKnhd1oaK9Zqtr8R//4zB6qKLXCvhRTzVXhlQMUQTLoxgU73CVoWYGsFSlB1iu3qtZamW8PX8ocZZTAp4Tyr1vMxbrYHShyiZq4mr/7ON6jt3OBnZ8ZIrvmFXbthyYptHGluq04x6n6rHRmnLQjdnupIICFkaRm0ZJZK0JUvHQkPyHSVsmLXhJPXrMg3OhMhIYzPR1jWZY40nGk7XZ4cPYA1SqlNKTPGl2si1sK4nalzWUsSV+hmrmkEnxBlisb6GvGHOyB6KzJT1c3UsOzJb1CKRgVaryyrJXJ8jRKLtaIqjSYlQCs4mAkdaN6LbEdfObEMBTXifUam/fCzMTaH0maGBFCqo2aWWLlXhG1fbf+r6u0apDcGcrgVxgqhHnGd9m6G2gJ9w3oARYcat8LhWhWgFKQs+Cx6tFn1miizM0Six+jhKqIKFiK4M8brRSCFBO6D+ROREVKO0hdRbzQ0ZiApFIipKI/W9sYhQLKJW146K4rOgJVSbYxLQiJfAxTJxZidCGPDc0ugRC/U+4SZiyXU67qqzUFSrC8ll8MZS8feIRTQ/CHmgeoaUDGuMT02xYgQpK72wPmFyibR4eqvCuFqN8boiWLHakoig4nELNC7irG7epJR1TVIoWijOMRajrDF084qGjPqMhBkNB0QOqMv1/WRQ3MTMAgVaq02cCSFZdQQKCn4mRUAT2YSk1YWrJMSURTzZFWDGl0Ao2//Pnx3/bxwLwwpq75nZMkhDdg+BZFhwzGVDmS/J5YoTW6x0TLQs5Rw7PCKkywd1Hpc9Ip69TNzJTDZjMztOZSbJwCiJ4ltOnHC8JHDCVJl1BmY0RdzYEmePLyCpEE1oiiOiSDli3AMThRGjxqAmquPQW8UtRM3rYqLBLNQUn2ZwRmsTO5vp8oICk7ZMWlhkAgtgF0zWV+kxD7Qp05RAomXvAjeqmEZSMGYHeIfmHT5FmgwhrQ15ViiyoJzwcov3vyJKbU9KHEmy1H1rKQSOZNlz1IVZIspCY3e0zDhZMBkQPZD1yFEmTmXBW2ZTMm02lIVOFtQOzHrC1CjOOMiBSefK+4wFkYlNHmnLTNH6/Ckxk5uZ5O6hTOgCMYHaROGO4A8EOxBtoFfBcgEcqdR7XnJALdZnoNTPlMZm+nKHyD2DJGaZMRlQSShTXaNEWDgSONFgxFLbJVVrsDPkFmeOXhe26USUTOKEt1vaMrDWeiDlQFkOLOYpxTNoYnYLHYnGZjIL2QNBCKK0xejzRFf2NAxkdUhuaBfHzmBXlC5FXGkpNBSr0XznHFlCRamYUPSIyExfGrTUoqCoHa3VdjJsIuOZLdSfI3k09TgikUTwqYrKSZDckTSxMBLQmpBAUY34RZCpYg2iGT5XMb01oyt1+DnqXx1w+o3Rp+IrjyGYoEtlgnQyYY2gUXllwkBP0MKhmXj7o8BfyDOS3nDHOaMTnMt4ClE8yzrpacoNT7rX/K59yR/If8dH4SU3dKTjNe6jOz774T2iJ17Zh7yVE5/Jv2XH21qXKMpj3nKWXlPSALEu/recCLrjq3LON/5HtM+EcSjsecxLAhuu0AX8NwPxrOOLzVP+Nd/j8+P3eMqJD9yXXMnXzJzxhh2v9MDTzya2Hw880lu+5jEv/Dnn+kue8YKeI0tZeI+RJ+4G5xbsheF/MdNzzxiEtoyUMfL19fu8/UHkd39n5M10TyDQ2oE+vmJEeZs+4OguMX1On7fwOcyvIDcBmp75/Ab9AbS//Yanes2TAj/Vjkkf84xv+K3Dz3l+3HJ11eCPM1aEF3rNz+wZjXS05YrfSzt8PuOnZ58Qbc+lJDbNt8j5ZzSN4yN7zmO+xLgg5wu435N7xzH2FBd5zSUX8Qw9H9FnxsEXijnQkbSFvTvnG3nMc3V8GDZoE7l3O34VHIcPz+jKDSqBPDzmri8cHysMcLAdt+GKb8M5L5eOMxkwBg5+oePIhntGKcjW0Djzmmu+dt/nw/M9F598xfzojg2vueQrnLugc7/D5/lTvoo/JMg9ysxWbrnIz3k0f83f2XzFE/kX7ORbfsDPKNuR6R8EypuEqNGdSoXBfJHZftIwXBi97LlgwzfScTrrmWKiKycaHnMbrzntZtqnByTekgXEZm7KBxx2G9Re8d4u486NOR6Q0BMwLooxuYGWE5aNrB77/iPeLDOj63jhejQ/56kByx1v3Y4v3ce8tRb9ZoSf78laeHW/4/76I17bBQe5J3Unrn+QyWf3fBM+4A/5z/gz94/5r/4aFgR/k4e3kawJOfcsweD5hP+2RTbPyJaJy8hoiZlA1oa4aUjSrJtqBafIpeIvOrLrkXxApz+n/PznhNKQuAL5uEZAbjfkNwV+KJTNgGPC84hJ38eocRkprlbXciDJ7+L5HUrZAl/DkBm+/AL037HoQuF7nNnEe/KCvjmC7Or+uZwhPAO/QyLEJVHGgl0q9lRg64gEhAncgqXCsUvkZz0tz5heLTTbx6TDCZ+tuoi8kmfFmZCtYEyQF8wM85FMX4WiR0p6Av7NAT/f0z8Gez9zfAzLq0hpGzJ+NYKkOlUFYrdBVThdwq7Zcf8nYKWtmAY1pnOhPEqEIaFzz/A4Ej8D9y+fczr+koXC4irPrBSPM1/37ioYM9BACrQV8VljH51UN8BaZo8sNA1oX0iuRqz84ln8AoxsNp6xMeTqhP7I4z6D6V8PyPYM+ZVHT+fVMucTxZRcIpYGNq1wFx6DPEa4A2rde50PK56RvkxMFKZ2Q3vpuPvJ53h9iX/0t7Fn55gkTvcAnrZd2FnALn7E6eVjsvwE2kx4Kqhtsc+MjcHyPz+Hf/gEfX+D9S1Cy+LrYrl8dUMYFywYZelAlPaZ4YJiOqME8hpsDu98XKUyPKyy1cLvCkM7VOcEgaSVyL3wlBKfsTkvfHD+gi9covAK3f83+DKtbDePvOMtdYxyqtntHOsmeRNI54ZeOvBnhD6y5Fua7Q7zrzE7MAOzJqJOD1r2d/r4ff4HPuEbthz4On3ON+5HjObpyh2jg9c8YaDDExCJvHGRsW14wpf8iJ9w1IYR6FiI9oqpfMneXfJSd4ztFdYUVGZeW8/3ytd8Js8xjhx4Rep/RhJjsnPehPf49qNb7n6rpdxdM/2kZ84Z20ca89jGQIVUwK48ukDZZ4SO4iBfgjyqQgSjJzSCu1oYxyMaK3+mj8KZnRA5oaL07obL7ob+g4mt7rnLHV8tP+Zws2Gzuef7/R/xn8g/5/t8wWt3xp/xO2yd55n7Bb//H/xz0IVv7DP+t+U/5i/2G+gLnAbK2575d1au1dQhX65Oih8adDB+NXIeOu7ue4qH9hMYyowlQUdPaQR+CLY18i8zXFgVMx+BfZCJHxq7z4yblzfsdp54MTPuXnH95JajLvysfMgTN9Jb5lm5pfcFscLCJW+ujPy3PP02Mrw+gQWaC0e5ioxSxdHwVFhcwv04Ej6d8V8cCb/aMH450Z4HchL0ssaoTm+P7P7RJbK7IXgjuStoI+VpJreJ8vKSm18EUrhh84ljG7/kE/mcbVbGcsZbX9i+/4TyfMN92lUwzKOaup07R+lGCnBWPLfpiLvwhBdbxlcT+nEg7w33PFDiHR/+fbjvJ54fP2WKzwjhhl14QXx0w6voyIenTD6SyoJ+5igfCPL1FtcK0gm2qUONeHpL2F5x3HgePfuc3/87/5I7jvzJR/+Yn73/GeXzkfkXgo+w3Gbk5NFnkL8H+bHx9Oolj5pXTJ884svXO853Hj/f8fovAgTj8RPI5jhZw9GNXLIg/kR8IkxiMBokT1hAg/CpvOL79i3XdkMndSR2xQkrgUk7JkZwb/6mHyV/Lce/4A/4RP6YBMz03FF46T7mOU8YsnLrnvK/sOW+37CVE0/5mpfuMT/nA16cfszz//4r5O/26Mc3fKUb/rT7Mf9W/jb/k/0Tvk3fp6fjdigcX+/4xZMJd3eO/bcN6Q8+YvwvPmGWr9GpxYIju4B/s2X6xjPlCyafcJPnbDlyra8ZaHij3wfOuJAXqBp39hGDPeEqGo5fceCckwvkXnDTnm3eEzLMUZAZPr19Q9wYfx7hSMtOqoh5Ve7o9cTJTzh6tDhu9WpNSTxnZOGcA6OdIaFlerzQbgqhg9s2cnhvx2xHrvQlJTgSnzLZBW054VESPS/dJ5yoLscbnvBSJrxMGBtS7kh+w9FmDrZwZMHJzCd8zlXaoaHljym8LD/k5ZcfMx8HNr8d+TZe8m/kB/wr+6ccdOKcL/hIb/lZKvg/MfhfC+FHgcPfS/zgn91hvOWn/Da/st+ml5nu7MjNneO4n/GxsB0ir38+ED9deP/6lvN54FX5Hv/K/x6jej4sL7jq7hhcYPnGgcDtm4Yv5CO+DVue2Z7H8wteNc94qZeYRD4or+j0ikkSPbfsOBD6E/phTyLjZESpqZCEo8Wzkz1n3Ut6lGt5yxheMLoPIF+hRIood7zHzw4/5E/f/oD8/BLZT1w9ybgf7pDtjlfNJYMJ19wTLibK1vHF+7/FL6UWDV3Pd2S/5W13yU/4bV6XCxYr9KKc6Plcrtni6RjIO6G8n3ntI6/5hFf2hIM+Yk8V7pz1fN++5Efyx/y9ruen9mOUgUbuEBJ7a7mVHZN2DNYx7iae/FPj/o8WDq8b8s0Zy2YmkDmfd3TuDd1/+oL4Hy48/x+f8uWftvz9/3yP91+TgD2FS17wo/xzrvUlNyo8/ive478ZJizVKRDWCa/JanvyQKggvGAL3TrBNm3Jqzeks4WmeEw9SQuzGElaEnV025SZphj4QBZjkkB2ERMhmSLicJqQfKwMCquJemGNO7gKOCYX+iTEJmE20JaFYDVHV6TBiSfmTGBgEamg2hTpcdWFkSd6W2hsoWghm+BKQESw1f5aFGCgKyd6WVZsgRBFWGyszgwzggmkZoXdJgabUV9dAE4dqQjiINpMVxQvLaIvaPRbnPyAbDWiwaq4hRQQr7V0xQRX6kS/aKLNR95P33K2qqe7pdDnE446wXFWiDbScqDNHZ0EclGWImwpeJRFFXMLXvY03KMcKXQkzSyhEi1UKwWhqJHX6jKRlewgnuw8IuDNE1IAnSlhxFQQqyBEZa6wTRG8HnByT14hpiFBNCPiCBmc88zaYHi6srDThC/13sdknBUjygpkVlACDUIkUzgS4pEsB2YZEXfCONQJWApcTgPbeMtWN1zZiaaZUfO4uSOmiZQr7BdTcIVRG2bZsJWWYsrolFmFRT0zLckasDqxpICkGsLIqiQR6nyuI8tC1glXjCZTq6AYaW2gkwUvBWWgsJBddX00MrMh44qCCU3JnOWBbOCXBSkQkhLzgQ3fclVmNmPEpXMyipM6BXQU2rz/a1oS/M0da5AIzdXAUGyd0qmRZWR2c41wWA1AZVtZKrQUa4BYNyz6QHzpyLTgC0teIOVqM7VN5dxYoeZrOswa6uy6skKUSLHVis6MSSbbUEURlFJ6VApV5ZjICLMEEh1apnUSvPDriFR1G4gW0AFrE0mobpWHCFB2QMSJo7gjxd+CHYErYCFTgYmkFmegzGQpVG98V1/TpVuvZgHVOqHC13NW6sZbjaJ5NfHVuWXyVv95hSCgpTpPks/1Re8Mc4KWmZgD40O0TAz8SAo1cqNlrVEva7amzmD/vTtdJ7b5XfRJ/5IHQ4EWSlP/Sx5cOJHKIUrvXGqqvnqH/FyjXaqEbGAZ80qRCtXV1X2DObEq1AAAIABJREFUYy14j4g5HqqoC6wOqLT+zpMlVLeWACnWZ1GGZqlsAPP1EtsK+7OQWSRhJpBcbTKXvLpfKlw3OcNCwdxcHU8UnGbMFsAoRVYn0RrnKAIS+HU996+z4w/sI1sjYcmV6m6RsgJBlaVkPMZMrZBmdTk5tDpHVyv9O5DwGtHR9fViUt8iUpoqZpUZilQMcw5IUigBsZVKtLpx9f8H9dydvWbHHU3JZBUGnZjcPcLMwhaxQlNuaXVCcZTUEAn0cs9WTjQ5ci8d5mqURcuMEvEIXgqLVOC0lwF0JK/BTtShMhHkSCah2hEptExMrmMOHiyQGkgNtTFSBcsG2a282xrTM83gE9awAvZrgRHikdLW6msHywqbjDKjdkLLglaMPoscKS7TLDeEVGinga4baWTE2Ywzj5eG1hKdvsK7b8koaldEXtHKiUiEUhhUkRzrNLhkzLUU77HicAVK8BxiwTYTmK+uwpXRJGLVRZfre1lSfQflYIg3rFHMO05BKT4whFz/XANpde1GMm4tZUAbHAON7fE2gF5Ud55aZTVJYmpCLYhw1AIAr5AFlz1WPNlB1hZzkTEK1o3ACe821d2jHp9PzBLI0cAtaBmJxTGrY5KCc4oWiJaJkonFMGaizExyS/ZbnHmkGNk7cnD1AV2q03QOgPfMElgawZpEUiHI2v7kC4NTWik0NmFpxAdHsi0iDYslTHqS1Yd/KdVRZGFgDhG6An2AxZH8jpwDFo3RVZFXrUb1RU8UbTDnKa46OYsYhBmkIAoqmayZUWeyTywIIhnRAKb4MuM4MKlfA84NrkCQPZPmdSezuohCQnXCcU8jd3R2RxFjIBHE0ZRLvC0s7rv/LALoy5u1jbYn4+jKiU4SSKQUOJc7dmUPXOK8UBg4zwf67FhKA9xTmoWgibbMtHlGZcS7CbU9UVLFBfiO1t7QyCUn/xaVW3xJFR2AUfA4g6j1ntcbPeK4wKVCbByBCV/uMD1SgECiKzfsZIeTc8iFWSoHTEpAs9RWsZIIqe4piBlvjvMp0biM8xOdjcSSyQRaLUQ5oQZTiYgzepuIlhDJtHnmzGa2Q4Qpw5zYRCqPVDyddcDMxs/clxPeJhpxUGaiO7FhxMzTl5nOnYCJxIi5+l69XI5c6ls6d0ftAq0Q5UqjHFYI/Qmnd2zKHed2S8rCpX2N05bOOZwohMwcj2g/VyHa3XK13PLIFtpSGSuRAwsTqtUdJpqZrQr0Uoy2CH5ucLolIngZifmE+fN3brMiEdEBZ3ucpLWhMOAl05WFyTJBEl5OaJ5ANkQxzPI7jEAmoRxpgLbMxHV946U+jxpWTV4gKSQKLiUa54myoDaQ3QXKiLfq0Cn+wEl7sIgzQV2N1yedK0PHWg6uZ1KPmuIotRRBjmS5wbghcqLhSLQRl8caz7IRsQkv1aEdmOg5UsyjNuKYMLYsRDbcc2m39TVg9f6pzRRLZHPrWvgAoQcRfOlQD/g9ZvsKNdcFlQkX9lhZyDRIGWl1oo5sZnxWdsX934MJt2Vh6zJRIIVSY0aLIT5Cl4mj0ZXE4rYcWLgSJZvWSBQzjcxMpkw4lBGkrKagwoXe0DMw0tEyErhAXIWHJTcgutCQaGQhaKZhoi8HFosk2SCiOO8o9xl/f6DZnHEQQaTQMjKK5+CuueUxyV5xWW5hEFwTyN6YyRSJhBiI7h40MdICBSdCo2BJmYdCKkpxRutGrmVPQWg1ocuJszAQwx3uMnM6Gu71PWdDoLTV+j/6jgmh2ASp5t22co+3hVwazhh4TwZmWehLQY8z7BMMMN9O6PSaGCBFwZwQCJASz9wr/mH5Mx7nPXIy4nHhyaO3PNoNuMHYNXBlpX4A+gInI78t5A89b+nYEzFXCDuPdYa6kR3VPTA4R3chlMYwnzA2nCRy8pVyTTqwKZloq0XXapNWwVOc4C49tIqPCx0jISXyDN4SOznRM6LJsKQMNwPanxF84rzsecIRh+cu96i4+rUCRRzBZRopLGJIsLpIKkJhR5ZLRGDXjKiHt3bBxjIBJZbCMkx4Djz1r7gU41l5yxP2xAx2mygvjeWR4ltq/GAD1gSwQlghmFaWNd4WOdKwp2WShuIcyYHpipF0hSyJPV1lz8SBs+YlTRrxRfDM9HokS2Zhw1G27PR+tRl7ikUCiZ3uOdOWPkGfFi55g2ODvDhgX8Dcz+zygb/FV3wgdzzWR7UKXoRmWPBjgzU7zH/3ayhVlJIjHKTGigTsyiHnPc4FYrpjtCouYkZcSm0jyWHdxBckgfeRURsWJuAjol7i7RUTBd9fUtIZevJwWxkrGtt1IxvANyCK2hr3qQZyfKe05485vPoaFke0Ql5jNN5mjMxUttwYDGkABpQTIueobchs4QHpoor2XRVOXI1zOQyK4ZKnjIJcdnR2xvD8lq57xDB+wTIlxGR1UVTJQcytaaJ+bRMBoTZn0Wb8FpqubvW0AWkMr4bfCBI2GA3CguvkQRvBW4Usc137aQhVuHHe0DOP2woErY0kCqIz23Ow3YTpoXIQTFjqWoLaN2U8CEawgMtV1La/3BBkVDW0iqK2UD/xyyoyKKuwZTUWViKudzRPA8tVXeDpigcKu67W29deRYwW6yIuCOrOqZTzVM/pQZATIxsYHsxBNpoJGGpVY9kaJWRcW50LFnpy1zF7CJdNtS0vnhK2uAvBTgZbsKMgydFdgvswMHcOT0C1xXaCtUcMRcp5tXTPRjmCOzk0VyJcBTo73sWKDGqsGAqpCjVBEEnrX2WQsd5bEyiOIg60OnDAViFLcdYQrU7NsmRMVnhxcdhSwaheYKbW/7YB7rMjj4LN9d682w6ZYuW7vzn6VL7gMScm9Zxxz6d8RbGRLFtelRbhns6daBhQ4JkLFBJbBq7Z43Thhkv29CgHnBYOZM7pySgzLXuu+YpzerlnJzcEjgj3BDni2XPgnFah55IDkbtuw/HxBu4DZVtjgY0YISvHwdC2MnKa64YxLVx+UOi+V7jrZ+5LBy8y/tKQj4BvEj7UtGPfD7wnb3nEc0A5yRajIUuhcGTLgA+vmXYN1+6OH8sv+Lv8lEYye97nmgMXvOWSPU94wUAL8oLB/5LtbsPiNtzqObnxbOyOqSzsyyXjzlHOhXSirjvPHDSCLR4/KNIDQ4CiuA5yl0hB0OxRJ7RXDdNG0A9g92OY3stoNOIWzrcHLER8yFzrPe/zkp3d0Osticopi0yoFgZ7zfXFI94WQ+8MuWyxXLAPwX8ktM9gjAfOdztObz3TXCivZuRUCEvddIYO0vseGTakEiC0PLkYUX1F++Qxx15QOXJ5/oZiG567HbpzbOyec3/kkb7hQ17TuYl7zkBaHJH57J5TjlA8eRN4GxVly5IbitO15dDhfCD2kH2PpQyntViiF3bnxqXdEBrHUQNziby5Seg2cNbPaDhyuDrj8MLj/cSmnUnPCiktdC5yuDlhU084OJZhgQuPDQtRFrCFLQvXm0S6bjh+Bcu4YLNHBXbvCfLRhPUjnRtpmcGd2G02jNYwW78y2IylKFEgBiNQ2wvxnvgo0vaORhSZhdgYrhnpNnds9S2PuONC7hFgZkIlcOCKXnrc+jn5XT8+lG+RonxVPuDMJT4q/zsXesfMji/uCj+8+oLP5Gd8pU/4lvdQjGf8BZ//4T/g3/30no9/72NePb3h0k18XwuXxy/4+Pwp75fX4DucRGzzmLZZ+NS/QuJj7v/rC/pPIWohF8fBBwZtCRSuLg6cmgN3xZEXR7ownGbO0onu9ue8d/aEi/bEHTM9Bw46ciMXGJ5GZpxmer1Hhnv8fYHXC8vG8JPADLYUwv7A4+UrwrMrjv7A2TjCm4nufMvltuC4x9QxyA6Y6OVEWLkavcvshluGLxM2FNpgLHnBu1s2algekaaweXSkibEyYKaJ8RB5cf2EO72mlcSljCiJg0VOK+5DyXygb/h0+DectxPZfYghlU9IIdCw0ZFnj97Q5pHW3XBVXvKxfovQ8+f6fRItW70h/tbC03/ScUdi/BTcpz1XEdy3v+DDxw3vccORPXu3pTQtPjS0zBzaBE9KbWF6veduNO62G5ZT5snjQisHoo40lphNKArnVx1P8p5+P6JtQxdn3uc5F9LwpTR8o48YCeuwktr/ZInTnCjeOOPAtbzgZNd0JCILUGHMM0bDTH80SjPXFisxvIscOSOFDbvLwG2A7r3Ao7ahcxPZed5KZKZBywi5mkMWcxzY8Nwe8Ut5H6xlMx8wWZiDx1umlYVG5gqTJhBk5ryZ6C9mdnriXBauecslbxE2zOw4iiIy0XEg84QbuaS1A485EO3EhQ6cc4Nn4iDXvJEz2gjL+xuOR2HKiuUG80KSiaCJDQvRJS5/VIfx3g/c0VPE6Bj4UF7w6PQW/eJIGAz+0f/1e/w3CzW2sCMRnJC91UXZIlioG/EwF7pSWFzLSRJLMkwdnRWQEXRkolBMV9SlMOPxTOx4RdATM46dnbgtGaHyDMROeBKdVT4KUiMiZwwczJhoKxRWHHLKtONIw8KNKF6lttFbbWN4zRUzrzkrL4knQ2Jh6owsBY8QwoSXO7IkDuzIDJic6LXApNhs5FI/GrZyzyNeMlogSiQw0MqR6O6RuMAMYT/y7LAnqqPRyFG2Nd/GRMgZKyd2co/nBAa7+YD6idmNNHkmnhYYC7pA2IO/S1injFrIbqZhppOFTr7i9/QPuZrvkBHCtHBVXnHRPke7W6IfuUwTFo8cdE+TZ5qjYRJ5LWfs8USbCJ0wNQocuWYg20yyhrxpK5vH1Wz1icBkrjI7cuGszHQc8bku/ieFRZXsIm7bkl3CyYHeCiEbUiBSuCgzl8y0eUFSIL9daJ8KnT9xySuu0zfE6Jn1nCIzDbeoqxWbQU54uWNiBi04gSC1zX6yljOU81Arqg88xYsSzLjIQjMJXm55ql/R2Eueyh2uvKRNCXeY0b1hvSKhTnLohNlnTBYC1QbtrBCTQmo5Ws+dNCwSSc4xBDgLXcVpOc8injt6BtmizYFtvEUP4zotn+jZUzhxQ4vphvdWNVosYubp9MgZL9nqJZprlemWb4lc0N8nuAVu4XK+44x7FgkM7hPm9o6sBT0Z3RgIW6X9DZCq78qhVitMbTQkKdYIaSfQBbw4wrIw4FkkU8hscBxdIi8P9oYTZQGRgEms1Y12jdoPaOXnTHxThbmyxY0NcqysmuJX/hQN5rfrpvO08m9aLCnaGHaxo9w1yGIEO5FW+EqsoBsmet6a45BfUbuYZop5hA2kUAGszoFrcL57UDHWry2oZQKlir67gC0BxCFNX+G/CFKaVVRKVZyixaTWoeIFdFyFmgz9AD24oCRxtT2lmdGS8E2mhJZCXytzoyE+wwqbNAV2hmsV0ZlYAFeYz7RCiQWSVGaLkOk2xrQ9YHoiImSUhYyYR1hWvkqkuksG0IViaxW4lXXKLfW8pU6jUmJlRnkSdcLxAFIz9SwS8J2hFwI9UOI7Maw0AfzKvCiVBUIb8U4wWpBzzOr56MobQbRO08uqfWXDLwZzPV82MLdGjvX6SKxuw+QM7cFrjYoWH5nOwPZSzU4nAEE2kM8NCwsNNW7KTqAd6qTGLjF6SgFbDFs8UhTHUq9haVYH30P1uFvT3wtODXWyVrgaxc3gJ8pg1UVWVpYNLZl+lXsWRBvIDrVNVcbEKLLCqLNULnXJtcCrWHUuhcojM1OMB/j2DCaIPQhr3+3j0/ItQTOv2XDGLe/nPWEZuIkfkKylKweuwx7PAWzmTBS1A1GEa96wlbe8sce8tCeIDfT/B3dv0mRZcp7pPZ8PZ7pjDBmRQ1UBBbAwsElo2tBM1mottNFKP0X/UdZmElsSBarVLZIgiQKqco7hjmfy4dPCb1ELiVy0tVkb6KtYZKZFRtg9x/3z930eImcZuJo9zgVm0/AhvWS0v2LLgS2fWOgnFgIr3dNy5pFbrDYs8oGzcbyzNb9beaLeloHYcEKmhBu35VKkG0k5YJo1KR7xX+/pfpI5TIG8vIImkjee+GJGzyeCLlCr+GbHHd/x4/Q3ZKvs5DUh3TCaTMxHGmMQu+BDW9PJJ77h3/Mvpv+Lz+4OtQPr9I4XfOIuP3OdZg6u45yFaCteVB0H3WL9a3DP3MgTz3h29hcMTY1teuLOkHuLrCtsyqSnTD5ftq2hpLznNeR1gspiTEbbWNTSHZgryF9OyPZIbR3e71n6HaM1NM6wyp+5N+/Y5Gec6RloqXImG6glstN3rJtbjp0ntxt0WaGnRLqC/BrsTSY1e/KtEs2S+NTBQVgExYYz2UTqek2ulFx7wlmQRWLpn3HmE2FtGFY1Xfo999UjfdrymRc0y447vmPFMy90x6v8EWf2YNZkvcNrESHs8sRMw9zVxY40Lsswt05lyKEj2dmSYA5F8ye7BdQCdsL7wDXP1E4REWK653G0SKssugPqRsbl17D0iD3S2J55qxxPjroS+ncH6qmjPs8MwTAFhwyZWk+0cuKWnmezZ1hEertCZoNPhiSCXU6YzSeMGVlrYhFPVHZirha8G1uCNvwAGpol0iKsZcITqTkSBOwiIW3A1xE3H2hNT1c90vCWhT5yxZ5tPlGZHwD1wpNsOOFKmuufwfpCvuVJX7CTO/YS+Zn5P1jzmVlrPqeOtR75z/L/zJ35iv89/udMbkOnv2X9my3p33Tc/rc7Uvv33MyZV/Oe69Oer9a/5ev5Hbax9OKIlcP6mW/i9xwqJf2Xf0RaPFCnAGqZfGF+tjrQdg8sFi0p1zwNDVI7fOq5mnrud3uib7n1e57tgRUnRDyVnAlq2MgBJ461PFONB3yvyCEVeUEQmAvxbDuNXE0TZtvz3A60vcM9JVztOCz3WA7AilZGEnsaThe9TU8nZzbpE/YR4jFjNoIPijNnrAgyBtgaVlczjTxjxOHSjE4bHsxXjKy5yr/jikckZyZzQ2ZDxOEYuOU9X+/e090kPto3eFWSHIGI1YpFemLTPWIkUE/PvGbHj+U3iBt5UM9e3rDV79HVZ9I3r5n+biK8Vuo7ZW1OrB++5c31PTfmE3sODKaG2uBroSWQbQ8LoYoj3TmzG4RQV0geWemMM2c8gSoXuQwGum7i1fTAzWFAjNA0D7zgSKTjKBWN3LJMnhgMUzXhOVGFQJUcFcJKT0SUvVYleSI9SWYGFmSTaCTij+All7qkRoLCSTqybWmaEWN3aFvR5TM278DWzKyIAlZO2JQwBVbJYJY8yhe8ly/ZxB3XYcQawVYLXJiw3rDUES9HKjJtOrG1D9CNNPKZNXuu9B3XfCDlWz7ZO3rTkoFWewTLTtfcieeKAzUjC3q28khrn4o1C0vjI/1VQQQkucgagKiWShyLPNFKwL/5e1p5SxBh5GcYERo98VK/5fbwBO8FebL/YYOacHG2V8kWPXeGbDKaT9AowxnIAzf5E1cSsalGDbQciTiieHweWTDQa6LXBRs5FZMUIzYHVmbCZsPkis2HDDI4JBfXead7OiZMFrTPuCoifrhErgoo0U6JjkDFmXVOLPsTuRI22jPQ0+uBWnZkr/RVYraWtY58kX/Pq7xhKycaLbFwYcTISC0ZksOIA2PoOPIqf+RKd3ywtyRRJE/4HPFji+kX+BAwEyxHpV8CZkJ1LBT15C+33YlWZ65zz00+Q/+Zbt1yo+X2LXstG+CdwT+3mJhQifjRsA4zG33Lkj9iM8Iv+7esB4+MDX4ccFOPtQewM9kord1zm/+GHDbcTjsO4klJuLYDIiMmC8Er1h54qWdenjOjCQzG4PKEZINmy1gbWhlZxQeqNICCYWQpH2nN/hKp9khOGBsKWHVK+KR0mjFExhrOJmHCwIIdXs7IVLF+qFh+p+h6YuMhuERAaeIRZ4Wjacipp5nBno7c5YCaHqs1OkETRn7Mt6z0Myl6rCupoEXes07fsTEtt3OFf1fxhe/Z372ndy1IqarUUTAnRSI0uXSdc7ES09uWkyzJfI9oIuqaFC2zCifWBFqqfMbnc4GmTcXw89nc0nOLIeOlJxkIxpaNJfkfHuh3/K4gqWTE6xlJE5bAJoKOe7L3RJk4bOHeJdZzRrVHQ4Hq2ei4DR8h9RxZkPMdOfpSL7CWyj7zJv5bruN3UP2P/3F2Bf+JlmJxLmAXkdCfEBeRaoUsE7GKnKMW7kgqQOAhT6WCwRJYl39jBXlfKj0NllCdSD86c3ieSZ8Vt67RXBOrhFalpuglkfOletA1cEwFxJY9UJVyjDHY1YR0J7TPBFOTnYI2QCIaj9ZFnSmzBa5QapQt1t0QjSGLMhHJOiN5KvFJW6L2l1AnYz3Di4QsRtx0gOqZuBrJhzMiitXieyl1mFyKRbmkVMylMlMgkiOYqdRHJUETmWrAzhhTQLDZGCwGxTAZJS+AesK4hNMCsTTboiKfrZTnlsx445ltItdCdqBeCEmZc0KkVGOKYctcwLw/pH4yohZRX4CBOWF+qIV5uURCL6+rzmMbynNGyoBfxBQYNI5sFdlCig1DO+CbUmfMOaPbiXjKYCpICwpysaR65gxTzuBXEDugADahKhUyCeSSXwFjmB2F2DfncpsrhqkR4ha8D4iMJKOERUAbQx4baBeEGrSaAUVciy5hvFG4maCJzBLBDFiTyNrhWBHkERGPtEroFPYFipypSiXKXKCeXLTvZKLm0jKbwDfFole8TmUwE6kvgNNIrRlJV1heofIbUFsqfXIi1RnJa5hXGH0iywwaMKZ0oo2AGS00galWqAZy1aDGXsqXP9SxDPx/qm5/eMtiLkNDz0zH2SYW+ozKM95cgTq2+shW32J0worDakatoeWBVd4zi+Ws1cUqkYl6Zgm0jMza0tPy4/SBhR6xNpUaMhON9rR5otGZ1o4sxWGzY2+eWFdXPDdrjES4OjJZx3AKxQqkFL8rILZitht2MjLZS6NujLizRceqsKNEyFkIsgCZqe0BwaH5QNCIyJJRlYrMTX7kdbZ0tmcUw8nVJDMCI8F2iFqqPGDNI15XXEvLST+BrohGubIVvS4v5V9oONJ7y9xUpLpCJJNnKZbITbnsMLFFvSDrAI0geDgZfKOEnFHSP1QXRxqii4gP2DpeZAEOX1keteaB9UW5OtGRcCLMWjNoGV4oUhjxDXCVYB9QJxAVOXs03BLaJYwWmQU1nnnpCJsItaHZHlm69/g6EFZrRgKDzKy0vlRsA+iMYaS1z9zUgNRlL0rGoWQtggRVx4ITXkvFYZaMyIpJHWq6woiPA63JONsyGSF4S16AjBkdlOwU0wnaOqZsSNpimSBHsmRSteHAkk7OeJnJq4BshX5hyZXDckLbgdHVyLUwpsS8mkmjYrYNup4IAqt04D7/LQcCv69+xHizAFcXKKgdGVYTtYFWDV4nGhvJJIwZsaYne4NdedLcYDWwze/Y6p5aI46JoC2r9MiBwjlTF2kksJQda52oJeJ0ZDv31Hki+sBsLS/0mUEqzsb+/36+/9DWtX5gmXp6Wrbme7r8yNJUCDsaN3M9nrjf96TVkU3T86TFBhdf7rHfKJvqeywPGCvkasIaZXExLy201H4bnlDOLPID3gpXXrjV77gJRxI9S/eZpbyhFgjMJTGskWs7EGTC5QOJBlVPk0Za3RMuueYmj9yYZ2oCTQ7M0uIiVNHDVLoy9qDIVFIcUnlsCJiU0WmgShF3ScwanfDxhLcTLoBmV/bUITPbPV/5v8W6zP10pH5y1Psl+mSx2x5JCbVgQkVOlm5h+CIFrJ1Z7JXDNPJl+g2LrLwZ3nHHW9LCMCXHMjywbd4geeD6EOk+ZWS9ILSZjiNKjWPiij2NCoMmrGSu5In744nrFFhtBW8yzkS8znh5xC0cfLlHt/ds6+/5Zv4Nr/rAbooYn1jxyH2qeJYrdrUlqiWZjKwEDQEZDNshcq8fuXHvWODR7Djnhmw8povgPI17S5c/YcYJyQuyRAJlP1VlZW3OwIEkoCgVZ65Hpf1YUm3zqojhm6SstWdhBkqJuydrZDFVmE/CBmW9HLCMLCVQ60cO6gjcoFKx0sCtecc2n9juRl64ge3yQJV78qjkUVnmPau8ZYHFS8TrnnZIdOcd0+sTe6PAmWt94LX8HVcMrLRnzjWzZK54Tzs/YF2pZm04sdU9D7JmoIiEPCNL6bnVZ27nd1hrcdLTyIFOe4464XXG6R7jIqZ7QZ13rHVPlQPxslc19BhGrPnAkieCblkxsUknXuiJ2mViJ1gn2CH/o5/xf3JQk0QIUG7uLtrnLIrOCZqWuZpRG7mVJ7ZJ8dlQxFNnepYkqVgSuJcd39GQaFhzIOWIw2JFeKEHwBOlRMfJME8VIXc4nVlxpkPJ2TDvZ/zqRO33TLboYhkmwtNAdWGarFOiOs94n7nNT9S841mfqU0gXQu5XpGHyDac+Il8yzfdLdk6miwszYjVEw5D8oCbSFgUZcWBl/LElsCjNGQE70rVIJ8b9IMiM6TRMZ2EcGfwbsZIwKgyTYk0Guq6omHmNp95k3t42tGtKl7lkd4Ik2TYQfo2c343s3phkV4JnwPL05mXRG7kkdXY0P3uwBSlAIQnJQ+BtnGIqZnVUplnfim/o8kLlnPFZ+lAIz9J3/FZfoTxHrt2rP2RbRhxz5nWHnH1EZsikoWRzHiVuZYdr2mppr50sVFu2LPRU7lttoJ3CWd7nGY4FdWaJ2FNZFjCYB11yqzokTzA80T465n8fiC0Ff5PPePPlmTZ86V9YsqJ780NlSjp0wwycvczQeue3Hv2z1CNZ37JX3Ern/mdvSW6nhUnfpweuIpvea46bvkS/mJPs1lw+/OB39c1Z2oaPHIeSd9l7AncfWb6NKIfoX7hmbSYrWBCNTHnFfNsGGPiVHwGLMKeJh4YFTgfqYHv9YoxX7GyH1npI422iCv2FgkRR8VCIi/lA7cKezwLHbFxppaJ+nAmvD9z+3rNqU3wxQabP5HfQ3OrmMYQYyJleFPv2I9PnOWWlal3jpt7AAAgAElEQVQ5nQ1zX+Crbbvjl/JrbqX9Jz7lfxgrCdRdYPU6kY6RcaypZrCvPOdNJO0rJN1g0wbVltMpImnGsMKiZHXUL2DuhdpW1GRON+9Z/w//kvznTzwfT3Sv7jnFGrke4AWYBnzOpXLiKty6Iz0KkvyFJu+xDPjOcvfNivE5MT4aorbFzpYdSR3BBKQeCkV+9ihb0CVwg/O3TJeuvIYM0ZBzKNU+pxgKhwQjyJXH/pkjYlmljs9Lg3/lmB8DRudLcahC8RS2TWFfJIUqgySLIaFmgqahaqCfAtVyYvEaqA0aBVd1RN/CpfJnG0FvK2gimISrDLJVlm8W0GayXLg/HhYboV9WSM5kB3hHyCCxVJvC5TBWqmNaBiA6gwmYfLHeIYhM5UgvFlMB1aV+ZhysPbYtGwZVLVwWFYhlwy5LWP6RZ7z/Md3XNVYzp6fAHDr8T4XlomX61/ekxy0Awhm0LmkTL9AtkbFD9Uh5AlbwA3PnknBClaBA7ZG9Q2aDsx65XhRqjneY1jI4wX21gquOfNpg7u+o7wU5AERMq8iXK5qfKdwbhmXNLIrUPc1SCHoNukDtR8Ra9Mbh3whmsKhv0PEyidEJlfI+4sI3irnUwOgdpvKIVoU6lIq20tKiNBiTqKwB8xLDF4jWZaiiEfyIXTskrGFelE6NmcCkSxfbl81bMLC2sAW5tdR3lriswDhyvii/hUvi6w979abF4gksGVhxBLIfUCK1DmS3YMHIV/JII2cGGiY6IpZawUpLJTUtZQhbrG1FddwAoobOCt/obwuo0RgMXRn8UxcTcRaWMnA2lsSShSZetwFjwTUD8z2MccnhUeDs0c8VfF/mAk3rmAaHxDVD/4CcMjI58keDbA1ON1ggqlCJLwc2ZjI1agLRfGQQQ7DloLsxZ35qngHHwDUP7o6qCL0x3BK4IskOax6oiVxxZqAnmw4YiexIUnHimqyeKwK1G9kvGp5Ci3NKfhLMlSN/7dF3ge4EfXC4ZeF7mVgx9olUGcR74jCDFg11OjVc3VtqPrNZR1pgICBtzZC3PJgXvOYtliMLzkQDOzyjVgysmdOapAtkaXBfCml2VDYzvlXGFtS2jA+GsFeKLXbP4qs1h7WDUfjqzcCb6oG1PnFmy2/1FRhD1CWGYlNq7TUw0HDij6odPlckyVijeDJ7u6X0lUYWZoe3iSVbRi3P3nNu8GaN8RG/zFwtEmjPOTlSZfCrAG3DFB0clMUyc2quefv8yIt1zcKCk5YzDrNYMmpDrVtajrhlpPnja/qpYpZA4+H2jZDmjPkvNqgPxMnTaGbZnnihnoN4bpzwBd8y5ZlDd4f7qYGhJn4OmM5Qvahx1HQIlZyIEkm0iIF1F4j5RKw7Dp9qli5ybz7ypbxjrRF3GcRNrqHHMJiasy4QtSw1cKWJVjKQaOdAczoTl8p2OXMnAydzxsg/j0FNI5Ef2bd05siK99zZEwHDWj7wzc0tLx4TH/58ZPqVwX6dsTKCLJj/+xN3/13NtXlmqYYDmZMNJU0eW6JvSgNCoZMZi9K4TGtG/rie+Vnq2ZzPBHvkdffIB9kx6QJVi5eWTj137sCgQmWEZ7OG69cIDzgzMuNBLde5586MeK1RaipdY+OWONboDBwS4QTynGlvDLFKDEZYNZb8HNDWcQ4G2cF6O9B1AVVoxhEzfUTGjDnA/asn/vRlxyCR6+lE/t2IfDCEx4lwnXB/aol1qUJNCRgmVl966jaTPwYaU/Nf2V/zct6zPp0IKaHLNUuZ8b7jxAdiMui3I6e/zHRvVsybiSVnQlji7chGPrCwSw6yYWRgLWe6JxhPC8L6JcGUfaVjzS2Wrtqz+K+3/J1V7uMjv+j3TB9g/HkDtuGantY88Xl1x7lpybajqmrEz1Szgd9XLJ9P/MnP3/NXq9dMsiDEjiG1SGNorgOTNrxyM9VxZn6AalWRrxp6tqB3kJcsXamuq2SMnqkZuN7NnP7XR+Kf3XO4N5xYs9CWpUysGYlaM9OjOqMPAf5SqX4x8+L+kc7d0YYjN+aRyZdS2AtfcSOPbPnMZhxIf/mZL7/e8LFrWaKk7wxpr7z45sgbeaJPz7xyn1j6HdU+cfPtR+x6xeG6QyVyb574BZYb2WGc45EfMavyhZ5YPAfcTYf46oIfeeS93jDTMtFREbjnka/0Ha/De3ALOs7UZJw6arF0IlQ5s1lFjuuZ2/GJxXzAZ0VqizJTmwkrE9fMXKPMRDJ7XphHrvTMWWrsYsN2k8vl6z+y/slBzSYkop1RQ+GCaLH9pQCop4qBMbmix9UCkZPLPYYyY+TESj9zlR94zlsaOXKlHyEGGndAZaDlUwEsMWO0gCl7IwziMClSZSVZx0hN0swqQxthtJ6spf/uVC433C3KSJSMl4lWzig7pnSiKkcUzliOxrCWgYYjRnpmuWHWjozBkzHZYAxgItkUfoIwFxATBxr2F1XvzCgVs5vKD8YU84pKqQIJHnLHbGsSUM9CJQnHGZETXUosz4KZFd8EKmaqS6RcZsHPvqRaFKJRGmY2BK7jOxbR08ZMHhtk9mg+IimWupLxSIKrdOKFnJCYIG7hAn/ehj2DO4AcMW4gC8yuRMx8mnCzKekP41nkTKOBmoEuTlRBIVq66LmJGTdnZoWRdOEYlKoHOWEk4lWwk6OeKTfxaNHvqSBaBnPVbNlg2IZMnT+xMG9Z5U9otFi7wpNws0WlqN7mixpOFDxn1nxgoz2SZwYJrHRkO2QWc+S23dGwKmwZETyZJgfUJDodaULCzxZSguj+ITmm4lACde7ZkAg5U2mijhlJNScWzGrwUTG5dNEx0ORMqyNJp0sIrrqojCPJKKKpDGWS0krCmo6YlErB5Egt0KSKEBLe9JAbqmQgOZKJzDbRpgrSQCKyHEeaU0IYGfMDOX1GTcaoZxl71mliPblS//gDXgqomUlVZLKZ5B1jDaYFVY+dPSlumKkg12z6jqP9PSlvgVcQB5ItrKdKHAZQOzKZjEsd6JpT5cjeFMWwkUviRErqIwu4oiG+CEtRZqzMhPrIUwfBLxB6luzpzUDMIwbF0V8A3B0hL4GqfEa0vFhRgw1ClVZM8ZZoO5K7gG/5QWcdUSLBR9QIo18BS6KtUFOyL0VTXX4eWeXyPSpkVwC2pucHPTXMpQ0loJJJFkT6cvNvItHUCDVIJru5cI5MqSCmqrBKplYgh5J262HAs6tBq1jqWrkqqSLRCw8mozgCM7iMRlOAdHKp4PwwYFJBMtgL3+TCskQkl+pTNRUNeLYXZM2EUSEng0hiqgZSq0g+M7iObRQkNSCZqQmkDrL1oAuEEeRQvg6QbABfXwZp3yPMlyqQcKEI4YnEOFClgkNEHSLK5EB9sRCmJnOwLZ00BD+W9wkdubbsWy0JJGPK36lGUn3GyR4JthihbGRsA7luETGFP2Qc+JnoHc5YyPaCAi6g4AKR5qKiFIw6Mg6iYOfC1lGmApLN+ZJYKuBpBdR2nGVButyiWnWkUBONL4wiEzGXKpoaJdegbiJ4SFagykSvqIzldfwD3ueSQNEfGEJ/4KvWgcE0ZFWuwyNdgC0jaiPGfeKdbBFTSDMbfcTRFbYYjjrOVEBjzmxEkaxEUaKZaVJiq8qQO6KNWIVZixig1gMbObEh4FXJOnOlyih3hPySRvfcpncMFKkAMnDIWwKvGd0t6hPUSrQrkhu4ck8scRzTyOg6cqXFpmdK8iTmNXk6cZ9/zc/Tr/kqfcuzvCZ5wUik0T0+C5WJROrCglPDJn1mw47OTJzzR3weWBnLVTryRcic3aFUG53i0o5GrkFeMYsDjWRZMrNBESqTyxDAWbRpyVWL2gQ2MFeOXM/MbUmqOmPQNDC3NahixohRBZloeeJ1/I676Vu28oAV4YlXHO0NbfjAa/eWL/NbajPgzUgyA0POWLZ4STR5wuaeURQaA3UgyQIow0fJE3W2BCPgZir7LTemQRhpzJkv8hOv8rc0nHFyxcpMpFzT6ISaCUOBdloXqTlwE7/nRRxIORCrkqrMpi2gWJ1ZpIAxdfG9acZpwnGkcoYUVsy2Z/QnahJVVZPNCWdGYtWg1RWxEcZsUaNoNlQmUvGEU4eVDY2eMXGkS0cWZofKCSWRrNLlM7Xu6U1PlCMOQQioHKkk0BlDkx4o4/MKz44Nn9jyPSsWjC6QqjPGBRYITd6z1IFGDvg0g6k454EaW8CeZsBYAzJhGVjnB17oE84ERorUYaGGoA29trhg2DCw1jP39h1rO1JFwfUWa5RlN+PtjkZrljT8vwCtP+Q10Ok7XuRnWtmxCgNLObCpPjOrYR0jqxHqKbJMJ85mJGqPdf8eZINlT8UVnomWmSootVaIzsX2hsWnBiTSphFjhdZ8pIkPLHJk0FTEGBxRtfwA33dk6nwiIogowQmzzTQ5U12A5JIdWWsSFiTiTaQqL3eSFMg/CiYKdtZyGZO1JIRjhQEWg2OOFhMc5rRi0YKaAZMMJiR+cB6gMzUPBDLRzuUuSwtuQ5PBRYeVuZSdFVy0iGbICVWwAg1nOn1kqRNptIToCWZirY+szAcCa5qUaGeKBIZYGDUIRhKOgTZl1GaiBNQKLnmqqFgZSDaQL4GHmoHaHpk1EG2D5h8yh+A1UeURzIlZDcacUVlgNGMpCnArOyqBOmdWfKDjewKvEdPgYyYyo76BOGHlzHqExUmQqaFJicrOqGa8DjQ8k9RDKu9+L0fWIVGPFpstF9ckQQzRgNWeSjMiQqanijME8CFzo3uWHLBmRtyEocdraSMYDlRywoXMshfM6KnzAJJpolL3kMNMWz3RcKDmmUV+ZDkGFsPEnAcslio7NvHI5BwdeyILvByxOXI1Tyx6Zbk9UvsjohGnM14yRgTNBmcire5Yp2dWqSfnQCsHnJZnrpEBdELUkqQmAtbNLAZotKZyhoaepTlh80wjEzUTkqGTzzT6CWOeUQYqFXxw5Yzxj6x/mlHzuw90t005DLUZFsXilP0EjwP938PimwXfW6X2mZbjZbNtLjcne+78Mxt2bKVmkD3X8i1dY7kxn7DAjT7RjI+s3EtcX2Ctst2wxxIvt34DmUGWTNd3zKYh5ZrRC/LNAl0NjA+R8d0evb9jNBOrZYPajOYzDT0LA6fdGZkN04uOo3H0FpK0zLn8us9myzNLNuIgBsZPiplrmo1hEim3Yslhzcy1PlM7TyTzLjdUDrQRbCuYVwvCEvZSI9lS2ZkRi3cN5nlGmoDeJM7WMpnCeUjWEUyGPJN3Eb6H/q0yB0N3kaSkzqN1xXB44qvtgNjP5CwMfz2Sfp+LnvFXSrAGnaGaM9ezgX3xl9SnVOLNOTMeM9YHWtmR88yBLb+PS/74qiJ9mpGzZT6AiQEJkYU0+C+uEF1w/JC5+onFJeH5u0e+PkdGUULyzLT0U80ULZkBY3eYvmH/vxxZG9i8LgyHng4rLSxP6K2QcsWQJtrmIz81v+OP2LEyyuhnWnlkOwaGbxP+Rwue7QkjkLJDB6htZEnEqCekVYm3IfQfAqszVKuMsSO6hvHKEn3DIkeWZkeXHnHHyLSfMceM+ThjGoO2wlxFspm5Yo957HF1wpsnzLRHTceTLDmRWE4t+iGTqKBd06TveBM+8Vg73tMSuOOKZ3w1Y7/wnBvHkgOdNRzOyuRqXOVBE6EKyCSkzxPBGIa6Z8GG0188MGwN7l954sIznybq0eIdyL/tmX+rVLcD16+/Z5Q9uVKENeb9nnAGXXr+Ue/bH8jKRnBtGXqJGKRr4EqpfwTzK0v1mOmhvPjcitP//UzOf4tr/xUuf02UTyQrzKsOu7ghHR9ADFW9wnKEeqT9qXCaFNtbutYydHAy5dbb+wZZLjhXS/LoyoGeTMoBf3Vk+S9a9n/zBe6vjrj07wjhCHYqwGAMSiCqJ9lCh0cSrmm5+dLz7mNhDqWTIdt7XvzJDdyCrRU0EkmIidgIqQfzpuLqi5rP17D4qmP+tiH5ZTHt6CUefInsJymH+GgV5XMZHmoFa1gvobqF0wDLGsxi4uU64ZwhLq84ygLXCFdfGg5TB67F5Ra3AlbC6icO8Yb88Znw5/8O/eNfENcVcp3xs0MVxklxNeTKoGKxVBgJJC7pD86AQ/ISZxZE3QEGqyVvgE2F+eJcYUfpCSoYM1RJEFOsUEoZ2oiA2Tq6PxFmu+D6C0V+D7iB6Gv8y4r1NYSvr4kPNSmfMPkAqWNr4NMXS+b7V+iH78n8dWE7qAFNl9+jIzGj8zs+fX5A1teY5z12Kdg1uFWDtIJzDWdbc8TjF8pqteKhfYH76RvqnwsSa9gqLgjVz254eV0GYp+rNYJHqpH6Fx4xHf27jIsrqvtbzjeGZgvZ1ojrsOwuvCWPYLEkYs5Ai8MSmchz4vz2HZoGIj8MGi/ZoAZ8E3ESsV+ueZHg97+NkBSjLcnesvzxHfn2jqf/6S+oD55JLPJqwfaXlsfThF+DufOEV7a0z4xBHMjVCrEOm4rbS0wJwf6hr/v4xFt/TauJN+dvWX//xFJhWtaY+8jcNghl0OhSwtmCK6w5UYUB5zpEM0tzBDsz4FmwxZmJK+0ZjaeVJ468pGJiw4ENTziNnLVmlGUBFLJn1JZRrlA5FhaZi2wls2ZHkE/83bXwPrY8OZi2S4KFJiV+fP2JjR/wW0v/qzvi6xW5FqbNQFZP/8nwpnH8N3d/z5/xv9HmJ35TbfkETMmyMj0rEk57dnLFZzfTEnjNJ16ld7R9oM0nftZWGBHmx5767R77QnBvbugk89L2vCWQdElgiZOZSSIPLJms0NUTr30imSWHbBgOFrOtMBhqNcw3dZk0j4oq1E1HvhEs4H5tiEYwbyy/+NkDP6//T74xj1zxEeh5y56P8jVX5u/5l/Hf8MvDr+mXb9h5z0hkYxwb9tyw49a9ZVoZPuWasW3xtzVVNvhNsUJbt0Ari67g6t7wo+XMT6vfUr84sdEjr9zThUkGnVSc2JOMYcmJHsuJLViL1cIzeG2/51fmPY2eOciST7LhmYZJoMVjc4fmqtSUcklbrsyB0AwcXceYKvKF6XW7OtKZEwDPVcN0YzC9JT4IWgmrGjYyspJHRnXMvObeTxg/gd1hOXG17kiLB84orRswTDitCL6ns4EXMrGtH7EyEMShNlDryDl7Bq6YtOHaDfzqesdBhXCVqSXR1COZPa0ZWHGi1ZEWwyQnlApnLGLOmLuW2g4YdSwxXEtP4giywGhJmFYEWkls/MgLnrjnMy/y37E5v8dNjvgoTJ8Sbjsh7oBnXew+/wwGNWtGlvZMlwfyw4mGyNXiyL0/oXnDKmfyo7I8nnijj3iE3tb8ifbc0XErO/YUvsb1fMI+J5zfs17tWUtFoGMwV4Chk4TTZ66MUtnPzGkk2DKuK+W8kVZGPEeyg5BmvEw4PWLTjnk8kC3UGRZGmfGcxHKgRjizlicGuSZVR1IN0gArg609muZiG+5DaQs+JKQDYxJ8FvRvlNOvn8gvE+5raL+y2NUCziPxFAhGSGRULuDXCVjP2OuarkqkFKGzEBI6CaaqwZyRSrE3hhSUMzc8tEoXnqjiyPHxQLjakGulkRFnlM12olqB6gnLmhMr1JRuhsGxlBHHxETDlCqydYjpsemZmp6RuVSD2NEaJaeWWhxGHUiNN0obZ5Ya+Eykx4FxrFyi0zMtDm8iS0nMQ88UoLGJpYyM9KgbuOHMo7bsZIGpBdKZxSjwXgndjs1XQiaSjKOXkTUrnJaqeJYyUkl2JlShmGgVvEz0buaAEIksZSTS4mSiTfuyp8iZjoEtE41TjGQaIq1GZlMRKVxCc46MR8UniC6SNNKPSnVSzDRSLTtql2gZWXGimQemCEmVBQEjgUzihKOmpmS1T2QLYj2aImv3kZYde3PFgRWZhkYztSYygZX01GZGHczGo0bwyEWHBEmUqDXPx46RmuAazDgi8UhrI7eLgZ4DgqdGqSUhMtGYUv2y9GzmE+uHCTtYZPMfOKhZ7YXYWqpKCDVMEkm+gfNlY7gPNLPD5RGVxGxcucEtx1aWETaup5JnnF3RypFr/cgJT6eBKmXu9Jl2TiwzuGTJFqZuw1FbJgNDhJqaya7Z1S3OeKZcM/qa4Taw6RPdo3B1rHh+EQgukOYKCYKzM5Yn1jlj+xqTLD4siNlx1jPUDVb8RUVYONUGxSTFTwJhXW7wzUymI6YNVmtW5olVzjxqw8lumWxE5bdkB9IZTo3waFY0DKzqiUhE7LIoxkalwZGyBR+ZfCgvVS3KQYYaDoZ0ygRfLFgSwURDjg02G1bsCczkXFM/JNzbCRrYZVu6ytnShcxtgqoHZYGmmhQMNlfoSWiulNYGUs5MuuRsWk7W0Qm4bJHTiB8NhJbmCdw9GPXYi6k1iyGcc6GwWwDFzyuctuScweTSU5+WuF2DcWfIPdSJhCOLgyoxNQYZHKoj4k5s5C337FnFgaMdWWC4CoLvAR1xlGh4nhuiCpozlfb40CGXKH+XPYvBYgYQ1cKmsJQBjrRkiSyZ6DTiUoZZy5/tFWkT0UPCUalnJQfWY0+qDd4VIGPIawZaEhNdbzE78KmGqsXN8GLswT7zYGtOCVoSlYmcasu+XtBwYpkN+1mQGryeqPNI9gmPww6ls5iNsBgNfMrUtmISYUSopC63jxqoPi/pTj2qmcV6pJoiM4LIEj94/CFgXPMfYTvwn3hJRmzEy4wwgUxo7ZjWibw6M9TrwoHKLTE60EyVDySTCVyhOTMK0Gqxg9CCPTFVZ+qmAhuZN0peRaa0xyxX4C3qPJLLc8l6Ad0CW1R6MFoAsd3ItBGykwus9yPIE4gl6kuCdIg7UEnA5lyaKVlIJpOuFQ4jVpe4OTG7mfGlITczmaH81xFUDCHVMCuVh7l+Jq/eEzcj6oSQWrJSqkES0TxgRYlUhfniFThSYNYWXMDZGe8TXnIBWbOj7Sb8dAC3RKVGmGEVyBsBX2Nzi5gJ6oBfrZAcYXKw/3+4e3Ney5Y0Pe/5YljzHs+U051rvN2kRHV7LYAGQUOeAHmCXDn6dYIgSLYMiYAkCGSzqlRF1nDr3hxO5pn2tPaaIuKTsU55qrYaaBTDOkACmSc39hrii/d9njM+JsQpQ3Ek1ctZMZ56fEpMMoOcDTP7Jj6fn4j8KTkkRHEzgDZ5RN38u8ozf9YYVBXEgy/RjFlarIJQwbNq0ohh8AF7lZD0gSwviP4azU/EbElsZp5R3BoQg2c+8UGPFD4R655YWqAGKWeGjwqGEYkTkZIgEfIdg5xRf436RPI91takukWrkdx1qHlm7tiRKBnYklBHxqUSNmfswnI+F6TtkdzNqs2nKTBRoX4iLgfS9Rl1R1IUtHJQTNgqZxJBNSPM5CPAkcQzaU8yOZYaTZE5GqmkocOZHNI0p2ywcwownzBmmHWuRUtcpXmi4hwxCkjBuMiIFxaMoWYJ4mgXB/qbAIsOk8OURWJhCKJISLgMzLMS3D7vhtJ/AmkagKUodwhGB266W5rHI4scelfQpiWlXON0oAlKHh0Hs8RJTx0n8qlHtCC6CLYFWkQrHCVVOrHWewbrmIgYHEt6VumOtbR0lBxkNjAaLJYZuO0l0fBELj1nlAXKZXjASqJ3loP1PGUN6nOCzZChZelOXMjAJ1HGxTuO2QWaGzC3ZOmGvnVkxSduzG/5Mn3PqAFk7t5bA5mObOhwnBgpyGJLIQFjzmQS2cSW5nyYOVcu43iOLI4TYwNZOpKIFBI5xIpcAyqOaGrQxTzMxeJEudBIFybOUkHuSVZIGQweiGG2Tk5zg3KsEm4piFhiPhGNxVU9y+IHXtn/l9f6xIYPGGlJqkyp4Fp/z5vwG16dfmBXZJz9JQOGTAZcPOJNS86Oxq540AVqSrSEMLZQZHN60c16YmrFrY9cFrd8Lr9h7R7YcKTmRMsSISMjccuWQGLNPRUGz0SvC8BQoWzSHV+aX1PLnk+8YUiG3sw1RlFDJ45WHIMYBjOj4z2JRhJnk7Aq2GceSCWPbM3AOOXsESSPmMwxjUAtYLt5GKiRQ0ocbaSSJ0p3oOeEkljZEZEjuRiSOMLk5iaqaalNyzo+8IpbcjNxSDmjmZ8bZ9OQKOjUkEnPlfsjnnuiFzyKxIyokcINOA7PiTuPlzMFngyhYE9w88l+nhy5eHKZSAxEXQEl+qxYdwyUHGjMA1f6A6/NPYuhw4w5cYTQKQ6HSMCjhPgnYP9f9qoZuQg9L8Y9w27EZYLPA0v9REqJqzRwbud6akNL4Eg1fsFr/5EyjSzTPZMPWA0UU4vrdbbs2j0VC0ZKjjIyaMaEUunIKxlZh9loGYzDoxQETBqp5ExtjqCRJAZPS5n2NASkM/jSztwlBjpTotETgFo6GnbcajY/d5+fG+qVwSe0BO8Ug2CSQ7oASYl9RtEqtIr/GLFRiSslvA6YImLPQprDsHg1OPXkIUf6e9Jywi0SYnL6GBDncShmTM9pmme7rCSsTfg40DuhrxfkByVvz4RyQvJhlstoT2YnzhkUNiOqZ8BS0dLQMnCm0g5DgWOBiQXqhOQcaEMRzZx4IlDRUifHFAyVS5Sxx4ZnwaXOYumeBZNUeIRKZmV3QQ060hDRcSL1SiWBghbPQEKp3MAhHTHUiAx43SFTj44gYyJnwjGC6ciTo0ZIckQkY6RgwjIwEtxMRSzwHJkHYZGIZcQzkCVDrR3F1CIBhEQuM56ijAFvTwx4jmFFbxKNG1hoIAvPhkg54ikotED7uapoppFyalmbJy7sLRUfMTqAWjz6zMgdQCpyVjQ6MCRHZQcmHFEMQRK12WPp6fH05POBXJqtopU9sdYPFJwYfMnZNs+1bcUkM38zjeKMwGTQANWioIoD2XlHs+5ZsqOUO5IuyQjkKkgUcukozZlyUFZ9julGlAld//m58T98vvWQYYuIXQUGP5ss3HFE9wbuoSwSvZw5S4FXg8YT6g4IkZ6Sk6wIU47xQmCkDpGb2wGoOKB/vLgAACAASURBVN9siS5QPybs75XL6xPF0DMulL4W1M8nCK2VOZGjb7ka3pO7KxKWNm1nWGgPaRCmqSSq5WQdq2xFkSaCCbMlJcC6M9hRydo9eZ5jS09HYtQIqiy140V3xzadycKKWAqpPzGla3JN3AFPvsIOjsvTkcoFjquaqDJ/ih7GEdyTYvpZJeh1wsQWNYHJOHQSzD6x7VsuXcui7/AfIZSW/qaYNda/6xn/4JBxQomkh4RxYCdBYo5pPcuV0pkOKRO6AdZz5r3YC5dNwFYd3h2w/YAoxKVjahNRHb06qkXBo1V6MeSZo5Az0YycpcT6CZl6skzRkyDJzP+OiUwB1BioFJ9GFo8GosHXE7mN1HGklB41HaNrOLpLpq5GJoGlZXIji/hA455o3UjfWHKrmHvwXY49rQgqVDyxjnu+Ny/Ycc3Z7GhuDHGrdDaxZFZrcu3pSosjw4UE/kwuLZ+dofjVGWkMBqEIHeaoyNozGoNaQ4/H4cDMMUJiRGX22sgA+Xth8/rMxeUj264n2I78HAifLK4sqNKA44imecsZfY/6MyGB3CrZ6sj6psLaFf50xPYBmzuiKq61FO3EorPs8wpSpNifiFcRuQd5Z0kXCUkjm1E4f/DE5XyDSZnl8dyzTs9q6BBIvSU9gLnsqGyABjAjMgYwGSmbmQN/yStJT/SGs4IWOVJb1BvMOmEuHcELpb1gCG9wmw12USI/DNAMOKPE1pFZGNaCFrMNhxTRbiDRYLYvsVvB30R4HUhfzwPIbIQTCYrEogS4wvIB4plJlUhOnvdkrxPyWQl2jcQO2IOASg6YuaebEpkpQDKMbNHNiv7KoZ2SbSPlwjJscoZXYBqDsQ5VRyTONRe7hBL0CvrTAr24xC8M1m1xekHOA2ft5pguHpX5PCFES5pkTptgSWohJawXgkTUyRzEqaGVM3m1J78uZ5aNKk4DthIkt6QStAzYuqesGnDz6YLXMP9ZA1JCXAlhAZK3vDH3PPl7Pukjic38/5FAkoyQGkQG1JwJ1pH0BaTfYmR8HuBYjAGNYR56SAmiGA8p2uc6jxIBJxHRFipLnwsNidL27BNQbMgWyrgcEBvIrmG041xtkhbqGzon2AvFXTpi7tBRMWrmv91EVA1Gc5xG4tBRt5FYCbEo4Q2kVxPZckKNQSaDkYYxGbQ4IwuDWebk15HsTWJoR2LhMWelyCyF3bMII9bn80CRDrKB7HJDyCoyNZSF42x0rqnlZoYes0ClA3skJYchn9XZNnseyFySrxckQE41yuG5auYRSkQrbExMnNCvM5SI+78iSQ7UlPRY1Hi0MeDn17BkHGYNaTsDSaca5EWPu8lgsmiVETNIKz+zh3Aza0ky1PzlD2t2AkdyvBjskLMcjsh5/v5l4UzNRzYpsDodqXTiGFsyd6Y8T7i9YPyAVonYDhhV8iwy8EQ9KnXncCSulyeK8vc4EksGKhJhhE12ojEHROBOr9jJJSUjnkjSjisOlDKyNg9ogpwWkSfyHOIIfWeQVMyqUyx9qhmlYkxKxYFtusMmRyxyXH5iIUfWp45hTFxmT6ztJzTVRIQyHsjcGceSmolr7XjRH1i0I25SNICdEiYG5AnyHwyZVabrSNh2jLonERklZ5ICUobBY8ThZe72n6XmbAyDVaxECAlVnQHiccAMFqwQC5AmotmcrtFlYrJQ1spCe16nd3wlv2Eld/SUPPKShdxxEz5yMbRkHVRpx0YsThdMKNbMgEykwWDI8pZqk838hxhIdTWfCIeO2nrOEri0D1zHt7wy71mETzTS4qxy+tPGRmd4eaUTF9ISMeRSc4xgjGPFiWXcszG3XIQ7RBIfWZCzZAafR/YiHKSkF8UxEIhM5CQyNAbCFBm9wxjLGCeMGXHGkOihqNGswFbMB0NVS8kTTepZKOz0QJKWNY8YTpQ6coXSquOtXHHgBdFGCnPHiieW9GTmAS+3lDKALNmlDYMpGURwQJVOeJ6YxGBYMOCYqAnmkkwjNXuQnpOu6ahQUZz2SLJYIywYGJOdB5Vk3CiUYtnFjCcu2GPJOfCSA0ueyLTjnGpiLDARRAMxpnm4NORIUWARijT+E99J/nFWxFCMSn474W+BhYGNkpkdW42Yhz1WZR4VyIqJljpFGnnPSQYQRyLhbUtuEtIK7jjiliPJCk5hHXbgKqyBIA19WjGGNYkCpwOZxueksFARuOBMGRO9VCQsiqeOOdljxK+E7CJi3YlCHE7qZ65eQWRDjaNOBr+f0APoGcZJyB8FyUAvlTGfMAtBMiE5g61GdGVx53zm6OUTQ5EIfhYkmKiYKczMTPx8CFTpjPXQSJoGfLTQCmEDsVHIe6I1xFyxJyh6aOgY6Zl0hBTIToLPE6f6jPVPWN2ghwJ5EvQE/iZSc2KTWjqTEdWSa8SmSGMWiHEcg8WNAS9xrmGpxcqcEo4qTNIzSs/JFXy0DU2tJN8zInRsOGMI2pG0ZtQca4RC96ziniYlvCpe5wSH4ummgsFFnDlSSUbAsp0S2eOEHEC6RJYiltnYLJoBQpQBJUNTorB7MgnYZGg00LDngRoXC1ZGqKSl1EQvBcpsFyWbBzUz+euRKkGQCW8majMPr3waWaQTRRcoO8Edd7wINeUxUn4X5n3OR8e66OnqD1xZwesZNym2U4rYYRnpxOFkYsUnvChHXqGU1OHAcjewvEtsr1rWqxMHPbLQI6UZSdYTJGPJmSU7hIEohjId2cgjuUxU9GxNSasP1ClyUQi7dInVdgZfk2HNiLLDsSEZQ8l5ZlSSUWlP+QyKR/08SHyC8WmWr/7/rX8YJvx2YtDA4o3QOcXnOeHjEfNg4DFS5MqD6djZK5TEJtxi3QkBDlTc2gvuxy29WzOqpYkZ5t+16OKK/fWKxI60E9y/bam+/IFsOWFvFLsOwMSgFZ0tWPCOn6df8s3TB/Z5gzYVQ5xgb5CDMImjU0cQRycZ58wxDY+czYoDN3jZ8VXYw8OAyFua10uiWAIRtQ6VQN0/8KP9HfZ0wi8uGdeCph5zhmV0DNbwiEOGkeoPO/J1AWXAZx15OWIbaHeK/75nuc/Z3OxYmoD0M5AzSULPFvM2cdne8XLtWXWK/41yVuXhRcUXfc/p/3zH9O8mVCxT7NDvA7y0DONAHDpkMLjJ4b3i6o72lSEFQxYT5d3IzasWe7mjWRwwu4lkoX8xA9xEDL1zhE3GLstok2fhIwvzhJOBwSRWGaRpen4AJKzrGcqc0cIoHnEeLcM8Ob4NEA3mKwj+SO1PlKHFuAHNX/HIJX0CSLDISS7wxpx4ER/BTsS8JJMePSTG7xPT3ZKkC6x0lGaiY8FHXvG++I6bv/b0W6FzJesUcFVH803GsVJOWuHjgC3OlPKRr0LO+G/uKP+6YrIlEgf0CEPvER0wBE4pwxuPZhGxBk0zIDQlA3tFHlvWV+94fbGiHCbEHLg+XXD6+wM+f8Xi2wOORwYUPIRVoM8GxlHg9+AvOq42A5gjPB7nhMdiIgsR+1HRVonjgLvYMj72nL/7A4slyC3or3viP3d47ahD4sNvBhaf14RMCDpw93gmxAJ7HqlNz7CH6R643pE3YeZfpIEpWXrJ6LdXbP9x3gn+yVawAd8s6ONsAcrWEKLBX2akNzmxhEV2SX+7ofz6DfHLC6a3ir/oydfQ/4cji2rDsDAM9VxhoauQncG4Br5YkH9u0Enh8xXxn5WkIdEkz54JaSbMSsBdzoBifU9MESQjLy3XP7d8+quKKb/AjBUqB+ABp3PeAUmoy1BTgMkRdwOvrvHfeiR32DeGrCkw+xWLHxsOCGoLkALDhCSHt1tCE8i+SDBVmK++IntZIH5JwRUZI73siKrPyRSLQwi2YoozY8VgnqGUloRDbIa1Bu8HqD2jwLI5UX4B4npshEYc7TpHGofbCtGPFHXPZjkbA0AwxUDYCmEF9sohdUE0IP7Il8WRavmJX8qOyJokCtLPmzIWJJ2HJeo82GvoK1Qnkkbm1A0QnlVskpBFIq/gNBgkzdA27Dhzq8Ti6goawY2RlUQOGNhesP5JR3cRWBc9px8VjK4H5qSPrCtkkShfOfSLjLQQuDd4YGQimbmD6nUeOwwpozgnbCXsqwb/bYP5aUcjFm0LwingTEcyCckT9gJsKFn/1CJfnDlkFTiP7IRiVbD0LcsQsZklYCCCWwTKq4KuqKhDxWqx5MGdUC/4UkByDNl88mc6xGTMO9Z5QBxjBeYC9+MV4QeD//CSQEfQM5IWGKmxZokNgcEPyN+sKapA9j8HgsichkLwzs4RdHckEIhmhbu8IrvysGmQLfjPOvqvDOwMFJa4AH1VQB5InZ8z7KZ8BjL/Za/ORQYUqzl0dlasH4TJGsyUWPHEFYGs7bDaszp8JGsi9l7QT4o0E0UDKYD24C6URdZijgY+CG6a2H7Vs/jS0g+JSgw+RvJxYOkidmqJVgmu4KOMVLoniSdKxiqdKbRjaVs6LTGpwBtHWcyswcP9rA49rUFJ9LrmFNbErqDxgatsIPHIeXmNtT2oYgfPcmh5o3c8UNGnK062orEdTg9UsqWyHS/iI1/u/kh9fyZWlrOzlGlOV+suwe9AnJL9OCfGkcnCSXMOUjOqkskZTQ1nyTEMJDmz8wuOyTDKRF0K7b6HsQQFOxlMn0hLg2wiup3B62lU5EUxc60W4JNlQeDGPrBKjzzIC7yEWX2dzqx7YTo5ytSxjR/IJTCYhlICTkZsSmRiWNUdi0XEXAWcZnQSMWbPBR9ZKkStWJgTl+HEkoHaTBQogYKemicpOXPJqBUbDmx1QCViyMnkjDEZOS3YeWNbhYmN31PLnkyHmRODI+oVndSITlTSE1PgKAWdODQoQ6+kfLa/xUEJzqMGxnBAqg2aB+zKk0zLqu5ZyT2lnmjsmY3ckdzAJSeW8sA6fmRr4YNs+cQVHQVJByrZc617ijQ/a6JkoH+Cbfo5WWOFho4VJ2o9cZYSh2NIBUcxtEbJeGTFB4I2POqGliWWAcExpQw1iaUmWvEcpeCTNKxixTWGVrb8kRfcUnDBLVtuQSd6Mr7TLZc6cTncwpiIk5JHSCewiwxjlEr6f+pbyT/KijqhgxLeWobfRhYvwXw9UtAh1Mj+iBmVKVh6rZlYscnu2coLDmbFaBqSJNbas0wJOSrcn1m94tmb1nGpI6o9eRzozZp3Zsmr7ILJ1th0RmQiiJCsUnLCqtCo5aOpeMRSuoJFn8jvDlhtyD+LLDhSa0SIM6VUcwI1l3riRRiQT3t4p7Bw8BSw3ytsLZoH3GfM3D0npGJEXwOdYRgT2SYh2/mRE02ar6cAJs5swUCkzyJxkXDR0Y2RYUysJwtxRD5PmOv5NWmySvTgjUNPMElOC5xlTvNMD4oxnu2lUPmOM1vS0WHfgrx7YPXNiqh71nJiwNFLgzdCNBN7LNFMHIJn1QeW+gHDaUbGp4lgPaNzjGZkRLnLNvzeLPh6E7H2jIhypOAkSicjYzLUoUJdopSWpbRk/URIMEoCcYzUHFkwiSczifIZyt0cFfuHRPoB9NWcdiwJs3VODIJgFDqpSEaoOFIakOSpGVnLnnfcIKlgoRFjWwRhZyKjOEyVISWoGEQsTWqprePOlkDGwvVEBgYiXo7kad6z2V3gZRxId0fSv+3RDwJ/A3Yzsq33XLPEqCVrDeaYcGnCAS4taDQjZ8eI56w1Axn11LLcRfhOWbzuuVzuOeqCnZ5opJ0V7jJS0VJJMzdaRFjpjhd8opETtexYoRxEWZkRbZRb1hRhZKRg0ILpuVnkiRgGlvKEk4nIgsJYPIGURfqhp9oF+M5j7v689OUfHNTY0VMdBdflWDmTfORYCMbD0ilpmMG+i3ie4Yb+GkHwmlC1HFjzyV9zkGs68WRSMQqMVokSqTFkZ8V24GMPZk4nNKNQhESb5fRScpM6vjqfqM6Bu6pmZx2fyYmY96hX/BRZhj2HNAMTLRnROIZkCXbBYEeemgNf3jm2Q83YJuzqTKsnVrFj8pY6dlw+KYwTcbkDnzBjQTUKTmfTh8XjU0Y1GMzZUY0KeYcmoHMsJ8Gc81nZzUCGxU5CoYle+hnSOArVoKz1ONuRojJFx9E0KAP5VM7GVw/JCtIJqg0pzV25ZCZGW9JFgyaDpBwbI4SAiZagCcwBb/Zkcd5o0RuypGg0DOLZu4yWkugsEmYI2HYaWWnChoidOaQYTSQNMNVMZMTosaNFNNI9x/uYHBghGjAy4NOEEZgkoNYR0jwhJipewaeWQgbqZMiCMCQlZYk+N4ziCBSMUjAZcOmMp2UoRoYyzsNCnbeY0U2I73E2MOFx48giH8jcXLPTFOhcxZNds9VIEd6ST5EsjQQ8veQkFDEdo4l4MUhUJFpEJ4xCPUYkKVMmeD2xGXq0N/hgMRoxjDNIKwoahWgLNDqKbp6SVpNjIR1VnFALB1cSpSAPAZfmj86zo5g6CmbVLbFAeiXv52tAQiJY6J0hFyGbEnvn6DFsB7AxpxhHbCtI7+ZpchKwC4J/IMiIleM/xvvAP+maSFRJKOOE4xM2KZ0r6SvLdK2km8DDeUs6DByWH0mfBcS8xJUwLjpSvmOo3jDmZ9SVKF9CuGUoe8LFEynt2V2U6N2SqryfO4PDrKcHi9ojXR5QXzCxRahJcpirkqnA5Huo3xHzhsPxmijvgQ5LTU8EM2DlgEYL1kNaEyrlca2k9TsO1yOnhSfm75mqbwj9iLpANM8bHbVMLFDbUvkzi3zPD6tfcXd5w3DZotIQ9cfE9B1GPsyabynnWJAfGLP59CiRQOMznXlWOmcyUkk7D0N0oJYPTHaLupkrM0hgLOZ7LQn8GMjTI0UEJBKaFXHZ4nzE8kgs3nI2DTpkWHNGmaOdM+y9QnScYexqUWZQHJITnZ+1t6MnTh6DztDhmSSN6ryzFXtCs1kDLWYgYcGPpD4hZsuUBJtFbPgdl5R8yLakm4nbpsb5T+TUnPMe/EBkxSgrjEvkfCTliaG6RK0ikmG0n3k/YrEKgtI5SyoKdsuEKSY0JYw8UegtmcsYbU3mRpb6iSZkJAx9bpiWIyn7xEIGCpszmIZYFqT8HZV+YoXFOmWSS6BAsieG1S2aPdHbb6izCMWZMZ9ZTZjwXI9TiA2qS5QF6JLA5TyMNNBeDNAemfISBo9RsOqZJCcWI7E4YswdWu05rL6kXxr08DkWy2DOSN2S6hIk4CgQlkxY+kyhaBFXstQfGOoLhrGEfGQsamI1QBZIVCSpsbZ6Vof/ZS+rEzfTjuXQksuZvoKzKqfG02ZzshdRRDyEkWUXkeeKztzBsDA8X4MRiEJMEXUJdQaCztUMPEYMSRO9OI5Zw8k25GQMtuK9+YZH1iADORNd8gQxQMakFa3NGMjmup7xBDowCyI1B/mKwIEoHitHJGUkWdPJEmMCok8gcCdXfJ+9YcUnDvInI9s0p+CY0DRRceIifqTSe0bbMXrAKKNzBG+xsuSpnvCbA7ZxFMWSe+N4zxs+mC/Yy5aBgkhJq9dM6SVieqx6JL0kGzNMr2RBaHFYNeQRWvUEF8HqbERTQ5S56RlcmGuTouxdwx/lp1yScSXvZwitrkgUtKbg4B0rm8hUsanFOksuWxbasZV7dmkxb9C0YTQd1nR4zZ4FFi2OIyITmfSITnSU3POGvWkoGBE8JzbM2ZULWlkTGTAMiByeod4XjGqJsuLefMN3jKSsYjCeXheoQMDQy4KONYmSjDk9hCgTQqTETBvsEMmCwyYhTTBFAxIwMTHntf5khgPRhFVDtIZER8WRPlkmUzHqxCgtJyxP5podVwzcEDVh0hVHectBIiJLlC2JHzCiTGaulSYtaNVzMnH+mSU9FU5PVPJA0kjGkYaenhKhIEqDks2jGjuyTJ8oGPAppzeWXmo+2S9ItBzNmo4tj7yi4w1LcsRckaEk23OUJyRY9GwpRsXGiSlOuDiCnZjsfwK9J+CSB6ppwE4GGzJIBhcDje6xqcGNiSqC6pk6PuLpKHmg0ieatCGokqxlnR4phh1G5kpxmQaq9EAyNaoFGRtUJpTzXBkxA12WqNNIxoDTAUsiY2Kih9TgTUlJoI6faNKRXGbYfRKdKaQ6InqgRMlRlvGEkx1NnLAxIiqYqaTpztgpATk2jdB7CAF8IhkYMnDlRFcnQgP586uPYsDU0I9kUyJLIxgLlNjJQcopwkQ2BlIu4AI2WgwOUcgmRdsMCYLayIThwIKlzZ5ZcHtQiwlQAIN2mNiRx4QkpaJn5ECRRgp7IueAPJs5cw4UqcfHRDbAcooURY8wYHFYmXBqsGoRtThmsHkxGPxUkLRgfP7EjVocR4xYBEMSYRBPZwvUC5M6AhUDOck4kIJJDVFKDB2lduQxYuKcAo9plj4kGck4U+GYdMOkAwNzBbKzgVBGjEbQkk5qOqeQeqzMo4pS9wQSLqVnoYvnbJZMGEoGmjAQbaQJT3g5sneCZUDLkc4phQqiHZ75M7JDRkgFNnYsp56t6+kAkTSn54Ij04k8TZg4kexIyYmFviXXCybn2OUZPoPeOQbc/IxUS6lHtrxjG9+xch0NGV47ovH0qQaFMu0ReyZwiWhOqR1VeKK0Tzg6MB1qOmDCIRSqZCmwNjuiGFoqEhM2nalF5++wAaNzefPPrX9wUBNaYfc+svo8UL9Qdo2lXbzm8jpibgfq353pb4/8fAMf28D9+AqzqShEyVTpKPgQcj54z32smEzB+bXF3wSMPXATDOlXE74T/OsamonHtxPp9z3FN4ZOhDEaLk8Z468jp/yG288veXSGRXMk/2sDCul/i7zsPqFOedQGeegxtcFlkYqJT2lieHHF+e7f8+nXR9bVS7Lugfe3v+HV9T9nsuBD4vb/+cjV6whfPDDuI/qrguExEDSRodRx3kS0g5DdPrL+4oIE7O4ST/9mgO8Vu2zRpmD0GcM0shjPrDSiBOw2h3Aive0oVyt8M6GfC/JyRSKnlYpUV/it0B0MHw4jVwLZuz3TocRMEamUJ1GGqSF+SBz/vuPyg6AL2B+FJ6lJeEoZkS4QPkDBSKEjwSsdFmWOLls1mEwoJPAqRKr7E7nUGF/AqcMYgdZx+N2J/mUi9ol0GwhPnlNlST9X2C0w/kzOAjMJucvwuaewiUIGrJSUrJi+e4s7T6StYZpA2mGe1puE1APZV0vihdAlONoVg3gu9IGvuSX3Z8qrFW07sgqB3E5MlLSfAuXAfMPfd9R0ZEWkLzuqbx3tT0feivJCXrH87hdMZmIpwidKoiSMKkYmRgcuq4iPZ9RFfG3ARc4qHChwF46192R3E+dxx+QiJyM4CqqjwO8ULQyx90jmYN9zd1bybw3rGrqnQP3Filu/IiXhUg5oZSivhKz4A+tqRFcTj5Kh44AeRng0ZG0BUfj7exhvJ/7ZTvALxzR2JATdg95ZYlfQP3Y073rYRw5RSNkl0/aB/PCJLXf/aC8F/2TLZFRZzgvfk2f3FPT0UrH/WUb6/Mec/uWK8L8EDv9Txst/dcXpv/0C+z/+a9rLjvpnDR8/Zqy/FYZiwWH9en45XnX4/xKayy3L5Yb+m+85LgwvFOoXkV/EnuOQMNFTrhzVK0O/vYD7z1A+gR2wETJZcpnvWf9Vxu2LC/R4RXPxLafdgcAFTbVm+/LAwj2xLbaIsZhqS/lXK7Z/K9x+8YLrn2+oXho+/P6RF99Ylm3F04UBBuapaY0vLrGbjp+s/8C/+NsPfPnXa35Vdfjrv+F3f3hP/wuPiGD4I8KRwJYgFvOm5Iv/wvLvf/eS9Ok/YkwHH+9Ig6JxIAt7FiRMUdKYwNqeefPmkk/mPbaoyW8qclti6oruHpCOFy9aXi0XuH/xLRdf/zdMfzdw9SLDLh757luD31v0I0wTBL9i4BLSE46SpEeG0TE3ffcYVZI2LK8WXPzsBX/43z1mrDFqoDRgBef+pByH9abi5s0Z/Vvlj1uHPS4R/YBxDcJXXL7cYF+f+VHueWE+8vTZNbf/w1dsvx7Is8g1hsXXCx7TmcRLtPqM1282XBV7Hj7fEH9UMF3UDHezplpUYBIyAioB87Ov8f/Vv6a4XqN/TKRfGn68FArT88CRQ9WxLDre2PdswopflhuyS0NxfcFPvg1495armwUHHB2OzVXG4tkCdPPlNR9sSV40fPO50vys5//eHMmKl5SfAV8WhJUh5h7zekX8dEQNkG6wegNYgmvYvPwZT7e3rD4zNP/1isfvVpx/0yJvC7JosRRMZQ3fZsTLOdb7o7+6RJdr3H//36H/q3D3i/+D9MUZ/6+2BDVAw4qSmGo6B80LMF9VvLzyfJV3NP95zg/f10TXIHmg+uaaoXhGF5sS4/NZ6f0XvpokXJ/uaU4jbhkY/3aBZCWj1ByKNUcpOKWOw/TI4uFA6MGmHF9GREY4MxtN6jQnQ9Rw8kJxUZDVFuk6uFRaGiRz9LGjd5535jPu9AJUOaUN/4GfcLKWV7yjZCBq4MkUhFgxiOfJKA8SOeLoWBKNATMwtI7v399QXRYU65G1fpw34+madlxxmbWIBDo1fCc3/HLxY1ax4Qez4siGzAgTyklgYQtWjNTyRGF73l++xG4yrqaWWgwPruFRLzD/Wc7rb3ecjOE2s3wvF/w6fcH38oZ98uxMye14xe3DltPokKykzF4xfvIMd3sYDENRYDc5fFSOPwR06TGvwBpIuxmQTaaYPah1pAShV/4YF/T2J/wxfsnnw3ds8vf0bsExrAlc8KKs+bvPPF3hGfPITBXsKejZpgc6t2DQLWe2tGR4jSyIiGTspgbxNYEWISAy8sFd8zHmOHdFFXvWccLYmjbByRU8hoKVqeiNpSCQEDq7ZRdWqJVZNYzyg1lgVDlrTe8Kzqx40CseU8VoIqU4YsyIdgbqntuM80dH2AWmg2EMntA3nLNAikovAbYJc0jEewOrAljQp0sCcLbz0CbQ8FErHmTFgiVxEj66G34b14y2Yfc+ksfXIVNEoAAAIABJREFUvL30pHwiY8NmFL4oIwu7w4kCs2DhpLPcXXXkQIUmx+d2ZMF7Bu3JdEEhK7oAyUZ6FUY8k0ReSscb+YDlwMKUjKzpNec9V+wlp0iO2AceW8PO3rCvhA/2glJ7Xrhf8DhN9Ael+e2IOWVQC+Z0xEeP0tDKnysa/GWtr3TPuj8xHs6MA1Q7yO4s18ucpCfYHWEP1XTgikBkIAtCng1szJ58OrMxObWcKewAuTJ5izMTGzkxqec+y+gp6ZyQS8trDmx0j2kGJAZKmVgyoUxYzrQ4zllNowMNJy7NLYU5MRYOUzpGZ5nIwdTkMrHlkYKOjZwYTCL3lmAMI1D0kbGLOA92aplGDx/B9YJeQvmT56son1j9tEGXiq4jiR6NiraGw1tYLyNFHPEux58K+EOAbUH/PhImWP5LT78aEb8i/sc9skpMCcbDRH0jjDeegg5lxX1X8Zm7Zgx7SjebiQVlbUZ81nHOlGrZYInkMmGMZS4bdwzGM0qFIWPLnsU0Ii24YcuysZSm4yhLajoqNRzHgqIwvIoP/Dw94j44+OySLuXzu1GoaJKjzE4kdSRWDFrxyIK2WbA8fUCTMKknEckloJpz0JwoGaXdUTRn8lcG1hBcRq8FLQkxSqZnVniSjARzZJKcgSXTsqL5zNFXlqNuuWfFJIHMJhKCIbLhhMYI+xPcK9NLw30sObiCH5t7VuGOXnIG17An8sByPqS4Lqh+Mh8yauboNZI3QCMz202gGBPrsiOaxOgC0kFxCvhxIs+ORF9jxLHkI8b+jlv5mnd2wYerild/V/KwXXHLgntzwZmGkoEX3PHKPlCTOLIn40yPZy/X7M0FFYmZWnZJly6wBCoiCzIWcqLJTzRupHCJDPCquCisiPTGzygEEeoYWQYIuwiNJb0YSXGH/zPX+D/82hQbTNfx/3H3Zr+2Zdd93jfGnHN1uzv97apuVbGq2IgsiZLsSLYhIECMCHKAvCSPyb+V/yKI3+MEEBBbsGXLjCiJpEhKLFbd/p5ud6uZzcjDOnKeqDzYgMCs834Ozt5rrTnHmL/xfeSBWBW23piAsdnSLkYmF+gmpYl7brNwJ6csmM1Ck0v0ovS6YiqXZPFkE5wYzPteMhWamEGSASBTJ6inhCt7sqwpVlOVTJ1GXNiQpKMXmPzIYBVZHVIyTZpY2IG9eShLbGwYw5ItC0Z3g7gBqzLOIstpIMSEZEAVz0BbeppBcKOik1Elw0oD0c8dUIHoJpJPVLnQjIZNCazGT5nlIOwK3FXKRhLB7mkQXCxzzIlIcbNrrs4HWrsFXzGKob4n2AGvRyABYGZMRWZQmp9wlkkEJnlMLB3khJ8cYXQUMi5kHErXOzSMdOWO5TQSRthGhzQBCzXRlmSbFbKijqSZKAMi/cPs5BFUiGVWXiIFs5rIBX1Z0YinoDgRnItQHSAw6xOlJ7k9KUSseMiKuANWHbEjtGNHl6C2iBYHVlPKwJzzd0wWyLLiOJdENDkRLDJopK979Dirz5SMK0aTIZQGswGfC6vc042RShtKSEyVZ6sNvS3QOCdk+rJ/4HY7Kpsxl5NAk2t8P1BSIDolcKSboC6ZLAXzEVFDkqJZcQZYj89pPin1meyVyQmheMIEzaRIU8h4Rl0w0OClJ6sxqSOKsCmKmzLRCVENkxqLkUwmu37u4hfIqUKTIPmeoHOorpQEucdMmBxgmWY0nAnRtUwiVAUk//pXR8qeGPZkHwlyQ5MN7z3JHblbveDtI0E3FeKNXE/sVzs6v8Iz0jhB7Yi6hIQj6kZqljA2TI1yc3lAVpkm3LI/3VB293RkJHs0NwgO3IESMkhHsMdEOwX5ksp6QmxZ2BZ176D5AHHgYkeVWhTHqEJyAcpuZnao0mrFtk30q4ztElM7wRryYovzOxpRPDuSHHG0qAlOa6p8YCl3uOqn5OqcQR+jiwWinto1WDHUhjl6qYrRoyETg0BQxE0zM2IMuJJIGmml0KUIjGQv1AguHMAf0ZxY5omjbHmXJswgqVGXgfXUg1Uc1sphE7loJkR3pMoY60xphEBATajznmBv5/uWPaoDWiLIQGUVKoXjIsI5WBjZWGQicqgLKYBJnIGd4gl5YsmW6HvwmTrMQlART9JToszwvlru8ezwkijtgVDtaewdVl8Qu8XDRsK4N6GIQ1UIjDgfiVaoqKlxZB3IkmkyeDw3VpO7mqlR6pCZfOFQRbzb0VIo+Zy6ZGp3O4PE8+Wc1KsK+D3GDpVChWMsRvATrdywkkjlO1RPUSl4tyPoAkmedWqRMOJCBM2oLw9g7YgxImIsLTLIgeQdPq/p8kRs3rHb7EldhROPZ0RdT8yZIAExxWtNEU+pMqUz+kWF1RUBxzT14G22JfgBL8d5xEoHUMPakb4+Uume+03PuKzwu24+sK924G/wNDgqRB1FJ6D6h32Z/Gdeixxp8oGQIziIVeRu4bnH0fP/ChW0gCRHPWWy3zN5D0GoR0OjkIub2WFpIhShhMLQFkoxgq8pmhglkB4aI/lBoLtVz61ecm2XVGmiU0fQ6cG2U5MFehZkq8EaRNKcAC0OEFAhlZqRDcZIZwPO2geVekVkJNkMukx+wXUwzHdMnOOpCewYaRmp6WSgthu8zDw4lZYsNX21J+pEr8ZNNiRUdEXocWxtw5YPuXfP2FHP4XBbsOcJsVwh0SFeGXQi+ogdz6jHitFDXsyWI0mKpgcIuToeupVAwbKifm7cWKwZ8xPeuR7n7/BuoFhEqZmkY9Cn7OUFU/gRfV0QczQ2MwbQnirLPK4cDpjt8HZKkILILWJbgiwQMpXsZo0wLbGcYNKAQdRMlhGViUGEPRckWZDkLY7CwoSdOEZg9A4rFTv/iK80MsiejoSao84TkzMGcYwuMOCZDasBzR5czeBaBgxyTTM6YkpMBtEWhOMJUjKyTHMCPILEhLcdvT4oiYtSI9wT6EVYYhTx3LqOt3LBnlPEViRJZBylXJFtIoSGKBc0mlD8zJxBkCK4UnH0FQdZcWSN6Gy7OaPGWUUUxyCOpJ5JOnayJDPR5Z61FBodAc9JNnqbuHMX7ETmcU/xvAuPuQlP6fOSe13zwjW07pbWdkT7G8gBsxEpARlmLl2wgcqUlJv/rwro1+JSruct9BwUgiT4CRZ5z1474kMa1MeMLxMTirlCLcM8puSVII6mZBzz6Lz5RF0GGuvwUghpYPCGzzWdCjdiDG42DDoKziArYEZNnBXL1LRxzeRm/bPzR4okRAp1jnQ+MjDR5IS6iVoiQs+mZEKuoCSCGmZGzkpIFcRIsNmgRplTM0NVsOSpZILFnriC4MGZY8SQEFkewI2eHpjYsy7dg7Jb0BG8QmoLfWt4GwklYwnIQjgI/tDiy4QjE1hw7z3vFoVGjEUUigmZkQYhkBgcdE5oyoFJC1kCDY6NbWa2UvAUDrQyoGZkAXE7arsmyGMgUjMSROnddk7ypUhlMGhhqCZcSSzMuHPC5BOn5cA9GyItiQZvBroneUACtVUEGdjrLdldMRXDyYElcwKkr43gIZCxMrMVgymF6aEFpwieeRWZKJrIFYgOeHoMwahxJREk4WSWf6hBHSMUY5SGg2zmZiE72nJHkJpgA6U0HBw4JsTN+75KCnUGl2xGIGFYdhgwNgNR7qhLTTV5xjjff2Gc6Kv53dLQs7JIicKqGlgSmcKeXeUQ7fBWk0UZVf4TKkA1UqzgzOEIJIFBCpBYlZFoJ+Agu2uMA5ZrhERlI2pK1pYkjooJ0YH7uqEQEesfkuFnJKtn3mKZkIfkl7H4lc/43/uakkd3hAI0NdOqsGVJsTMiBaveclyOhJOJ7DOTq7mxwj0dqOOKN3zBn/Gd9DN+Y/oRVX3GIFdI06HOs7FbrkzwqSBOKLWQW0dcCKwXZHUEBk7kliXvIY5YJyQCkY5crpjkhulyS/7+SH1ZqMtEkciwUXyJnOU9ld+xF9izoKiQpcEcjM3EcHHFdbmkLpHO31J/UMidoQ50LfAkMl0okyodPRveolNi2is1UOLAUE45HpVpcCQTblPLsoGSl8gklHLDSCA+UPhni0nNPjyiiKPdvMK3kUtm6KaGt4ydUE+B1SHSu0j7zOGv4LapeL+64GgtZ+WAHQV/HXDRwSrCIuOyh3LCdViyb5SzW/A5U30XykJRqXH9kVN/oCsDOQam+oxe6jmWfi+Utw4/JkwNHT36LrJJI8Xv4JGipzDhqFcrmCKpEW70gq/LZ3zGDbqeLTnJJ2KtxA8q4lE5tIUETHjuvWOhgWkF5cqwuKetrznjnJYJNSHkhoCwyIX21YR74xkfGcPqAXJVACkcFcbLittwyn31iBvbY58o91en/DXf4lLWfLgS7puWPY9IZiztjiJCX9W45Qhui6VCvMuYy/gTKI2wt5bFPsDRk3ONaaLyR1QS0FJcAx34pdJoT1NGKjy9GYHIwY2kJx0v21PeyTlLu2PcTBylsGsrGjfSNj1FlVt/wvlKqZ7syB8t2C8a7FjzSQePLuFu5XBtR9Y7PImpdZTsqbKhIcJJy7Tt0ZI5+j375UibwdL0K2nivy6X6e9x1o4852cs0huCeDK/5JFtyXJJdfYdXv72F2z/nbA5v2PV/oSv/rvf4f7pkt0V5D878uHiGnfyN3z1nae8/L8eIV3P5XmkPvspn4Q7zuVn2MaxlJcU31GdeurLj7gP5wyrD0iPAvYR5PsO3m+gLDioos0vOAkNj59MvP7iyPDojOVJRfnXC/ZfrWm+WFM/29PyCr34kPyxcjdt6H4z4p/f4uSaaiOcu3ecXP6Us7rnffeI0Gzo7VMiJ5Rlh31bePzJCz7zf8oX5SWn+Z6uSuzOB+7/6QXXu1O4u6K6vZi5Nvk5PjSMZ0/YPlW4XDKVT0CMxdMjl+uvKefvsXGHa1+j6yNrueG5/4/8+PEXcAnL3/uAk5O/QdXz1fPE6zMYtWez/Bmr9Q3tf104fnfg6qOf8/jklnO+pNKGV91jbk9OuMx3nMs7Bn9H1A2RbwA9lCPFBBhInGL+KeFZYPgmlH/zlNt3MwyOxjMthVKtgGcgiq9veap/xs2qY/zijLM/anj+0Xvu/szz4mcDZ7878Fn1v/Fb5T/wXdsQ+FPuLguXuuVx+RGBG94/f8Jfro682X4fuqecnrzgm/pDtOq4+/Y/4/77G+zL54zHC/DvQe+5NUNPO9b/4inT7x8RV5MqI2vm9PwdH9nP6MVR8ZpzvWHBL9nLx+w/+BCbMq0f+KD7az7i33BrT7iRM+50w9oKz/k5Gwfug+9SNo8J9T3fkFec+lv+/dlr7p+36G8euLr8Gf78GS8/umR48hQnH2PlPeYfcTz5J0yHBKeO4+cdh6Wx+Hjg8vIFpVryy99wjC+vCPkzEkvsszX+m4XLzdd8n/+DdnXFX+aPePG7hfbdU3Y/usSvHrF+smL8+G8Z/+cK3v1j+h9m6ktPdwr67I7N2R3P5Ke80hOO64q8GKi6I48n4755yjs+xRYnLE4rVH/9DXSbXw6ku4zNfVsINak9Y3BnRKtY2MD5tGcRe1xXiGvwWpPXSkpCmAAr0M0HVlK33FWeog2+ypgkCDX7sqGxyKb0mEbQO1qbS407u6EthiPzCT/Fk4g4er7kGW94bG+ZqHgqn3Nln/GOJ1z7j7GzDzmOLZ3MY8VyUI6phkPPWTex8G+IeI7isTRy5zb8gm/Rl5csZKSTLZUYpQRQZbRTppko82D1vEcsstMFR2m5Lee8LldMekLFxKjKdem4ZcOtnXPLI+644DpfcpSWqbmjairGqFi/RO4FS8KEQSqwc6CZ6sNC1AmxChkNOmAVkbpA8GTziCVWi1d84L/iLu957T/mpBQe2dccnXHnPB/Ht3xz90vCe6WTFYdVoJixtIETttzInqADJ3b7oBI+kokEIoqikugJJFuxtAMn3NLaHiM+JG+2LNhTTMmWOco1C7nkc/sJ3+XPqUpk1AUruSXIQJaOkY4DK6ryhCB3VEQOWlASnc3DDrVMXLCn4DhqoNDR+T164hldpqwWFEZau8GzZNyfUZKhNdAoLKDx7/icv+Xb/AijkPOSSt/QcE7LBkVIeI66YYwrqr6w6m6RpoepZRkmovQ0BNbccmV3XMg9DTcP+/SGvTtli6PIhKenyT2XbsuHvKU2j5eaT3nNlI604cBGPmZLwwic6ns+tJ9RSJgIHuUD+wllzsMw2YJG9vjqPa/kI+7TCS/HC7rU8Aerf8WT8iVhmJAopP08m6Le0TBxypbKun/At8h/uetH8hELN9dJMhklKTkYBx/o45LiRgRw4mbjKiNtgaX19LIjU+E4xZjfQQSl6tZs2XCtpywoPLM7bm1iWQY+1a/4ph1ZxRvWw0AwWFdbmjJyZE0qiewWjLZGhAfMdaaUgmsqwPCkGbBKZCXjXOgCdU7gjeQTrpE5THxXqHaCvcnQO6wtxBPBi4f7iP9SkCrN4eN2Fl+WpiElxd9G2EJqhbpydCYscQySaEQIOSGngi7A68QyKK5S0qkgT5U4ZmQd5rHuoEQtKHtOzbPcHakP4O7H+fALI5RAPThsJ8huoE4VVaiZisNUqa3nIr8muFtqhSA9Uo/4BfQqbGXFwU7JLGZek8EGpbVZSGRiVDIryYtLqBw5sWoOKGCsSmQ/DyfNUyBDpIrCgTW3zCbPRSlUemRVKqI0vHUn7NKKcOfw+7nnXWvPhgNqiSCeDS2jJYYyN3IqBmobMFfYVh33smR2zSW8lPkwH49YBAbS/QHeQD3BkjdU3LK0e47acUMHokR1JDzeapwkqkXGitJLwMwRhogMc0fQJqh6pakqDjiOmnERZA8bIlV+S1sK5guLcuAos5laCFQGFUYSKDLhbJzDFFJoOPDBcGS5e8fmJHPQxJodngUru2FSYZne0zMbYGvpmXTBggON3OL0QFEYpOFIjSdxUa6ZcASDj8seJ0c23FHnW7RAvgO7A7sdf+Uz/vc3ah5P6Khk7yEEepQsngGZu67B6JbCZAN1nJV785micCZv+Ywf8738JZ8cvuKoma98hbQt6oWN3bAkIM5QHBJqSm1oK4R6pkzPD/OOVTzQHWbTRU7CWDlGVfbiOT5qcTrRElnYiLMCtSPEe+oRkj/HpOJIBeKQ0kFRciVYOOG4rzi1nkV1Q3NZGCeHswrfjfDEEU/ml1vDSMOeMKWZjB1gXxJba1iMgTgpg0HvBFqbTzkGJReZqdfMzP4ZReIo2nI0R7vyhHbkiX3FR+WOVTpSVKlqTyvMfcoPKqrTOTa7rZ9xS+KEERsT1gtoNdtku4xYgthwYMUYathCvk74b1dYM5/0yXDPxeqeddpiUyFVC0pR1KAMQr4t+NGIDUgS9CazyJB0wl053CaS1fCrFezvoM70Grgpa+7dAlvXFOeJDlLIhEuH3Rv4TCoTUgw3Ca5Vcg1u5WkPkTO3Z1VuEQ7zrLlAxcAqReRlonqhNL8h9CslmyeKoEGYRIknsDPP1q25z1vkiXE4r3nJU65zRwqeMTTsyglF7qkZkeLIYUU4yYhP2AR24yh1ghPIXoixQ3YVUiJ19MhUWEvBi5HwZOdgAa4zah1wmmfF32AEm1C9wx5v+CUbXssFz8o9biHsqThUG1b5DYSMtA1DWECb0UdQPYfSGSTjGy1cnRfetZnBCRGhZf6+1QsMILXAJjCGEZ+UDEydUS0Euc//RTYE/5CXOqNa9QS/ZzFmKlc/KE5HArfcnLxn+kRIy8CjKuH919z+3h+y3exoHRzrxFm3Zdjcs//ocwZfY+GK5VnF6nTLJXtO5T2Xq0IVV0Q5JS8L4VTB3xHaI83aiJdCfQXx0BO396yJLPdGV+45e9Sh31DkU6E6qdG/BL4+snn+FPeoIlOxqS+QzlGXS84+GeDyNW5y6GKFuJd8eNKz9lsO1TluccDpKyoWTDymvai4eppYhFec8AL0wBvN2OkHrL/4nLv/0OCiYfdbnBzQ3OD1GWMV8CvgrEf0FinC+qqwWLynXjX0m3MkCL4LLDFWcsejpeJDxeJ736XqXnHqj7irkdQC4liEyKJ6Sff9Txg+g8W5sPEjT3TL0MC1LxR1aPAE7WkZId9R8RZlj9lAEkHYURsMXNAuobtQRj2l4T0m16BbOl846EStCdIK1YFzEby2NM+u2PxPz/nwdwaW/+srrv8lrL+1xLtXnPE1l2Xi3E98XF9xXrY8j+8Z3ZH1VUvoa1r7lDtZsli/59Rd86S65uTDLa8/eULNghXCbX5BnXvqUnHftoQ/+Ab5+y12EEJ2pGnN+uSXLJioOefSveKRfsXBMgfZsDxp6S8rnPcs25FHvCDqhms2rMR4nAoNd4iruFyeo2WJk8TG9TyyBd4tOfv8lO6Dl/jTHjYZnnrCWQZ5iYS3mHRctB/ydi+kEDl/5LEC7WnN+TpTXypvP/QMHNmw494diB8o/pnQro6cyc/5bJP4Srbobzyme3GCdkrVnnF+0fHy2wv4Hz7nsvwz3vwvr+jWhi4dPFni1j21y3RMrNaefchkjZzmD3A8o8bTNzvcYnrgcfx6X/Z6ZLiFzte4PuJOhKN5DtQoSs0wN+xTQjxwArZwDEtPptCNcV5fg2C1Z3LKUCqOtPhKkZDJzrPN55wwR+uDHGltS0egki2NFN67RyhwatcEjFu74FYPPOYF37afA9XMT5CGl24elTmebHiRHNlq+qGmjA3VAMPR5mRIaB+m6wPTlNnWG17YN3CWWeqXeDuCLDEaimWKCMncHJNnnPlveCat2HHKHVdc5ycc/YZK56TFQYSe2ag02JIdZ9zkE46yIFd7uham95CPDt0aHEEqwSad06tF8I8CUxrQUZAxIq2S6wrrjLQQbJw9h13X88jv2Q6eF+45JzLwmbUMBLYsOCt7Pty/IN0kuEogLVmgsnkkHMkgRmcDZ9yhkok4fBGMjIqwZzXDNsXNbiZX8NbT6JYFA4GJgqdjomHL4CouuOaJvcUUKpkIMst2TQo3tOxsg5iwtoSXPQcVOjKtjYQ5+sSpbUkIL+SKJOB9ptlkUjUha49qoaEg5cjYtjBVyCRI5ShLqMrAB3bNc3tNjyPLgZVGshyY+IA4y64ZbMVdXHMcoVkuYOUZe0fXKD73LGRi4ydWbDm1PZUkbsQzKfRU3JYzROYxmjrvOdWex1yjWQiy4Jm8pehriga8FF7YFa+t4USOfBxfIzo3+CpVCm4urCRzzRmD65haj5eG13drdvvH1OMFi+aeC3/EBkPMk4bMVIyVV4IUOiLG/z9gwr/kMz7Vv6KV9/ioyHHWBuxdYIgLvPxdAlxJxVMskV2eoas2J1ZUCoVMdIXUgq0b7jWwY0lNzxURJ4I5ZSHv+EC+ZK2F+jjhVKltoqiwtzURMFpiblGMygo1RgKsbShuToM2jBQzGkZMJyozOjKDQNIKX+nMYDpMM6vzfYQUsI0wtUrdeHQb0RcJWRkyzPi9fSP4dYu9T9TvJ+SQ8Y1R2kTWCqMmqUNGwXIhrAt6LkgohHZuYk6dsXhqjEfwpw7pI9p4HELNQIewOOxxB9Aw4JI9WHwEyQEe3ktzS8qRH5oQWY3KD5wy0svcdM5tQZeQXaG3mlgWiNVk3yLlnrVsWXAkGww+EP0Mbc6SKUy09GTah8+yMM88QJCJeoqIwVYW3MqKkQVeHN4KXYGddOxczS6dwF6QAUQLtR5ZcqCIEKSiF7gjzxxNoKLQlBH1wq5acicrVDyVFbJANqVGEQMniXS/x94DKdAwsGbLhUV+aWHWhZdMtHmyoJIMRKrWKAmic6gJMo6QDCs1ZQI3TDTrglExSaZJwCFTF5sZSb4nSYNIwcsOkT1FasAIMnNnVWpyqhm0IjvDcmETJ5a394SNcu2gkshgLa1kTmWL0nPNJTcaCEy4Uj0YuzJZM71z7P2aHXNy5pQtB2noKGzKRO0O1H5PeahB5Saj10a5Tr/yGf/7g39S5rlmsXnWCmGUzBQSFgyL4CbBF6FNwio1cxLFGY6RjiMNE67MCxGqHIInuUyQCZOK6IUKyOYoxfBiOCmkMt/gCATxhMysqGX+ErIeSEwcpFALnGhG84RPhlTMACJfPTRJPI0JOoFzwkzLZYbPkSkyMWdByxzRzjU5H8BlilZzzG5GW6L01JoQg8l3jNKQNCNqZDFGOwIBXCY5UIWA0RCRMnfMHIaXTJxlp/gcUdkS0kgboTEjlXkxLgZkeQBr1uzUs9dEkoIlo5RpbiELFJ8pboAxU5d61tkaaPaINZCMXCfEInWeqPOASiLLhHMPbEN1RLV57lvByLgC3hqKKskXsrOHSC/gFfGF+mHrM+hAX+bFEQK9P5JDmj8DywSX8Rna6PFhhighHikOXxzBRRyQRBl0QDlQM80xxeKYPSwOREhOMBWiOKIWzCKzRq7CmoA5w+Gop0BIFWJCFs+EUGTWCqdsYEITmNVq0SNmkBMi0BTDKw9KUCWUQpUi3jJFMkUKCJg3TAppNinjBMQiSRKTTsQHy465DJbJ2QMecY4SjN4KFR6XJ8iF4ubRQnu4XZWMYDgNeAkIDrMBFExAVGawmgdNHpcbvHTkvIf0qyYff30ucV/hXYVaQcqI15oq13RDTVU7Wk2s08Au9XRjRq0QUqHJkdMMQ054K4RyR83beS7edoSyJJRIIBNUoVT46YiWClcqPBm4ppU7wlRAJsQPlHCP+B1N2nNWViwtUcuAlC0iz1A/IdxT09HYDq+G5oYuetwIF5Ow7CO5TIRohCx4s3kRs5E2bnH+NbgvCayox0/xKSMkCkZdMlWeYbohKJoOD7DILZn3FA6Yr8jUoD21nIK7wbmXCIK3ls6q2SCXA1oSPh7o0sTCrViMDikRlydac5SUUNsRMMZJqbLS5SOrOHIzGYriJ8dKK5YGooniM8llChFloJZ7Al/heQckDq5BbEuVC8laqvI5i1LQeEvLLaPcgW5ppaC2w8kWZCSkQFc6OHrujcgrAAAgAElEQVSamAmWEQYo9wTraXKFZ0lDhcmeJErDyGmZo6veCiFnulFZEznGAZcjBcXLyMJN+LKj4UjDW1reUtueFSfsyj3BbxlcBhyVOvqSCJYJJiTzaO6pwpGhLAnWseozMiY886FERkEajCVhqlgcjzS1UpnjJCU07yhyRwGaaYkMjmoy3AiaZ2yolp5Q3gGvmHiHyCVdfIe3BUmNTj11/w4fJxoiPgsVPVFeE3jJvEje4FgRLFHRUkelGwbaKRKkB3mHK9DlhNoEfkQiaDJ8yniXwZVZxmVKkCMu3+PFE0KeRy2Y7TpFRoJucW4NLP8hXyX/2Ze5itFlKg24nEBnFXS2GQeYJDGGQhbBEzAi+0XiqIIKDK7QBkcUx2Q2C5ZdIFNTZkUcRkVyjj2RjsiCeSzBHsL1kCjsMQJFlBlFmBEEbF7btICa4hQqMbwdqeyIlo7EikxDET8r07WQxJhsXpe8zWmKMm/JKVKTaJloCLSMNAQbMJkwMRzgbZYB9Orn3zU/9WSrgMBBOhx+htFiBJv3FqaOLDqPmCaHRYdFgSJYmRXPGJRJCWYYhRQEyx4xnccKxSEPI90lCKT5UCxbQZPD5YZIQ6+ORENmQWLmKQYbmATUHcliTNaRpaNQz0UWATOPCCgFVwIOeWg0OwqBIjardHFARyWzRXJuFz2s9VYwCQiCMOvR7eH7xgpCQUxxkjEzEjVJWopMFHNEGecxdzJWoMiAzTtWaguMFEwixSYoLSoeE8EkYxoRHDV5Hos1IUlAXU1FzchA1MNcTtswFzJWqM1Qgaw1KQgJJSlEP++96qKoGaaFzARlBBOyBgozjD/bct6fAI7dw51cHvaV8gBEVZwlajEUUBw1gcoCVhJTVsx7TB3KRIVRybzuJCLGDJqFmmSGWUPOjvwgmUtFHmpMQZmV1pFfXRj9Ol2eJRkl6Xy/yTSPuzsLM8vwwTwpKhT1FOkY5I4kgpYayQ2jGujIFEaGUDjWE1F7ghmCY3IVPY7oFMM9JLQjWhIiRiqQnBHFECcIfj4YV5vXPQlEV80NNybEZj1xsVn3nMlMjFTOKCScrXD4uQbxzAa3+TFCzNAi86ilgSSDEpA0UkQZgydJoZEJj4HNo1mQyFJzZL5ngxlSZqELzO/rXCki00zD87NuHDOKZUQDLgfMJ8iJQEKVWTRTwKGYzGPYZvM4SxQYZbZNzZVrwcQ9jMcWEkJUmUefJM58JwGzGZmhHDHNiAyYGdEHjkFnUDtzCKDYhEqN4SjMbjhjROUI+tA40ZkVl/4OQE5BZUIkAv4/rR4G8/66ZJwV5scnYfQkGTGZ7yUFtBTcbHqYf6xQcESZR1CDCSoBZx6f8lyraAOlYykN9dRjTnHMUqIjhclFKnkQTDghJqHYLMOZm40F07/7H+YKGvQBAA0PxgeiU44y738HcZgThIjaQCUPwhwFrMGVFeJbMnH+bVZmTfo8l4zYRMEoxRGsJpln4mGNtTLXJDPqmSINPKx5k9RAg5UdWTNJhOQKQeP8t8RhqULSiBTF51899/D3J2qqGldP4Id5M8GCbOccy56jvyFujKFr2bnCfb1h6zo6uydTMAKBAAr71rHVjqOs2bZLjkEZdDU/ICub4U8ViEuUCobW02uHPESibutEfKzUi3lSe0UHORD93AmpncNKxLyQvSdS42SNlESdIidR6NKA5EIOB45+VngVKvZlSSjK6M6woDBkJJbZJLCeT0eSzrdrRSE3R/rzjI9QkpKkIsuATYaZoLrA98pu7ahaZeU92RwTgvnZwjGYcuM6JhM2bQd+wSue83F4y/K0YTjJTPvIiMdbhsA8sycT2SAg+EkoNy1hG+HUYx6yn29STEgWMR+hhhQjaRyIsmFKjrZfYfuWVAXMTWSMW23Yd47Gl7nLvoNqZJ6l3xR6B04jcVGIy5qjVnNhq5HJVUx46tJT64AmoyIhwThozX5d0WQhhh4rCw6+5rZraXyBpSfXE/eLDe+6mpI94oSojsEHBhy9OuxMOOwj29ooeNQgULDiSbaktxNyWeLVcaDlfbgk087MJItMtUfCxMGM3q5Yloy6HW090Q0t5daQJpFJhOiQHpIYwd/jqp6dO+PV1LL8qGE63VPpRGMBcwWWUELFhOJroIuUJIz1gtchEeyMTU4cwj07WfGVBlzpcURuraGuzgh/N+PZFORSOC5WvJYnfF6vGS6EaeNI2iCjEmwGEN6NFVdjpkrzSxNLRF+IC2F0M0/q0DY0ccOve8hXTj/n6Zsf8wfvfoy1r0i+Ys0tj9KeKXm2q2u+Xl5x/N0B+eQtX7g/4Ycf/I9M9T1v/DPyx45P/E9Yuf/I1x89Y//FpzR6ze/7P+FR/lM+3o6cX9+QnylVvSdIy3o64Rj+kC/DF4zNd5hWgfJhZLx3yOszbHjM8Xcapt/+S572P+ToH/PHnzyHZaaxCfedc47tU24+LXxc/pZPDj/lBx9eUP7bb/D27sijq5dc2VsWDTzRn/Kx/QVt/hrzH/PYbdmOJ7xMf0S/+BC+31K+eM3T+kt+++0v+N6XO7Za8E/+ipdr5RfPPX/zj36L9MNTulffQXJDlG9Tlh18w7F/UgjLTxjrD8AduTj9JU/6f41f3LE7F575Z3y2+jGfTsYXL9/wry53lH86cPmN/5vfyT9glCV/vHlKfAYXZcvV4ke0Y+Fs3ZK7v+Wf2P/ON9OBz97/mGdNR99uaO0Tvrj/Cd/TH/AXJ0vCo+9xePdPMXcNyx0MS/QIt+4E96FHvjcyfesd5buF6/v/Ctvv0Yua/RNlOn3M9FiR59f83tlP+M7wQ35++Q2q37pkefqep/KG7eOK9FuZj5Z/zB/e/Z988fod9XiCPr7l9CLQxR1PDy8o+5avyynyRxNf3h0RlI83r3m+/XPsrKOqrqm+teH+907YvlrgcsWhSdwtHPJxz+rqSx7pFpoz8tUl2n/Nh/ZX/Ob0b3lfL3jEwNPtLylVz4+rQvO4YRve8kh+wrf3/5YvDl/zQZn4tP0LYrigdYGPr3+Kyy1/8vgr7J+vacKKT+LP+Gj3N1z+4xvefn/L8izwu/UP2JQfEJv/hjfLDxnkv0emI+lizYsvGsb7AX0EP/9mR7pSnj9/zyfdXyPuGT/4/JtM3/0W1zfPSbHGfbri9KNbPht+yvd+9obbEHlV/Qnb6hHj+R/w5XmNf7Lkk/Yv+Jb9S/591aPdvyB/a8vF01/wcfma1+u/5ptu5B8N/w6r7ugZqaXlUf5znjan/OL3E784fo5+otQnFSo3/Lo3aqgmXGvQ7hkXnm1XP1QTNQcJKDXYQKpG1CmHLhBV5oKWhjttOVQeVxJZPHesecMGE2NFj7fZkRY5cJEPPJqMcCfsG6O0A3WcIG/5xuoVWw/OphnuaMbG7jize9bTnuiXODc3SU0GTAvORp6U11yUH9OyY2vCVh9xaHd8opGVbHllj3khj0jBI2Wk1h1mxpEFwXZ00lPLDWqFkZq9BIrBgmlO9ErBLFAIs+jKZRwDp7Zl4JTeVvSyYWRBVo+JIflI4yoq388gxjbQxwReSJXwUAZhY4TVkbKuoSTkrgUTphqqxS2hjYx5RcoN4jLL9kCj1yx0xZL3BO6YKMx+mjI3TPaOcC3I3ujqA1oJW1dh9Mw5SEEkPJxTz3uTIzXgqG2ikyOFxBO+5Df4BXU+kgRWdsczu2ZhAyMeFdjqJYXEqd2wkjsigZXec8lLCoHeNgxUFAJBC6MFXFmiGpmscJQaMaO12a55dDVaCmfcMonnFk+qhIUOSHFMOmLSzKnNmMDf4taZNK0p5rgViFY4Z8teGwZOSFaxkYGYIoMEnGS6tOM0vuULe0nKb7izC1apYbLCaEsqmzBrOGqH45pTKxztilcIzu1Qeo5EgirX0vGGE0Yf2aib24vaILTzwVrpWdvcivzSz4gAJzvOuGFpE8t8P3Nw5MgbXdJxQi3Q2MTkt7Tra6LO2uFZ8OOxJLhDQgaH5AmY/i4c8Gt/bezV/0Pdm/1amqVnXr93Dd+0vz2cIU7EiTkyM6KiMrOycihnlYcqu8rVtrHdfWFjaBsBLRqpERJIIFkghMQFQoC4oS+AG1AjgeSW29CGMla7bXeXXeVyTZk1ZlZlVg6RMZ04wz5nz9+0Bi6+476h7RtbKvz+BXFOnL32Ws/7PL+HMlRYoE0EawI6eErXsYor/DjCAKz0S8Iuqn4hY9Z0scbHjJqCRjxeFMYJauPIUiHXfUXzioiiouSUSfuEUbti7BK6JUhmKIhsc4jHEaNiJRkbDX2xs8XHDO8TQhRUEhDtiOeLCxt74aQRYa0sOS2l22DbtscbRCG6QESB10jlyFuQpAPTvx2DDhijkArKIyEP0ruojCc1Du9ALyNl5ft3h46Q9byrvv4MYhDipsOYFIsQqxTrOrRogmi6kNBS9NFHDVQeHAQRVHTk53wa6zrYBKTOSTeKtGxJvCcmfZ1uIgmIoo6KLCYUnUXXkIRIQktUNZ3K+nYupVmEAS05WfSMN2uSSiAmKBQZHS5GGjXAY847oFpSKkzs+sKIKjIKc7bjA6x0RMZMWLPSDi+OPYRxmIJriR3EdSD1ERsCdJqQGLy0KKkZyAqF65fnWPCRkZuxyxlH9GUGhg0R6SHiQRjFiK4MbIBNwERBaMB6lnKBg3iNWjyNTmiBQApdSlPrvpjAZ5i4IRqQgUKiQ1C4dMhMUgia4SYQagBH6MuY2YsNPmlACyt2IA640NXstDWuEpqRJtJRhA1ldwrJhkzWmLXHziBbQTmEvGvBVDyRjrkyPdcIhYqGLEIjbe/OC4F8Yxi4it3BjL1kShsHFHHDkDU61mzLhu1qyiSsmbiarOtle3Sg0+Fc2P//zl8o1KgLCcWmQTJHNEJNycrvY0LHKJmjbzRMdcLcWNb5RaZdZCSb/gvaG6w2kMK6KDmNQxY+px1f4Mh5NkyIcYq9SO+WyANRefwQZG+AsxEbGgYCs6EjfyVh3TlaK9iY48IOnlNcOEMPDL7TVGKpo+CJfaylqTF2zchpkjOHXWuSBKL1VMBalZx2EzqXsDAFMkqRRQ11g8lASoUMDS0RGwwFCkaQPm/RTwKqbUm8InaK5QpWAeqNJh4HNpcMgyRhIJYmKNYYfJ6DCLW2HKgt1kG4tbVNYxQH7HOoZjz77DbpmxWP3q1ZuoLU1GA6dKrQSSRIwxgYTj3t11s27zmKV4BtUAONUimsPI0IPu1FBKkd65MV3ZWrtGqCPzKs5hvsbcsgT2nFcOgK2rKkzNZoFO2xEJuI3eowzxU4m9ElmuzqgDCOrCRnLBpyaArNhgKVFOROY6pIoh1ZASsMywsZ+V5CkzqUGvQNE7ogZc32U8M+16fHnKkUHQVNwdpntC4jmJKZyWmuJsTWQ6LxkqCMIs2giYolBcdRM/c50RimUvDkwl2OmJPQocyasJfTJZ6NpDxyO7ho2NEn7NgFnC5pPnAkdyzNsoYV6AGEVJMNVuiqoR1s8UhSfvRnL5JcWDFQGwrZpy0hXhJSl+ODQRkodjRdkjNVGbN0G+9gBEzwvBt2+QGGm+YRlhMWapfObnGTezQo3C7Y24bZ7j4P4xWWacngIwpuWBqGjKNHdGRD4O0Dx/69yO7WAG83YDX5BGKS4ZIGL5H5aMxcdnn+r+JG8EMcdXOfa2/+P/zE9d/Bv9TRlSU74R4XY8Pjxx3DZyreuPwhDv+Dv8GE3+NvhT/liz/+kAdNSRsi9tM5Hx9+nrV6nTd+9G/y/jKjeEP41a0vcVP+Men7G9QXa/b+vR+gd3K8OuNjo32+efnH+eMLz2Fu3KS7GZDCM7lYoh4OeNxtc/2/+Fd5/sX/mev3f4OdCy/yf/7cj5LsTrnzaEaVfoyN7FHuTnk53uPpd7/A868+zz/5VIdeD/jQ4C0+pO5zuGO5k9zj5fhtNtrzriwYJGta+/O8IXfh6R3k77Tkv3LAjxTf54V/9GWG/3RJPhgz/tgp/OI9nvzs87y++yr6Hw+Q169R+ttUPIva0/CvBLKPVly6/hwPLg1hd8lPfqLg6aNf5+NPbXPq9xjoh/D0Ic9MOy784Vts/coZ5j+7y2dv/Ck/vfxt5uEZPvfiv4H7hOOuPuInq/cZHs/50TtXmE8+z78efptJvWH7rbexdy+SlMK75iP8xPK7lN/8HMPn/x2+9FM/z+tfu0ssU+KViBwoygewEMh+0XHn7z4kv/Y2h/f2MPISmz/ZMH6hY/dTwvSb12B8G/tzB/zMKz/ghaPfJH3qszx7++fYtW9xl3s8fOEuu8/v8+m93+QX/uCPSP4EDh+VvPKzHbc+833MSDN60HDw3Tn2J4Y8+7/9W/zJ/GnSr5zyyWsrLr7+ObZ/+pN8a+eAR7/6Mg9vPI16TZEtHJtdMD+lMYMZL177Y160X6GJhpPLz3L6QserD77Ip+ov8TjTjNotdg4ekl+YkSVrnn3u40SO+QTf5bM/+H22vvgG+lZBvAjtjSvMkj32v/1dquWAWy/XqP/qWbZfS/lE9YeMH3+Oz/7n/ya/O4bmMOGn4pt8WL2JXLvCH976Ve4nN8kq8E951K8mSBuxY2iehsGtZ7lzseZT8r+yind461f+Bl9NPkp+v8B/pyX/Bc0Ln3yf57/6NfY+/zWuvnqRB3eW7F/7KNPiZV7f1wx/7Dk+efW3+ZD+TV64fYUvxAP2frnlRfsOn9k94+b4EXfNkk/PvkSu5jDImGjhleb/Ylhauv/yv+Z/evpF1FXF8O6KbXsPuP7DPk7+UmO2M7bTirAlrHbGzOwAFy0dlgUFhWQMCOTjQGegLROcK2hEU6mMTmcY6cio2fiMw3iFJ4zIWWNFYWLDCkMjCWXXYe83+Pcj6bUKc2mNTBusM5jbZzyhwZMyizs0ypLHNXvtnO3NmkWhMHpDImekMcfITaIK7NtDPsnrPK/vM/cV31Q/hRPHkBMQzxrNPRw6L5DOg/Z4Y9gETeYVY1WzxZKlbLNkwIIUITKONS70xaQbNWApg/MYVMTgyalZB8+aIdOwxUIV9Hv1iAmRPO2Igw2i1mTDLZqug8KglCbMHcYJ7aoiueLZvimcvOX7qHYisFOzde2Uke44XmUsmgShYWurIVMH7NmGmSwxMbCUnBUZTRSCD3QnHvWOwly06KZmcmlNyITUlhSxwfqWqAJRPEoczTlwN41CRu/GlBjYj8e8KK9xQR+ylC2IgWscsxtPac8t/kdymU6ES/6MvTCnUhm7TGlkTWTAoXTUIlhlIRpqBjQhJZclXhIqRgiKTNV9UxMZKMcFjnsOTlLik4RCWiQoUDUhDtCtxgVIdiLaVgTbsw07A1lccYtDTuIO9+QiOmrG1GwA1AVEEgoani+P+Dn9x5TZuxxkT7ORXZ5Qcp89oh/QxTEnykM8Yo+OLDqcClhZo8OaeSypw5DDuMNA9glqhpKGDk8eIyZ2JLFiGAUxkYrI22qbiiHbPGLMI7Zkxo46JZMzUnFM4ylHccapzBnna8hhJz/BNZYqpmwUFHsFm281FOsItUDnSdiQxPaHeo78Vc1EjhlIg0aImcUVDQpH5moSGuzekHABdB5IxVERyRct43xGSDPqaAnsU8WA9iV2rohdTVnmqERooscpQ07LpbDiyvKUrekK7RKqRwG5UDDab7hsj8gJLOOEucBcDBFwZAw6S3SG0HrMSIjSixaKhoQNkZZNVGzIKVhQ6jWhaaESsAOkWWJzC5UQjiMMBUkicQxhGBHTs21YgFq3yDyitEPlgIOmVqQniuSkIc8MXWLgAnjn0ZmGxPUigu2xFtpEWLQkWSSKI0ZNNY0sr6Y4OkovdIdLdAXKpoiJFDh0bNCbFn8S4KRDTyNb2pBEQScBQ0UZLR5LKzniPHkdUCvQTSQtWhLTITjyOOdijGxaQRlNHmu2z6YUR2AasAPHFgsqyZmJx2PJgmfEhkTWpIH+cnUE+2HNNXXIAkPjIURFlmRo1vTddyfIpiOcgntck3gLrsPUjmASovSRwyLWaFoSHF4UXixl3LDHKQ9YEiTSxRUNBSvp41hJ7KhnAR4E5GRFGmu0mhFjw4KC9+Ilhvge9EukDkNcm7A+9SQXUvC+T45MIiI50nao1rLSWxxKTuo9+swRz4C6r+nOFp6RX+HThrPJgGmcEFXGdjiirCtWpxG/oyCpyW3HUDZ45uTRIccR7nnsZMGWTVDTgJmsKIdzTimwAQx1n4wJASMNgTUu1CQLIS5W7F484XLymAUlQ+0xEpC4Zt8fcO3kARPfEP35osCAsgpzDsD/l81fKNSEtGKV9hbDwikKGzjRkbXkNJJjdQtWaGOC0H8xqtgRxCIhYpSwMjmHssMyjuiiZoOm1glgaI1iU0KexH+h5joBsR2GDYNgSXSkVpqZAiMdrUAjOY2O2AA6Co01+JDiVUESDJoV43aKDy0LtQMCxnWIgrxTpN5whGZFSUNGSUstkSrp7YGJUyQeohZqa+hEo3o0EyAE20AeibRsdR2D1tAqw1pAxUjWBbLQYkVIYsQGh6YGaUBFUH0ePAbdt/WYQBI7jG1wckbUNa0CpwyCQoJBnEC0vc2OFt215OuUOkawjmigk94yTbQonxPJ+pIHHTGdpvM5G72LV5bMt5S+xQRP1DkdAzptelufaKxT0One1mh6m26IKTEK1nuS6Po/n+gx0WNoezurM6TeoMRg/4yorXOiNyTRkcamj5mEiJaaRq1pU82MhE302LAmYtjIGCHvoc1iccpim45ho6iGKY0WnIEGw4JdRDqWegTABsVBuscsGBSQcoZTS1o7piVnYXbpYomWQ4KvSLtIdIJqc8qNR9cCXUCFSMoSRY3TjnVWUWc1RioG3RKtt1hnDTGLqLVDB+gUNCZSpUJNgqek1jUJLZ4CJyNWqmfIpDHgJKcyPQDO0bJJK+xIWBtzLlot2aQBZR0Gx7CtzwnsHus1KnhC2rJJAiHpCLG345nQIAhLNaDKLv7lbwM/5Cnjl7i7+Tp35sesVjVhe8jOsiY91WQnmosjx2fsA6x9nZfVezyz2fCqvAZui7nu8P73eKb6Jp5HPLf5Fu+Gi+yFf8Ld5Ttc3hyjjyFOFaOTh+hY0o2nDM0J0/g7ZCZhlP4Uzhga8wRb3qSdfA1Ov8tl9ylenj7kheMVG/2Il0a/j+y+xfVwyDFPMzUvscOb3Foecns+YDWc8p3Jl5i6XT6ivsyd9IC9leFOecyz7oB2ZplsD3jHex5QUOgnGNnnUnfKrXrBR9p3efqoI5sOkJWBY8HPD3gm+WNuxAHrpOYs/TyV/ghRH0ABE5Vzu6tZxBMe5Rew2Zpb7Rs8P/+AdNJRqo7dVnDLR1xtGy4feT55eI8fVB/wwvhN7qynnIYB19wXWNt9LoXf56n1A/YPU2p/n4VxvBzfJ58FkicN7uKS5wevYdNDnl6esX1WU+aPKNJ/hh+uKPKaLJtRlQWDvGCpW7Jixe1wwKXqCQddRkXCQj1m3z/hcrjOD4YRGeyzr95kv/0md+cLRmrB+9m7TMo5Px5P2Ry+R5EteSV7m91jj3+ccvVwzODJgq3ZGRGNPR0xmGbsHdW8En/AI75Fq9/kevcaHz4N+HnNh7PXWHmFDVdpJSeVjg4hbS+Qmu/xkebLvNq+Ru2Fx9kRp6HizuotroUNReYYrgz2pEaJ4sVW8Vl3wH7S8vH0uzx9OCd7DFI6yAN+ecYelvwo4maajx4/4rb7OnvVl/jw8gPGy5qXth8yVV+mW3bc6b7Hh7fv83T7Bb5tSx7mhk4MMmoZZ/tkcswgS9DkXF7O+aS8y48kM87MB3y5+xzfzXdJBreQ8j5XVcHd7nXuLJ+wcwz6yPDK1iHT8l2+z5cx9uvcDA3PuK9yOzyi2SxYqH+G2pzygvkiL3QbzOqQp3PYW5xySz3kifkGuzbw0eVbDI3iG/73SO0jdswuN/xjLsRT4KUf9nHyl5qz4gIhHmCKhDM7YKkyaiIRQXlLJKFRGRubUIvCqwEncotaQXW+YzZSs2LIQhecsUdDiYoJS7Eo5VlFiyNlqY9o0lOcduc2fnAitDqhpq+4bWXCmhErcrSUrOQiS9My1WPm7NCREzG0pDSkeKnJOWHMI2KoKGRGLX0YR2J6Hs1xeFJayWhJ8US8aJZ2glCwLcc4Ehy9sGRjwMeClbqOUNAqR0NBzaDnJVAxlwkLNWLFmJoUoSE9h7raqFAkBEUfCVIO0WucKVAKcGCcpY05Knqs09hgaKGPRdBC6PA20KgawRAxdEzwpGShJpMGJyVL2aWiJIjqu1W0kCgw3pK3NbHzkARiVNi+s5EgfXNLiDktQzoZoMWzkYSGbVQQ6rjbfxZZkpD2MTCESvq4ViDSicMR2KiMVdzq2TJ0pLEH5iZU2LhEk9HRi3tBK0Rs7yiI/YPJiMPHHKcKenBxz5PQLLEMMCR0pMRYQkwIBIKOOBURaYi6wkVNEzQJDWU4oVK9e0bF/nkdtSGIwqPwQRGlZ0aO4pS5XKJh6zwAALVYkBJDRiErVizYqIRODCYOEVcSwi5V3LAg4wRI1H3GMu3d0Dr0n5VzWEHsb7ks2GfBNgrFmjNqgYXqW8eWjGjikN5t1UJ0RHJC3GJq73Dg3mVYwK4E6iSShj7KH0MfmUlC9UM6Qf5qx1LjVEdrI145bJ8d61tyAngdaTUkKqJDh8UhStBAIs151CehjZpGyr7dJ/5Z/NHTSo5nQhOGiFdEElaSUKoxKswRNJ3kBCwaS4wFdZxQyaiP0uBZyZyoLBFPUHIe2ezroTtlUdJBzOliSVRzHB3K/FmESPAKtG37WOc5vzJE8AlsLBgdGSh6BmigxyRIH/+MUfU8LSO0po8TQtKnmvAEHVA6EvqSW1T0feQq8XgTUbFnz9iYEyRBMH1sM/Z4C2wg2HDetCm0KoDt44Ccv/+iys7lDcGLppOMlhFaCZ2ak1pwpseMJKHn90RpuditqFvHILEVqTQAACAASURBVL/aO1lcIPdg0Oj+hKY5p2BF8SQ4ivhnQoJGvMY0MPIteVxSi8cHT6aWdOKwKEJsMcoRQyD2/GacyqmlRYkmRoMmwUtOq0paPJ6Kzpi+vVn64pRBmIMSltFTiyEAXvpmPhVTcIKKgj8PcKpg+gY91eHE4KWkFaFSHX3mJfYgbBUJxlPnkdw3fWSJvuVuI2X/M7DuY1pBoYT+/yBEGp2x1BNWccgSgzERZwSJggoaIdCpwEY0DTmRkkBCbYXGahISrO+/tT0ekUBjBmz6QDkoe/4iz6iUo5Gkj0T1BfWIpDgUHTn9N3b/7tOxpcUjOj2PwcVzxMq/fP5CoUYbR3l7RCgM6/fm7FyZc1Q8wuiKzDnSlcOP3Dn01ZG5lkYbFIokrlG0TM+botZkiIp0BJR3jEzFisj06kWuxiN8URA2LbHpbWSKDq3SfkvgLMZajGhqSZhSoNWKSTxiIGvWaoTNErIYGTnPfH7MlcGSs3bFqb6M0ylR1cTo0V1F6S0nDJlGS2ciQ+WIOKqtnC2VEY+bXhEdWLyJVGIJkrAOgmpjn4m8oEiGhqFytMcNj05qugBW9/TwLb+mDC3GNWzpSMcG45dQQi4d18MptU7JspSTDvbsCSP9hJ2LgXB1RGBBdH1qMB7XmGZEIgUdCujQTUP3eEORjRDv0BHSMuVs3eJXNWlnaRuFF8iKFDscsl5bTsoUv5NQhIZB0heudbHPWTulcYlCiUc6aOcBPVKk1qBVS+IhPKmwRw0TFwhi6M46kpnjwrUFNmvwVaD5oEXtDJmXA0ISiTLEn8LOTs2V7Bi3ceS+Jk9bbGE4aLc4M/sob0lDb35bBUXhYUBNEWpkCjwSwrUa2dEEUlovbLCcMGFBoI4jYp9KZOU9U0oqLGNbMy5qDnb3WKmcZQdtMuDYJ3ifsplCUUF45KkPA+kGzJ7gDhq2nttgnUM5j40dhWSoZsmO6vkVMXOELEHPOzIJrA3Y/ZSiHTC1lk1IMKr/0AZGRDRR1nSsUAI29rwbTyABVIhEE0jqNVcGZwz9mmIbgjbU6wWmrhkNhXmSUvoNdsegb3kuP5cjV1PcOw2mbhi1LSdRWIaCZTfs49t/jee3/pt/yq0vP+LRV4+5dvMi999/QvO9wMVlwTtf73DdV3hu9x5Xbv8hg/guIZnx2ew3eCEBdfkCF65XlG9/j+V8xt/b/Ryf2v425u47jN4+49E3PPZtMI8Dx39ccVMbHixXTH75Mj/zwh/x+d+4zju7ltd3BoQPZ6TNBveZy0ymKdfe+1+4+9/9NjN5yJXPRP7Tj655cui5Osj527e/wzeq3+PyjRHj31nz9n9/j+3sN/m1D/0p6pWr7H/8bYrLp3xYJiQfdMzePqA4jiTqMZ/4my/xzL/7Jn/vJxuae39KZR/gnzTcWT3iW19omXzJcyFbE1xkvbvm07/0Ptde/gOqp0b4X7vKSZPj7Ibt9Iijqxsu5fe58XcWrH5pi9C0vFS/ywf/fMbgE/tMygWrB9/jsy/d5s2vfIu3/8ExF7/29/mPX73KjTsnTP0Kc/mM/+jVKd9O/nduuEPG35gz/YeH3Nw/ZH47Uj1TIe/XVK8HxqsVl37+CTpvuJFf4O0vNGz92Pf59f/kKf62fIsbi4eM0gX3kytsVSWtWqJ2j9gbHNPee4+fvJoz+bU1zc9U6BenHE0mPP93f5Ls0Smf8P+Ij43mvP3frnDf/+d86uUP8FfO8E9O+KS+wjMXB+DeY/MdT/1ew7vvPODyIrI58Fx+NlI/qHj4hQ355/+EX759yIuffo3spZxXm4YP/kHLcPFt/rW/5Xm1eYs82yV5dYv1qibTBaePThndbRgfv0dx8AHWZ9y8cozaMwzuTzk9reluWU7vPWLwvsf4yOtfeo8Pbf0GV/Yz8vF9zg6OKb4X0U9aNteheeOUVDWc/kFD8uiMG1/7h/yHL/8RP/L8FvEL3+fwewteuPFVtp+6x+hWziv6mMdfW/ATn3jIq//2/0386RscxetMsw1XL30DOKFVGebRhuR334A/ucfqwoxrv3jIr/9ExS/80g5+/Tyqy6jlK3xsdULyzRVf/T8i1791iLyk2PrIko/+TM5v/Y9jysVvsXv0JssP1nx0f8jW8f/AZ2YZ2/EMPT/khW1LvojMXtuw9aNzXnnuIaV0pPc9arXmlz/8ZW7+/A/YHU1ot+YcqzXw7/+wj5O/1Hx1cJd0eA2tIvhIovouQ6iwqndO3JctbL5NIKHrAu/YWwgbdKixQdGxQ6cVrWgcJcEXxOhQRDo0CzcixXNgLzC8OmdrtCGmI051IOaBBsvU5Jz4AXWcsFAltSQsw4RvKc8DM2LpB9zXVziOE6ZuxKmy1DplGUcccJn7ROaq5Uj2aYNHUSIqofEZifLUSrFQJQ0GgmeD4iRukyjNzQCdSrB0TJiRAMu4xf14le1o2OEBWlocloEOlCw5DHssmbAiIxIYqIoQVX8/KBIaLIvaEGVInkTsoOUs30F1QntW0TUWnKY59qwea5ozwSQOSQxuqYjtiMYssanHNxXtfML9WPLUjYtEtSEqg+ssndJEY3FOs1YZ8fKI5KxFaAgdeFKWZocVYwQhkRUSO1YxZxZ3mMcLVJLSyAbnPZu4RT2LTIqbvFHcppYKGwp8yFkqy5FkBBKakDLXOxxR0oYdcvU0uV+zkgyvClpKUrHs4qgIzFDouEarDomCxEjJDB8URhk2aHTsA2YeRSeWMvbb+LOl4awt8ElBaluyrRqHYARUpzG2JCpLq3IaFFYgE4fQ9bwMFCIdKUtSaqqm5NiMeDjY4ywecRAvsdJbTGNGLSM2SuMQbCwou20KA2decyYWrUv8yYbVwlDsDnmrWXFid/iQrdjXFcc+w9sLzOKEGWOWDPD03KvajZmqId5f4H3/DFUypkAzkjO8XOYRezySMTMmzKc586qgGsKbo2u8bye8/KEhsfbsfdySLDNQDUEynIzQf17O4K/ZiAxwkw36hqPwoGKDs73w1WhFsEJSnnMUNQRRzIYZSxlSk9GIZkXGXBIem5zrdwt0OqFVFSZ65gw4kx1O2WYZCw6Hl5kmF7niNde8o04U9/RF7rNP8CmnoeRYTViECXmoWBjFggJnIJsoYhmpo6GOI+Zxh3VMScIch0FLRhUKzoIiTwwyAbkAIqavI7K9cSBkChcazG5Ost1hbYKfblADg9lO4HreCzGVQ0QxvB3gqmG+M+JMjciVRYoEW7ewbeGiQhceRhaGHToFxuBSi9YWpWtMjGjpkQKbJLL3oQl6fEq8bDgVwTGmEkO3pxg9Z1C7oPaGTPOMEDKOGHFKgg2GTSw5srtM5IzV8DHFDcNRaViZBBMh76UqxknFRQ6ZMEeUwY2H1CNoMsErg5DgokaixiiHUR4TFSKGBo3LNWkRMdr3zkuGVGpEZRSVN9QM6ZSjUQOy/Rx7A/T1IWcqZ24jWgqEhE1ImbLNPOyyFIOVNc/GBygJLGPGLCbkUgMNCxkDOQNWdLR9TbhESCGmhk5S1mGbZbcmSR1bnJIwxDNiicWzoUsUMob8gqEShykEdQNQCvWMxW6D074Pp+qM5mKKvgRMcpy0dKWwMmNOdcGUAWcMOJAtluLYpPcZp4/pVAIolHQ4EuqQUXkDlzr0nuZ0awe0YadcUmcZSxnR+JwH8RL32GGBpgmKxhfUdoeZJKx2SpJxwZmBNQlLJmxCoJKEcWhZqJL5/pguGBJnid89oWgDlBZz/c+XY/5CocYTOcs9aZ5hG9iuFSZ3gCd3gq09m4nHEQk0JLrts8lKEOWBSEPJIra0lETp++aDF7S1LKJwlo/YHxyz0oatmKGCoI2lUxEnihBSnE+I1kIUgmgcKWnnyc0SoWIlO+RGod0KE3p3wyB31CGSqZLOW6L2xBjo1IKoeyPuRhU0KpLRUmBZpIFk2FEe1ogHosHYNU5JD6BVHhGhIyHmgXrQEJoNtCBdxALmfBelgicNCutaVKwZEFDiiBqcEQq/opAlRKG2W4zimkzmuHRNTAWve7dQzxeKdOLp6FBYihgZ+ZboI9przovt8WiaVhMbg9EJnRKChmjW1LqlTiKtBHyiib5BqQVKdTgUlVJUyuL1oKeR6ab/XbYR5YUQHaIjtolI1ZOWaiLRQ+ojY9eh/QYTFLLW+EyoyfCiWRNREsljwzhUtCFggiMoxVwpjrKSuYyJTUZf3rekQZOLAYSGhC6UxAZi0ITYIc4hQVHHhAWD88zgBBszEtlQk7ORPks4MRuEQFWknJLTqiEKQyUFLqSoRvp7dqcRL33dZ1NgqpoxG5rYEGVAiBmoFHzDOJ4wQXp4n9Lg+02UF+iKjm5Qc5YKG5mwGyoGzFgqoRJ1Dkdt0XS90yomdAyBFCMFQkvqhGG3QrtZv2UMvv93nSuvIXoK1Ue0liOP328xY00TNXnXoqXGoVnJhJlM/nI3gf8fzMs3/j769Yzm1JMeKW6cOLofpOTNNuO3jrh4WpPuPILFQ9apo9i6wKfVV4mqQSrgtsWtFIMDx1b4PHdPHa3TlKcXUPcj9sASjg3LaSAXx+QksNUp9Pj3uXYLiuxZ6nCH7dxwVngebl3mZnHKj33nd7n27RXV0JG/MONjx++wMp7hREEbeXYBKl7kdD3Cv+u4Xh/z0dUxTL5CvAlNHsmyR7SzAd3jwOAwMOwcSf0+g0uvMbINeQ3ufmRzOmYwK5g/CeiZYpCluIM1yYlm2H2fS+WXmO4lHO4/g5dLdHhu8YQLwB2/5sbkA+rdCGvIvz+mO+nIzub44PFPloyWC66dHhAfC3f9ByTbR2A7FjYi5ZIP668QOeOqK9k7aWjeayjnT3DiCZMx5hjcMai5Y6c5JQ1z0toyPoqMN4+5c/lzjLMpHzo9wpBRbm+TUJExIw+RstoiLNYUXYUe/hE+B0bCvWaXB9tzymbBzzz4bfT6Opv3PBdeX1GG9+gO59gTDzRwtcXh0Y8t3WoLs5gyOMgp391QjobUx5oLDxdMXMPN6g1e/vDrzJ9LGem7jKeei++fkc++zd1uhWlaSIRWRXQ1QD2sUZcCdQLxSULWzJHtU2Lb0NURpjlqnKBPGsLjiN4MuPmNiqeGD+HKis1OS1onxGnAHFoyItL0wntzT5HfL9hV93h66y3GTxXMzmB8P/BUdY+Xmu/TTAyDXDHfeHZ5m2T0u4TnMt7QT1O2ih+xD0mYU4Ut9lYe+70Z8Ys57ZVA+tITrnXvcHWkWZib6G6b+vA17rgR96eW5ali9/6Aq5MZcXzMqvo8sr8klUh8ougODIOkZu/0a3AqELdo7BqlWsyxhQcl5d01mf8e1gQGmxYzC2x1X2AnXzHUmpUY7kcLfz4z76/F3M/3yFRE+YqhdOzEGSY4Uu3oZI0nMJMxLh1Sk0IMHMqIjIJCOmqjWMWcTiwxVIikRDWkC/06uKZgKvtciKcsZcKpyUi3Wxoip7H4FyD8TUxp2WGjRqxjD+/cyJD3jeXQTAhBM2eXteww12NWjAmUuDhiyZiKM7xv2eiIwyLS72lR/f4w4HGq3/chCQ0Ja/o401xVpLFiKEvGLHqWoBqykJ4PkdBvPxO1BgVp7JAwwqgcE8BKHxnyUbNiRi59I6WKW7iYYFlgZclSLvYAU9HoBnz0BAyNF6JXSNohSe808aQoNSVnjooXaTeKbr3FSsY43fUMOSI6xN6VqmCjhHaocXmDDR40bHTOgoKW9BzS3GJxdJJyJiVLejBxf8lvIaTUjWdT7LKUqyw5IcUgZMS+A4xOStaqZM0WNYaFajiSPUZqTRtSAgXBa/JQU+o1czZU5HgCEmskDpDYolSDUxkBhYqeRBq6aKnFomJkK67Q0XLgUtbeopRGq5pUV+igSLC4JqIFOttRs6EVh3ghjQExNV56EKqKGwqZUcQFppvgEeposLrAkdIhBOnZTCFqWgEkErWhc7Z3YqkxNQUhNEgDXa1YlLuEdMK12BHcESS7zIjMZIc5e2yUIZeKgOJU7XImQ1CeQ+mIakgZFcgxMGapxizYYhm36dpdXD2AAmbmMie6oC49IWlY7kS20kBMhFpy2piR8OfX4f51GhU7og64IhDypudeiEVJJLIhhBSrephyMIoKjdHCSoY05HifUpGyZMJU5axHio3xNK4hx6AomDHqQQJiODND3k8K2nbBpUzoEsuxnjDlArnqcFposfhYoiWwIcGpguA7jHU4A5VOaRmxjBMaKcgkR8WaDMOKjFVISVRKsOCLluUgMNKCzTtWTlB0JOuIRI/YcA4OB0kUdb5Bhi2tViirGdY1DKAqFGdJypqEoVb4ItAFSMpALCNNEkgSj7KBkEJMFatU4a0ia8AEj6glLZGZDjBWdHPQqRDE0pLRSUKbz2A3gvU0xrAyGTEY1oxYMgGVspARTxhQKAciBDOgli1qAp2cEkmJorHMGehTSjnBhEBNRJKImA5DDSiSmGKjQ0mDUR0xDvAqZaMH1AmUKqJCg5w38m1EU6mCNlhgACgaSWmySDKCNu1F+pYEpSyBond/MmIhA2aSkGAIWIwH51McBVpaNBt0LNHSkFGhYkciKaj+bBXrEYGajIWGoAIDVmQxYUagiUIWDaIVzvQL6pakhyzbFgYdYSvSDBRBIsKQpYLFQFPkkKQQlDp/Wyac6BFrStowYsElaq+JKscK1LHXFCwNEiEyodMpm+EKY4Vjs4WEQG4FROHjiFblnLLLim0ikU452lAglPjYsEo0wVpEBHVOODOi8DLBKcWKY050gYmOSyYjC+dY2dSxUX8+ve8vFGrqsaGxijI2ZETmdsyhXMOGiloaQrJmplJaLNo1bMcpmTjWZJxJ5ExG5w9oT63GqLDBK8OpLnkYrzEGNA00Qo1lo0rKTAjNjJIFi2hAhEoPWVBSRiGNLROWhDBgoSZ01L1RN8Jcl3RqjK5n0FS4NOPEltRSouZTdK1ItSLqQItGiWJo+s1OHpekbAjREoohbboiDlq8ainoSEiIaNZJQjvS6KxhLQGRhjw3JImgBTLdIsHQmCGh60i6SKP6XHP0HrRmPdrjQA3ZkZoQVzjlyKVDgqFbaqS1iG9pBSolhIHCjUHyDQOmXPZTtusZRik622CHFWEAIQhJSBCTUCtLEEEKsLnDIxSbNYOdOaYxpLVj4k8ozBlIJKieRO4ivYVRWhhFnASamebIb9OqIfupIaaKuYyZy5BroyOyrqYzNanqsET0QKOSmjxUdAiJWiO6YyYF9/weY1Wx1Zz2h7hkwBrFnEom56LFFrksKMVwSsmpMqTbU57eqxDjaBnQZkKYBKpzCn0mHcasMd4wM1c5NIcYHnIzPmTPPSKaiDMOpxVrs80sZAzVNjGAUhFJpd82dBA7wHjaNGBcSxwYlkaokgI3ADpP6TbkqSLYBD80BBUInWVtFDJMmZOzsh5NQxITGj9kbQucyijCmu2moZQlx+lF5gzIY4mIYokQyyGLJOur8JQilFAPBJ9HpPOkw0heROpKIVlCmHjqrRSblrSmIrM9mOpMMo6YMFN//YWatPKINNgU1HGFfQTJtENYEJxnsdSMoyLZarElEB0hNETfWzntLohryB5qwlkgziI4j3ZLigpk0UEFw6VCckcWIatO0DbiO9iyU27JW+w6z7JesqMucnOmGL+/QKaRDNCzFeJ6ZxSLQHgMsgB97QzxkdrD2jtsF/oGn2OwGbAVSeKKRDRyJuhNQJ8uSLMGjiJx0Vty00WF+iBwcR4pTEoWHGyg+8DDvSVZ0lGkjok64LJ0/y93b7JjS5al531rd9adzpvbRWZEZFeVVSwKggiBGkhPoTfUQ+gBNCIhQhOClFSqrMiIjBtxO3c/fhprd7M0MBc5qhoIAoSgje7kAo5j3ba1///7uMqEp+dGF/bnBXkqhA7WtPrCvs1Y94VkAiWDjD0+Ck/ZcL0UdqcJF8FWFiNK0oGanqpkfBRkAX1U/McCc1yv1QLoOpi1ZCRHDha6PMD1RyrT08WMeuVmPLKRK+JhsYK1A6WP6BnKrIiD8s6QrafhyN6c0QRyHWiSEnMiPl2wVSY9KK6JcMrYDmSr1FPmXVPYlMgyKnwa4dEzXoAB7u4L+hnIBXUjbQtmypjhjHUJsVAKmCOYLxPTQyb8DB4w3xeKFuwfInlRnIJMM+WpQF9gMHBS6hnOZaTazbQd8KDo5cUFkzJ6VMQa/KjoNaI/R+x3SvqrhF/AaoJPCQ3g+4yEzD4r7eUT1sLsZu7C+1WrPl1hLJjyjB4z6QLjkKieC/KQyR+h2mfun79Q+h5XCrFbcLmwxSBHw/IJ6r6weX5mthC+QPlLwU8V8fMER7DvlXztCb+OyFdKyStLIFwj+1KIRKwYjFVIM5Vntd1Zwcs/L5r8JRyvyhf25UKlF6yTdfdSIkEHggjQEvKJG/ORWQtRNpxx9Lxl0I4tR3Z8JMtaDVaB6UUZO0jDQMtoa8ZSAYGsHT2eUQyj1Fx1Ry97RmmI4lZbVLE4avqXNdGiFVmEE3ueZMczewa5Y2ZDEUcnA694TyOfeeAt38nfcNJbaokvBq/IlhM38oQjMWsLCFYbjDqisWxK4mCuOJk46Q6MctBPdOaRWS0iwkGODHii7qh1WA0a0mM1rFYjhVZ2TGQWRqxfI/YqEesK1WEgUcFNQUQxMxQWsjfo3rBMim0ytJFFPCXtqSSvu+9Adp6jNFgJqC5k4zhzy0iHyMgsmbEtbN8W8IbYKVpBIwutRk5iuZqKKPbF1zZSY/FMRF4qGCYSmpnKXrGaiXQUdSRpUQ1Y6VZzTLHMxnOhohOLI7NIy9VuEYls5UIwM7CQtaMybxjkhqgVRiusmpVLYwJuvTJYVIhSYVjYMlCK8MwG1zqqHMluRsSw9IGSO6ROFEaKLNR2omFioxNJlCTClhkjhi/ckuhQdVTMdM3KcggSqTViWVCz6pMzhoXdWv6TM711ZPuOMW+pJTJeYMlviAePC4E0wTSdme5v+PRiW1mygGlI4li0QqRmwvLZ3qLqEVuxKPRYkFsMgUTHY7klyg4jFr+Z8CaRYyBlxxju+MwrbPjC9EZp9xkaYapeEAIa//96hPx/etzqF7rzQvmU0KtAMchYqHLPjXU01y3xaJCX91QbM3XMxDYwa6BSoWGgwrNfMt3Phb654F454kq7IeqWlhV3kFRAMtXs4MkQDoWgJ6xc8VromLmRBw75FpV1fW6xNLmQz5HSdsy7QGSmYcS/JLgQi5bMaAzOzdS5QA9iPb6eVkg7FjETZi74xArtTSApU56BQ4UcFlQTYanIQ14HhF8M8XbDZAIiZw5iyK+F3AVKWygmYltBbIFREBGSC0w+UxdBPq8GK3vbY/HrILZXzAmkg3AvZCYMFmPyqtA1YHOhkhHF4XWiQUhZwGaQSJgim78o5me4Xwy//faEayq+4549j/yK79iUwh0/AxVtv7D5lLh9PPLrr34iigX5Ax2vsTqz48JiLQ/UDNKABuoIUxmp5cqeL7QYIn6FSmtPbzxGJpwpsIBTT0OmK8+QDVe7I5mGQOJGr2vajhkXlXIs7A+FXRmYTEug58Ajyg4lYMWvxZ7awRaMGam0p5iMkZmGyEaFoI7MEwdquuKxi8X2AleDaxW5WMx7gWDhbwuyF0Jea0SFQlgM5rhmDDQkIi1Jb9Z6JJaqKDe6gAw4HalKocsLpVRc5YZnecWzbnkyB37ydzy7mi96zxvt8VdLVYE1iWwWal3YiHDVmqtGButAZoIs2KzEMVFVSu0GOlnXqg/mHUf23NhbGi5syExpIjQr6FuPih//aTvvP7tqetxtqKKn7s/4uvC5qXhv37CNVwb7gdy0HMva171Zzuz0ROMWrlQ8yJYPvGWjI4WZQWo2MlIQvshr/p5v+U0e6CTDMwwaOLqKw0aoliOveV4HNUSiaRh0SwcEIp08MorjgddsyswOiEW52gNqtjTDgOZM3G753N5zfbbIscJFSxcajLF4Ep323NkzO/NEkM+0SSlzQ/QbynaEbca4lrsysURHdhuewy11VVB9XpM1EmlbS1tbvMBtU9ASGKQj2SslK9nATI1agcqyvPoV35cWZ0+8sjMmX9nYEYkbpo8eOTtcglHTOkHdBuy9wbaRO3ngG3lkG49Yb7iYieqdRUOBIlAKWnmi2hVQdANJhYrA9jJzKBfq7NGnkftvJ/Yy4sQSXiLcxURycBgvcK/EBfovhmP+mmtu+eumIdeJsznwYLYM9ztMXriGTCBifUT2kPzEvgwYYFNOiE+8t2/4D/IH/rZ84Pfzn2hSAtfRaabRhcEIg6nweO555CCZj7zh30vF3Zsf+ebrzxjfAzdM7YK8tixeEDI7eXhRxf0Nz3zFWf+e3/LAW3lk2/+ICauJqtJIT+CBPd/QYXMm24JuFZGEV4vGBGFBKxhzTd5aTqJMXtHawBPsiqWiYgiGdHBkmRCtucqGsbtlkdeUlKjKI2IqnnXDkQoLHHLkcBppXST5wINsCJzZy0gfhKvfc7QHqjKBWkxrWJqasc1onGhfw7bJxMlhdg7dC+Hdlr50ZHfEqhK14krHSfec9ZfufAK9tJgOytsITxPpZ4d+idTtwqekDLPwe93w7hjphys7gTi0MBiWQXG/bimcmP6kVJcd06Vwrnr2oSLOIzplwqzI6EhdyxyeVwXgtcbGwE0t/E13ZNfPlE/PFHOm+fMNp38rTJ8rpjCyHQWTW2KJVMcW/T9rxnymepuJIvwosETDfSxszy3NB0teRuwfLcshU3aOZjRcfpq4ObUUTeifPXwwFCLyKJS/T2yWQt0lkklYhPK+YP93Qd7cUO1m3llDqyNHa0B2tCWR3j/CcU/cKaktdE2LvhsptaBiiGa1Hjzmwj+Ksizwh1Ogzpa5C5i6JUu9msRMzYaBNPW0T5Z070AtZeu5dhO3JpAq4ckqu6pj3MA2ZbYPia2pyVqwruOuTzTZM7eO62ZDzhXz0FEYSQAAIABJREFU6YHw0KCfwXQzw7c3HPVrdhje5sLQV2xL4AFIGb4+1zS+sDwn9saRJst4lwh3Ft/C4YuS7Mx1ge5LYjkavuvBP2T+uze3xB8eSOMdutuh93CePHXfsuyvFC9UtMQfB8w/dpxPI/tNIMQCPxTy1iDLhnm60DqlxEh+zDC0LOcr9SVzQXl4nri/9by+ZNwpM6gSKkMhYy6KdTWnNGNTT/up4fLvIvWbLTkPiK/gh8ASE/MThFcWFy64Y8RuDhRV3lUzVfBUz1vm53XAs3xW4mz54TrzuhPePu+YfohwG6k/RIafH6i+9SQfyB5Gk3i8DITe4pYAjzB/nGn6HfFPPeZtw/BpJv9Us/uTZz5ekVsLYkgoMKNXcHrHKCNLl6migdnCTWJwhqvdM8ov/1n0d+l7dv3PbMxE3hje+z1iG/YsVMlyMgdsOfGt/oybL5zcHVTCP+gdI46dnHgl3zPjGMwW1R0XrjwT/jPHgIlR1iLUUixP3JBNYBLhSV/xgd9wESFwpcWhapmz5WIPBO2JeKZieTR3nLjjpB2TbBixqGQ66XnFJ175n3jge37Qv+NY3rKXM0KiJtEx81aP1IyrUl4VqwmVFxglMx1HikSiNARNvOUDeznR02Al80aeeUw7envPxn+iJdPScNUtQ9mgWGaJzBSSwOAikZ6CI9iK9u6ZaA+kX3m0FfTRA9PKNdyDdH6VPpSByt7RDxDahBiFAIszPEvHQS0dC4NajrIjs2WTB4JlrUv8hlXrKqBe2eiVrfb8bAMX2ZJw1Mzs9cKWhSyOnoZRLYtdaLY9O/9IIxNTcYzSMcqOIXucCg0XOgZ6Oj5rx408/ycDz8V4aul5bR/pWJhVuFIhGsllrQpYMRhNJBFmPGhkY9bNucVYGk1smLiYauXfBWUjC6MmJNbEYc84OaIknMuUUtj4wi0DrzQzYxiM0DJjS+KzPXCh46AzWxO5P1yoZM0kNWWDEFikRTGIJMBSM7PhwiSeT9xQnPK2DJw/jGS9ofp6YroscA0s157ptuZnX5PI7KQgOmNkJLPjrHt6FWYT2JXExmQsCxOZSMuViis7juUNs+xQe2F7/4Xl2nH+uaLRxFgqjuZ31FnZvplZGBgx9KbGCiT5p+Gdv6RjV06EByF+l9GjgZCRK/gMB6PIQ0/5nImLw+fMzeVClR3SQFJPrZatnpl15vX1RP1/DPh6ofnvhcUnJgACezmiBCYCDXAYPPnnQu0ze57YyC2VrKn4VzxykU8821uurLCZBot+Tmjt0DsL5sTWKC5XDEUxRhBRCkJtBkJeKLNgTKDtwMYEYU99momDrps20cI1UXJk/gjur7dweyWOws3kmJ9meLbk70BftZTfFbb2xJ1R/NfgF7PyP12CLZATXASMYbYVk0zcpED8vsfsBP8HWYdOxcHZYD+ChIT7JqMsqDZ4HzDGgC1YCm1ZiArezARdsOoIkoErTcy4v8/wb07clM/8V28VU3k+EanlgVvOWPV0eqE4pZwW7PvI7acn/urdF44oV/MaL5GqRLZ6ZabiCUsWjxZHnsHImu3b84gl8FRumDC0pmfEY1zCicAIPjm2cUC1J5WGoYqImdlwwmkhrKNyzFyYviR2b2fuOXFBqGUF5EYCVzYYyaiJ6NbBAUydqExiKAIpc+cjvVxQqdFy5pWZKHSryOZZkG6gee2IXybydwaPQ/41uLeFWgsVPUYtzVWYP0KhI7knTovlRMuT3eBMotaevX6iuC+Y3OOkcJsvGBo+ldf8aF8xiqNnwwNf80DmM694mxL2WQgHUFk9gZsys7ELAx09ltEAMlExYuZCuoDfO6oy0dmBxigDNZM4ar1buVDFMPGFUBfYg/neEI7hn7zH/9lBTcgFnxwilsdWOAYhSGIvz1TyiPjCIh2D7Kj8WpNBQFUo0pDYECmIWFQnbBlx1hDlwFVekeQ9bRRUPVH2ZJmRJDjS6jkXQXLC23WXIZqWQWtmWoTVY+81v0CpVoe8mJnF1yzGc7IHJmTt4Ikl1oVTXrgRuCsLW/sM9oSRZ4JAb/ZMbscrVzC1giquCA3nFVZmLE/c0aFUacCamlmadQcgCrWCM0qsLJiWOV2ZKsPIlpmaxRnyNvMYHBMtiSuJCsUiZWYxZv0YbxaSA48llAhmXm86I1iJVPMFUzJqPXap4NQg0wOaDaNV1CTQnuQXcgVj9AQLBEMollxgsGvVqeCxKqD6AqtzQF4XOskSlpeqmgZsXOXzyToWccAaMVtMxWg6DC0qmcSCJWCkweuIiuO5PvDFvVn73HJmtC1d7qmpeJQdF3nFbHcU2RM4E4wyuZqjvOHMgc9+4uM+ULUXpBRkCZgcMGoQlIoZQ2HRlaz+qnxmp09gQc26g23E4FWo80RjBiwTxSrZCCRFTIRckOxgDjTDwkX3PJsNV9kS7cIULCWAf1kYCVAM6xQ9ZxIGNTNZ8grksls8C8ZEKsnYEimygM1YA1oMs+0YzCtafeKaEyXc8CA1ewGVhnqyuHjLsxgeKngVrgSTccWADOROOdtMEI8U8DniMBQqogSy/PLL2FOTCdUKYfa5hjlBcrBsqPOJY5mJdsFlSxMFU8AngehgnpEJrAbqQbDXPfvLWjvUuUV5XuuDpWH2mbjNnGblFZnoaqK1RHNlF2e6wcJYoIwwbNnMQskVOa/XeTYzFoWpxl5a2nKhmQ1P0UMxBBpC6alnxU4em4WicG4GdLtQ1RZQTCpUMRMmv4Ik8xUpHlscToRsE8V4rBrIExjPYjZEU6h1xNkVfGkRJFcYLGpHii303lOJcNnCxq6966ig3rMYIWOBvE5CLMSmYKqI1cDClou5YW+OdPkZkxxTLli/cG0z5065DRlKWOuH1Y5LA3tbUAsxtERXqOxIZWcwa1e+p2Wj8QVmpxgKxiayTVizcLP8xLt5pJvBhMJowGDIWchGWVQgV6ideO4K4bBwf1qVjhZLMzYwVEgu2KUn6KpEzK7gZcLIwAK0MWD6PewL/WYkxxE0Y4eawyhUS1mfvVlYV4ojSRTxZoXWLxaZPH6xSAwIERRCrLFDBklEn6hVMGO9KpudRYAsQhahHhV32kBVKL4HLGFRooksLQwLbCwrc61kdkvEmvXZ7EvELgYWuERDbVYwvsRmNU/MGXtx7M4WfS5I4yhZmBFmLE4Wos84ramnBaJDJCMlUU+KnTfY2RFyDyUjZv19y9Jh8kJRTxQDZsB7ZWlgckpVhO2cWOz/AwP85R43yxcOw4ryReB1MzOheDkhkjjaewa758qGysws0kEpBPNE0QqfJ4JxiExIWcgSiRrZaiDTUsRzJGH0Sq0jFQuLVIzSMmNQI3h6GvWgsIilOE9SQ81EIRPFsFjHTMOshzWVQqTmSMuJWi80cqTTT3Q8simPHPRIR8/ZKFlGbBGaEmnMhfUV6cAkrCQcQpBI0OmFkSDUTNzykbv8ibPuUOOpZYKUqeQBK++J6ujNDVEds25eQMNXWhVKWY1HkwQMLVYKxhwx3oCxzM1bcIrkcU0u+SuFPUqDlkJbniA/47Ula7faRMVi8qro9VwJOYMMLG6mzU/cMNHF9KJFLSzOE01YgcXlSmDGlYVAT8uWuiwUU1i0wYmn1plRepALjX7gRn8g6ExvNozUDDZgaNYUsBkZZMtIYGDHJIGGkcB1rTvryL48c5WWbG4RnWn0iNNq5T6WM40WjNQrVBiDLxNbM2LLvMbwpeB0pM1XkqvImhAsyS5gZsQsGJvWFDIXunKkZaLWyJVIJuJ0oi3KSIXowEZ/4k3pMSXh3JXGjOv/Ky1GLWoKVT5Ra6STK1fTkiSiYqi0UCtkXXg7f+GS4cwb1Pcks7zohFc4MmoxkigGJta6/bqJNxL0ipRIcmtUwesKThVTSMaQykJjTtT6nl47tvqeTp+p8kibZ4JbKNaw0LLQoliS+YV3MF+OKIqJjjBa0hLAjaiutqVRWtS/WKgVXFnhtiYJnpFsWqJ4Cuu7KphEyIpflFoLVia89lTlmU7OWIksCAuWRGRF/cIa01VQARWsFdwLYPbBOma7CknqGWzvqIrFmBEV83IFVFgiXmYUC9mSDGinFDcwWtA6cmMv6PO6+T220EjG54oiBdGyfjPaQCkRECbnoHYsfqRNMzfLgrMzopHLLuL7iB9khS/bleFDUJZgiMYR2XOmwtRHgkDWHRMVJ8moXxNlFsGoIeIQaYlEogVfwWIsg2xI0jCzZcCC1CRqYEZLxKld39G5x8uOoJFalbO95x/5b7j6ljPfYBg5uQ2VB6uZqiyoDRgRjI5YyTgHoiO1NGRd6OvM2LHyRLVZRT8iJPEsOFQsMy2jr7gEQ1dBS8YvK5S4FzAlsRbGOow2oKuSXAiERajyQkNPTcDpej6LyroOZkZ0YvHrxreIIliu0tH7CmFgxDP7DUqL4JitZ3GG6MCZAcyerAKuoDlSrCOZTDFpvX40sEhhbGDjM0YsBjBm1V0bDFHsCjynQtWtVTmbwYxoHjEv499N/kJTFgY2zASSGLKBSSyT7Bl4zSwtGUVlvROMyKoML5kVnb0ygoxxZCkkC3PJqLHMBC7sqaSQNSPpB6BQXKHY5Z8cyPyzg5o3w8IlB47WM3xVM4vjNSe+kY/s9APTitvnIhb8not6klg8ha4seDth8kxjRorE9aWtwpIy2U64HFkerixW6IvHS0JmKFnptXmhhgu1XDFyZtTAY245u3d0+r9yp4+0cuFqtiANbTlRxGC++S0/mchjNNTVSJYIdzWuCjSHG2xz5G7O7NrExPpxYOj4KB7Z73ijX6jr1ciTLs/IZiKEiDLyFCui9bzFUZi40tFqSygGq8qXIfPmRplTofiG+uuOD6kma0e589QHeHYFjZFAYS6BIe7R2jPIgv+vt6TZMP1PH2gwbAOYnVIfBMWQ8JA9nEGrmuEfFP8/P1FZ0D8KedMhbAnuCjcDZoD+R+j+eA9tIE0VY1po377hc3XDc2rY2Ug0wqQBloD2J/Kg2Gew1lL9lbCTK10JuCmSco2oo2NgUxJRaib2pLJFDUhW5r4wvG4IYniyG2zjeDQBO02Ypubk31DrR85PAz8cfsN39sBYOgI7Nv6BjVyJcs+peIIEhimQ3n1L3kQMM92wZ/wg+GjXuCENogeWJGzdT/zB/oSUzHvuuR4St9WPaFhfSrsysbGCkwfStuOy1HRzRLYW2cL5T5HDdwY+KJdz4kt7z2NpMFVFlANz7XFuYsuRoBmTHZu2wtgZYeCgEwMNk/0rPvJrRN7ztXxCdcsXveVihenG4KfVBSESmNMtJzNzWwp5Dsx1xRedSdLy+B8zz5vI47/+hlJHWvcBHwqurrGvZrrXmcegyLjgyLQmUzStpgcg//LnNEzvEs2fW8wVOF9Jpxl/Bvn0zLtzwhvlq7sRyYZ0zIRvCjb2lBHMDBwn0hme/jeluZtgzpRZYTjjKs98zWgP7iuh+iO8OYCtJuZN5LK1RFnYHAukwhThesrczBNzVdA0cP4MrQ20mwvnS8b840fqnw0xFNpUcUiJ33uhoWerirMjaZiQUbHdhsOvBPUO/l3m7h3QrUB1IUK5EF6xns0mMl4Ue1GGeaErSvVb6PcXnu8rSgWUmWeER9my5QjO8PqdILczEhT2jjJ6Xv8OfDNRhgVzANPCTWNZ1NOkRP0q4LYT3WuQbUacWXW6mjj0GT4XdktPszPwtmLvle4MvFWMT1gUjTN3XwXab4WHX2/4sWloSuRWe3JIHAt8CTWzFLZh5PBNorEgMqGvPdvfLezC93i7pZsX3HVBfl24u4GRRNP1bL8ubBSkXwg3kXd/p7hf7Sl6wPybH3j+PnP+05X2v800B8e/cLBpLPbNmcP/AP2rZ4iWd3eF8OnK+d/2uP/xlvb3AuVM8x3wv3zCz0oEqhsh/rAuOsy7me1dJlcOYwUZZvL3M/YDoAvf3lf8fBrxzxNilM8PiVf/coOeM/rnEfmNIH5mD/SD4n49YbtC/Pc/0HwLshPYPWMbqO8N/tuOLljKNrLYiaBCf1aajUPuBsRk5GeQCdyz8CYL1aMi4wXMGckw/wX4izD+Rdl8k9n2lmfrsCS2baH+fQJ/5Xgq1NtH3I2CnamOCS4zpQfvVpsgB1j+ktE/gf9bSK4ws1ApyD4wvhqJLrJ7WAjnHrmXdefyF3xISYyfoL5CPoC6K7ddA7uJ036lqj2x5x/MV4TmQJ/vUAMb+peP6poLv8JyIpjLmh4RjyMROFNo+SCeg5250chBJ642cFrLQVQc+TXPeHac6PiEMCEYMdzxmWG988g4nE7kUsgqOLlyIHPHMzcM3DKxfeGvvLU/YYvFyEKWHSpv1w0xE9jKAihX41mHPRcsC16WdXOAFlImuJmDRN7JlVdmYaAmZ4O+WKYOcuIqez7gedKOKFuirh9oe3nGaSbphkWURUeCFKzxlB0Mb2raE0yfC9ublptDYS6R/mxIveCalkP1nq/bz5zTLZ9ygAK1FHZ6ZasTTgZeu4GgXzizw4Qrr/LE9qmHqyI3Qt3uuGogS6RxhR09t+WCMQ0qmaNYerH02tLnDXszMZFxTnhNz1/LTxRdGLlhZoPoRJSFsXRc4obiVqr/ZNcPpXu5IigjUFG40xmRgFGHF+XOPq8MAyqCecYxr9U4uSFSsTMDDeGlXm+JCI3MRDvTl4LVQggT7u5Mg2eaPhKqLZkGL1c2csXqyG2ciKbwXjytWfiaZ3wxKF94LQs7MtZaXsuRPX/hV1aogSstIzPqPtGgUAIDI7+WZ050/CQNX/3xhnv+wh/l/+LMjv9YzFpJ4sjbMvK1eSZJ4SJ7rIJnxvKMMwWPYO2MY8LZjCC0GnmtzyAXRhGeCKhsucOx2c68+2vhd/aRX/GeX+l73uhnymyZ3Q61gWQck1QM/wXUMAEiAouSzoVzn9h2YKxfgbXS8ZvXgVKviRTBIFuQY8bJhUJDz1t6vWGUkbH2lFvBmgpLodHIgYHRPNEyEeQCkrngGVpD+1VANmuJKWmLxxIxTLRks2GrE6KRJJYxF7SHcFV8Wu+ZQmAxLb1UCFcaDGCJtOjWUf8OzG88XZswbUbOio2W0CvhXqATiB6RieoAZjjCaabpDFPnuex3zL2F91f2+sj+k6B3CTqL/+sN1YcIn2ZmZ6k2NWImOCgYKCizbPjRGv7wr96i14GRjiM1wY5M94HqBtRCVktmQy4NYz6zDWuib/aGT3pLiTXnquWEQbShLxuyVWwXMHcNfQeyh2NwjHgU4R/4li/Rk6eOP29+w23+C/ntG873MNXK1dSM3FDUU5EoJRONQTFYElkmut/eUOWfeAqWIxvO3GHMjqt0XNVTtOYkLc+lYTl4qgOUKmNyRB3MxiICNRGjMBXHxXp6HEu2uFKQuGZAGzJZlbVQWeEo1DJRucibd1vMNwL1yhI6S8d7WqDlJ/EMeodlzwVhomJuPGkH4W41jJlDxn7bIzkjv6ooh8TsChc8k6lJrwx3/wr8biHOnjBF9v7KvXSMVBxpeZJXuGJJqSEj9FWFcOTefuK3vEMZ+Nb+zFd85HO6pXGvmG1EbwqDg1OpedIbBtlyxVFYqGUiqcdhEXEUibjW0uuKV8lUXLPiTcS9iG5GIpNU6NwRTh6GkbyB/FX+fzeoWaxiyoDHoxxeMgsXiiSKtviSafNCZcAYT5aEwVCKQa1hIRJEscVS6Qofupiaqw9kIl4LPtX01bLu/ubVuyYvp9kXi3uB6Ro6KLt1p0iuTD6DZjxANuDWHyuXyFx7vjjhQRsKW0x5RjSCFoydURchGToEp4KoQYpgsrLYQnSrCtPGSNGOpiyIgnOBq2moBBJQaNaTYSeySUwIvb0BmSnGcxLh0Bqi8eRSca4MRQyjdFSlxpuFbAvJCCFnRms51ZavaoMBAkp2oC1kJ3iFVi8EnZEMkgWTLWYKSJwpaklrUxhg/bdASJFsZoaQ0HkipIE2t6S0YXaGScpKz9awShFzxmRQ41CjFMkskvGSUCqWcmBhg+EJbwYmKqJUiNYvUbeCKYm6zNhSKBqYxTNyIMqWmROzm5lTpmjHIh1FzdpfdxlZg2JMEsmUdRrqap5ch9iOOivohGimZIdFCfqM0RtCVJrK0TAx68SCIcsavXTMJEksMtOieIRiAtEZVApiCsXmtQaCrvpKc+AiLaNUmFJhrFl31NyFwi1KIKkHKTijBKAmMVFIpYDxoBVJHHF99OMQMh2zsUCNJbP4iSsNrc9otiQ6MJZiIwXBpZakG56tZwyWrSoFRd3K1nEoSQODVESXVsUgoKw1uF/6McmB2Tuyu+LSysRyBbCWFDJZwWZPqi2DXWhwaDFQXthQuaJES9EBxDK7zIIgaQsZjE4v2lJPDGaNwBeDsu5E2FzjlpFiHZme7iURo0XIUlPKghpHdAEzj4Terls+toe0ajOvJpEQDgRUEmDAFJRI8gXxlqmCWK+JCRPBLrIypAIQLWpasumxCrNkvECTwS8bou4ZsQT1iHSr8hePyIXZO7Y5gMxkrRh9RayFu06ZcuHkLJVt11QIlt4aYhtI9YJTi6TAKHecsGz1QGIkiZAAox4RS7aWq09Ujf/PAHaXiUEYvSXaillq1NxR55adfmR2a10wScMkhmhPNMaRMRRviE5QqSi5QdUziyOEmdHBhGW2DZtqILuMkwLOcG4LN7sJbWaiWKQIqWR6I2wc+MKqoK2FHNY6eXHKsYHXIphZycbzUBn8EniL4mJ+eQ5CRUByJpcEugeewGeWIITBk6aIU4M1mRgivYWNBKIok0+Im1FtKXHEiCVLZrKO0WS8UVwBN9t1xytMuBqydUStCbIlM6zvqwLZOJKJJByFDZgLlRPcbNHkGBleFKUBm0A9SAQ/1aiMlCJghUoi/gUWSWNADT7PEA3Z6JrqMhkrdq0EqlA0YpySKiHZTCWFkiEbYfZKg1BekrIqAzFH0n8Bz6L9NJLSmkpwSWhipq4jS1aMWiwTVmrQlUGTDNS50JhV5FlkwEohEHHFUhS2slDoX4bqNzhdsIyIJJIYIrqmCLKQbEcxAswUlCIdVmGnhaMFq0qFosVQZEtvDozFY0lriufl079Q0OWGO5OxZk28GXpqtVQoSR0+LWzsCUSI2pDweFMhkrCMJK7MdEy20MlE4IrTSMZidcGIZ0GZjbDhiUSkYotqJJuCyoQjI+woGshmDc5nA5GC04WWgLXKVO9JTWYKC0NITDqu6eECxYFhYCMDk96BGtRBdJYkDU49tSwYnggy0LJB8grlnz04B8EKhYSX9J+Sr04WskCmYOgJPDKyJxmYZcCWTMgBkxOV7WnsAxPyojNf2YkLLRd5TXIj3iqltBRajEJFJosw6WoLy6bHSkBQvCoOxcjKfQpr2Z5MYpFI1hYLRLniNKMvcADLquCeJaK6EBCMeOZisNlhRJglkwEUTPFEccxUL2ZKociVxbaEbNiy4OQLRZSGKwctnBnY5wE1sJiZigs7hKi3mNyysRljFz7JFsuGlo/s+I7IO5qyoZU1hWy00PLMjOXKjjou3NqBQRsG12I50RaLE8VoAqkQwOu4QrXFkMS/rDdPBLngJHHgJ5rcI8ahrMyRbCzZGhRFpQC//GcRQKCioJgSqaPBR9CUCBSkWHD1+gyKAlGZ/MpZ8+jLWlQoIhQsiCOXdU2ZpCJpi4ijKQuVTJjiELOuK7IszCFj3IxjoUZXoYko5SUplthRaaZhIJSyflfkNd2eJdOUhMYF71/aCcKawDAj+LSmL0NkrhPOW5wxqM0UB+v6KaOux8weX0BKATUYMURbuIRCnWrqLEhMVEsCgYJj8kowMyKQVREfySajWpOMoLJQdGGSLdkpYhdEJqBdv/vEvqiYPRlHAlRXi9+CpZK0rikF1K5qck9kdQxbFCGahegKUqCZHd1sMJUyA2dek1yHeriamq2s4gFnoKhdN/+pWcioUUz2RBp6Y5moGKVicY7iFDRhCai6l3oZ8PI3GFbumLz8pMi8LhKKgHdrQnYtOZIEFqlR3RNzx2IctVnXU3aVblOK42prLMIdE0F6ir9AUPBKMoVVEu9wRVBbMUuFxaCacSorj6gYNFVYMtnML+p1gWJRDLNZc46zeDD6YnEr67rfFJJdSJLJxHUAxwmRiYIgCFbBSKBKhs6cQXo8E6iSjGFCmDCICJId6iyLtZB1XVdrhc9nrIVJYJKE1YSLFqVjEcdMwdMC0yocz47sahb5v7l7j2ZLtiNL73Pfe4c84opULx8SoiFKkGVtbT3oAf86OeCQszbrrkYXugrqAS/VFUeG2sI5iAsOaAZwUsYiGH8gT557YofH8rW+NZP8AKzfszNZ56o/c/1Foea7ZkPjhcYWAkfe8IWWI9+UJ6q0cMoNzhc2ckCk4awtI4Fb+UJrnv8if8+v3C/4jf3PfDl1/LT6nm/b/5U7nqgxCA6/O+KjoC8VlzYb07jhueyZ/cwb+S3vyx/55Dq+ynty7viJnbnKngOvWAh81bckHJ1e2ftEnweGXFH8PQdeMegZuxFsVCbXMbmZ3AQeuGNKLYs4YhCMmYDDq3GNwsZ7LCi1jKhmPEdu7ZH7cea2XPka3nGQPa+bSFN7eoHQnRg10JSBXifMwaLKVXue7Q1qZ3oZuFkNfgiRXp8R9kxiLPUNS4HPk9CifLNfoZ3+2cPcMhAZa8e2dxSbkFaQnwnpB8ZQMuUFQPusnmNoiDtHvBfGRllcTZKaycHr/YzKF+bk+Og+8Fv7d3x1v+Ste8Y3I/pW4Tiv3e6tYrLjFBNul2nrj1SiHAg8WketgudEJU/cPTX430D171rm5si9nrnJX7lSseeJm3zkZ/kzrS0s2tNq5hf5DxzcHb9jy2s3ITi+lm85yk941nsWmbCQOFIxyw17YCoLcYl05cw3fOKeC1+lcPRGkAQW12YDPCerubmAnDM/Kd+zkwuLu8WAkS1VcTAAY8Y1ns2v0iJ7AAAgAElEQVTbjIZEmD3z4HmSb7jols6eCPLEUhmP/i1H3lDbTEoH0qwEe9kmWEdaDYTrQ7AYxWoW15MRlIWJwMVteHI9sHBrJxY8z2y5unue2fAufcQtJ5pvlfKLhW34njf5Qj9mYgTigrnE5abiO/+OunzAxZll+R0bF7nnkdf2hZLu/+rruf+b/YKfns7cPhzQKRPSWjPpKqXy8HoHxdaGMhbguDB9Yd3ACMjFIafCcoAoSqWw6YFpJleJvAdzghjoPxr2HfAfKtQt3D2OVDZRrHDo3jD8OLDfnuHhSnMwrltgBPkYqf4YWL6OxH8S6ksiN0a8QnpeG35unOLmmTwo/ovBDqaSiAJtEawCuYUSWuKnA9UcVidLnGhUyC5Sv1F0EubHTONX5nQ1RzaXZ+o60lphyhe2vuMsG85kXjcbXs0PULHmpgfj8y9h+HGzgu6GAxxH9HPmrhrpNoVGrrA4rl8KUq9i7YRwJ0YdFqqd4bOQp4Q+1aSl4H4JNI4SA4VMnwoPv8ukDw3BHBsuCI4rFWKvXv66LTMbRr2Sa4F2hNfAbSa1xqDChcDQL9ztI3IVwhEaFXoPeTFShrAkOArNRRAC1CfkLYx/LGzvDV8tlKpBbgDvsKvBg9A+Gz566t8J5dmot4L+IfF+N1DahA/AvUNmo94UuDfiByPuoGomRg/uCcLvoVzWlyvpMzYK8Si827R0oeBL4SZAOhpBJmhApGDS8vm8UEzpL4afMvK6RqcCWw+7THktxLuJ/NlYPhb4kccnwyzRu0KVTgzs+Lh9Q28V1eUTm/MKsZ+csYmgJ2H8aFRPHrmWtS41OuLJ4yK0ONQyRCAY9QZISn55SfJiFInIu4DVAq89bBTdGG5fWHbCglFFhz8qtkCzH4ivR+ZSaE9QHw3+47/BAfKveH3c3bH74RPtEsl1QzIltYXR94xyg2dgIwtYz8AtZ3E8S8d7+UhrE1dxFKlQhMlVXGnBAq70jNYzygbHwtlu+ayvUB0BIYtn8R2Rlpg9V615kJaz3BBZBb9UOmYNHBGetefIhjEVIjAkQf2Gj/yQ/6P8L3zK76j9mc/yLU+2YUOhw7FBuOPMrJnkF0ap2duVG3nkSVpOONpc8Zl3FIUNxk1elx+zVlzwpFwxyY5RAldpENYhu+fCK/2eB+m4sGNiR7DCmYWLbvi87Bn0Fh8cs82croH0+T322xYdwR8csQRO547sobIVMuuSR8lkphcQ8RWZb4kHx9d+T9jdc1cbHSv01Eg4i4zOcelqbuOCaKGyghpE1ihDXEOYTATOUjNoS7aOjgVvmWCGuCtXzfyKv2WTI0UXfmV/x5fyI3I20MKl7BlS4aaeaGTgM/f8d/4WscAun1EVDu41gmO0iglHpQcSa4TV58QkNaMK+lLJfaUwSk2iYRJlwbGxM50NvEV4K595sltO9p4jHimJyrcsY0fMNZP2fOk+8F/07/gsHaNseKbjE2/5zDdcackYnwiYRjZcGGj5JD/gZDsW52g48cP1tZeAUfTMVTYcZIsncM+BCqFmZuaWTIsoRKm4CBxlw1UaHAkrMLqWo64NZQOegnGQHWer+IGM3JQZK8KTu19bz2xDq8LVOa5sEXMoF45s+Kjv+GRfad0jnZ4JeqAtq3N8kpqrNn/1DXQA/yg/wW8PbG8+4ccMS0V5jrjribvGIGemBP2LMJq8Ebwn2VsW2THrjGA4dpydsPzEOFU7fuv3fJF7ouiKj6B/iVtf2UtDXxYsZgoNJoHAwmKeWTYkNlCMRSP3TOxtZHDK8LqGvXHShgNvESn0/oleRxoxbpkppmxLIX6CfBDYKKGDPCl2CMiguG5ZNy6VYLrWP9udR4KszJlcyNcrb91CdzSGi0Jb0yxGGTJ547mGjrZdqO4SIQt5SLAPXJqKjHITlVIKwSLhrLinQn8XqTQTilEvwjJAeKXEKpKYyWJEp2CCHKF/zty9urzUW7/lKj3BCa2cmUgsVnO9D7R/71h+2vCxbzjIisNo8ezKTNaCoiz0XGrl7p3CvuIgnq90HOSO53KHukgnwzrDiqeI4LOj/t548/0Tf/uT39K6Lyz6ns5uGMtrTJQtT9yeZvI/zujBoTkgx4ivlSIVQ9NwpWWiAdaCg6QjVs3QGqkJjK5mXilenNjiLbGTTCobTmWHyVfwq6g6lNckd0u2K8pMSyFZx8iGhNDKlVoGygbidmFUoQoV0k/YUOBhwr1z6FbYcOK2ePo4owaMM1IK29oxmq3R8pLYlIXXXHCcadIEyRHLLVfuubqWBaUtgQ2ZDc9UWuPME0qDF2FwjqtbaW01CxUTRRIbOQOJHQMtEfMJ6wrZpxfE+omdPPEdb3EEWim0rKwiSCwUygRyVtzjn2dm/UWh5ih3VDJSlwXNmRs30TFxwwm1QiprB3mjGaMgUjOTCbJQl0gviRMbnu0tvz+23PcZX0Vu7SuveGDvjtT9jJwqFokULfgZ5rHlRI/IhW945DY/8Rt3wxfZkyRwLyPZwlpubDUn15NQnFWIZIJfCDFSk1Bb90707sUd4njyHZew54u955rumdxnzCo2k9BXkWq5MqshUuF1ZUZ4l+nLhc6euL9c6O1M7j9wpCeHhZs6UAm44Jgl4M1QDF/VZPwKDpSWrV3wzC8quKcQqVwCW23NT9WGWROnpHRU7NoIKaEDaAos1nEJFa86tzqbtuC+8aTXMBRZWySCMuAZ3Ia8qSh7OAbjIg3iK6xSXF3wKsRpyxf5lj+4DzyzB/x6o/ce5tXuph4CgafZsLqmqo+sRuwPDNzTliNbu3DSI2HKL+yDhlwZfkUZ0uWKW454rdgvT1i6kpoOk8JdvrJ3K9gscMSRuYhn0EBLoo5HXpcJp4WrBRyeuWRyNNp04RsuvLUjT1ZYxK8oKytkUxIVPm/QM8jFuLcLrUQeTTjJQiyKS4KMUFImbZTwDqQ2iEaO682UyXjLiBSSVpxtzzM7tpxIRZCpkIpnoKFhx0D/smOoWaiZ6ShUaDbEC6N4BtdzkC2C0XHGseVcWk66ZaDDAzof6G8U917p/QNvyxPbaDxMhhZDBKyqOcotXiq2BmmIuJzoWXAyktyfp4n/tVx/tNfsz4X+uUXOKzNhEcOHQq1w24LmQo6KJcHGwvJY0HUBgr8YXNZq6uKVEISmLRBnqDJ5A7Qrk8r9WrHvWHPeIRHOERXhsqt5bDdIPXNbX8BP2NWo2gkdQB4L8hn4DvIfPbiaHCfiCcpB0FhoWcWgOAi+CIyOkhdKcSgBmoSKkNVzOUJdKoL3lHGi3BjFJ9qbTHxomB8Xogc8SBzZXSPTzbolqVyiLRcu0nLVRKoqcA4LBfMJTcrwP4Sb/ga2IOcDXAr6bNS+cF+DSoRcEb+C7vxLbSsElKCCduCKIyehDEp+NPi9wYdpZbig+OSRPyZ4ckipgIGZwqId0StbHVZ2mXqkxHXoChm9ZQV8h4RSKJYpoeA3wADVGbYOWsDGFRlkWSAmgoHkgJNnmttAEWPXl9VQ7AVtwaVVOJODYRew2bCPhpyFnAQ5TNRfE/FVprQge4+/KLEt2E2mujNyAPxqNbZDQT95OK/bMTbArJRz4dXGYT4hxegEuCSsNYoHUUFKzfU0UGWPRWHKQtU48pJxUsN+hNcZaxX5ksgfDUpNzolkBk3DBIzOc6x2LGlPN31lM0UWMyYHBYeeK+SPM/LFw1BBNaNZYXK4Ap6CE8OKIVXBtQbPK2NOytqwmQH/2sh9Id1mbBOwLuG2kUWV4iq0rIOtTop9HWl2GY0OG2Vt1Pg3OD/+Na+P7SuaesRFuLodya+uuGO546CvgTXnP5WKWXuiLDxLxzdEtjKgpSNqQMkrKwNdv5OXxpsXqghj2XMhsMhqba+YCHhm65gIZComOgbbkl8CNMWEaBXP9Hxlz4Edl1RTZMucE0Edjxr4z7LjP5e/AVWgZ89Hfm7/vAJhs7LXI6OmFWgrHpW1yMFRU6xhKo5J37ISB57x4sgEztygLjPZjllvmCxzFI+j5yLtC6NAqGWhYaKyjpGaUQIDOy7LluT3FFeYzXGetyyfAvl7I0yGlsxcKePVkxujloIo/ImrlygsKEUzlEQeap5Pd4SukOsLb/QzrXmyeQoVIwHxDRoumBpRC0nW2WEhMFMTpWORnsV6Ij2LrMyYlgVzkUJD5o6P/Ij/pgnjyh/4EZ/5EWZGS+RCxSELi028kT9wZMN3/IidzAi/XWcediQCV2qussPbQqGQWdX4M2st95YrjsSCkTHqPOEQUEewwl15pmcgypGPNvMv0nORbp2Ng+NyFZbcMtFybW/4Tl/zjIA1nOWGQ7nj6HYkHE5mLjgqWRssc8mM4kgGQS9s84lbZoJGkBEkcpZ7sr1FgMoWnuVMwiG2rFBlO1ExrnMUPRc6tpyBzCKeM/XqWDBhpOOZV5xM2cmZnTyQMQ5seZCewbZULKjNK1JNHK2sUOcFz0G2XP0eLxOFhElCLLDYLc/W//9CqPnIj/mp/x27/iulipBAnjLNmDECusy4DKq6uopsRhxU2aFaMbm1UbOxQPKF4Z2RqpZDdhzKllbX1crEBnGFxgYKC70NiEUKPX8qI16sEKVa/90cKSLUzvCWQR2pEWy7zunR9sBAIyfuGCgm3JCZgJ3B/CzIo8C+QG3Y1wWugt05yt6IzvA1mDN0TsiuJleZQqE2wZ0SvSbcVDNdBbvzlCIokEvEqDDnoImEAjkWZvU89Z5KjNtx4bYY0YPFFRRb6bwu2As4KuIAsihEITYVkRZKWt1LF8ENnk4mipxR7nAIvS1UErkSmM0zb4Tm54XLD40nDxMVJo513TThNVJIzOpZglHfQ9k4rtJwYcdgHRc2eElEPuPLOhPXZlQF0lOhvhz4Vr6ySM2p7GgQvAWMRMOV/TDif73gTx5ZDLsaIrI68MxTqFmsRs3oWVaHpwxoY0x+TUwsGFdRJglUJdLpwoNsGaVjbAJ0kJ0j2ZZCIGpBRKlQOkuMGEVa+rJKPjkYZetILtHUBrWt2I/DQj2H1fzDRFsqqpRXZ+UyU8xoKvci8yoFR21wawNiR5SJSYUL1UtF97rc/9NRULOw4YmKEY8SRFBLyMvTTkRXHi4Fr5EapSVTsTY4Fr+Ch705uhem716eibnFW6JSoeZPIpJgc0HmxDzBn6ta+ItCzSatUF/JjmYu1M7z5Cu8RDblSLVMaF9W+JtlqnImqrLQIqbMuSK7FqRFpSHrHSd7z1UzlMIuLdTPsEyAZAoz5mC2mkU2mC14/BrxAYxEVOOMcifKZBVZ4wq2Eg/W4BgpllGF+/JALr9nLleOusPqjKoRqTmw4yobkuyZuCVJz235SLskglXEOKEF6gLJeqIFkm3YTJlXSyI4t9rdLKHZYBEiUNp+HXTFoXiY/WrJ8hOFC0WueCYaaaiYUfIKXbKZZBsu7Dj7ARVjz1oNV2o4dYUlFJJs+MqefZvo8yOpduAdMoMWobgEy4KWG656z+AaJMyoTQQSkiZg4sqOo33DYu+Yy46ze8WFG0QDtdjaSzvrGruYBMxhYUOWBsuCe8EA1otjx8QmDMQyEMOyxgnMI7bFicfFke2cue0yDxaZQkBKSwkdiyzgaop5cqo48JYdRwLf4coFpxUqPcHVlKyM1lBRE/2EemXWddCtmKjyRNEGoWK7ZLyb1lF42aHPUL8VsvQMrmeRgGemLldclaCG3MElJKpOCArihEk8V/OMsket5sx7ojzhCCh+VUR9JLiXemICiQwkait4hElartqR8LgXDfkgOxbdkgg4YDYPllEpzJawFxkPH4ldQfRMk6+06YxiBLfCvgWDVDA8o090/YwbImZr1vUsPaP/K7fTsFpVtcgaBcrri43zhrn171+i4IqCy0hlSMiE0rDIhKuBuiYvw4uAWki6xl0szzgKKxs7UiwgUchZMOcxKWgpSLjhuak4B+M1CZ8NipEbW9XxvAo7hBWwSg5Y7OnLmXpQdK4RW62UwTx1DhQrayJ7hlhWgbfIjC8JyOQGyrQ+gIIK2Rm5AtcLufZ48ZQCUyi0jeGWjCvK2RujZIKxbr000cQIizBtha8usJMen4VqCoxNJhlAg2aIKZBSxjtd4y5LQIcGZoEmEkvA8h0l/wGLDjMhq6JRsQRgFJdQGmQxWlvFnYsJiwWu9CTpye5CBgZZc+m81AyToTglvsDNe4SlGCqOooIfAz6CFKPMBYaKKtrqXmtXGKUNAksNKeLcOnC4LKsF2YFVGUkRGVcBQZySEZwKs3dISKvFdf0z45rIvBi5AS+gi6OfQY6etnOYFcRqmDOUBZxBdvhUyHEF6VkFRHBFKM6Rw8vZXxwUyCQigjiDKlMERFe3Z+kztQXc2BJeQNqmBY2AOI6u4VRtiVJ4lSaqnABBjfUZHiMaoZ5BYwexRuSEZkiLoUURhOzW6J3ThHpY7QUG6qBScptxVaZs4BKMxkHwNSYLwdVE85itNcqCQxLUp5ZwXDlPp33k1f/95v4ruy5yz2Anit/y2b0lysAtF85uw5keLzPBCvP6jdLJyCwDVRm4X868uoycmg1DG2lKYptOxNCxiKBlYgQG7ylacLbgJaEkKoOeYX1xJ2KcSbaCD88vAkJRWM34AU8AHFE6ot2RYiSEM7MaWRvqNXCEZSNryyQb4IGNTGzzGfSlaAD/4thxUJRaKqKbGGQHKB5H6yOOTOFHnHlF9jWRjgHhmR6hoZWBgDHTcpa7l7iA5yJ7JlpCvrKxE4N1iKy1tidpKLXBJpHjdRWzY0WTHNmU7ApFDJKQrGGyDZPccPUeW41K2LIlxZpDWfAM7MtMqwncQjJP0i3ZPxND4upWoaaIJ0vFQMtFdgzsWdhQrKbIelaYrPeMlVtkviNXIxe3imlCjUkiB8ewNKTcUHLBZI0RFnquIjzyitY/sGUFwC7UHGTLgVfUxJcQ9QqmHGhRMkkmlIyaEYj0ts6RWhom7UhS422FIPccqflCJZ6RjsyeTEZYGEvFoPcMdDjZAlvyizui4MhULHQkEsEWFMVRqJnYkfBm7MqFHSNOIvbSpuJd4Dn3mG4QcyRZWReBCwkhMFNJYcEz2J4TN/QyryUiJDyRDQP6p/ZUqUgvn39gxyzr53qkJ2nFDUfelU906URgpJITe19obW3BepDXZAyIay+V9Ix0RGn/rY6Qf9Wrt0JVIrExpi3UeUENQnGMycOitBmYBE0tLqV1TuICcsdCi1FoONLlhTAZjRvYlgMbnREqXFmIOuDLlaZcKD7g3RWqCSFQ54LzgiqozlSMtNRMKFcTJjyzd9CuC+bGFnoKWhySK7wYEWEWZWFkMkG8ULQgfhVj1wXMjDWe1ECogJdigaY2SpxJHSze6GZHdwWtBKOQ20zqJk5bsM0a8+7ngWrJsJarog7UOUyFRTLXMDOpcNWCVDNshas6FmsYXc1zOCC9EDTRFIdnw2IVZhGRFTsxiSOSUXem54HZlKY0ZBUKBs6TQ6IEI+tAJpBsvxZdaCa7GSmJwEgtE8VNjDWsnsAdxoaKRMeMlRqngRWPk9ECJThiB9YVBhwLG5JtMLYs0pBkfHm2LFQl4cqCeU+qYHaFwkiwBcEoUpFVAaVZQ5eU2hAtBFOiCKMoSRUpBRMhE5g0MdYjVhleEy3POCLJLahVVDiUzLFkxHVEm1isQdRhw3r2xypT+gLzgpmDpBTLrHAMh+V1jibX2DIgJeFlwbOgrgcTWluQMqxiZG9Enek4kA0im/W8pjDrupFUSVxcxXPVM5mntvyCgXEUiyCGSEGy4ZygOEQqLDvUauTl9zDI2jiMLiQL651hAxGlCuvCRkwo+c/f439RqNnrV87+FqVmOzyikvlsexZaPuQLm+XEs8uM1uFRtJy42J6ZlqyOj3LPJ94zpRsuruWfrea/yr/nOy58799SL8+M/5ugd8r+78E4E14XlqplnCrGbsdJGwqJW2a2ZeELygM9e9vwnXxDzYlnegqOngohUXmIS0d7PvLB/5qxv+OT21JugLpe6xNtT2GBPHMkcCyG+SdOi6fS9zx8vvJ2e8S7Wyb1fC13fM9b3i2/Jj4f4c0dZ1o8E20pjFYQgfaDZ25By5W+ZB5/95XqwweaxigoZMfWT2R5pJcDLQUrgWKehorH5Fkk8NP7wA+fIrgJ/kGRfxCGDZxsxyhKY8LfzI+8/ZsfotffU34J/X9c++35ciH+YM/H/Y6PvuN967mdZm6WmU6Nm/uOp9Dwm7jjmjZcv79w+cENh/aeIVTEegW6lQX8AsNT4UusyU2NFcNmJVuLYmzzFX85sq1/hHcNrnU0N/B1WYiLUFee+ui4PM40P81YPrOYcb7umH3DdtNyzolLqTjkPX9Mr7HqxE/1I7Hc8C/8gIs/0uZP/NzfcNJbUlnI3ZXdNzs+dff8hg3veaRxiRQajjlznyYG+4rV8DDv2PxSKDeJS+r4zr8CEq+Y2fsr4U2G92ulWtO1+ErReAYXmKtv+Q2/4Nflx/TpxI/r33NTfkexTONmnGb8Hpp3DqvzCtXSmZaRvVw4cmHBONMQCURXc6RAeYdqC5YRyRxtw8bBoLZamBF8SpSbnvofDqSbiXo4EWaPbBfq9wEZHaGJlBc1uMgG3W5Is7GEmifekWzLJp/+H+70/+9fhYwsmXKasWuhdKxtINF4uBZ+f4D/6W1NaCb6G0F/0NL8UwGd0D1QFa6Xma4JVJuCvcr4Vw6Lyzpw3oONDVYVih+ZnTHnFhnPyOMMd7BUFVEX6rzgDhlGaF47+F2h9Qb1CJtEtwMZRxgCc2WkXxXy48LBjJwXwgjpNwn7MXQzlEehlMB8uyVL4ma64HTg9U8c+keB80L4poJrpHkbKO8ybe55/5gxSwxWaN5sKflCOkO6rZjw65kiECzQH0bK1Tjd3vNR3nJvdxwvxqt05VoCzyPc+YaokBbhdFLsIdOqkifDHiL1bDgcY2p5OASqT+vGtP2HDt8JMSXSDDhHbBoiGXxm8XAIwqBCQjlnR9KGnoVHueehtDQ01MmxGX6HLVCaZq1nHVu0q3Bas9Tgdi18SQwXuObM9HWEx8I3mwrrFO4q2m1m+f6Z+Fujqzo+/MLz3b+c2biKHAy9BW1B9oH4dWT5J9j/wFgyWOcIwaM1YAt6EPJXg1tH+GkgvBqQWRieDZ0Lzf84IB3EAjqNxIeMK4K2tgp8ZhwOC92No94rD5/hDkWtoC2r2OcCX2ZoED40LVWYMAO7zMhUCB+E8L5a89Z/PJCeQeZMqOu10Wy44rqK73OHusydPrJ955hvKmox7neKzzM2zms09nxET1sGAf88w7jSs65EfDI27Ut7hjek95gK1ldQ1VQ3A6qCe6W4+4WYFZlq8jBRdbKKj5NnGg1/77Es/OF/H/nWebZ/r3Q//ys/iIBjfs0hPwOZ39qe4BvEIrM5zGUCJyoZUK1RAj0DDcaeK7vTEf31mXyzpflZS1+udFEY/YYHXzHphsoaCntOcsLJylTIGEkqhMCtXXklz0RRPJmZGybbceaWhUjOiorRyoiTMyavOA+OMsyYTDRhLQG/K4/80J4Y/cCh3PBkHU/WcceJOzeQpaMI6wu7CAkjF1lrdHXkypazvSIX4619Qp3ysbyjTpEdR6LCJ3nLV3mNV2GwCzuuxOI5cMMgG07WcXY9ivJOv1JtC9+Lo5BxDLDZ8PjGGFJA8g3VaMRzZP4I5U2D7T2SjHRVTuMtl27iaDVpV0OuKFdjeFhIGcbblo/te7b1J/6D/wM7/UpOC1/8jv22wySism5qEZjMM0jDofQ8yo7TC4BTTCkv4kOMOy7LHYdjYv96ZTRaqcECUiJZlfMg2NSiMiCbibn4dQ0j8JkNgRYjMRGYCRxlx6XcQK4QGfH+unqvzb280BoBoxFZOXTO1rWZCYs4Jm1ZmKm5ABs6Wbi3M7hbfnNuqOoNPcZ09fwmfcu3/h0f1v0+GSFKZBJYqBiBZLA1ZcdAoaeRhU5njExbRSrmVQZxNSB4cziF7FqKdXTF6CiodDyy4+o2jHQs9BzthoPcc2dn9MUjVjPgUGL2XGyHqzLezowWeWTLEneMlWcUoabQM/Fz/cTPmv/Knkc8xoVXPHLPs70CM66WqWRilD2DNSzMNPyFN6O/ouuNfmHzZqT5hRBugFMDNkATEFchqmuJ49OEHhJSZqZd4BoyhqGpRlxFkCuvgOaz0GyP/ODNhUmfGdlytsINIzUDe19ARuo20+89USJtLuDAuUzPmWwt0d1RlYWiwoznY+PhZ/cwfWWnV7ZMOCkk8QxsuYpbUavSc9ALb9+AV5AteFdhLkOV8ZUhG0FvFNk7+g3IoTD6THi/oXSR8rXgzgWqgDQLm5+A/0lD+ablo7a0c8O3D/+d/NnIzzBWhdufB+Jh4pXbEzvHuU4803O0W9pXDrs5cCxbJu3IonzXC3/7n3rcciJXNY49tV5obgbchwIbA7cAHbXCjjNRepZQMdMCnqRnZLOw3QLFk1PLwXUgSiczwVaH9WsztsTVj/w2kDe3XFFGMWoGbjgw2A11qTCZKbIwOmXoGr79qWN4u+eRWx54y0QFksgOLgT2BLog+C5DMKJF/JsaKwviI+onoowkK0wvvE7DE3ct4W1NVc9U2Rjl7oU3qIhkZgKhCDsinQ9IJVRV4pX7wh2Jjo5cFoIWKhJVWTAnHJzj9/OWfbml+zjgflRR9hn5cYScySmvbD0RgiR8mcjPM/k3EL5JlM6wNhH6hY1cSEDSBr/2cmGVw3XGVkbeyQPFBU4s9PlIz4VBthzLW2a354tGftX/CE9FKRWIYBqpGHA4OosUq1celBVyNtKSsVy4mPIkt5xyx1l2GCu/bxGHo6d0FZtXNfLdDHNPc/jzkJq/ODUVDRRxZBcZfSHJDECiY9AaJ9DbQB8TRo+kLXO452xvEB0RTjR2wsQT9IjzJ4rLkGc29j29fSUUIyyrhb5otyKLUiHguB/VmusAACAASURBVEhFxONY6BipcmH2PSfuyTyzSIOwvKj+FfP/BXFa89BNigS7UBUhyy2pgrMLHOSWA29Y2L9YXRNNgf0AU3bktiEhUBayn5i1MEtFKQ5fIk4KRZRFAlmEoons1hgK7krWCqcFtYykFe67SCDallwimZqoQpSagJCoiexZuGF2jlEeqMtCz0wSsKBkLURX8UU+kCTxTfDMzT9BN+KjEbLQRME7hVShsiOWlojicIRUCE6IBiLKjLJIh+QaZiHlGyZ6TNaHrAkr/CIbFEjyslQtBSlrnV8hg66wLHCktNaTFYFSGZMXinVUU0M9zWCBUTIYuBIouuWEsEhat9lyQv0O6JDcg83s5JnNcuV9yrTeWDTgRVlcAj+TxEisIGkrecV1CdQ2MtvMFeWq3xAn6BOoORZt1oHAZqp8WvlIDvC2Elz92poxB5j8yjNP7LloxZO84hJamhzxQLKWi3Nove45jZ5ZFpQKE2XBSFpTsbzAFddDei6FTj0FJeJWVZgLrlzZyY5ZAPHM2hOAKgmbsuZvizNKiGRNgFFb4NZmrnGg0rUaMDkj06AWqOzPZx//Wq57u7LNCZcAVVKB4AqikaiFSWEOhvdldcrITPaRktboHk7QBEohV4XYvGB7cqC4hazgRkFZFXJfwJWWXGouOhPbPWe/J+QTfVzQoiyVMVcZr+uLBWmltQoL5jJiI10x/CIMca2tNFaXRhuFqRgWHc0VUvSMdSS/fH58YrRMpyMWjLkVqkHAReYuU20esLA+eKKsUGmy4UxJ0rKwQ+jxMlLZjMuR4lquumNhS7Ea50As4bLHJzDvGd0Kw/YmVKlCZg+5rPXCaf0dTSokt6Z7CpB8xiRTkddK3MWh1HjOIBNJIfvAUfZc8CzcgbWrhbTcEs1Ri+HF4bKCA/OZUjqqUrGUEXTH7BKpngjqiQIzUIlQlTWSlcJCqcG7gmYjFIESyfXM7IwlrFDmpYKmpFWMKIKfHMwbxB5YQlyjV75i8aC2gumKW+81VxxSFLP1N8JUoTERWGHkUaHOiiwFI7F4KBEwIbt1mFWrEIuYQhYDzRRdPRJRE05fbLWmCAVz64/Yx9UlVC0RSqGIMGlgcR0P/h2T7rkvz4Q8kP1M7BJFMsHyeo4b6//FC0rG21phb1nJZuR1J4SUiswIAZzPZK9YmLBNYZgTHeD8uhF04kACxSljt57HvvQkjmQfaXDcnBJdrUSnfPbCT/5fPz3+da+z6/kqe9Ca73mDZ6GStZDALCAv8EV5QaQ2Nq6Vq3KizjPV1aBODDbR5Sv9ZFR+YggOsYltbtg7R2ZPVxYal1mYMVpqFppyxOu0unfo8XYBFiYLZKlJ6likZqJd21OsXav/SkNMPY1UZC6ri1X9CuXWjor1pcmVTJAJZyOJhYxjXJGyTFpRyVpssLaz1Fx1z8kWijiOusMHmDiyUDjIHbM1QOFZf0jhwixwsf0aKbKahQZFUVs5Ik4qgrVoicAVp1dUG4pPTFVHmVdwpLGsOFQLkDomXmMYvtwi0RBbWRVaApkac55Z92RxBBtoOWMsJBWyFIoFFqvIsvZvzbJGlmftGKVhpGZibaFc620VJBB9Q5GRQoOjQUypiNRlJkpFdIGSPHUQTIRRd4jNCCMTW57lW9awWmIxt8KZpSJKh2pNgyPI+v0qRqHCKGAetYWaE50sFDZEC4gVVEaQC8KGmiubbMzSU+cGby3OJiaZiaIkGhbWVteZDYu062afBmz9HavI6pZDaOxKI1cWBjob2Jb84rMxnK5tkxUNFU8oR0IZ8NIx546jczS2o5EFswQlsLgNkW79zBhCXgHTxTFZv5IxpONq9zj1zCExkakwenvktvyBD/LP/F3+JXf2ACp8r98Q9f/k7s16LkuyNK1n2bDHM32Dzx5jZtTQ3alSo0ZqISHBFX+UP8EVQkJIgLoRqs6urMzOzIiM8CHcv+GMe7BtZosL++CKqgsoUUr2tbtLfvbZ+5gte9/nMRz1JUfZ0ptyr88851F6hIVSGP3zv5w8gDuzVJHkwFvQDJjCYSJK0T/nhMuJLip7qahzYMeeMTb0dsYzYnXEaqbOC20+UMkdE5FaGuRJ3V7pkRUBny0mG6ostDkheqJiZptNccPZdfn3qMlqCKYYt1zOrNKFlX0kCVhZ8OLwap904BabE+UHqxROVA0mK8RU1ucSUXGISU/g+pJeV7UEk+jUlLWIK99ZI5AlMtnEbGr63NEPFhksdsglBS9VqabEwBylcHekpU4Ga5SAYHA0JBZVJudZrCnCG8llbceMyQEySCr7JYfSaOKiR9bGEXIm5C0X39IyUemCXYBQUwNWZtDASvds8kROHa1XHLkAysWgySF2IekRT02lM6OeKCPgEc+C0z1iM6OFVBsGKcjnyUREH/G6AyxeRio9Y3UpYp1kkNTiQ6RX5SoGHs2ei4xo6gk2IhT5hjOCzU91fSqMwoqB0gmosQQkjVjVMm2QTMWFnVpajZw0QSlC0aQTlR8LKN1VpNRgl0iVKqIJT3s0CvA4Cy4/1bvU4JMjJ5C5oZ8K5yhJpmZkpZmzdGRiGWyr4Ils457eBAqC+UinT+VSqUAdosoiymwrNAvogtqZpBZI1CSqlLBJMS6X4IeUPYaVQDQTkzgWqTA4RGaMBlQKMJ8841ypwEcfCU5Z/UPP+D/2Ang8v6E5f2SzmpjWNSdRthpxCJ/bnq0/U+uR13nHu88B194iHfxev+JGP/Ar+S2/lAt7s2K6ynxhf+Rf8SdOxvCO3/GF+YD7tz1pHzmYinZ6Rj4ZNkw07pFaDA0exJIpsLcp1zyaDbs08ob31DxgxTHkF5A8o92U0yw/IdVCrjrqaeQq3yPRMjUVn0W4ly2f83P2psPKhbcHw/G/zex+ZfD/2QOr2wjakaT0KWsGXsjPbNoz9Y3DyZlO56dzi0i/Lb1APXvqOXBpGy7OU60qPhvDWVs2qSwY3vOMH/KWq3jkqm74KYKYHT/KFdY80F4P7LaKNx1hEeR+ofohol96/rB9wxgjf7W+p/kvW8TD9AeoxUJsyaHAhI1OXOWRzeWMGZXj2vN5VXE6FfvNPJxpOiX/MUDoSz+bGTtk5JQxroJZYVScXWh4pE7XpKMhXCxZDREYK0e7tgxWcFjGPmK/KWq1eyvs0zU8bOgePpG040e35rm5483qEWtafuIVwWS8nvnXqz8wyXtu0sKVRK7Mr/k2fc/V/3rm6vc/ov9mw/GvbjBs8HbBo7xMj/yCA20+MaUN+XFht5qwLnGyjiMr4tQzPQr7ny0rGenzhUUqnAhmGeiOCR0N+nqFX0fsvQHvMd/C5vbMd+bXxEm5d69IKoiOVAYuWCazJnctVWsJuXoCXEcuvOIDt+zzimwdK05c6T0iFYOu6GViB/whv2SyDW/4kWv2PA9nsgi/9l9yr5a87Dj/Dwn7FxH5r28Zu3u8MzSrHv9iQlQxPwTeVj/g3ET072nIVEukzgcmgZN/9v9+NfDPfP3Fx//A87PHmgC7FucSkhPsLJtPGbNfqHNAnVCvwHiFV9A8GsRmpHFYEWYBvfa4q0z+OcMI+S2YjUGoaDQgY40bDG6+8GACD998x2/abwjS8G9PPxB/957ReZqvO/ybPe5Vxe0qUC1C+n3AroBfOHhnYYY8Z/oq8KzOmAhTgMpY6sZg7xbSD4I9gnkR6L/K2E1PWlcsIuji4T6hQyqDxBX41yDO0v4yY7PDXy0YF/FXytgLJ1YM+gy7OJrqkdbckXeWh2nHxTtaveC0QbxSx5LosR8picBrcFJis/qYCT8J2laohcoYaiLenmnahcqUhiS3wDWIyWWQdknU55F+nRCJ1BWkVHEXX/Mf6p6VadnGM6PNbOJA70708siX+ci2HpEbsGJYHyZ8UCwTs1Q0tUHaDDuon8Phd6DOI7MSL4r/Fz36yyPylzX8OuAqh1QOaQJXb8C/XhOvLPY9ZeMaM4tTAolWG6ZRGFeG9m88fNsyr9aE7o51W8MPCn+7kK2ia6gF9AxcYLlT0s9QuYrmVUDuFXCw81Rj5OHvA34P/Tqz+7JB9wnTeEwTMdeCbOGXKwNng3YBfQHaJJaQqNoGqWrC9wNuZbD3NfoQybXn3CV+bP+C39S/4mf1fMc7Np/uyR/OyNbjvo28mCqqsfBx8kjp9O8Mkiz1CbgIK/9Uy5oEPwvh+wX3HfBVBR8Kd0m8Qd40OHNERgNRaBrHRKZuA9VbmDbK4otlxPQe6oTd3pI+vENeNpxXO35j/J/9oObMmTtdM+Q1H+0Oq0qjQi8jSQykl8AVIpGtnFlxBnG45UJaFhjANZk2GuQM+hHsi0TVQZIzG/vIMzGk3PE6HLjWew6VpXZH+jzjNHCKFuPK8m2RiGWmzveceM4ilofkuLPPeTdcMw4N8imVobTfEeYRU8Hewawdh+OKJc789e4BZw5ETsyyIyg4EhOGfbzlJBusyezyEW86Khw2XcBW/CH/glk9nZkwkvhJX2GM8pxPvOVnVEst+MiOS65ZaDCSQRYiCxPPECn1L9Qx5opFVhzwdKvMs+8OLF9OHB8dl+8zqzcGf7PnMmeydBgvHKcSndcflfhTKjUjLyS1xYy4Vb7yd7yQkaPsOCH8jXnP83TA5cSdbTjIphxmUTHlhmA8GcHkTG0yk8AgjnNck9Wy9Q+IXoi5xo2G61UATlhjwXV4E4l9w8yFlUss0TNm2DVHrvIdXjL38gbVltf6jo6FSZUkSnbF0DXQk1KHkYWtSYypZhJLlIpKH/mKn3kun/lZtvyWDtVC3xnV4SSzlkupU/KBr1eZvVXOdGxk4Bfm93zFn1AV/ri8YvQ3JLU4FYJRtmbmSz5g5YKTAc8j1/oTTiNWJjbpyGY2LGNJk0kfePRbjsbTl5ETxs4gWxZTsZPnuDhQuQ0PsoAEcspcTLFVjVoTTI2UUiialZxbPh12HKsNN6uB3nxkS6LFc8PIF/ITf80Hbv/4kWYOyFp49vIDsam5ICTpiE/Q6Ts6fuQ1KQtbcyibvj/zy6rBDoIbYB6BuYY0Qoi4nAm/fST9AO0vgKjIPZg88cX6MzsbEGsojr4Lxo0sa0VMoJcJR6QWQ5WWkt4w0HJkxUx/8uTPC9kI7ZuZjbknUZFZMWvgURacjCxS02jiizGh//4O7yJXzYUX1SfOeDpJ3HJgJlJjqOXCK10xPSjH97B+4RjPA9VB8TPkxrA/ZTaiVDvHzEz9IMz/rhAh+cuZ8Q6q95BfJFzb8/C3yo3NVC+PrMyFZ487hv85405AyjRfwnI/w3Pl0h0QAXcv3Ais7ZlOz6QMm9sHblEWWbELnuonwT1Av1oRmoasPfMPPzP/u0j1GvS54FKk1oEbq+zijBv33DXPgRtu40D/fWD6I4zbhaurT3yN8Fvp6LG8kIlRYxnUu8x6tujvF3x9ZPuipPUXah5UMCbg8szOTHQMfKefce//RDhnFLDmQsWJKAVqu0uRShIbuWdTj1Ti0Q8K32f0DzPZZOr2wpsxYoyQmx1dXzH4iJFH3kwHlj/O8O2KLIlOHmmIzNkyy4aAJ5kTRhaqdirg4cqQs+dGZq6I/EDFRIPRiRs/MPKI5pFXHHCXB6g9Rgx1SsigkMBtWuI40J8DbtsS5o7T/UIDmMYWCxPCRYqNqxZl0EwwWhIxS6JaIut0QPGs80JrHrhIZhZPpxOvzAc+yzUe4ZvLH+jbmoPZ8V633MtLFjqSTiRb+DwTiV4MkjJ6mulvIhUDwpFWam74jCeRxBBIbOWO5yly/7uBF7eCuQnY7/4fwoTH+Tnrx/e0LnFerRm5LnBIgXtbo3XPlWb6eUbGRPY1hoEP5haRwJf6O57zE48R8MJL+cDr6TPRb3htVtjmwvtf1Nz+saitRTyXIGAuNOaRSpvSLRWD04iRxEJFYMWKiSs50eo9Q9xh7BqvUiJZRLKJhK4j2Wtynuk4oeoIpmExZfo1aMVoaipGrk+R5TeKed1g8gW/S8ilQrQAokxa6GSP1gNZFAkDawZEDAmHq5RKhCV5qhCYpGOQzNZ3zNIy02ONJeXEZ3b8xDdMMjAg/LbKCM84KHyt91xzoLeUVEYymHOifzAQN3zgOaMVHrsfkV9EzOFJs2gtwTWMWtTopQc6sZ5nmqXmzhj29gmelwxmHGhrA+cEGolV4oRhWDpSNhgXn1CHRVUMniZV2MUSkyXSEOkZbcW5bbiYlkzLfb9wuS37oAsVk/ZMc00dIwsVn+0LHlzgi+4nvNZEfUsUodUHvrYPqAhN8MXaUL3jr4aBb39zh/7ticNX3/Hrv6xweJoYsDGyMyduGIgCR3lLGhqu/AFMZu+3nHnGsrRohDBm1nqkiz0H94JgiuLaLYKog91M3C2oenwVWa4trgtcySc2rLhIjSMUlZqpGKXGiid5i9j8BL9bMWvFz7zms+wYdF1SGqo0aWG2+ekH6YDHcXFbTrmlTQNbuXC7nEjpA78zz/nZdaR8i/tPim+FvV0xrh5orNAgmD6CeKp94MvHT5j1nr1GclJcsnScEc4sOf/Zmyj/4t0dedqQZYF1CzkzZ6hWgmuhU3DBkJMgHrSaWLZCNRb1Ol1idFoSanX5O0xA9mjOmFWGYQAKY4OkkIYS3796xd+Zb+gItMNHqg8Jva6wq7I5bTYTVCApIafIsgF9JtSHspnPWbEu0VpIGII2zC7jvYVzwJ6KTlkksFwttL4mtRWD8XRXUA3gz6WznbpM2oFZLHI9YZJB1oANhI3h1HYc0paz3ZBs4pWucfKJuS0Q9cmAyyPJzIQaVDNmTMgEQqLuSk8824RNEPcR4ysMimToiNTsixFKtACGa0gN4DKLQJPBhYTPGVzhATn1nOQZ33PDF2QqPYIRduZAL+/YymeuCEWp2INNgn8YcCngRFlxpMNgnIAPpFW5fcY4MpEgiXYN6Rbc28zpT8q2iWAyeMXvwK4jqVbUFG29hAix3B+1HkGKVvw5hGuYm5Z9B1YyvSr2xwreWoKfnlSQQHKYY4ATYIW0U/Jc0jxmk8mbxKKCLEXXbTeZ+RKxlcdUsHSKb888swpaAMWyBZkcxkbULWA99lHRwaDBMM+QXcXFz3ysX/G/mf+CI4/8Sr/nxeGM/2wxroPdI+03Ad6XNBdRkEqhTzBMmAkkFHvWRcqexS2OvA8kEdx1ZjomLIKxHnolbKFNGU0eGkewEV8r7mqBSlk0YW3ENRmtBJo1U6IoiNuW92b7z/L++Ke8FjpOpmegY6YrG0xW1AQEYU5rTO6o7D29m9gwMxNKslEdahYWFkyssbOgo5LGBh8Bq1gZWeuBAxPX8ZFtOBLsFcEFanMq2M7YIbSIWpSiXPZcCCxkqYh2VYwWuoHJIecICWTnSCnjtFSqLtpzCV+QhjtY78HAgOciay5sqEQ4sOIoa46s6BmpzUKvAScOy0Kg54P5kqDK1/wRYeBBX9BpZs2BZ/k9CytmbTjL5knNWtTUhYWeiMCkLZodIj2L9JxpCdKwaSKr+k9c9ILYFj63mPaI7y+ktEIz1KJITOS5QY8N+XNCWkG3SrKZqkpcVT/zS/PveRXfcZJbLqbFYNnEIyAMtubIhiJet8wlW4hQWDBJA049gwhTKmnoiiOtDLBUVMtCxwWVE5O09GYmimEyHVJB3TSMc02YZkyz0JgSn7/olhORKO9omBlyQERxcsGoMHDLQEfDEdWRWVsCFZVYepnZceR5/kDWCz/YvyQYAEdgh2JwOuKkotMz1065mI6QG9bMvJYPXOs9n7llr88485KaCfuUONnII1f8xKKBYCpUE1YCFmVL4Fon2gjhJJjakFoYcPQ5seWBrXlARBlZGC1E4BlbPuvj07l/GQidZYWhZWBDoMUiqCwgMwst09wSs6fvI9dy5iYe6LVi7e64lQdeTXt2h4U8e4I63HNlwyPX2rDnFYGGhHCi57O8ZDQ9o+z/+V4i/4SXRWlihYxPnDwEScWwXCWHv0vIgQK3DwYCdL5ITGzt2LYHjnxCCRiJqJenZESRPwemktxVizMZ0URDpp0CnBe0dpDPrLgg2jJr83TIvrDRiRMjvZ54MZxYvwu4TuiXiQ2fyLph9WSDzHqkEkfFPdd8xaeTIo9g55r6eMaeTGHG2QY/TRjxcIF6B3IU6neK+yKx+1qJj4p9BL0CUY95D+6tZxVnYGA7ZPIfMhoNrC12Ak6J/MIQXKJaoN6DMRO2HWAOBDzbZw8szEQ2rGew+wyPUF1sSaNqTfO4xr+zGK+kyVERaRiwKeHCQB0rEpE1C88Wy+ZugXsYT3CzHHBe+ZkXTBiudMZpxrDHyInNvLD+nJjGkY2cmWVh0g2ehJeE1ZFOZlZ64Iu8Z/c40BwgzpZN9chka2zeUMWBnZxYS6YydzhzQishT0rzIOjRoLU+JagmXiflcfMzdb9lZEC449llxNwl5G31lLm8w7FwwiGSsMRShdaFrYlIhKClUt1zZhWVOt8Q1OJzZuOOXGHwaeF5vmMzD6ipSbbBakSWcgBmG4+GETcEmrVl1oowRhoD1JHoIakU4ZAYPFJEEjmiZsHlSBUUnwKQ6fSCZ3yqjAouj6wIrOSBbbK8PR+o3MJNdcs1LzjoC0QEEBYRJptYWHAaaeeF+hypZmj7igqlzUduzEdaVUKquPjIlR54NgfOjxH5xqHXuayt/oFn/B8d1JzbSHez5UPTsJcrznmLMRWGmRqhRamWzBIjSSzRKEkShsyMYy9bvF44KWRWVOJppSJxzSe5BX1gbWDVjdzGA9t04Xwb2V+tmXNNkorARB+nAiYWWKxhbSODCB+5oRLL0a4xUgYpq/SJ1ikfzDUf7Cuie8nBfKaTyOwdAyvqFLmxR9ZyxOeWTh3ODzx8CbwSNl3PqOcCotP6KbYE3lhUE7NNOO8Qcex0ZqOxPKS1kpsDi3d0LHRzhpPFbHuyWiKWlB0GR1KPGqHmM70o7/U7mnzPm/2eq+8HmGFuM3k7kOvA2PWcq4ZFHCd23NUviT5SL5EqQzDKoQ5MCBorzmnFwdVEFcy9siWyaiJOLT5EXI4kFnCKMcKFnk/ymo/dS15sf892Gpi24FMiVTs+mNcY68l5JNYVD3ZdxGrZMto1j3LNoFdM2rKIQ0VZ4UgWPt5U2GbD0QlrHUuH2K2Z8fRyotaI0YlZeiIrnF+YqXngr/DVzO3V/8TqlXC/armTG67V4wFTZe5dzR/YIhLK6ZfUqDoqKRukR3bsTUduwHTK4amutjInPJHFV1x2hqqf6C3kRtBmghaqSljyljv7JQe/RUzRyR11x6g3JHZUukckkXypCBoCoj1IwyItWQy9BqzWnOQ5B+kwOqMGDlKRRWk5UueMp2PQyGIbFmeZcs3ROFYWGo1kLAfZ8PJqpOnPJep3bZluLMYmahw556eOqGGbTnzn3vM8//lD8yqrzC6SV8VctIgWfoL3BIkMallCi7djiTcmJXsQFaIT5OpCfC2Yd4ZkIqkWTOdIR3DZQpfITUC9kPuZ1AHGIZcKaypW9YXa7rE+ockgI5BLBD9vhGXMVLVjfgLf2afosXFlgHtZDMnDLMrWCs6VwQdGyLOiA2QD8w6aCkYM2Vq0TQybhkfX8GJ+JOws85jYPoxoC0lT2exvKz41hgduUbNjITK6mUve4Lim0gWXZ2qFBU+ylmVliLvMNC8Mt6WWJXOBoqkmQsj42lIypjWTdUSEtSzYakL7jJ1AToqdDLExhA3QGbKHRZYCaF7B3CaSiXgoi28Dhp7ZLSg9Gdj4E/UqFIBgUqYrwa0NR3EEPA1LgWfXFd5NxVwASA9hp9TPMpdtz7mxDNdCVyt+KlWfXIPmC8msmVZCFxRzMdSPFe4YMVOJoRon2FFwg9BOF2KAevHEVYbNiNcOnyxBtUDrT4LMFlMlpKf8DjaKaKIyGROFjQEklP+zgWSF7EsiURqBdWCphM5Z7GSwd4qGNeSA6RLUJ/KqpGzM9QRPNStHotUHnunf8dqMvFzuaIaEDBYWxZhS8zJPt9A4g9aJ1IMdlXgR/NP31dgSNA9LpHWgjS3QeEnk2hQ+gCk8aa1B50xIC8aUaHnOGWssHsVxIUtAbUeuLcMLw7IbERP5h70Gfz7Xn/RfsHuy1GStERKjVHRJWJsZ7054XTCSmVgzacNKJpKuGX3N3fPAtLV0VYXWBrN12KZhyQuLCAs9xnREHUk2lfuQW87UjDgsFaOsiXSQazwrFrvh8pT+GLXinDsusUKiweiC9YYsgb53iHmg1hPt07hkb542bdTMvGaQHXf5JY9yTdIr9pJIxhWoNpGRCq9nBqkYZUWgw8tEw0DLhS6faPJv2cjIM95jcgJj6HQgA7NERvEoDUqHT3BtP5Mlc2ZNxFFJTa8DM0vxJUpiTmtkLOyZSmtCvIK5xgi4HLFZWLIhWkM2S/lON2CagbXf84v8R77Jv+Wl7mlz5GIsxsycnGH3pLT1GBRF8UQpnycIs1hOWCYxCAYriWURxPVUKdNUA8ElHuSayJZHbpiksAe7PAGpVOOyUBkYWHHHDVtG+rzgpcTmhUQtxR0plN/8gbKmrrRYrqxZUBEyESOw0HKSKy55RUQ5A0LPkldkUyDPjVpi6hGzQjA0KA7PQa/4JK8ZaLGmwK8XKcmElT6w0Z/xZiFKWbfObDnoS3od6GQhGKEyCYwQ7IZ7WfGZa7Ku8XKmAqxmTtLwkz7nHd/yo/+WO55zpCsVlqdUuiej4inFs4XOZlqOIBaaQI2wyxe29sxO33ErSqcPtLrH5gk7JMyY0WsYbYFVN6WUzEiPk1JhX1gxScNA/Od7ifyTXiOhmrFrIUwKWmpDIgLZoJ0QDNRJgIbFTxhjiJXn0rRMUjFR4XBE9YgIRhwmBTZmRrgQJNOyJarjJDcEIrW/YJsngK9VPEX6clZhkQKIbVNgZxYqSezrmXALaELNRKYFiZSCSgdSoLV7nuGNA5epF+CU8RfQ0QGCsCHtcgAAIABJREFUnDx+BmOfkqvRkNqKeF3MsObSUJ1nCJZqiOgccT2IU6pF6CJlXTcuLN4Ro+IuoEfB7w0VAV0UDcUSqYPFLA3GUKygJgFntImMNwu1gGkObHBMWpN0glTeHJUBXQLNLNRtzWASg4XZKoaISEadRbWkiBc6Jq3oGOjkipwcmhNX3NPHO9o0kk2pg5U2s6HPJ27iJ6qqockDVgvIWFWxwbG6CNOjct2PnOyZkAdu3BlNHxnpqei5uLKP0FaoXINIJjeQe4WVMgC5W1hMYERxNAQjtK7CLGOpVJoOlRok0bCnY8HnHiFThQJetyYSZc8CJLsj55aFhmhKFmvDI9ZE3DJRTYozmcE4htqzbg1WYqlSOcAkao5YyTgzYwOIKjTgQ2Y3Zy51qchVMbIxAUygUsrBmRbG7GgcF3qMQsXEaBtGdiz6tI7uPCoJawSfQpED4KlkRgoBh5qFnnKAUXlFMCy6Y5YdyAOT7PBpxrKgGGJ26JLxGXQo3+HVef4Hn/B/XM/dC5vVjseo3MtbxjDzVTMjaaABblKgOxyx6vHLiuI0yXiJmBwZpeaDrNi7tjx4T3aQR17yXrdslsSXfoOsal7HMy/intOVcnnxBQ9zB43QcqI+KW6vTLuWsLOseCQ55YGu8D5kyzcc+Eo+sAsfmewtJ93wp/ySM18SE3wpjwxtxSQ9dQw0NtCaAY2KtR7bnvjiv3Kcf1XxYC11a9GmJkoNstDJzHU6sZ4CiYrBrlhw9DrSy4JVSuWirThVhk2e2O1HDu9O8FIIZAaUMZfe81kzL+TMV/yIZMPfUbEOF76+PzD89/+RVYSlTWxeGXgOw1vHuS3wtCE5HpoV7ZWBzxE6yhSxB10aNHk+noT9psKMlvS/7zHPPG2dqFvBHiZ6TVx1lrZPaK3MecMx7zhWnvpNg/lhoH9myZOhWV3zzlpWzmArJTvhlNuymaTiIGs+csWQdrA0GGfxxnGdDItZ+PhVj7Pf8tG2XMdAk8YyBVXD2i4MkhBrCUlIIlirnHPF9/yCMYz8xfZ/4epvHPPzikG29BqYRcm1cOee8/fyirf57+jTB6wTJrPC5BlBObLjJJbdC3h83fI7vmV2ljf5J1p7YfI127ee5mfAG7qqRtoAdSL7wnJI2YAqKzPgBfZpQ9Arzq5lpQ84EagbsgMIiEQUmNQSpAICU24Yc8fFtmxlIgtMZCo90zDQ5ETQioPtCLYjmZqYaw450Vfgs5Cl4TMbeDXD1WdoWoavVthvZw7zwNatOaYDvbO4PPKGe1Zi+aR//vnecafYZwn/dYMsDXI+U20NrhKWSWm05jJf2K0MU7I0P0FTJUQtrATeCK/+jcMfa47TQHPjIO34/r/7xFvbs94uTJdALQnXwvbKYeaRcHak9YaNannv6MgYoX8Y0WnEi8E8r+D9iMoGy5kURiqvaLXgryhxzVOgrQ2zyWw2mboHlggG8kGJ7w7IL1varxpSWDNWUGfBtZ67L275bG548+GAlxa5DKXatTWwaqi/COSrhqOpedSejBQ2BoFMSbb1OHqdsHpm0obKbFk/N9TPLVOM9ItHKs9yUuockaVAJJu1QVeCbh1D45lZkaTGrBLX3yr1T0J4H5BzR3VlSN8IvPAYl7DGFCjeFUzdQi8XrmWkIeBcU0xH5oGJNQNbOvsz3+weqVNimTPhdc20q5jEMbEuaEt3BOeoErwWaLJh97Vgb4Xll1sOX1xz6i58992A+x8hfvxE9YWhbSGcQTawujU4A/nHmfxbRZ+Dfn2guVZuNgvDHxbkRYsJe9a5KBjtWhm/BruJEMCOkSY54m8usBL8GnhV0w1CnjN2zjBG0sfMi8YSKkVtBoEsgq48ZhLc1pBetaR+ot2ueffxyMumYvnxRHwVaZ876CImQGw95q2jv1rAL0QM6zTxK/P3XMkdL8MPyDGgny1gqDrQ2hejmYfoFty1xQwJWSxddhR9ltD0wv60EILSryBsNzjN+DER1h7pgMlS/SzQepQFe0n0sSHlYvuyixT4o434WrDOMvSZ5/+5pTPCJ1Nxx+6f7yXyT3T9bf5XvDAf2bF/6sI/QQDNyEruaTlgZSKw46O+4C53fCXvSTYTbms+bbfMTqmrC/FKcJsVGzth5cRZGyY8opGIJ0lFWgQTLWNuOdsVIbVPPJTMaCombThpy0VaKjU8yppP4Yr7ccsyGPygmKbGcuJ2OyP2QsmPeVQyqQ00piSXL6nnQde8k1fs5QbJZxo3o2qe2FUTKmDthOOCPv2+XckHOnnkRkd2ZmBjHriROyqdeDBXZCMYIp6FWuL/xTBIWmGNZ8sDK3PkXq8ZtSdIOb133rM1AzWBOb9iOilxSRitWY4gY0IaJZiZaAOZhmQVVhnZKO55Zn0V+a6551/77/lr3vNMBlYaOVGYGBMOq5FaA61MiEIQS1bIYsjimWk5s+IiDVYrNHv2F8fGbsAnutvMIDU/6nMumjnwDCOetZ7Z2InORC7iGRx4UxJY97ql1cjORMyy0DjYyIXKjEQE0bKBjlyozUKdM51GevbM1DzSYTUjMjNkz55bFgwLjoEaVBANVEYxMXKJkOu6+PVkIVrDva545BpByyaXCZHASo4842de60c2zBy1Zdaiv15yTdZSA10AnOBsItTKJ6n5TE+XoZMLa3PCSuJDNvxJv+Y/2e94l275bHtGDI5IhyWTnypbBU68ljO96ThLR8SwbMBr4qUceaVnnvk73hLYcM+WRyQFeK+YUfFfTziBOimdBITEIA0xWs7SMBvLpJYT9f8v9NxCZmkTzXNHPYXSYZ4hzkrKSvvMoitKojIrZhexdUPoDEPlOOZN4f8wcEB43vli4rHF+9XLQGMCkQ1jsny2z8iSaVcPtM8uaG2Zq4YoFZaGQRxDoaCRRTCm50LkceWp/nqDfP5EdjyxljxRhCAVKjuKrL4k+Vr7RwgZ+XgkqDCFQOMFTgNjhLoewXiCUeQazL80xKuISwYzA+eIXoAc8F+BbkfyrIVdc0lkTcRsiKeIVoZoEqYR7AnyWYlW8JtMmGPR1PSOWWrA06DYdsB+rdhbYDWzYgJzIdsLKWcc4H1CYkQeheQqQjtj1BX+oak5mkzatcwrkK963tcds9zQaOFfHXxDEGUnE8/kSEVmNB6tNkRpSdqxlpHX9p6D9KxNeOKnZqJVombyISEfP1O/eYvHUaOszMTJnjiy4qRrPrVb/Jcd/pmg3qB1xO+g6h3pRji0mciWtJTaasBwcIG+NzCdqeMNS1UGNSs90+iJJAXiexHDvgq83Sm2U8iOi2l4pzUP5pp7VkzGcsOFngVnJ+wcyKcybDIxIk3G3ArcA8uCOAgtGDeydZ5ubYtp0jnsFYQxsvIzVdVzkITVwn+JRFQKN3aRQvza64ajvKFnT0J40C2T7ojScTEX7tc7KgYWGpKpyyCzAP9YcGStMOKwMmN7h8Fyrg17aTmwoufCRMucjqyqyBEhqyO4BQykx5nhTqj2Svvf/N8/4/949cl2BD0zS83Iaxb7gPAnjM4gHvMUWWp1oVpKP84RURWMCo0qGEGNYrhgOZBc4iJKECG4mqOsmH1JVNSqPAgMriaJw2ukFoFYFOE59yxqMMwFxiaQn85VOz2zXY50S2KphSTKwW64k6+pdaCWR0ZbjDrlIy4vcNRjacAkgiSyCyzaIx6IE8komUjLie3yQL0oo63L5JCAygQmPdG7QHNF1oWGxEozp5DBCrMoM/8n5K9jMA1RHC2JJiUufo3g2A2B7ViYWUkE8pPmzefSGcQgtFysZakyziSKgE5QU3S2UCoWQo2PhnqAHCrMYtHWoWppotKFGctc6g9JwXsW58jyRMNfBM1VUaBZIYkjZUvBZtVFU2ZrJqOM1CRdYdQhLhMlUWcFqzzUAlQs2mPziDO5aBizYElMJIwEKhaygGdh0Y6ZNT5m1vMZMYFsJjKCCCTrmI0hyorIFkum44LRBX2COeZcs7BmlsRSwdm3XOwVLh9ZMwCJxdQs1heYsasRPJLL6dZgYDEjrRzZRouKpalAqZmkIlCRscXfSyZhUOoCn0YI2RO1ZZLMZGosGajIGFQDViwOwaulTguaHcE5hiwsBe1G8BVEqKJh1ooTHTPCCpic4VwrtsrEJaOEAjXLFkNknS84vWMK1xQi35/vZSWzuBmthDa5os/zGdyIy5leA14S2bnyfKc1mT3GRhYUazPJgxeDzRmRop6eHQUc/vTq9UFK1VIUSQWkuXeW2QgvSbS6IAhkj0Qlm4RUgQS45KmiMKuS3UL2MFtonGBEqFLGAmonki0xXsmKm8FNjhyES5MY2lDusUISOFaZPZHsIlZnjCqackkK1TOxKqDwZDomcagKLldUxhPNGcUwitAYodIF0YxlKt9YtSiZ7MpCWSmbTtGnNaxRssvglJkFy5OyV1P5A6q4aEASk184O2VVB6xGLL7kLrXYoToueAJeBZctQsIWqTaiNdkoi8s0WYk5o01VgLVqcdKTUgI1YBwGqBVsAjCIjSx+4HN/y8UbvjaBOpeTJ9SVpKcIks2TDjFgn0CIIRZQcHIAgouKUYvJ0ERwFK1utDzp2g0mKSYYKucgQhDF+gXjZpIpgmRSjWcu1TsUp+XEWqsCu85Gn6Iu5WSNHBGrLJrBGCYDrTNUIphFS+XSJbJXlIUoNao1vY5c6wfWcUADyNJA5YmGp89XUfsEebcQLXhbANBWSn4giS+WrlySamefaEQxArHKGGvw0dHPglQGm6GZQWND0qKzrLNF8/IETy9w3dmMxHaBWD9twTb/X786/smvR/uCmkSjgUpHEEuSnoQraHjNWHWodUzScTQvOemZRk4cpeYnv/0/uHuTH8uS7Mzvd46Z3em958/niMjIyKyqrCK7ihSbbLIFCA0I2kkbrbTTX6I/SBtte9HaaCFAgoDWgG6y2CUWKyvHyMwIDx/efAcbjhbXSzty0WyAKBoQG194PL/u1+zYOd/3+1CUtXTsvWK+IfPAoowcZUmvjpodVZ5Vd6U8v5vFM7lznnRNQVnySNbCZDBhGAWfK0qY/98oy7l+KJHsA8UyFTt87smyJKtgTBQCWTNFR0xmde2j3HAqFyxNSfT0GsgkrtKBRiA5BTK1jczI6xHPiQUHVnnLmdxxrnfUNpFMGVlgM/4bNcNbIuuIyAR4XJ5odP4LEabnoGZBJBKkx7NHWYKM4BwaMmoDWAsuE6sRp4IrNZIFMyErEDKiRxa85ZZfc2OfsxLlgJuzFQv4ooRsOJfmzygecBRxCAU1Q8TNNY9VJHh+qxpGBXGzNiOaMcnvzv+aYJ5imcAJJz0jFVYaEnPogeJRS+jze+dLpnNbgiVOVJSyAivU7oDQgDmEiYbj8967nM8VG1DLRG3JUuNLAGmJOl+FKzJR5zjcOX9nIMmR7COo4UrCzDD1ZBzeMrX1LOlZ5hNLnYjFUTSglihkokIRT6ElywySNY2M3nOgonUDVXmiscN8YUOIspxVxrNmE2cOweMlI6lg4iiqOBup5YQjs7YdR2spzs2fPW9Z6p6V7VjJkSWPBLaAwSQwGVkFZxlHeW4XKEIg6cyTmGTGJ7jf9yjM5+Wp53h0MeZbyLwfm85RyWDMyFaPc0pxzJBXNUzm4JQsFcbI5JTpuQZXJnh+E0M+4txINiHJgp6JUwhk7zDnwIRSBK86n3GSMZTiCqNkMobkgksz1D6rA2ocAW9GtOlZJTIHHkQzuuJQAzNPlsTkMkEMXwxNzIeZ1HMUs2WKFqID00wQEIRic3iDKFhJ89cLYDMgu0bxoyDRoymj2UEWHBEKaE6EklHnnqvEQrCBlSg+DwgREeaBIBlPpCqGRuYatWSqlFAqkofCxCIqkyjZP6ums8wpcz7RuwlnhrdIZGQiUAQcJ2pOVBg5gzchJKN2ijBRSWJZQEioKZX0OBkQjTiZG3ZODc9EaxlNEz54HAORczbBM1ZGoOCYcRr2DIyfVBmf65OqZPxzYxWd/7k0P9OJgjKxLpE2R6ZqRGWPiSeFA+YMEcVbBwSiKlFmaHs1G8/nMzWP87PNBsVRlQncAfVzzWlzeDIxFAoRKRMORR3ARH7+3bs0Q6hno54Dyc/7+zwwyy4+l7ANoy2oJD5bJNs58IYjXvYgcwR4KQVnhkia2VpAtopChxGYEE7eyJUw+UiUGV6cdQ6LiTIrriccUarZsoygZb6Ph/IfmfpU2XywtlY4s+94JLOxS2pqhJ4Hv2K7MPJ4xdTUjNJgBGoeyOK4lxuWRGrL9NzwQSpq/pZWenblJ/R6yT3K1v+a3in9FDE3F5c+H8jUHLil1EJfF5J7luyxInLOyTokD3Qcyc7zGF7hs7HMI62P7FnzJK9Y6PeMVKTnq3Ik4Zhoy4E290SEQ7UgLRwHd0bSNarfAbu5KYQjsuZJb5DmkYnAuU00v/NuPqcc5aWxWexQWZBtYG9Hps5ITHgCxjmlDCx44KzUZGnY60ccQgIayB6fGyTNiIzo5zQXjVCPhi9KcTXFZuvCGAQ3LZBRqcpETvN2/Lup7SQ1feMZ1uD8CfXKyQceV3A6naAStBjeGd71jFLxfX3D+/WCar0l1Ia0xrQMJHuNJIeI4NyIaZlThUrP0p5Y+iOjRSZfSJYAYdSBM3bc5juEmo08MeTZ/52sRkVpOGIcyAaP9oKtvKTjEXOB0c55qhq2F0sWceL75pZHecV1uSeXiugDYidWljjoFXf+lugKvmyYzKP1CsWxbZW7hbAuT/ws/nv2OKKviVwxSia5miKARYa6EJpMZVCb517e8CV/xLYKrHiPN5sjOKXgGKlKRDTOiTNWcMxJToGR1o5kcRSZi5uKNDeBNBBpiCzZcUmRE5jDbKDHM7lrkl0S1bFzke3KsVpmkh/JRNpSIyMEFEUgGe3gaErF4nRi9MapbTiGQNcnPj4d+H2/H9X7FXLIWO4hDAz1bNWoRRlEECJVAYoRKziGI66F0hhWG5ii0WZ6e1E4BcjNjO4RwbKnTfPZX6qR6OcI7EUeubEf8KXhJu1pyo7ej5QU5kPDz4k9qYGq3hPbgeTmRCkpUAIMdYbG00tFJFI0YDohylxwF4FS41RAEoEJVwSsMGpFZ4UXeYtODjRRfKEEmQGRIXNoIYeOnhYz/8yPqsks2ErghX3FFe85uZqNfkyhoQ4dzoRiDkGQuEVknjAdveesRCoxKPMlRbKx0ELFdyxYs4oVrl8ABXM9opHsCpqBk2DWzJdHMdC5eDJ6KjviaMES2Y1sWeBN6QxMAnvvqMzhnaMdIzG17HwAMbwrSBQ4zc/t3sGVh7Pyu0bIyE18QkvPoY7UN8JhkalUyB6mqtA2PTaCZf/8C4rUoyJPHe0kWFOjmhBJ7NoZwrfsDZehPYE7LCntSN9As46Yt2crk6C1MPZGDoabIqotexPMhTnZohRQ4+CNrk5YnIsOcw0jBXMN8mwNTb+zJCWdP68KySeUQD4JmmE1JMYqEl2hdgUpEGtIy4k2ygyEdkaqDJGC15lTw/Ne5yhIDFACMQlgBD2hUyBkx6E5EVaFNCUkQN0YjxeFq3rEDUasYZAGzFMlIVNwZc7gszI/u64kHgvkUFjpgX9Wvvy952Ut7ZFlfs+VfMO5PeJj5EpGruN3fFQdUImMogQ70ZV3XNnEyh2eAayZF+UtdZpYucLBAsXVXKQPLKWnYcuBFidQ8UgUYb9csWk8j75lZ1dM5lnIno4jY8kEDngZKdbNgwgiZ7ynt/kikB0UOceeeVGKQyzT2YHa3rPLLeiOSXp6makxFT0ZNw+wcIgVWnoqGShSMFswcU6vHXPeWz0P6TjQyoaFHVDKnCrk5stbbSPZdlSSOBRhp4KbUbnUZkyy5sCKiYrMmqG0NEXZpIG66pnKgtAvGMeWlJQoLZordHAoZ/h+Zs1ZL7PtYRTKVEFqkVATykhjI2hFFkGtppQVk5wx6SNJa+bdt2KQllgCjkQjRyrr8TIxE/ImLCcyW2atQEMg09JT0zNZheaMdw5xSs8cq57KOZovsOpAJGE2p4MIPeYzg6s4sEDoETxZKhKeQmCkwWumiDKymlku0nIi8iCvUDexZ0Wyej47dLZA2/NgaCMLDn5Nsg5Hxkk/T7u5YKcrGnvCyUDhjJ41e1F2VATfsqew0ZaRwMQCxVhxINMSZUPUHSxbduGaJ3nJgUtUT7TyGpXZ4v6ob2ZmIZClJtJxshWOQpQDtQ6M4tnJkiIDgkdwjLrmIIHCSMVIcY6J5jmt0RNZMXHNVjf0t3vGPuG6BZtwxUEvuOOCg10gJLxkzCbUZtKll+kfeyv5T7KyTAwONAilAUsDxzPYtfMVUlJNSHPqEyKYwFQZe79kkgVNjog7MQFd9FwcBRccrnZ468lWMfgGtQO1TNS2ATkSyhEfwWdY5omD9jRE1uZY2pGj9Lg08JE/YHnDdeyp+xqLSkrNzP40pSqJovNQYGbSFUIZqUuZkw7Hlsp6ws7jcgsfVqytzBy27RkLl9Gt4rYBj0DVQDmCDmgJyHFJt52QiyX+NKFlmIero0PleURXMs5qfhfTOEVwlQKK8xkGo0oNL06FXdXjvceX5+F4KBQ/p2XWdmIxxf+/kRT6WYHbh4mdc2Qck6sZdG66BowqG+HB0d6d8aoeGLoPiF1wZh9wdiCVMNthS4KSqUrG5QNntuVIIcvvkj5rKicEwJvHROektl4Ju5b1OHDuHxnsjEft6HLhIt/hQ6TNB5LmOTUsT2hpKBmyc0hxtMS5ucuGYEsSIyUbSgXWE9yEEonm2euKiNIAt9MTr7Px6Z2gDx2+a1jnI1kKVewY+QoXGs7ykUsZEZ3mDFxvMwtWFFXB52clFBDD87ApF84t0ZHRAVIBJx4pDikGEvDigYJKpsgJ44TPGR2FqgiLcuKsbDjpgspONAw00hPJCA1tGVjkA1nn+nxpT1wn8NqQxVNZYC8Njmm27olAhi5HlhwJHHG5kNxEKz2LdGIVAmcaCalHiyBDRXVwcPiPbNR0ZUPWNZ1u+FQ+J/lrfuAzqvjAj8KJ927JQ3NF4Ixx7TmFFZmOlX1OLx2f8xNu7ZErO/GF/ISRzCJ+zboyvhteseGScx55k69ol8roe5rbQnCRSx94JxVfseJDU9Oe1TRWKLmQWfE2X1Brw0t7z4VsONDyN+UlDVte7r+lPf8Zj2XFOxwvqoa3VLwpDZNLZBlpydxoz3XbUzzc+47lz1re+ktyv+ayKNIlvE4owjZf8bl8yk1zZMmO9bij5sTCPMEreutwl8Lyz1run6XBqS3oVYeQ8SYMZYkV5WMe2ErHKZ7xjb/gbT7OckWpePvlntdfKNWpIGeCnjukQDsZTIXRVxz7RNUJoVGmv3yi+Rz0OhCaijJmWBmuEvbU7G/P+egvjuihxwXlUIz45gwpE9syYs7RdYCPPErNV/XHvH31io+X76EHbeDsj9/w5eee9rcDy1uhuRkoz13GLp1YcuTWH3nyA7kAkyNrhpC44IGfxg8kqdlUjxxEqKKxHVu0K6x4zw07dpxxny74Vj/DqzDawA/5iu+951/9+R/RpM/5ovoDvuYNLxkQHM2qpa6O1Ex8m6/5vLwmrhb4dOTduwP55TnVsvBWCm/+q39O1f+a2/J/8Xn1Y75OP+bEDefpkZumoVoDXaa6bdBVgF8f8cfAN+Vn/M/251RS+ItymKfaKGYeZaKVTGgNWQnFFQSjKnDOkcFVKPN0pwLUebJlDEgs2XHNB9Y4hFICZj29X3Ffrri3liKBD+UDP/7TW/LNkUkPrFlQR4cM0DTGLhe8Niz2wvB/fM+LnefrVwu+ajqcvEK/+8D55gt4+Q8vCP4x192/OXI7Vti+wJuO1adLxu8eSLvC/TRLsH/ulcWusL4puD+5JN49EYYFpd4jBPRsyf7uieWZ8vDLPYvjkT/+o0t6P5G2ET0oe+dpbhqmhwPoitX4PT85/g1leY0eHqn9nuZTQ+8icWdUNw08wfrTAfk0wR902LCHJzcnSpFZ/rjju6nlV0/3VBnazcTtDYRGwXvKQtl/OKAnh18k2uSQrJyCkIKyHiLLd1uGL432R47UGe3rG+T9BjtTzn5+xa/CisfkUV/TZ8deVnyIl3zDJ/wLP/CJvac3z2/lDaOtWMTdM4MJXJVparA0cXdn3OfMGzPWqsQoOHXoqaeNJy7ZcpUaHn/TE3955CdXF+iiR7xQt2GOA/0+k8aGIxNJEu1FYL/0iEaWnPBUFAejZO7sCqXi1jYcS0VdvcDSkasUSbsI5zWjtmQGUjwy7DLNh57ffA3vc+FfNOAqZsVQU/Hy3VuqTyv0fE39L5X67Q7ujMrVlNdG+FkHfzPCtzo3MaRi/OVE++XX2C6z+biw+LRBpwk+hkXbMPz1yKKq0VPh9H/u6P6LBf7nDrm+QL99om/y7FM/FeqbjmE6ouuO/a8TP+wKepr4+Lyhu1RYMqfSdUoewbqWXJ/z27sn5H5H2xXiNlO3CxYK5XHEfRTI1YS7yEhZUuUIfcbvI5evP+AWjmqMnL4F39V0f3HOd//6A69LzXizYPzukdXLK6weOd0daDVQSsIZcCo8fD3x3UOipWFpIG8z/ilS/qBFfqYsj3MU8unCcK8C+angDhlZ12xCRYiK9kaOkY5MKJEjGXKiHhKr9dzQvLAf+PPte7j8x95N/mHrpez52L3nD+Q7XvGOlW54GY8s+wfa7Nk0gadqvuR7nbhkx7KAs5EliU/LjkXeUJXCKA2leOT0xKKZo0APZc3g1uzlyNQoU7vmUZQf6NjYivNy5No9cMGeHcIlI3fA92XBEx2dbnld33NWC+68YSotd+OKh6nhKANGZi1HXvGea/mKZrniaApS2GZFnXHJPXs9cKBjpOGsHLmWR1rdMWGkUrHVNU8sUcDlEceS6DytHLjVI4Zwx5ItCxKOKzKtHHBy5FErCgsGG2mYeUtbW7N7vnAcY8X3ax2eAAAgAElEQVTmqSMMjoddi1u9gAcj/srDQdi9Ek4+4Hqd+V4j9PRgDXII2H7EDoWcPOmTmumjJbUuqMqSbPN0vGJiSIHHasm5m5vbxSomqzlpTTRHoDB/ognPSA0srXDV7NDWQGoerCVLYuXGGdKcL0hjwNWOyS0ZS4VJzfZDy25vXHwSiBQGqTBRHFuSy2yl4R2XLO1AtpbBakZREhUDHYEdvcCUV+w5Y9SaJwpRXlPI9NaSyu90IjML4WizyuaJBZu8JnGB6oEliZ21/JBuWfsXfCx7avYEzthww2QLYgm8Lw0nrThITZCBSwl8KMaNPbHRjr05LsqEO3vBt+UFP5QbRnUc6NjR8n1OxGi89be89y17W3LQNfd5yfthQYXMfC/t6M3x4NdEWzChOBx35YqTCyw5URMp1JxszVQ6RjcxmFDSFY+Nsv4vW45ZGFvjK+144BMe0gu8E9ZyN6vXyXQ24p+je/8pLCHSV0I4a2hvDFpo/vic6mzAHYT84YRmKOOExkRYKofa8VYumViykEKDcbCKRe+ovprYukL5o4plSGRGNnJFw8SZj5yxo3CiiyOyS+A9yzKykJ6KxJm0nDFyYKJ2I695i7oD6wHuvtvzKgopVvTWEGxmucwVcsGVkUBFF46zwuBk8B+2WCP0/76wfMqc/u0WPjO6Twam948c30B7gOoe3ErY6JGuUqx47F2kPhwZ/0Oh2R7Z9QX5GFaxJj5FsIlwK6Qxk9/PimUPpCyE0HHqI6GL+PvC4csN5vcsfuqwdYMER1HH1Mxq745Ma0oVe/JouF1m/CahDdinLdELyZbs6kvuXQVEFhY53kem/y1j93/NH/4PP+eLxSPiKl5R08rEKDVGRafgnLB/nKgePnD+ySs+4Bhp6WnY0XCOw5VCcRPb7Dn1FZv/22hP99Q/Hbn66ZKDe2Apa0YVVjqw4Y7XVUHrNDf6SoYhkgsMXjCgLYUiR47VQNJZ6YxrOI2FxasK7yMBZWdLdiiV83xcdlw83PNyfyL+Tx84/a8j7r9LXP1nSkXmzK5pQ89RCktVKlE2EjHxaNuSW4c6mxtRaVbK0xr+KmBdwg+Fy/aEG4zhix35DrptJG0zISiTGZDpENBpTvm1iO8jbI1wq1y0PS/ChpGOkCfOy4FlOPDEOSYLmjzAbsCtFiDKWntWYlxLywFHkoY9CzoGGkuzCnZvdO3A5aLnjkitkHGcBbiw3czOKoV4fKBKRt4m0l/2VN/a3+nE/HsbNYuxQtqIsKO1iC/K4BuQBpj5G3vtqH2LOCFJfpbeFYo5kI5cPoA7AgP6LHASlElWbN0Vd/6SYTinlj0KTEB0GbMRLeegayY/e8NO1pJoMCaiLMiqREuITUQais6Rj00yTCq27pxHzjl3F2Q7Q9MZxbXz1NImsk5MYSDKwCTC3necdElTWoRn8qNVIDOcbjRjkAUeI7stniMVB1zxmM5pIqMPRE24pFRptkdF7UkyETWQS8BZAkZGFxisZWKkl4wxscwV1SkgaQLybJEQKM8ydKUhljm6t+DJVpEEJp2ZBVEDuFkfpqUFqenD3B0uElCEiEcMWss4m6PMotSzAklfsrVrTm5BrHtkCadayFYjpVCCZ3JQmHk5dQSvQiaSpMyedd+SxKE4FqXQlcTB1SQMtUSTBqYS5p+hGF0qFE04M5J5Zt1mIktN0ppBlKN5BlljLFCZmNwATNRlREkkhCIVWjw+BSQtEDoi0NeZU1WQsdDQU5WRk2vZsWCQkWwVJgKuYJqBFvKJpHBUx5EFsxawQRgRIkUW7Kk4+fcYCW/zFG5HxTyzShQSwWDGbQpFAliikh4j0dOSyxJvOzz9jNNTxyiFSap5YmVCDLM01ZeGxoRJIj5A0YlBl3jvCDbN1oqUcTYgFtFS4WJNjsMMcf09Xt2o5FKTZCTUIG6HKYRcIdGIkoiuoEXICLmOWCjkMJKC4TQjoadUhk6eVc6EWBC3Ay0U58k6vxfG89C/OKpotJywvMHnHk1g0SFFSCR8k4FAqsHVCVWlCJjOcuBaMmhPqoSDVrRkoo+zZUeZ5efF00UHU2A0mFQJBUQyM/q3UOcBcg05YsEwX2Y7i5841iP72hNpCCiDyxxx9HRsaRlomKgQlxFmC5bHSBhZwdSTbL66UITR6RzjSWASwzwYPWqHWdFhK1wu82vqZ/tYJQ7ysx2K+fsrNb1rMedxxajKLB7OTHPMt3Xk3IAOZLelEInUnPzE0icwRYqSGOeEFcszpBklGRSUIpkYCqdKaHS2KVVWZlhdXSidwwWhLgOj8+SQMZ1hv8lnEEfVC740LMcjQ06oGRCI4kjUSH6ORzKjSgrRM3jQxQ7qjE+CSw7EYZaIHrybDRIhwkTFVEesM6TJ5MlTZLbgIhnTjBSHp5otXAqNGI7ZGkcqmDmSzKlNQ2W03lFkpCoHugJthnYSNM0pfmpQslJqQwGcYWGe9jgCMZUZipiFZMqAey40FIk1akq0TKyMkhJiiVg8Qo1ZJKsQnTDphIpCEeoIZpki4zNzArSM2O/Oi2i8in83MO/3ZQXbc5nuufXvOJM7VrbnJu5oxg3mKkTOZ4aMeYLNQG0RT9SBQSKdM/xgeJkVxGoBTeCTMurMMio2EKgZ0WcF5pITL9nxkoZ3syqCEZUeZWDC2LsFyWYSTDEhyKz2FO0puYK8xAh4ExoO1HbCq1CVLZQl2QUmGuZMwTmTKZVAZQ1NHgmhIHaklsyoLUp5Prc8RTxQEyg0ecNSt0RtUFo8CnmOK/Ui81mbjUbKM+1gInvDaGergwSiLhikYQpGkYzkBZpl9oKazrL8PHNYzBWyN+QYqPL8t41CerYwaRbEegoPBBto0oqgwlETrlImCUQ8liuc2DMs1CGlAXEU11JshbcKlWlGmqvngiOlHKjU40uFl7n6jVLNbBtNmClJOpIaUYHsqEshEoilRUTweiLhSQTUAk0OCI6DFbyDmgFPg0hNspYsA6N0HLhATehkP0/qWRNFmfHH9bN5pZ7rRAlMfk5lq4rQ4jjgGd0MI56kwptQF6NitndN2tCLsNFrYlHOy4GTq7nXFmdneH2HtxHnMrgznnjJ0V5QmFDu5sQrv2QjjgddMHGGlAavBjJQZEmWjhMHDm5WMhVbYXkiupEoMKrHs0TtCWRCMcQKUWeEAET2vue9BKZWGJJjCHCipueKrV6zlAFXKhwQZcHgDKVH7Z8GTHiFx5fZ9jT5OV0QpyRxiCpQqPO8v6CBPmTqyUEzp8OG2WiHoNgsBZnPXutwNhH0RLBzWjJV3tLqniyOKi8w60EjjoGaPO//RJoysrYTB4VGjoT8QFsaQpxrtMJ8X2xRsFn9FRC6DMkyJUNjc3KVFA+uQsYTTB3t6UQaZ1B/NShuA24oyAg0jk4ngs3ptCIZmQKaBiR66phgmusqcwUZHcQW50ecplmWkUAN1PKsvsgOiZl2ADkZmiKjpfk91xkSXpXA0qDiCGTK8+Bd04grCsU/Q54dlFnpoW7ASGhW2pOgfcuUBoIcyYwk6wlFqXKhDveI29OmSDiBTlA9N7mCKSNutkjJCTWj4FFbUMWGdQ+ydSyHmj2gcqIuA7XODeOGQCg7Qpnweba9m03PRbBQGWAjvTQEczQGJmneU32c6ykMqPClAhLmEqMUvDi6dCTkiBsgDIE2D5RqR1BQ1rTAIkXMKeqExiLOTRwrWJuji4m9i1gDLATzoCK4nGkwtFT46GbA9NDgUo/3hSSRSCGTWFjPmc3WzjbbnPaps91qaZGlRKKOFIkEm9WfwogvheUEFg/UYYvHU57r10LHoRiBLed6os0JsQrDEch4OyF6Qiw/g7Z3eNkSWFNbjSRwKKQaLQXJf/d+9Pc2aj4pv+ZePqF3HR0DrQ1UnEi+4qAtSw5cyZ6KzNEv2fgLtLTc6Sc8sgAp3Ph7Ko70VrGzW37wf8av4iW/0T/lmq/5C/53XoS3bMo1dbzF/WbHzWdHqDNSJgaUv/J/whfuDX+V/3NO7gZh4IwjNXsazWzSRxQyl3IkWGC4U5brjIiw8y/5YfcV7kJwsuWeC36QJaNsOeYLDk8LDosLHpdXLLhjzZbXZU/+IVG6FYey4iBrkIaxhGfPZOCQ1uz8C56k480K9EaRE+j5xKa55ak0vGw9Y3iP5TnKepADzvfclBP/zIwxb3m1/4J/7hOLs3N+4n7F7Z9/TfnvV+j/+MhqWxEeM+UR9NGzGCqsyfQV5MeG4S8L/DzT/DdG+jRhIVO/87A1vC+c1PFruSJ9ZNx+eJrjzULhwS6oQsvjoWLAiChVhkFbfuANf5X+JefNgZvPvsVNmb8+e8Hp11e8uM+UfzfR/GEgUHFgyRACjsJIx668YmO3DFYRWfDBPmIoB1zyDOJ5xy0mykfpLe2046xfsPpNz9XXR8KZ4/InHndbmFygssKP7Gu8z3RseXLnvPcXHFB88TRPK/J3jvyLNTtWXLtvudYfOD99x1X9A6vbD5zcG0ZeYfIb+OTIt8NrvvefEiXwSt5xVb7h9TByvn/CDTNgauqEsDrhUiG/VMyET7mjY2QhG2p6hIlBMlFOnJUdq+8TzVcjH/9i5HM+MLpXtBzwdsHRzlnLI7Vs6Fly1CvAsZOGL+VjvnM/4iyfOAShUeM672nleyZWeKt5rd/xUXPiTBIfPXzHRz6x6Av2QuGjwF39higDn9703H7WEP/diGrHsZzzpJ53L1aszxJ/+g+tBv6RV/pvlfGXSvq20FQLpuMG7YBKaFcQ7oSLyzV8emD6JFH92JCXHpqCe7VgujpRdS1lPcJesIORVdGLGv3ZhHyUKKGQ/6TBflVm5NRmRxqhmxLp8om2ipSvhfjb+XDMTwIE+Nhhe2abTzfOaSMG09N8kO5/reiuYp17roGX1Ig6Nl+MLC4awu2Ieji0Cx60o5HASnZMKlipqTaJ6qGgvscaaH6kMEa0NsRXSBXw0nOJJ5gSmVCpaJPiwojaEaFlXXbUbMlirG3HdALGlnT08N098ibQrYQbUy6bSNOO4CumWKDqOPorvqHQ6xWvz0+sViB2wIW52ZM3YI/AjTw32wvtpOzeGcPrKx7tYzZUvOaeK3b0LCkSOFFTW8V1Mpphw9g2nNrCutsSxgNtuODkKjINMh1h8KwPQkfgrFH0Qmg/Nvg0ED/xHBtPT8dqIXB9Rzd16LtCfjSCJeK6YK8zgsPaApUHbTn88oSLc1JMvqrY3iw5Uri5XhK/GJEmwMeevEiIFrRbkxaPhCsHXzjIEXnjCEmwewEVkkKthaYVuDSoPXwo6DLDObDM+Lsdb5ZG9TjSnDusJOIh4a48WgG1Uc4EPXPINz31Y4HBw8aoJWGjEIdMOEK4N/rf9vhocGU4GWEJlAPiMyEA9wbfGOMAzbqiVJ7O9pzXgep1wv5wgHXHXjoObo22kbPSEzQxmXJYrZFWKK3RyMj5shA+c9i5Z/QV4aT4XUY1MPlzDt8fWW2FNMw2OF7/4+wh/6nWZ/wNP9Xf8pqvqWRHcJFTF+l9y85f8uhvOZSaShwNOy450I179iGA96iNiDpGV5OcojjoVkSn7FzNhgu2rNnImtGW7MsNX9kl7/QGDzQ68GX5A74tr4i+5YNdcZSZcVfZCY8Rxc/tUoOKxLU+UnlH/+S5Cy+5r675Vj6jTbCpE42bIK44bFqarmDuRMpr+ruR168jF/4tS3lgkQbWvmfJhkJi4IITa/IzuryXmk1YcTdnDbFnyWDtzH3RmX03y/9btpyztSsCPR2nOe7bHBUTl/I9ulrwMLzEnTVkl5BLo7oN5A/CsBPC9RI7CeW9ISkgCUoqqDpKaudN+DRQqprvwy1f8xk/cfcsymyz2cole1lQW0EYwQUcJ4zMKMKH0HLHig0Vu6I8ckZMFxzeDYTg+X59oPWep7tzxj2E6zt+ev63/JT/l6kyIitO5Wzm5OmJ3WrJk75kIjDmhhsduWXHBT1PrIh0HOwFk1txoGIQaEmzxZojZh3RWuakkRHHES2QrWMUTy81pcww7x0LHjmnkROBxEM+51E/npMuc2TTX7DPL3DHDfcXN9y0WxKZOy55rwuKLflwvGV4NGxaM50m7l1A/ES72nFz8Yi6iWZ64Mfunk4GBk1EVVxpOBdHxQ8UOTGVJb1cEekQyXTWc1kWbEgkyYgphYaBin2sUNZ81zuC90xxCXWhC0r1zPt6z5otf87XORElonriE97yC/0a1ch7e8EDawa54EShyIDqilTO+cE+YrCGlRxx1v+TgAmrbanKbLdLbsK84MeMjBMdz6muE6yioIeM7DKaYNlMSANBCxd2j0hNE3awLHgNdOVAtAx0VKlm8AWVinXJxNOO6smRDhHNUD0cuAzgfaJbKFN1xsE6GptIEhi146UIsjmhFTRl5JxHFgjiEl7CHG9cjbyhcG5G/yFz+gYWZ45hiByj4ceE66BMhfwkKMr0ILjf8f5SxoVnPVlb5gHFcMIwrBSqBDkBktBrQaKQ6Wd1aZjjOi1DOgEUTAtkJW8zpRd8DqQpUfUKQyYtYPRGkzJ6iJhVuLVgN4adJ+SVx1ZQcqEhM8owc7eYOOPEckr4XYGlkW5HHuuWJwKFgNA805yURENVMpLnt38Ijp3UM5C5dIg2LBi54IGVKxwls+QROfakR6h3mTBscaXC5BKVRFcGvA3cuJ7P+h+Q3zySH4BLN/vZBPQ4UkeDCmThEC8sdZzxJGUGMiOOKMJJdE6czZ7RLRlNaPJbunxEfxTgF0K6gd559m7NKVW0tqfeHLnYKWNwlBdLjiwoNtK4glNILoGb1SaWDUrBFaWkPFuvg9G1BXcCimfIhmbwux03C9jVgWA1xRJJj5hOTDo3CwetiAhn9kgrG655TzftOB96qmXNRRkhFTSeuLUfuJANbR55cGvu5YaPBH5iX/I933OUCxZxQ9km0tUZT7JgpGGdH/D6yEpHnFUs5MB1PrJ435O/Mmw/EfeGO/7drvC/t1HzQvYcbU+xioYT5+KpSs9kC3yqWIfMUk4UZ4yhpgAhTmyaNU+l5oYDP+YRs8iqHOj1jK2c8bflmjvp+LG+4xfyV7xwD7yXG4qu8e+F1TRQGiWjnKzi1+5n/Fv5Bd+WH9FpRccR0cKKBzrgGM+IrudWemogHgSLHdlXHDnjMV5ylAuiep644p2btT2jLYnHJaf6hi1r2pK45okXBe72hSwte1kwEEAaDtQkKoJFzFqOXLGRJVPbw+L5gS4Du2rJ27jEhwat3lHEngMHHd6OXFmcAU225+PTVwT1fFj9hvP6e+THmfCvGg7/S+HyMMEI7EGOENJEZs/oboi7Felzpf654v/rEepInXsuNoae5rooS+aJmh+WL6gHwSZHLvCOlrVfc8wtoz3jo6KQfM2TXPG1vOFL94b0akCL8pV+TOwXhH0mf2u020hbjjy6FRTwOgPb9kWIOoNeC8JTqTnR4uMMt82NcMo6Q0zzSGtr5K0h/88BboXL2xOXt1saRho78Vq+opIDtW2InFNswLGbMcYxM20GKC0TgVqOrNkS4oHQRaozY8g1J86IekCuj3w//JhfyWe8lCM3cqSNB9ZTojrt54gbnePpCBFuYLyumUy5YE+nB7xt8dJjThhoKJZZlEz9YOgPE4uSWDGgOXJG4omRiUKRjFokmxD1jCOegZqntJyZQwUG16B6pLMjncB3PHCUM7ow4ZsJdcbitKfNE0ZDvDbk08RTd8uTbFmvH3n5caL/5UhOFUNe872cMVzUdGv5vW/UyJ8Vyt3MWDANTBFCA6hRN9CJsDirkBfGeAv1teA1k3ZG9ZPA/blwflkzrR12rNHjhAWjLAvulcCNzFHTr0C/fI7X3huynUGTPvXUV2Dfgv/GoY2DTZohdT8dZuGdCxDKbP+JgmwNbxWnSXDqWSTj/6PuzXqlS64zvWetiNhDzmf8hvqqikWyKNFqdbO7oZZgGIbsCzfsS/9H/4UG+spoGwYMNSyrLVKUWCSLNX3jGXLeQwzLF/uw76gLuwGh4g+czDyZEbHXetfzrCjM1SjO070RamkIFx3JO0qo6MwRpCK5qdfsskf2PX5fsCnMQbpy6MagieAbnFcCZxoRLCecrhmZg2t5KVs6GSk2shq3LLxxCCsMxWVDihAHYzyWp0KTcZsz6wW4BmiMLAULDYMs2BGoZc2r5g1LD1IK3k3pkHy26YIjE1MFAmpKOo4MnXAoczpqlC2zkvBywombzBCWmJeB+rwj1TWpCvigVHGgFcdOGiIdTgpIwZenOkfIuJUhzw29irhNxpxntIZjPVKtjdneYc4Tu0yjRmogLKC6EIaVkGaZ2gn5/wZ/cKjU5NqT65Z7NarbGXV+y2oWcetCqplmpr2SFwLPBf+lQInYpSAfDBuY9kEHPiWqCnRhWD3DPXQwi9MbCBl36rmoC3UYqVtPdzb6NFI3gsynFJmrQZ3CcSQcQUaHO0MQcBGGMeI74D3o+542GXpTEDLagNjUKnMAO4e9nlSq+UKwSnAYl5XQrME9N2Ib2OklH7jB65kg76htoJSKXXPFGcdMz1zkO5o6Up5lbO0YXML5mjzalHhSYTiD3iv6fmL/fN/Xj/g1n7hvubW31DZMHo2i9KGh05qRhq4Eip+ECmtGVulIH64ZmVgRxTs61zI6Js6Ym9GhT4/fK97zgi1XnKThnbziCz7ixIpLe2QlkW+k4VwyNRWDOSItiOBkxFnBF8Wpkg2cJBp3onDN/b3H5guyHDk7Za4tsbzHM5Jj4PG4pGlHnJ3w44z+UWiePzIPW2p6ZlrhyoGZ9mR2NDYHmdEzJ8sdMzuxQZ4Spn6CbxNxkpizY2BGR80gM8409NKSMByJiCdRA4657qmayEO+QdoayJg3bCW4ThlPmfqFJ1Kw3aTmFlFyNqQxxBxhNIbjQPINe3fBrqwoKqBHhJ5SGgapeeTIslzhNLN4gqcWlKMEHmk5UjEYnHF0acP2LuLmAZ3PqEvD6aGlPCTWs0h1aXxWfsNaEh/klu/sBUkcg+2nwndY8J3dMFpgzolb3nOdPlCcZ28N0Sbu004ClSWgR8VobaRnRk+Fe0q0gzJKxVkW9NJyZg0SSWQ+sGHLFbcYtR0ZUqALM4SeLjc8jhfELuDfe/p2QWpbkMxelhxQsjgOw4rufkE4BuJjoZ45xgqcjHy7vmcIAfKJP2qPbPQ1DQ808PR4+YFL7jCgcwtO3NDbClSpLTPPidomaDzigEDCT4kga9jGOTUt/lyh2lGqgEMxMg/MSXKNFGF0No1llpYXeqJ2D7xnzX25IbsFRTo8Z4wNJ3nOtqxxNjBnoI3nCSb3PV/LfmRtYDlyzoYX0BxxfUbDBN7NHcio0AnSJVxnbDYZqSPeJTZsqVAad2BsC6ZCJVsaiZxZ4CPgO6oyssyJcTtSfwjwaIgZesxUAsIINTT1nsCJTe5JKMWUeTT8PsNS8WlgZY8sMSiC2QQbr+TMrXTMbc6Hh0J8LUAN4Yh0MOZMmw0/gh4MzCg5UuOQVtCSsZopFqIFqUH6NCXK41TMmerFHlsVxIzhsdCKA6fTE3FRysmm/dQLIlPzW7uMizbdPTtHs0+c/WT981FoT5kUMq6eLFuyAHcxacarXlgksDCSJsoSKw4skiK9TK9zMdIFoS/zCZUgGc8wQWef9nRKgAyjVAziEZQsk7ylYeDKPnAjxh2JtZ6pjiNswbpptN3h8XlS0jjNNDIy4zueD2eqrw25C7D2ID0hCW4fkHokeHBOCfPC3CY4epMNnw0nT5IES5iMqOQpUcLT79ori5sEHxvDWslhTSeeVuAinmn3Z+pHEC8srypOYflEiJmKzvgwSUBGg2hIBqcFU8XEExFEPa4fJrFDhnYEn0aWpz2+arE8TAwuHXE5Ewv0Ujg8Iccv85bL8J6bvGd+iOg2kXggYOhQcFXC2yMjj8xTpuKI95E5RmBgw453+pJ52iHnjA6eKimNH1mwp5UPzHNFVQIlHNnIiN/1pLfgOqHeG3r8w3bef7RQE/bGQkaqZmTOjjNzvDnEjFnpadLASQI9DqRnme7Y8J6BzGA1qxxZ5gEnB57zO7QceRE+cOSeD7JkVT5Q9BmUR6LrSRWUBrIWGjfi80D0nkECIgOXeselZDS/4ejm1LZhYT1eM1kcQ2kZdUYfhaKBRgZW3KPSceSCvbviAy+5V+GG96idEVHu5Iq3fMpSf8WKgRxOxLWRFx0nrZm6F45RA2fmNFKY05GBIILSYy5BgXJqGHB04skCmk64J0K0ISwsUqcjZ1nwIVxSN5fcauED19zLgFfHx5woAjlknM9YA90sU8KBtTxSa+EcFHeRcLGGcYEtH6i6ntl4RkYYC7RMkS4zR+8ckQEv4xMub9JGVs44qjxpxwyTjuwzD2w4yL/mzIav7V+RqgVDeMO4FMZqoJUHrs1ztcvUIizqE96/w/GIlpHWReayo9PIoQ7M7cwn6Xccpca7HZ2LjMEYFsbiuUeXDpcPVONbNEQqGbmxr6g5Yjg6NgTzzOWMyYnYHHDzjk15z8/GkSt9w2/0MyS0ZNaMeU0gMuM1pRl5O6zo1XN2F7yR55y548LtELvD1GAaQaQ9gdZAEPa6JopjwT2rsmVd9jTsGUuglw2d1ET7NdkLcean6i4jH8d7hBXOJdAek4IvhXXuGOVMYSRYz8v4gbV+zUX+wCCBWgJJjGQTRHCg5dv6gtntC17ygBCZAdYUTjOjrRO1dVQ4tlJxbBvSasC1NZKVE3Pu5QrV+f/Pq8A//fpaP+IHOhD8Fqkyx0ZYBpsqF4yMGPgBnFCcQJVhzPgMOWbG1mFZGX0BV6aDoBiWps5BSUboYbYziIXgJnuOdgdCVsq5wpoGG/ZTHDc73ChwESkhMQZw2aNDQG2gVAULhsSJzN9LQbynjyOxSojLpIWSFwkLQOMm6KYIc1OaIWMuUZGphoIMCrVilhh9jVQODYarM0kNR3++wMYAACAASURBVKG1A9F6YE7GYfJkLZATtWxZyI5NEbJdYi4z1EaqDggVeQbmR4oWVIxjgSp4fJspqxHmNaZTB8ubQ8cplow6SnJ4K5SmMC4FloA+jTnpQF4YoX1kLa/ZsGRhkblBY0ekKGMY8HIg6JEqFi7PCZIQBg9EXO4Q10xgVA9eEslPevtExqpCbgzkhKrhqJ/4Fz3aQGkKKaRpP3Z5emmlwaJQZCDPCsVGNEA1taip4sjVMDI0DlyFqwvSFsYgiDdawLTmi8sVYRX4uBlZ+IgE4fd3Psvgnzq2KoIVA4tkgeLzVPCpAT9iwjTWURqcRsoMxtaofMTVBtnhhgpST6wMKxkbFLRFSmE2jNi2hq4mdB2uG7CNJ60zyYNPHolg9YA1IMGTS8bmmbGZxlFsnEZhJeo0o69Ka5M1y8TjstH2A6k+UXzA0xM04m1SXiYilo3klGGdqMOJRfSEEawURBVfvv8t7J8cvuGldiyTUddpslAQMB+pS0flBjLKKELUQkTZyZJeKmo7UtuRUVoedEGQgdr6KYlChcOTWNBxSWJGh6NnRCQCNQNLTgyMtBy9Y0mi4kCQSDRllAWeDqQilZahGMEbZ6YzKwJNcsxk5FLfsRreUxcIwbGl4bGGLAmxqVGmMuB0R7AjIolsimlDMU8WN9GwUVQilRxZ2T3P0luWlsCvOLolzg7MSWzsLQd7xlaWOCm09sDchFF/P3hxwssJZEMqgETEOmYBziWRmVEqQVxBmumBqNcR6kSOOiV2mkypBaJS7wPhNMOdwaeBZXXPij0mmQ4HRVlYopWC4EnmOcuaZMKeSxItiuFQgniCZHrZ4WaCE4+LAauNulJ685x0xlvWvJA1zhL3sua9X1NkQ2LGoQQO5YI+blBfCLqnkTvWsieXGWIJc0bHDLXmqWTl8GScJaLAUTye+um7sGbQzEAgU3Ni8SQ5ELqyIGtFthUUR0uhlRNCQ9HVNB6PIdVkE71TJduSIb9ADJzPuCqDd5gFiJAS2AySGh3PIBnp6Nn7G5ILrHxL8ZliPVEfJkmHLfjALe/lko6JDWTiiBrwzuOyojZpcs1OqFWoCwgHNHiS95O1hkBnl/TAiRanFaaRiNCIUJeE04KzkZXcgzMeCezlArOGjp6zrIjOU9uO2o40cvon20P+S67VVwukNbSO1AO4AaxKRCsUnSyvtYEkAQuUMSIJmv5Mqgs5CIUaJzXeoGSBAMXF/yzCkJCp7UxFj2ajPgnStUgcYMiUvqBLOFeeh2pq6BRGFqlHwhFJHetugSQmQYrPzCSyzpGmr/DR6DUyr2FvHS7OaYoQFIgDtWVKFhwe5wrWZ6SqsEanvWDQqdEkDhHFWaKIYA44TYIi8YW8nGgWbJ/OvJBJtUErFDVKAZemkVXLBScJyTMY3aSFtidvsDHZ66LizaO9pxqM5BWNAdkrnBQbBaUg0ah60ABeeuZlxFw/iWeKoxwr6nvH+tCxaXbMrGLnC0FqsBbHQH4apcxRqLIxLwON82SFIjPERkrJJOeJxiSJYZg+QxwuZZriabMSXCKZcRSjJ9CnNevDAU1HjIwUo841NkzgbmVLs4i0izNZ9ghH2tSincFgtDkz80cG2eN14CKfuCyebbMml1t+uHyk9QfEEj71zNTRlpZIjfpAo5G6GC0ZkQN5GPGjgnjkkPDePYkQEpoK7B02BpZtxXhw1OKJAbzLU1EjAZ1nsatRFzGfiX6CzWunVHkyYFXSEUqDo9ChFFsggzE7nUhXZ3A1/gTSOXLTsl9VHB300qJ4pCRGqxl0Yg45m4QXeoi8GrccvGN0jloasoFoJtCBHcnNQGoEOTrcwGT+/APrHy3UyOtCXU7oc2HQkS01Z2qC9ojb01lmaxeMJSD5xKU8cFO9Q+lpdIPTJSk4Fpx5wQNrGn5sX/JpUDayZjTHL/PnLHlHkIoh37P+rLCrCxe2Y8iOyhsB4YW9Zu7PvCRyLHf8PP4Zx/ApqdzzTO9IOL4pN/wu7vmoUWp/4oo3vOIrWJ944MR7U77lGR/KjB/qr3DugL9wvPZL/tY+A/sc4Vs2Ycdn/50wbB09Dk9kxgmfI3ttiAh1GPFENranzXskJDjA6ZuMWUWVHJcq6D4y3E6fZ0VhYxGGE8dmzm/TNdX1gnfDHX8vPyJTs7KI5T21PnlULcJHkD915Na4ZMucnuG5svkfasa/OmK/meFWT8yMEKEzTidoVpm5L6Q8w6ipiKzykVflgetyxw9mr1neRB6BU1SWzVQSMRJfpht+Lf+Sr+MrPsQLjqsNufkbLv9kQXn2gNeBH4xn7K++RdqWq790rOsT2TqMwMyM23SkrxLfNQ2v0p5X+9+iy0vM9vj1gl52PPuLG6o/gdPrFnVzfFNzoGIpgR/rHTV7diz4Zfqct/oRKgPgsSZgV55Pwmuu737B2Rnf3fwbrESMisdUcaU7/oSveED5zc3PaLXnXW55mz7nSpb8mXuHjN8h/VRks0Eo3/RIyORPau61JdLziXzHpd3xPJ64TkceWHLUwD0XbJnT3RiyfMHv6g1Gz4v+G16HTxmDp8Uxx1jKnsYdcRIYEGZy4iZ07MXQ6j1aAKnoc+DIhp4lB6v4jb/CfvJnkF/zcvf/EB4S8nKDf3NGm8BLf4/iyNQ8tMLVT900uyERpaLLM7p08b3Xc/9v8ue8nH3Bqn0DTWb+IlCPA3wl5CR0HqxOSD8ZRORSsS9Az3D3257w55/AXqmeefAN99+euU1GvS+MnTL4QkgV9vcFt9+yvAX6ntJniJn+ayN8faDcQZsy8cORU4bmxwG1TNUYHCJ2V7BLw+qIXAEI1ZUQt4lDmbgunUIdAh//jxecvntEKsNfBA4BDhJ41VcstyeaeUSCJ25H+FBgDiXDaCOrzRUsTjAPDI2ACLNiZMus2CH8FjeueF2teSmF5nAEHbiaRTwfaP3I1X+lVCtH2UXsdppKqVXYOeXumJlVc2abE8ufBmRT+JaOihaTSFbH4wj15ZxYzmhMLF8smP9pghshqE1R9bbj5b+qOb8886n/hjktm3zkUgaSZqChoVBzZJbOlMfCaujo3p+IMeNfOkKJzOlwTcYuBXBgcI+xDsKmnpgXZkZ0sJOavW1YzCLzjxpCNMb3sF4K0njG86S7j9sDXCrtysMxsfljgZDp7Iw/Kc2vE59/6mkOAXzErmvGzYblpoN+zzftS/6vz37CVfUN16tfsjhMvCEH6NKjlVELeBx5zJQOdOOYvRTctRI2A7IC3JyeA4yesBO0gcVnM/hEoTnCs5r+XY/7ag+zmuJG2PUM7wyf92QtzGZK/8uOuovoWRm+AXfsaf9bDx8r+18NtBeCvqpI9ZFw5fCtkD4S0gMkmfGYOwLQ5kAoAxflgboYx6fo+skSi+GOV5XwKJ4OI5SEpIl14wdjaYHkhavPK6rdAXmXuOxAYsGcQ/wf7hp9X9YPv/2C6pCgnLHPYLiMxBA5WaF7suMMMlkmz7TsLfO+vsRz5lP2VDLyhhvuuOCGO5Y2cifCm3JBUEfPkm2eEZ1n+2TNWVhHlDNnDTyUeiL1iaemo+GIcklXWnbaTknC0nCXFhxiZulqtiflMBrqauh61kX4o82ZH8iXiC04sOJ3Vc+z5449Ff15xulQsXwxZ1M9csGOOQ2lJKKMHNRxthVnnZEZmXFmZR2N9rTVIyvOjFTccYMTZcPIhXR4RvZlZOREo8bMHN+Va4oYS9lyhbGXDb1eEHNN03paOdNY4djPGWYN1nTMbwNUgAh6u8SnDB6krojV1HWNfwvpix4+Ei5fnrhq7qgZueOCd9zS65xFuqd1eRrPyIWjv+CDzXmQF5xsRi1KVX7PXBTm7ZnLzwPDMfF6X1C35dnzmrvO6FPFh/yM3+pzHqXntVzyO7sgsSFno+scp8cFsRMuXhrLemRGYmkjpnsSRsecjjDZp6ymMqPSAzUnOlbsrZ6gxzg6C5PBioGIsTMlW4u3wLl4RhEebTXdz32m4cSxOEZzLFbCvpxo54psWr7mOYfulsf7K9DI5vrEfGb0s0h5G2CbyRHcZSANjvRVoSqGfSt8WTL1suXVj5b45/d43TLSc7SGPTN+m1/xtb+i4UzByMwYXIWq4opRVDGEpRScH8hygllE64FjbvA+k83zId8y5opCYVZNI2pF4IojK9kz6QUdt27PgoGjrbgvnzBySeBEQgnqaDUQcST/PdfPPa3t/3Ji+VOwnwpH4CILOheazdSAOtwf8BkgT2MjZlA7eNzRFuCq5d4/CTL6gJ4mS+JAwxlhwCGhJ1iLiTDeJU6/MNZ5PzFwzBGikq5r3sxnfOk/4t42NCgr65iNv2MtiWV6wbseFgGqOlIR0SHSnDKz/YHsC81HSy6D0XQJlwrtNdhG2X4LfWcspNDOBfzUKCEIXPRYTthcsaWixUh7QyqZEmAGlYNyUeBTxRrFdgUfwD1rmW96pJ3hx8w4FPp348TfazKmIDpgXaZ7SCyGKaxDM41Q2ZCQe4HtkbQxqrWx/Trif1FYziv6dx3tK5Bt4niAuHQ0OqBDITklupr9ENj/73fc3Dma//4Nn//lVJh8RKi5IE2wOgZnjKHQF2MeB9YcOZJQLuktkVE6veKOJXv2JHrGMhCdUSfBH4R6MDR0tK5nQcN7aTnxnNf9nnD3BRsZGbvCUsCkZ+xGmkPFmAxbnWmvDgzS4xhpSsWwBzsINmRWdSFi1Bp5zj0rV/F2c8Vp8SnrN8bLcoRzYdUN+L5DZ8/4LixZroXV6Q4OGRtGFrUR3nf0X2eayxG+7PEbMBewBZQe9LeF7sue/JORcKmgDq6B84G6m8Zr+QDdlwfcS8H98yWDgLOAJcXMmBN5ZndEPPf+hu9wLIpxvXtgfDSGT89YHFi+Tvit8EDFV3/8EYNrKFpRU7igYwTec0VPzRAd405o2wM3nz/wWmqO+RaHUukDcz2wwZg7JV9BvijIY0+ZFVj94UnMf7xQk41ZPyDZ0ctURTq4lkU+o3T4EhGTCZWaM60GgjzSmDCzgVEMX3Zcly0f5a85hsg1ryGP3MmaDzwnuxkdazI1qsbgjT44HMI8dVReSfoMZycu7R0XOhJLTceGInDWPWpnINBrQ+83nPEMYtPMI5GOmp1cc5JLUhFSaejkOU5qulA4+IajbBAFZz3ROQ6hUM3OqHlqhFqMIjUnmT+FpUY8eQLfMWm/GaFJLTYhLdEMLgXUZogFWhtpyoniHCe54MxLHuWE1sperulZ861UvG6PzMJvmZOQDOZgcEYR8FIRSgvOMy5PZAVoKDZSMVKVHgBNxjKPVP7MVuYYHpfAXOYidVyxpXJ7zE+quukdNJQyx0lLMc/JXfCgl4y+xipjcAf66kx2iRmRi9ixHAsEwTNSc2RBhS81QTNNPOOrip4azJjlPVoqok1RvRSM9z6xW0f00RPimTZvSa6mkhNX6S0hRD7ID+n8mkBizkj5fUw2GL1LmHosZ9Q8RsOBC7ZP8blAwmg4uRWOnoNf8obPKHh6+2vqeGSWJ9998VMl3idHby0n35JxVAzMbWAWT7REtPaccUQEbwUROIWp6D4vifUwsJPEYjhzqXds3JaaE04blElhuMgPKA7PiVK2tGWg0oazOs5WUWiBFTtG3rct19mxOgUWXlhoJlXTbPHcdlS24aBrHuuRfn5A9cwQIgVlkJat/567uYEzS45emQWo3Ei2kewg+Ol3Idh0WKshCkJCcqDINHomJVBcIYYBfCRXmZ6p66AFNE5/p+obisQJCBsMKWBJCMkRssIwIKMjnGFuGcmO/DSi5PqARsEGRYaAJAUZ6GqwuqAi1IAmmWh1MiBuKt4UL3Re6MVRREmWyQJehGIyVVFEiU45qWcePBYclSu4cqahJVn7pOWuidQMbsVRZ9wmR508WldkMoM4tvWCoRauwp4cHPsg3LppbBF+D1MuZJl0iOoMcfIEMe2IOjAomOsnfoQ6Yn3i1IxctB7RSSxbNNOrkiUxky0NHVnndCJ4OVNU8CRmlnE4simSRtoUiT5wcoFRFQWiGkMQvOsRMRyGETBRtAxQhCJKL4Fea06q9N6Y+ZHcGr0XlqHgBCQHNAdIBXECs55TVZiJQq5ggFnM7PIV9+GCZf01bYk8Lva0s4iPsG9afju/pOieYxW4CEYoijkYm0wOBW/gbRoDSgJBh+nzVI8zQ2Tad6IIDQ5c5ryAZtmjjSJ+0pKjgpYAfpz4ON2S1eFEhafoEa0bvJ3RXNDRMeuVHCc9s5SCywU/ekgzLEPRKS2hxXDFEBOKeIobyf73J5phOpkwNCtIQyHT2JYFFWfZEPWCQQ6oRioTfFEimeIyJhHJsOgFGTxksOYPKyi/L+v6uJu6pQKkCk6Fys8IVPSNZx8yhifbnIyRzHHvr5nZB3qZs3PKGz7hQW9oEYLAAzc8umvmjCRqevWMUnOQK7pcU2O0dCSpMQHJitOaKHNG7elpGbRGqPEYRQrReU45ENQRmf5/JlOCItBxnb7iE/8fSXZBW27Zm3EP00i4Aar48sDS7llIRFgQZcR0SgCN1NPDnURqO7HOB27yiRvrmFnHyQ9U0lOlxMKPXKQOcyd8OeFcTQuEMpClZZA5LYbhiBZINGQ8mYjJkUoNLUvMJrGCVf0TU8IoFYwWIYBWimmhOMGcICIEKzR2ZGNbGjuC25CfxBbGiNHjZeRIw5lLHrXlIA2ZllJmjHLB6FYYNUJE9EByUPQGZCBrJltBcktvz3jkx4gZfbxhKGtSWCNm5NFw44wcM40NBDuzlC1r+0CxzN4Ek4ajOBIVSRJiT3czibinTndWR5RAASqLVCQ6XXCQDclaPMbJlEw7gfKlo5VCsJGshRQCkiOqI8V1ZOmIXHCUGwa/wOtAoSO4nuIElTmSHJYzxSXwCT8qkh2WL2BQSr0glTVjqYkaGfTIgWvO3PLG/Yh7nnPJnmgDWT1ZM8oZNNE7iDgqMk3pKDqjT4qFgDmdgNwm9G7JkB1BepJ4olQ4BLEDgYLa1Fhe2o4jlzT0RDEOOgcqsMwVCbVCpjA5lL//a9U7/FmISTE3JYQNnlIVHl8c3gAVzNl09fCJ2VkRArmtaVYDzoRmNKoIubjpPMYBmYYtilIlaPs5YRfx1KTGIE2GP5PM4Ob0doPPDUt9YJYOrDiy0YzkLcWB+UKVRxYGblQ0OpanRNVAmwDtCblQylQwUrXJ5aGBRGEMibGCuumgEZKH4o3UZIrPtOZQA+JUQBKTKd5qBVyeLjdWUBPwHfulsaiOVNHjo0xFLRUsCnkBWkUEo8lMKRyDoo5YjdgwpVWJDodNaZdRqLsK7WfUqcdkAiaHolSporGMlMQZxZlHslAbLPoGonBmR2TBjIgvIyYDjgErBc2CjuAS1EScFOqyJGTDucIinhAvqBrzFJj3Ck8TU0kndMDoPU4iTXJUcsneCSUJIc2Q2E+p1+iggJQ0PR9mwAwnkcrS9M1IEyVCcgAUZx21JRoRGs4EeQTnOep6GlU8vyeMiVxGGknoOI2XRVdIvkANWZWFdSwGw5IiOVOPYD0IhaEuIEo7BtpupBmmxDxPdylKoBoj5h2aPIsxISeIgxJmEfz0vswmrcWSLX2uObglaj2VTUn65jTizwuKOFzawWjUSfHU9OJJQCCDJEYcZ2oMT8hT8dlSZsWBK3McSoOqw2mktgdmHKmi4LKnKgls+rhD7f6/FWrs4wY7jLR3hcob1bVxFKHJjnU3stq/J9zOeO8adkMkuRckhME2QMWaez493fH86y9pNkfunx1p3JZePFf6njlnXtoDKTtStSaVb1nO4KHMeVOeE/szufbs7Jp7ZtzQsWXB36Yf8OAXXNo7ruSOK7fFo1xK4KKcKfWK35Ub3vmPeIi3fPdmS/jkkgP/kU/cO0Y7s5NrMi3eN8x85prv+Av5OS/sLV2+ZlcW3MwylcFCCykFHrliaZHP7Es+S1/z16nma3fLi7adPNa6R6xgktmr8N4Vrq4XHJwnA612eN/R+RUPesE2LujMqGIAb7xxn/Hvj3M+dh1/8fwL9Nsto4HsJjgjYrzhivcfLhB/j0ZhV4zm5gVdPFPvC5cxo5Xhk3ErR57ZHaPOUM30FtiGi+mgLxVHXZOLsmoT120PKTDEBfMWPuOXPJOBn7lnvNEf8++qf0O5WRJKS1UfWNiW2reTbuQiUuTIjJ6bU0/7/kx/OyI1zC3x7BSZDT3H1Q0PONzo2KfAQ7PEgKUEnt2MXMe3/JEbiVQs5B3iIg82J2H8RP+Oj+QNX3FJLIatjKuPan41+4S/Wb7iY3vDdliyPVzyq6bwXm/YScVv+IhVeccfx9fs+o6mSbRuIFJxkAnuKA7KEuTzGjMhP4x47zkhJGt4Ly+IoaZttpxRDm5G1MA1Z148PtB8+Yiu12zcFhn3pF9EOHzJn/zXFywu93giKVU8+FccpOaS+6nYQs8VkdaOtNuOvKw5+Q7zSwYTBta4csfH+sC13LO9uCA1a356f2C5ApkruVIOtOzshmx3fPRyRQ6exzLpOdHA4Q8iqr4/6y8P/wfXyyPuc6DqWCQjCfDC01wIFwX0bBQP9YUi7wb6dwV/0bB66bByoKocyx8qYi3PX07kLvnLa9zlkbafrD5iPVZ5hjxZyHyYTDaVV+yUsH7qIMjBYeeCve6xzypSr/RfnJk/V8aHQv8fEtXPPY/euPjEUc3nrPTMBZ5GEoyJ7u9PVKsA88RYEq0ZG46kKrK/XHEMmabUXL7y8OEegjDOrtlJhXcV9aUjyInFdqRfFB78moey5lt7xX+Sf8FX8jEv7BuWcsLmC8b4yN7W/Nx+BLnhv3keuU1/z2zW4Ktn9JVx9aphYz2+gkWOjF6RWcBKpo6OZRtZk2i1x4BikXrlyZUSXhjylin5U3myFHIOWO/IozFY4C0vuJOfUI09n/jfYC7gcj+xKtyB5fMOSsIiDK1nfz3jGOYcmTEzQ+YFftpw9aOe+FVmfUqkYyFUAcHjYqTKEScDUg34VqeLxgr8C0WuK9p1j/aGDAvGhx3ZOeY/nhO/2DH82pGqOdIeKX+q/J/LP+d/LT/kf/5nv+Rfb/8Dt8971FfY14mX+o6f8CVLzbi1kb4dCaFCNwJjpL1QLteefJ54MH5jyEpJj9MMtzsoshTycklfdlyS0BcN8mPwnzjiyxq9jLBLyD3YRiiNoQOULyLDrxP184CpcupGmouGcuqRUrG7jzSfVejLFfrzR6TPpIfC+GZg+3Ph4deRn35eE8rI7QlCpSxHh54husLJLfmWZ5xlxnP7luv8gNqK/VC4WhpqyskueD3WfL6suLg5wUIYXQQnZMmU2QRUtlMhfjdpYVOw772BzkYmiNUKOI/ofxLsH6YH24//bcY+v2OnLdEW5Ch0CPjMngW/yR/jcuGd+wH7vOSUMgu/4p3eMhSYs8cxsWbIDcVPyZw5kQs6qtIxlwONFg4og80YTTjogmwjKzMWHEic2LgNsbok5QQlYDmhrUI6cFN/xx+5X/Av+RsOsuJRr4GOIj0zqTm0zzhWn7IcDywALWv2tpkKLdwhZc7ISFM6cCtGySzkwA9fv2P5yxPhM2HxqudZ/RZvDSEF2m2kWkVKpQzUT60d5SQNW9bc5yU7XfOOjzAqggwETWRAUII5ysFg21E5hUXEXzmqxZz+faHgMAcSJ4taUUEvFPdMaF3iVjou7IFjVj7RK8YClAON77jlPVv9hIMJQUZWtmObKrZ6w+/iJR8kIEHRLIyiRC9Y5ciHmoM0FDWGUng4VqTzp/zD3x3J8ZJhtaDUT42CIxQ/YrMBbEqKr+2RG33HYBUL21Bx4MgcZUEjR7zuOJWMyoyBQGGyzRyZMRTHSvbM5cyj3bLnGaMlKhk4xJphbLidb7l2O+Y5IjrnnsKQEppb2mpJiNvpzAHG2lOuI5WNtH6LklhetpxrQ0Mm1gW9Tcjl9j8bVOLigvwWSiO82wfu314xd5H6Twuj6znJFW/GCz48cfMKZ1ZLqNiDDJjAuQhLpzRAZYmIY3uoCMzIOePbQlGAkXUVcRyZaCgtvgjelBblSh752H/Dgrd8wz9nnnZc+geSOXoqPMqSM6vyQKs75hz/iXaQ/7LL/wuPXIDTxGrNZJ4cpqRBlcEPI1UHNgpkRzxlwrIw/ELoPiQWf15Y/7NHXOupsyFq6NgxtxMzlIJRAbkMzHdHDn/d4f+uEHYjozPkzxvCjwp+P/DMzuzniYP0XJYjFSdiLuTgCTVsrgVnCvtEaMGfAvZghKj4ULB9xFxGTsbdPbRn5er2mlX7gQeXWFUzwsuC/2SP/HiOqIf3D+g5UDVgLfAAevbwXtExwxGk98hphKOgxaADGydI7uJH9TQu9pAnnksCXbVwiHABtgik00A4eqyuibEDmUEjiBsmq92dcXhntEF5eO0Yv0z86Gc14wPYb4zW19NZYZnmqmXQaVxYU6JWqJ5V6HMor5ac5UAvFQ5lbiPFjswR5jlQ/e6B+oPgUmB8MjM6iYhm5qnnZ+VLjmnEV9dc7Az/a2P/Trn+nxakz/Y8NhW7ckWXlVImw11DT3s2Hn+TsV8Zq6Vh32TOewiLBvEZt4GxCSTzVDaNhDEanAFzOD9Qy47WIi4JXqF1B1Y8MsqKh3/Y8vFfJdyV0s08p3Hg5qxcbu+x20i+aRj+X+7epMeSNEvPe8432HhnH2LIyCGyssYudqtb4iQSEAESEHda6J/oJ2ktaMEtAQKCREoEugWBPdaUWVkZGREeHj7cyaZvOFqYU7vuhUCAKBrgWwcirl+zz8553+eJnrHyNKGn0ILepXkStDBIUhgNzgrSWmIbkT9aYn40YFJGTgo3wHlmGg3vRpoeZFugi4AfB7ZxXp6ZYcIEw9HOQ8jWRJ6F91zIHZ8eB+KfdeT/W+n/tEe+tIwCq+fK4rLjVX7H4AomFE+k0cxodmSERhNLN+KeC+Z6gfv+hi+3j8TVHAEQVwAAIABJREFUiW/tFcv4yKV2jLlD45L464z81sDU4mIkfRf42/LGf+egpt9EDl2BmwQ/DLgLw0hNkISdSprjhL86cJTAnpqgFwS2dFozmMwFI9tzZHU7QjOwlwcyEaOepQy0TCzjLZoNEUMyCh7OZsN9fkaWDxwlMIpgdd6O3LHiV9VrYvbUnNjqI6s8IdZQ5461Huis8MCCO3vBQ7xi3wnal7jC8tK+5Ta1TP4TJkr8k6r7Wt/zefqGOmcGeUUfPVonlIRjYJSCg+zwHFnme9pwRjRw177kwd+TjJ91u+rmrZAVRiP0DXQOJoFMQIySqeil4dF6TuJYAaITD2w5sOW93JCKGqpHUjb4vmUxzdume16w7y4R91tytJwlsqtLoho02f9YGccSWfPIjo90tBRJybxgYAUZklScdUXImVbuuHTvOY4RksOTueKWL/QtOdb8wvwT/o38mKmxjG3CFYoXwERCCa4WkrF4JhbDI8V4osvC5CuadM9Ff4LkOPsdfS6ostLHNWcuUAKqW6r6I23zhg3zF5+8Z7RL3vKCkQUvw1ueccOD/zmPbsnUCn5K/K58wb8t/yk/51ccxudM6VMeNXOwaz6klo98yiq84bl7z2m4oCgSC7cnqqPPDckW4ITQABdKHhL+oGSnTBiqBJ0s6G3LZOa4+ZgtlU7seODl4ZH2LmCrSK17TB6p7kou33T4P3rgvH0/V7F4wWNeEKzS0NMwchSlYGCXJpre8LGBXAzAaT6Mac01yufcsU17fmO3HFdLvryfmLEVlmh7ziIcWVPKCSkcoWzpxganyooHajW/93aD//r0C5I4wlZmSc84Iz1oM5VTdnO8jlSAqTKcLL7LxMuI3UQ8gVQu6LawXmXKNdy2cPnjyLiMM0dDldFmvHVIArInWbCSsZLJmlDmMAzZkgNoJ/SLQJUMHCMyNNhpovgOzF1BVwV2dk7oNug8hWeG4XIXsHWJLiFFZRVgcoEsmXNZcMKRjOGy7REPWSyjLOm0oNFIWRtsr5SnhAsFN9VzPsqGPTt+xw/4M/cz/gkTZ/Md57JBzYo7+4q3+ppRLX+yvCc9/g2mzKQrw34aqVtlheB0BnxOVrA2ISNUE3hmHXPJvFlyyZNEZ7VipXgLqCGJYyIRssWNikbPwCXv+QG/1p/S+glvRiBR256JBV1heb56ZBN6uslwWy84L1pULEkXpGRJpUGvLHkFNVCEyDgpzitqHBILrDaIOAZJZP+EoCzAtRmtIqFOSDViLeScSS7BTkkOwt7i7tfY+wGNiV+4n/Kvqn/MF59Z/rD4dxTbwORa7CMszUd+NFkG2+AkgVHUHNF6Vpq7MlMWsyrcZsADhYeQ5qpWAvCol/m+LRHqkXSlxC2klcxgxM5iQiAxkUWxATgZ0jmiEhgS5JQwtSPZeevXJZ3higuDjXNqw0yKeQfxg+X8OKLDCn0caDsYNOM14UYz36tlx4NckbJSamaZBoJWpAyCIyfHaDfcuJbLIrKuFSks0ShZFEOet1dlxRROuGNGrJ0/tN/zaxTHVM9Aaj9G3HsLf2WwfsD8g4JKI+SAWOhdwWgWpFxzZMfBvCRh2MtzOiqOrqY0IycWeDmxJs82MixZHRFlkkzUiZYRr9PTttJw1moWhUpDx1xLKDiBKE5H1vpAZwqOsYGoSMrk0mFsZGkP7PKZlZ1h3gllnXp29kDShsoe8PYDpekoZARNRBMQsQgrorZE6bGa8ChHhEkybZdx32XkoqTKgbU8cnZb+ligyRCkeNLezy6jHkPSNCc/ZceeHR0rPCNOEmXuCOJJ4jAKblTiGYrsiO4EtZs3qU/3nflYIjDJrIhvR2IjiA047Kx11UClI+S55t3Q0+qRJBW9LOd7HELQigdzxb254pQ8zZOa1ZoGBDwdYfQkI2QDagJ9LJDuc07vE66zmK1Bq4DNjtgJ2jzBTu1E1kxCUanmNKT1BCAhZAwqmRHozTy46XTBJEKUgk5bJlOROOMweOZHfBaHElFdEGIDuaO2gVJHOg14zAxVTQXeNXgp8TnPPEdzZDQFBdOT3dLRuIqT5lna5QyFjWh5wLo8Z+7WkA9bKCP9ZODuGYNfsc+O0fV06TnTuCHkkhMemxM5HzHSITSYVBEY57MxEcQxEBlSBanFxDPZODKK046FdBT5QBCH6kSJwcsZpz0LHriUj9Ryx3ud5hcpCbQ5PAFIBWsmjARmKs7f+frze3N1r3ta60mlkitmc9FRMFJgiRBHJM/Pa8kWPwpSK/4dmN8Z/A9K1t0RnOAwqFVEI8t8ZNQ5eRaApGeqPsNtorwv4J1HZEB+bhAvMCgr17Gubji7AvNU5gvacjKedWWgApMcOmbsGKiiAobg5ySqHyNOLKSSPhqOI1x4oAl0NpHrgG6E8ZlSvZ4wQ4/ZQx4EU2RMpaixkC0a5+ei1dncpMmAetBMGmdblTiHWwnaT2RvIQghQGktmiYiYAtDjswsHjsSJKNakp0jmREvkAgwKdIbps7QdXkG32bwDyDrAmsm7Kigjt7NLZVCBasJu5oYNgG32XA2DWc2xDnvRJETlpFFVswh0ZyFmA2DWIQCQ0JloKTnKn1gmfYcCqUIS8xBCT3kFZyXmZPx9Gk1z1fMRJZ5UFNkGE6ZqXOz6vwx4ZPO55Vy5qROZU3MDd48omY+46QEzmaSDDg8TkYS4HJgoYml9Ez5THk/ITcZesvRO26z56I3bE+P9NeWY7tgzCXGJjRVqINQzGcyChgTlAiSFLGZrk6U65HwPFAcBYkFcs7IlOYE03FOCU8NjAtlaSacZsCgGjDGgzF4ElWaqFKP1Q8sTiAfFH5VUnw3p3/Pn83cxaI+ccHIgGVUg88eG0usn1k6TifUj+R1hLVS308sTCAtOt6RWWBousQkClqQHzPm3KM2knWE89++UP8771RFgP3yJXuzw+lH3soL7nhGMC3fVtew/oiXCk0GNZ4HcZx1DTbSq+OeS26aDeOzK75Z/JRveMGXvGfLe1xQjn7DvY280I+s9YFCJ8bK0GVLIT2jn0B6nnNPjD1LGflot4gcaPIOZxxRW5KeEI0kSQRjYTpxqQ8s8x7sSCMnvsgfudZvGXhGHgxVOVJIz8ImxLQInr27ZsqPzLviE2o9vRQEiUTJDLrkUa65tRcM/jtUW1BDNHnuSwchxZZIySIJ2zTg7zvMynISz0Eb8lTSZqVoIslHMgF1A3mWVrJxIwu5pTQTkg1eC8QmUuXmzZx6cAU9lrEp8S8B+xYpE7dty7tFReqB2BOyI2FYcGQ9GcohsFkOrKYRo5HYKrkKlEVkyS1qA0E23LDke/NDruQR6wMP1OSqRKd7jI/cuQvueMUXUZGF8HG94oM8x+qGrnJ82La8LT7hTEsje5I/cFM951fmhxTpkTof0VRQJoezZ4Kp+V6e4dTis2MtBSIZm0s6c8E3fMV35ucYcfxaVjg90OmCZBzL3HHJQI/lngvSvWW9DkzFmcE0FHl+yL8pGn5Zf85vecWd2VBowmaPmxKcZ5W7GSqyndCt5eOyIqF8mt+wnL5m4R7ZHe5ZHEYuFoHd5Q1re6C0I6wgLGeAcvGQkF6wWXDZUmeHhAHVPTfmIyLKNr/jIt2R3QV3vKQyLQv3BisOEzNVYUELHA6rHYPC2dRUTGziR8ppnHkXD8IqPNDIcx4UnEbMNBDdM7q4o/IdP+Eji/D+955R86GtWN8UcDgga8uQQI2Bc8YOGaMWpQQd5pfeKiLRIDZh7Gy9iUUkPge97Qlt5uG6gesdsU2s+kBdR/KFR742yEHglUMbMKVBRDF+BiqihlRl5vdsiywmrNW5uzw4oCHbnvyJMjyH8TrBmw6jgjcOcRMpWU7HzOJcITdnmrMyhIL7coEY2KV3FCg5LtBR5650EtQIVkaaDMXeIL1Ar9zJp/xZ+IcUJvJK/5I/zP87USe+kL9hle94sDu+dpd8ry9Yonw+vYPTR456iYkOf4RNJXQjjNZgjaBExDm0S0gsEZd5xNHrJU1SNtzApORe0VFIB09510PySFTmsf5EuE8Ml2tu0zOO/oJCeiqOdFLimXWtI0pmydrtGHLPQ3PJ9+WPMAxseYdNhlPacs5b2nNL7mdYZfQglTJ5xW4K3jYlb8xLDnJFtneMcouaM9nMAED1cF5BetXjY8lkZD5kmoreHeAi4a9HKBQJ8GKMbPaeB7PgdrXCtZneXSGXDq0qgl+yywcW3YBkgZWQjwkTwE4wTRkvbobMjQqdxU+CSYa+TRTrOYE5jDCKoU4ee56wnYW+wDSQFpHxmaddKGaIjAn8lZKfK2k3WzM4gjnLbJsyAb8TbAVWR7jIpDfg+oy3GbeG5suS8dUDdm0J3woWECnIDJRHOISCmolNOlJI4OAXSGgpwxEGoDazzcf2iMwvtvEgsFkiVuHUk6Ug+BVDyCwfp5mdZab/bPeQ/1SX2IxTSzaOfiGUX2bCaaQrS6ZnF3xvXtCZS8664NFs6PFkc0FU82TygT2XHKWmk5JKzwyyZNAXdPIZBQNn9QxuwV53jOIo5Q7PGRHDXHapGaQiyRzjHv4/WPAH7mWLqKK5miUmalApcGkGMKbhgtvhK/60HPlQfcYplYz9kpvpGbf2mn6qONkljwvLxeIbam65kHd4WdJxgUsVvS0ZtQLjGVAmLHdmx2/Wz7Ff/ZrdRWYoEp2s+JB3iNlyqksebYHRxFLOQEFBopSeNT0mK2oyVs4MKmTx1ApBLKPO9XqfMzlU5ENBPK4gZugTnMG185Z47okasCP14sBVY0mu43fs2PATvuOav+APCa7ls/hXOJSTbDnlK/Zco2LoteHWXXKEuRadtpwngzWBIkAYZmipqSDGSFEpYwamimk083kwCU4EHTLJChrA9ZbULdDTe/LC8S5/icuXfJAl37PiwIYHXjwtPi0Va+askOMsJUE9SYWM5Sw191xSa89S77hMX3Mw10jOqATOBkaz4qw7xB7pJBM048YaPQt9lQjNktuk2CCcuiXJrRjsAVs4bF+Q9itymEumZSfUv1lgjtdwpZgAxc2KPFqMF1QS2hikUG7Diib/mPF4iemuMHlkbCzFUHImkcs1ZrgkDy129YGynEHmk3GMAtQWHSGNhpOvcKXQ2ohKjckTlZwxklBx8zDATpwo2esVkwpnaTnaC+7zFYEVBSNRLB+5JIjhzAP13Kf4vb/urws0t/RNZqrPvEx+xriJMtqeamOYlhG3TbCYa1A6WqxazKjI44h0hrSaDUY81ZzdBE0qCEXJowNwpGTwo2CmhJiE1qA2o5OgGZSAuAPRrjhqy4uspAhjnTlUeU5bdoKJFmxEi0BulcfCYAvPpgfOEB4ilU2UL5T42YnTBsKy4HGV2fyRcnhl4BNLccjEHuLzjF0mTKNomchWSZuC8MLi7i3NdxPhypKeB8Rl6gsLjZCjJREIy4zZCHYrjBj8y5FkIF0atI7EBsq1gUUkrA3nwj9VIgNiMtXWkk8T0hrqlUHXFl1BWBp8o8hiYiwN/RKsU86uZI9iTEHdzMmeYivzkE0tgYJOLJNYJm04scVYyO0dsVZiOdepHZakDieWaIX37gJ0yciSYx1onmeqrWLPkSZ4ag143ZPsBVMuGPEMJM71A6tPJ3icyLXFWIcpAuwC8RKG2jOUG7IsZnAxAfWJcCWYjeHRX/KgF6hUWHs/g9Gzw2hkrUcaHaBQUu3ppSFUBWkQtIEsCZczNicGE9nbNbrsyKuM9Y6psnTFNNdAJ8U0aVaFq87DuAp0Fwg7Rco0D2UzMHr8Yya2kGrlUNb0FMhGKKaJQvvZWqyBk3WcaahKQ70YEB+x1kDMVJMiQcjZkYyiDATZksyKpaT5/xSlN55j41hdCOUyc9SaopyTnYplwJGkoT502EFQW9LvTpSLgWkFwypx8bd8x/9uRs3Zclq/4JfyOQv7kq95yQ3PeaTj181rijLRmsA0TkTTcIPjPhVsjHCm5Kyeb5crjl99xb8+/X1+Pf2Mf1n9X/wsv6NPnnNxTR9OfJZ7rtJEmRPHZs3JwNLsWS4KFhY+47d4P7FPGx5UqSRT5ohIZjTChCHKRCQyGks5TnzBIxfpnspHonng8/IdV+a33Mt/RT4MrBcB40YeXaCQEZXM7/Saxhqqea5MriydVnMvEtjnDUcMb+QZj25JsmskR6wfZ1NQBzpkRpSFjmwPJ+JNT/GqoqfmxJI8Vrgw4V2gcMKCiXU+ID6z5MSqOrFyHzB+BGswJNgk2JUEA1YCpSrnDsYXC178oYC8xTYNj8WOm5slWhvohIEdnRhqPbCbGnjsWT0/YLqJ82TYe8dY1VyWHUsOOO+4yTXf646VfIZS0Zo9Bxryes354Xuqy4p3xTVv9AWfcANXlttPtnxjt2Rdcl9scJc/4tfyBWMq2ZiOsY780n3Gn/JTfpD+kmvukZywOVJyJqnyLn/J/bhjQ8enzmEZsDFwcku+lp/wV8MLRtdibMfP9P+hyzX4hp09ccU9h5R5nC7Rryd2F2eKxURwBX0STsnwXi75pf2SX8dPGFmz4R4vkfKc4A6wDnP0+GcGmpJzs6Yk8mXxK372+DXLWnk8lez/7Xdsf3bNaveId3ty02M+FeyzTBtO1PeJ4UEZqnmDWE2QxxO7pmFDzz0TO058wi0jDX/BmkEyL9tvMC7ibMmgikuWUjIikbM4KjVs85HPY4cczsQPYMzA6pxYrSPviYhOxCEw2iX9sGJX7PkD/xte/RdQfXq7/BR3GCjeH2FR0neCtwaGTDhnTmRqLDLMVWSWc28WCRRGyZMSy8TmZxXm40CxzZgfXHHz8jWVVy76HhNG2leW+GdKuFGqf5QpNoI1BXQRE2Y9o54z0kC5EMRDszXYjSVXCU4jWjRE17P871/wyQ9/R/0jZfzgIAvOWmyVOUzw/bfK67LCnQV9J0y0vMsrRAIv4tcs+8TYCfr4RIM3luwCjhOrtKB7k3CjsriA99Mr/rfwh/xsdcd/Z/4Nu/CGtmh4zZ/jGPgoz/mr+Ipbav7A3/OH4bf0/+Fv+PjyB4xDgDff8ulP1tzcD9iyxHoH2uFPSv9GMSVkOh5ZE/IVzTB3mbUPcwplH4khMX2jLF4nJMpMyjknPv5Fz37X8p1e846CnRxZpHtGShwBI0pUIG/ARh7kgbvtZ7yNX7CK33NdvOFklYdccZnWXN7C9BEKDOIMZRnoamF6vuFtu+A37IAtLngiAtZCSowjLApwF0L5U0vx1Qa5uSW1AmbBFG5ZvoDyZw3YM/Iw8un3B9pfvuf9heH+j3/KkP8KXE31YsnbUanciqvzG5r3Bxwg6wb50JHOGdcJ5xNsnKXwoI8RYcKODgLoKsGlJx8q7k9wlQ15gHgHYyH4yiBrsD8Q2s9LwMNfHuhjpvxvW9riEVYVrZsYftnBNz1yAClGLr4Es82koUdeOcLXETkJIonLL+HlT5bEdoJVxePB0YeehZQkOthP7CdDKz0vzAeiSezNlsVU0UyQzhltBceBlTgaF3HGcvo4kT5pSFlJdxFT13S7ltP0QPMhYR/OPD7m3/tQjW895XHiNAr9p2v885H6J2diueUvVs/5LReobnmbFnyw1xyl5KxXlDqy4YTPgb2tOGpDiVKpZWLLQ1zw0QktR4x2DNryqA3GVoTcE82IE0OvsxlqoEFVIMNgSjpqUnaczIqUHdXTS31ImYwndBnplbhv+A/DK35pGxbXf8x0guGbSOhrtKhnhW/bkL5ytD98x8Y+8iP5ngfWfMtsRkIio26YqJiAgZobdnxz/ZJPNlcU/oFHgXuW3KRniN/xuGjobYHJSpsnJjvhTKRghoWL7fAIlbQ8yIY+l7NZA2FIGZs8SS3ZNnx8k5DaY0wm3E7kfWC9rXkcEjFlGCxi4eJ54IcveoJL/EJfknLLb/MVf2r/GCMNC7lhxDCy5pxrTlJwtGse84aDlAwyzHX2acl+37O4WNCdIv2+odgusFWPnB9Ylxd8fJ8wGaaTwhZyPYNH+7cH7LMLVBKiFj0Zuvd78rOaX6ZPuNEX9Hg+2JZbuaZjhwBHKSk10GpPaQLT0wuckgFh1IIHWbAznp3e8kqUj6bmZCzGdtxFS7SWx9ySRThhCNkSjyXjO4VNh6k9357WxPuC9FZx24JYeMb1mvAuw32GzqKaifeGx+8yqVH4eYU7Qj4YuIByY+j6CG1GdgVvTlCMl/Rv2nngYgLms4LhbWbaeFyznNXL1rH6wiNLkFww5YLgLc5Z9JiZTpbxVFOsMtXGMZaGyvQs5JEWocfhURR4yCve6ytaPHtZcqc73nOBiGNlhnmYmDbcyY6N3OHZ/xcxqLE/WHPot3TlhHOZsFdUppnPZ0aKZxXD8xPFa488N0wnMMdMykI+RMq3ke4W5JOarIHaRqyH2Adc7nCbBSdXIYS5Eh4zZIVWYQdSzlWiKBBXGacJoeCsS+qhZDwP5LWhc7Aynul9oPzKERwUF8Kw9pzqmjoa+OZEuguEX9zzahNZbAT/J8rFyrDVEqkK7M8cm/IBu1nhDhPuxT2mKcjjBFVG95CuM+Z1Tf6R4m5g+F8n3OsFx88CznQsziVyK5zDROUtcpWQrwTnBFta+Nxhq0zTJiQoshNIJXI5kq/KOZQQFqTqc3R85AefGarTW1hmrl+D/sRiXybq1xazzrDyuG0mFcJjFDqWdGTKpiBfW2QHxcuKqZ75SYN67qSiBoKUPHJBZzL51Z58ZYhFRdaCTGRQQ5KKzgR+45/RkDmI0Cw7rn/i2L5WOI6YztEycWHueZBrjlqTKNijhOsHvvpnLaod9rKCOmMuAvm1YXoOo7N0smLMBVZaCgriKlH/3NC/rPnevuJNfsHWlmy5Re1swZOsXOQD3newElLb0uWGVCq9jywuPGInqjziUqTTzENxiXtu2Xy2h1zCVpBlwq8q3DQxMdFai3zI9J1iLxy8EPwXGVMkiAavwFnJ34a5ov8TT+cWHGm4uJ4w5h0qmSB2tpuZmqO5oCgj1WVANwG8os5QKOhoiLoicsYQCVIRKajNAw1QkHjUBWFdUa49B6vsF1c4KbC2B1acTKbTGvM7i7s7UJqa+IXAJ4a2hPLj/089dzZC5wrOpsbKRFQHWj1N8zcc/RUxz0T6SEFnG3q74FKPFMkzWjhLwPgl3xU/5o35EYk/J9vMPQ09JS/SgdFWRPV0opx8T5A5GCtaU+SJHR8wZuAga3oumHiGMyOGHsMRTIfhhJGElQmXMy6OeBWyLzH0bOz3NHpiyQ1tiog8J6ljmc4sXY/Je3ppaNKSXSgZB3lqoVUohiQlyTTUnKhzolSZQcISyNKjOhOKfO4R6TEGvETSBJoLVAssFo8SNDGKw1CxYuIiPLIwdzT2A7UGniz3qI8zgFKhQMhJZ4DqE91+ME/8BYVAycksibKe/1CnBlJBkYUVAZOmefJtlCFZoq0ZZU2ioeDMSvdIrrD8R0ChIRPxOrJgog5gTUHvAmcvdFJztNC1mbMfGcUAnt7U9K5mRLE5sNARQ8GjveKOLa9tpmAgIQy2wFAy6ZITl+wdVHpkYEDMiugcZ7PC6ZlKDvRmQZQVyoJeJqZi7hk+4jix46Abomnx6UCdEjhHbxuCbfFYSo2s4x4JidZ+pMmPSA7zw1otRpRsE2IcRbAsyoDRd2zSLXUs2OcNLkIZmD9/JoydUKcMLmFMg6iQ3IFjCc4HWgaGGBAtsNngpSaxJJg1iRWTLOhth8oETKABLxlMJqlQp4JnKrwYAlE7ShsgjziYw7sJKgQvI0YiIQtR5pJNRc9KP8z5xN/zq0pKnQwS5q2MPvF4USEIyNxHQhSCAXEGrSKTUbxClIpTucAUR1YuMRbwsQn0bcUmF0SrOAfJTRQ6K4VxgYNXVnaaY6BP4GKvChIYjeLKQCqhbwPDChZFABfpK6Wu90xNwliL4mdoqwtz1Ub0aRs+/051hplcYubguxqKkPA9yPgEwEuKZa5AVKFmSgaePloTJyrXsdY92/wARFoeKOkYzQxOzlqTZR6C7tKZYci4wRNznhMfWTDZYnVCbcRmpR4dQ2iRIoPMwN9Ig1LMfVoxmODwySK5IOkAJhFFgAqZVqw6QxdbJi1RlFonXFTUNVRyh4jQs8ZTEtnTGxhtJscThiOWyCgjpyJzHBuymRMrLQmXFZvBmKfnlanoZUGhNaoGkw3khjKf6BGSM4yqNDajZiAsEskptREkCz4LEgo0WzBgRLGSGcVwNg3RNqgUiDEYc2bLHZvxETeBWD8//C04mZMu2UCSQH4K2mt288b7qTqGAOJREQwOkxOTV6TKWDsrxacmk8qRMhgkGwKZ1J55aGHtJ+oiMBoodP4zyZKZKijKcYaBukzfKhoFyZnBZlxzoi+Vhc1zEpVMYMDJXCkcaSmwJAlMkgjinhKec00r2nnos9BAkQaE+Xs2pkT0hloEkxNqEr2dZvNEguXw+299ui8du3FEiJx8Q1/CZXukc7CXil62FCzIlCgNVlomaoKUqFZUbmSkJIgl0TJoQ2TLIA6rgcl4jJQEbSFbRAWjsIhHGjdwVMdeDBWCi5YxV1if8HLAq6OnYqACU5NinnWxY4EPnhrDabRELTi5NSfLTB6Nc/NOBdyUsN4RR/BSUGvPdnrEWOWDXTEaS5ETUIMUECvwS3o67k3LTfWMk6w4UXBgy+Au6eWCe/uMURpESpJUjDgyfpZRSHgqWJRkCoSSOecVKTVQJcfEzIaZa04OCTMjwuSnaoMCLmEHIU+gKkzFHmMGRAoe+AHv7JGDtQRdoqw5miVHaTB4rBuotOPMmmhma9KAmQHOuPmMkBeMsQBVjGRImaxz3U/tNFd7CGSn5KJgSg1qyznp4ztCUaKueoJ1NhztloGKgRX3FJxky0CLASaWTHQYc0aJs03sCagaaUA9yQQGDAmHFQtk4hN8uDIBNBCkZKAmpgLJF5jQ4EaDGSJmTCQKNAqdf3G8AAAgAElEQVTEnjIL5JIcHTopZfRogEEDGIdJnjxcYEdDMfEkhjgRbYUYRbPMANq8QaRiMg6bLSa1+NGTAvihwJqWaRKoDOBQWoIMJCnhSVOPWiQJaIGdLJojQXqyCfh8pqLH6YLKKCINI2tOVkgkznlLNCuyVKSsRCmI0tDLegaYysRcSv39v+4NmFrpZa6HDG5k4ZRFNNhcQ5rInhmebBJ9pSz2QlZFMEjfsBgjQ3TgJqKCiZYigteRXkcmllQy14iyZrID0YCajIqAGESgiEqRFG89kxbEZEjGM1Fi8bhk5gUPBZPJGDsvtgcDpVrM5ClGyGOBpkC0EapEKgOjBFwD0laMdsK4w2zLaTP1rPpBNSPFrMEWNzA1EVvPFRY3OlxS1IIWiVRFzKgQKwyB7OJskyohVAFZgQi44OeETjXQVY7eeKI+VeVpeXCZrhgYJeALSyyFUE0U/oFcRNQL3irRCglH1AVBVyTpSBKILpILWJSRbEZGHL00M7DdRnKeE4LKrKeOXogiJIREnqUOOAxCb6AgIhR0UnLynp0FMZmxcGSZaNOBKp4pntoikIn2TCpHgkBdAGXkVACFkIvMYBIDicEWWJZEMr094spELCxKS6IFMlbnc2SKYWbb5p7CxqcDe0KzY3qqytpoKcOTVluVKgsmB1QjySmZnmAFefoJNnJyyroMOAviIKlijEWygilQYDSBwgacZppJyGOLjxbr5EnyYzGpJip4Mi70+GI5b3lTBPP0HiEzeDkbiMY8STYq1Hgmgc4lBgwZT9YG4gJLgTWJ4A0BWOuIpceLx2VDESwuZMScCVbJZYZSSVX8Wwcyf+egJq1BfOKKW57xEYfnLe9xJJr8QG+FkYotCYkJbyJRG1rePunwGtocQCymdizCHV/qb9nmgQ9+h1LwD8sH/prPOOklP7H3vHR/zUrO80FXlNL01IxUBEY9s2AkUTHaiOj8D3cmz1YjLTB2olkpg5wwdj6wlL7jhXnLKhsqjiwuX3JvVqhEFiax044kNe/ykuWH97R3d+RfRKjneJ1SImJZ2Qc+0V/zB+FbtvcPNBdnFn5gVRicmjkjG/cs9JIuW5J1RGPIyjwkkHuWxSMf85rJVaAVhom1P/BT84GelgJH3Y3c/PJMeSxZfFLx+Bd7wktH+cWSsRDO4wNVq5jaYv595pDgdF1ywNB/9OifC+0/MyzSI+Zdj1+sGNqKqfAMqSSdYFlPrMyInANkYbkYsXLmTg+IGgapeMMVd8mxTzuGf30k3hrWf1IjqzsqCXRFhVspL2PHp2bgV2kk2ciFfuRzVnyTWpITpgx1inxi3vFj8z2bdGBMno4VIx2/k2vuqSj9wDFW3BOxqWEwSwYG/rH8O/5lo/y1/pj/Q/8eSEHZZIJUvKOipyBJy94XjK9XpOZrXvjEbbpjY8+IqSiZ+AfVL2nLHrVbrJ55bT9idwP6BfjBkfQM54CEyNIeqcuGvbQ8XLT0tmHoFmz/6Bn7a+iMp2aFawWuIF9/xp+m57y6PvL6n/6Wi8s1901HRpD1BQcStYmctOJrfcVvpyvu7JrkDUvO88u8yVy5RK97znLgwSh/8Fhz8z//n1w9r3B/X7mzB160DfZlhz4voZ0oGVjqkaWMkAMUgSKfKGzimGs+uuI/wXHgP+/1YvwWGRsmB82nOyhvcSKwV7JXlnWFlLP+2F0odqfwz5e4w5nRKLfr53yL5/MK+HSk+OfC5acXfFNO88DzskTCRFwKbikYAbRF60fcM0v6HZj7iHsmSLJwSrgtmH/xnHF3wP83UHxmcK+X8PaBq+0Sm+YHhak8ZmlpG2HZCualYZGVH/8PO4pdRleW/lNDrODSnbiKR8xtIHwAOU5oH5ARzDix7B6QdoQRli5inIFPPT/a/IL/Kf0vPBseuOIG2it2PPCNvmbLLdfyQOt/wQ3CNXvWTaR1iaW549FHzlUAMqlL+ADmykKRZllCAbotiCI0CAUDlZlmKKy3iETM5OhtpEOpVzDWFR9xfJkrxpyp456fyA0Vt1xIgqLkqCOv5FtGCj7IFU4GJN5zqYEqfMfCPrA0ZxqdOHLinpYbbfgqHHERrhuPXVWM6cwCpT9HzDLjtSKnOWY64eF0RG+UXCtZE+0W3HKD3vX4C6HcFRAOrJ4rzbeW47/6Nf6rSPk/Qv+q4+cXZ35ePSL5Ozq7w+c1bfiWa39CtCSmEXWAq9GFkFYD9sWK+CYzyYF2VyIrpdeBYrugf7yjzIJdGnCCjBn79LwTY7BXwvoflUxBsE1J92zHYZV4PvX4bw3+RrDecvG5w51my9/iByvGbw/UFx5Z10g6Yr7w6I8cMpxZ/X1BXv8QfnWDf99jnj1n/++/o1obzGBJJrJ8tcJdRygjpSuI6jjnimQt5+zxWlGWJYMOHFLBZBrWHFhxQHzCqtLqyAFhRsI71nnk1iWav7eEv+zQr3//h8aHzy/Y3GbMEInZcyfP0MaRc4mYhj0XiFrW0lPLOx50TcHAiXZmtFBgCbQ6zUrVmTqDSKaUDsuJSZQRgzV5HuTawCe85xUfeS9r3pAo2eNN5t5cM0mJZWCSHTFnHiPEVJL2cyVNbzu4KTifSrLN8EmBqSB/3UNfwm86iquauLSkQyJ/VNhOlHHC+0hdBEROXPCAxdNIZuL/5e5NdiXLsjO9b+3mdNbextvoMjwik8mmSIJUSUUBmkiQHkCvoAfTQLOaaSZAAwGqKlQJQglioySZzMjIiHD3cPfbWnO63S0NjkMz5kBVAJG153bNcK7Z2fus9a/vO3LGkqkwxZOpuJcr/jL9jDE3WOdwZeSONYPZ84vyirWcsCgDnj43ZNNi1GLNQC2Js3ac9ZKxrBEJNCbRMbCuVzzsRg6ftYyzYA3EXBBfKL4FL5wsaC2U12YZARQlWUORwpAsExc4V+j0Az+RHziSGNXzI89piFgOPBEDecXJbrilYeSSwApsz2qtxF7J5xpxGWMj6cdEPNYcnxQ215mX6zNt6EmsuD9XfPg2srlYE4Mh5BZZf+Q0dS2RiJNMj/I6Vqi7ZuyFu9hT7Z5jhgoNN6QLoaYQsmW2DTN5GQEySlUCgYp3POVer7jTNbMKRldI7jifzgRTcdvXTIeWMln0KOh9Rm4mGECeN5RHgJaSlPRuwOxWSG4ZfizwzRntLGW7MEZkMPBDJFaQLgR92hLUIupgVNKDwNYT1gLPId6AHjzltkAP4ccErWD2Dn+1jKuccRQxFLPYm+Ly2ICRgqwhesOIpzIzAUuWikqOmI9NvwM77vIBmChyyXv2nAXQwGm0zO6C4FcMIbOuZ7ycPtpif/fXjKOmQVhGV60Tys3I4f8MbO2A/15JJwg/ZtwNrF8ulszaKWKB7wbC3yu8iPiLgl2BZUX64YAItNuIMC6NZl3STvLcQkj4vUVaBS+YGkKzYDAqlsLa+doT9CWjTbyYZko/4jrILiPWIFGpc+LSnekmD2Mi30Z4bDEjTEHQO0t4gGoH1Qjpr2bSFWy/Sug5MN+CCYX5EZpLi9OK8KsRPwf8c4XJML2Fpj+RXGHz5yClQJWpIpi7xDAtIgR/DzpA2QvN2jMeAuYAvgcRoaxrlIorBGTktY4kYwjGkx+VrJm6FupPQGxC50UPTgC9X8C4mDWTrMg6UGlcSiwt0ColGZCarIsdqlZPUs9Key4kYR4faLxiNjPW9QiOSi2dBGoClwRqHeglY8Wz3uwx3f3CmLGFkcLWFZ6VG2obuKUjkdhqRCj4rUeeV/B1Yf2lJzYd+f5I3SbuVyN9aVBTAZFcHDwK7kPPJ1dv0Gb8WKyBZsysTwfS1YbZeNxekOfgmkibTjzQkFxDuVf8CFkSc4JVa3hhDri3iXJTkBfVYqz8kGAIi4VrBYyGcluIHhBB+pr83UT9lefcn6h+3+F6S/kmoieQd5F2PYMb8A+F4f/OcHFGd8rmueNsE37bkW4i8XWhrsDUlrJ10CVMlUkEJgNTesaD23M0wqE09LLjRlpElPAmc37ds/kELl4NjMZRzWeuakuTHO5wJueAe94hucafH9DBkEZlvs/U/8hv/LcWag7eoES6/J6n5gNBHLv8lFoKndxQ8Ixas9GEiyNrM2K1ZiVHWh1JPKEJCa3Ay4F9HvhEf+CyKEX2IJadHngvn/Cj/ZQLtfzU/g3rdAAfF6vLRzJ7jWWfT2z1DmxPwYCu0bJFzRGjgsWRyyOuVeCAmEImUsuJa+6WzQ5LWu14EIPDs6LGp0gwGwZ5jk/fUR1P7B8LcWxYMupgNXDBB77QX/Iy3OL6wvZK6PRIU4ZlVEpBDHRa4bIjI0SxKIWWkbXe40gYIxg1+JwwbqbizGfxLW98RSnKlfY0g6DzGkpDdTxQTRU2doumTkfULZozPSstBrQl0aKpgyTYMuLjgWrIiL/gXCtDY7GlpZqUVg5s5YArE4JHdNHndtwzly2jueLIS7I74vVL8uGKdlphXGYlA10ITL6h4LiYRq4582v/HJXAZbojyw5XXjBLy+AqKk58or/hy/AjhJ6MQaWlsOZWLoh4Lhh4tDVHoCWhpaKVW34q/xev4gfq/IZf1lcLWLBEJm+ZxbFm5oQh2JHSDhT/SM2JKxWe6g3JGmYSz/QXTLyB8hPyGNmvbih+ILUsMKfi8WqQVKjiCdUdkzzhtrqnpeFcPGXVM1ycmKyw5oJihFTD0ez5pvkpQ/Oaq/gN8+7EsbuAeUcqhVArTo5Isty6lxyaHWc8Gw7U5UwpC0CwDiO1VbAjIz1X0fHFt/AMQ38QSqcLDLoR5t1I48DrREfPKgc0BwxnrMmogXO+5GAv/oMPA//US2JGq8hQK5uN4f4BqrrQjAE1BeM/QjE95BpcOxPWgfqsBL/lzfqCe2f4hGHZLMtM2p9I5ZHoYbBKYyDUYFpIFirtFlBrtxxoMjB3SrMLaIJjDc31meKEeT9QuwwvI+90ZH+A5rZCrFIqJVeZrBEVhU7I2ZJe3JK20GzhuKqZ7USrA5fzTDMadDIwNugMJgYYC22cSSSMTpATufHEneXCfMd/df8bzKykNcTuzGf5R/7B/tfs8sie77hy31GTucTSuJFJCj7d0lhPsCCqeDUYA+KEsYD3SmoiprYEu+hQPT1NmZfUuJFFeWkcySizAYwhUzHSEtuOg4cVPZ+U3+CZWWN4LB2Olud8z4M8pQBZR6pyZBsm9mnmpbvDVJneWC6rDe91ZpIdmpdClbUZaiUBdVZsTFQlYSSTspLMimAryAk/CV0BLUtHXogEPzJdQLUOuGEgdYAX2kfFHgSqwui/57mFz+V7Vhx5L39ALoZ1PnLJI0d9QvQ1VAnIJFcTvGA9S7eqAmMK2QmxhqopJFFqr8x+AVWT83ItxaE4Uj0gFxPlUEOxTNWW+3pgLQ9cNIViFbIgBmYDrvKYIdI3UDDY1tAXg10psYmYDoatsvvsNQ9hwAXYVhbbg6k8JI/1kN2Eq5TkDZUUNGUKnoynLyuMadk1HWoCURoKFWtmujwQi8EqmJgxxqHOgEbMNGBNpnQTuEL9u4+o4aGyXLQ19QwmCme2BGdoUPpieZQ9tVgu9R0XeoMw08iJO73iUDYYY3ASMGQShqBQJOJEF1sZAVVILOeJhJAobLjhBd+SyxWDWNaacGUgycwOQXLNd2aPYEh4Jlo0OdxsKOeZamiwR2HuoMSBdXaUPlKyZ5oDptRkAakEJqEKmUpnlAk40OiRfXF4abgzEw2eHg+mxrIGrbmTHcn9nIO9oBHh0t7Qp8JAx2vzBZ/zPVsGVBe2gtEaWywQMDZhEUKpyKbCacARqMsDtQmcTIesKqQWLC0hjJRNjZSPsPxqSblI8ItCN2U0CZV6xDSMZsekA9c6YuTtcu2L5WCeMnNkzR1bTgw64gmAIeqKlB3YI0YViS2ECVPl5X84dsSTw1wp1p5o/Pfs/B2n3OLlK6hWpPop81HRGKCpoLOLkpaCowCW0TVAu3AXzFLIMuUSSZGRRNLALA2zrAkoVhXPiNVCZsXZ1NzbJ5zYLKmC0oB0lCikpiFmT+kdOsEStMno4DDnCq+QU1nS30YgdMhcgxY0FmToMM5RdJF9WCn43jD5gtYKtaAh47JZlGgFNAnqAmWd0FMDhxotii1CHpZ0nmxnkj2T67B8xyVjZbkmWQUjGSPjsl8yET+OKUUVglYYyTiOTKw5seFktzgTmNjzIBeEjymDlDuyvWSSiiR3eDnSlBNGZ/4TmApHdYuJHu8NQVpSUlZJubwNOLNCZkXSjB0bzDkDkVIW1pbWS5KuPjtkWs4AyYAr4AYLtix6bzKWFcpMggV6bzO5loVJhjI7Q+8bZuMXpbz2PNaRUTcgUOWwpGkcJJswRnF5AQi3BmxKEBWXFp5TZuHykTrq6YARj2lbfExcVgNmtOjJUM0e6QucC7ZqENtRTzPm5OBUKKlG+xEpNbt3M/XZLhFcsxieCPViigyOMivOGvSckNBip4iZa2zKaLEUu1irunmm0vxxMnAFWak/pu2NyJJg0kW7jSoSMq0qoQgncSRZHr0rLUgyy83eWHyoqXQNBBoidd4Qs1DryJ6AGUecKQR3BNliuKIrFiMzVs94O1ADNR4pCTUBZLmO7UdmjJrIqrynlEdm85RIQ5cLxVqKRGw9wmqCtcHJinZylBjZdJHHUkhiCJLRLKzKCjkHXsYPhPqeO/MUi6ULgU2fOF9YJtdydoaqAW9HtsnwsFCjiNnSqF0A0iGBKzTxhJwN9CAZ6ijUs0Emy9xFRAXpHTLXdGkkToIpDh1BpCOkI6UtNDaglcIgmLnQENEy4ssKHix1rljPE2wMpl14QTYL6WyoXSG6iDaGWhQESlFmWh7kCQ9mwxmL05ozG05SsSXhB4O7d1SryGWeea8jLjk29USVDHXJDEDqEqZqmRW60WGdYn/L5MNvLdQcdc+sayzTMlIgC/m9yZEtEYcwI1iFKipNBWJ7goI6zxHHa/sCrzWFNQfT8u+qf8YXqeKq3PN0OvH08Z6/qL7lB+74vL1lrEdu/NK9tRQiDe/LMz7QcSt73vonTCi74ihGmUzNVBzX4Zbd4y3PnWGY4NbvCVrYF6GK1TITKJ435TmH0rEztxhd8SbswFT84fQdL17/e570D7giHOsFeF3JyJaZCsu6nNj1AX2v4DpupcMC3vTodaR8CenpBbNaapnBF4b9hlQFnslbPsuPmODwd4Gfp9/wpNzwKv8dL3TEuF/z802BYri8fYuGiDjgMmKfCsNn0PuIoSDOcmEc7a1jeLnnQwd3ssJpTdVE5BOleqLMDWixjD8cWX26WeBQUhbNbzGor6GaqPxAR2TQFYUdgqHSAScL5PNsI/Wf9LTfG8YPgW6Vqa8To66ZZY+te2I1YEthHzNVHKHJNLKYYyoz05gBrxeUssUyYvyZyYw4lEt6zmw4lAtuyobZRH6mZz67+yVNlThcvOT/qJ7zXf6aQToaIiCsSs+lmXlNRCXzjIlfZss5dLyTa+7sJVlXbPQtLSOPZs99uaQ2DtuN2KzUJ497mFA1hAKpsRgHvlbakknGEmiYS8ep2nC5XiOa2aAUJlQTboCtOfEH9Rua6j2xWTHahunjiJNkg+ZENjXRWkRmNvkOKx6VmpEt0ViCwCQde634fX3LrgQ+e7yh3UyoOtzrAmvQJqGx0B4EeVDcJhEERvG07YZoKmY64uFEN575pM0sOq3f3TX7LdUmU31ao5WwfbFGHnqYhRQhbxO+K9joKOcMueBuzQJiXCeu3R2SLeY4g22QVUHMyEoSdVBMAlqYpGb9BKavLPqywIUhdzA/v+TWOrY5Ym/vKfeKu3CkvTCZQrd3GBGoK+rrQvnCUp745SD7pEPrGuMNxlvynJArcBcbivRoa/DXWwIZIxlMRqNlei3YN4smfAQaJ6S8wENt40hfCKXJ2Doj0RAt1FVZRqeK0MjIK/0V1h3oKewwXBRHbeFsMu5Vy2xAGnDJo7kiPtiPhZeM7YRcKfVWMeuJ2TdsOLHhkS6ciTlD1VDqQGkT7oXQ/dRB5WjPsO8yyZ1Z/eme8NIzOyjUDFphpOU6BeqQ2Lg7vqrfYkpkzRIzLerIU42aibFL9FSstOJ5fqA9nMkRciVYiajTJaYaRp6dbvl685p3kqhdjzEFpCKbjHURCCjADLWD3Aqhq6isoX6q6BHGV572Dz1GTnx6/pFSV+zsQDEG5YzFoGqwamjMhDhDWnt8DJjSYa1bDFDz8j40DZiIM0uXrX5iyE/dMu5hK3LX8qAGbAUdxDWkC78UO6SwOd0glWJcxfw0k+eA+BnbWIKxzI2nbh3lTxwfqk/5B/+cO6l59fzI1/Zbtt0NVV6A9/5Zjc0G6kj+bxumuqa8K9QRKu8ofkDUclkeiLLsfxXCUznhZCK3UFwNZmLDzVLQzhbjl88aTEfvtrSrW6z2yM7QfrLU8/K1Z7QVv+v5vrNsuGtGNptFZduRKWKWJhAeISEy4O1Eg9KViJEZFYcXJVERtaBYkBroEFWcmfCy7MW+OAYpdGXCiMPpMsZ8kT/g5IGVU3z/cUSpWvPAJRjPZXkE3XCBMphHYl2TXUtwhsll6iuHaMRkD9nTTxmagDQdVi0igttAkUR3FVnbEzs9c1lGHIGkljOGrDt+w2eorFgxEXXgJB0jO671A5cmEnDMTKh4OhIrzkQcM34xFGE4iOPeeiIVWR1H1kSUWCqGsKetEkEKfagY4jPiqab0A6uNMs5g+oDxNSlH/AFSjovx5lhTQoW5LBjtqXUgsyGrRaX+qG6wHGTPzIlPyjv2ZuYoBuMOXPF+abyx5we3XwCkegITiJtrYjaUQ0OcaiBDFObbFY+HZ/TRMJlr+uMzyg+KmIwJkDsHrYCDbNYcp2v6+gi2otKKwQi5ylTFkyWT/QPeBCq1eBWCVYyMXJWIzYXBVgy2ptIZQwJNSIDkHcZnzHBGz4Y8t9hBkFRIUSnqoG3AzEiV0dlAVZBdZnXlqOTAah1QKYzW0BeHTjW+s/gthOvE6jJwvR/QTUQvMkYUCRVhrgm2pTcR1FCZmrJS3FViPFjyURb4M0ru66WIIGc6HtjRk6ShL4rMljpmNlc9oTIYRq7kR644YeWRlZyXc6AuI6vWFrIIWQy1FgwB8JjS0IqAGQla0JxZaeFJmSGP/0kUak5yga9u8KbHqSVUHr04kV8I7jQi2wq3AXEZqT1DSrSNki4M8sIthburBJ0hW4NaR9aMXIPimXxNoAYpaN1TrxMyC6nukG3ErCOsLHndMVdrCg5DxgqsI9TyyOyUSVpM3VD3Mz4K8wRSVagBMydsX3H6VaL+AdwQkE7RVhEbIUB4XQipp3qixAm8KGGGfAw0h4oqZHKOBD2BKdiUMHeLtaq6LqhO5FDQBxBmzCDklaAyL8IGieROljHqWSkpkGrFryCOipkDPilVKmDXHDDM1uA1UUtEW8jeLAr0M9hpaapqsViTPk6YCsFE1IwIERG3KMWvgC5TfCaZAUuH0jI74SSJ2WxwcwPFMUTDHDf4smJlJx7pCablQmdaBszH+5uVTJzOhKPi34D5+8SmTdhNz8pZxCVmThQi1k2YdgFCm17JH5bnfbeaYDUTNhBpkbJC7IRlacwVE7G+pncbDuJQCrsSYQjMWZE8swojboyLyvtsqAjsOLBlg2kMyRlcMpSzIs0SEKnrGuczNYGcwEaQUci9IV9CaRPGzospzDuYZqIHFwd2Z9B7oLaUnUCVEB0xucaVamnsbgrkiEZBSk3cWkbbUzeKuQaKYNces/l4dqyFymbqUmP9kS2JDUvKysg1HS9pKEg7wm45a8k80DnFxQ2lNEQXSX7CGrAsZhJxQumh9GWRNPwj67cWam55yYO0XNoBX0YqCi2Jp3rHl+ZHBnY8siNLQnSglh7LhJqM4HjU57y1T/BGeMs1v24+5V/i+VO74Y/0LX9y+BUXb878+dW/4dV5z+qZ5e224sZcIbliR0+2nr+Vn/OOrxlsxyRuAdE5x0jinopt8vx5H3j699+we/6MN7HhrXxOrw3OBtQJg6x5MFt+qT/jvXzKz/iGjOPfhU84NjV/MfyCV//qb/j0wuL2mb4p1G6ikcw6H6hMzxPzllePb1n96ob0B1d8ZxORhJeMPAH9PbCvAoMIUp0oBKrLmk5nXuktX/FLzDyyu535L8//GsaC3PQ07YZN8ys+u36LWmH9vfLYQ2pr+Ekg/Rmcfj8z1A4vFuozTTsif/WB00+/5q+fv+C1a8jqaLbvMH/4c/jiiKQzF2d4/1eP7J89IbfCpBYrii8TDT0rN/KkHHiaz3xDw6NvsFrwJBTLHc/41lyS/3NDt4/c/P0NXz8LiB35YNYc/Zqn8kgnj7ScuexnNtOJtj5yKT273HOVZt5VR9SsKG6gLZGNLirzIBWNKr00/Eo+56/4KZeM/FH5hn/+zS+oLzf8z7t/zjf2CbN8xoO8xOkZtONqOPBid+YfyKy543P3hqZLvC0X/Min3GnHaDKfmrdcc8Pf8TPeyzNeMfCivGMf7mGCNAvWFCQbcmdJLjF2I7MsxcqVKoOuuHeerzY31ObAlp5IRpKFAapyx1efFvrqlg8Xz7jlGUkco2uYbc1KAjtG2jwQzZrGTDRpIrhrCjWjqRHxRAK1/cBflO9p+pr1r040XrAzlF9FpAV9IUxnQ1e1yN3E/sWZXd2TxTPuXnBjtoxli/zYsz7+yOXXv+uPRjB0l7RXd2hpuN1nzMsV6Rc9zJYUDOYiI7sZ0Qo3LR0W+aFQdtBeznxu33Ldtzw+BNKLjqCGclL285qUB0KKlMZw9lc8eXWDoJw+MbRPLJMo364/5xefvuKP62+5ON4uEdknNT9uA07XuIsAdqLaFsx1hicgsQIHadWStgKNRSpLiDNyXdG+aOkfB8bLivDlZzzYgS093gwLZ+SbTPOXGWxFbDP1C0N9hBwWdbP8nsX7jGv1/xtZykUoarCM7PQ3ec8AACAASURBVOx3fCmBnDq8nVjrHeucCdJwW2Uufm+F3J4xm0JoFG4M7kNEK78ofo2SN1A9V1hN7FziczIbkxnmkTkXSu0pLdhVxP3UISvgw8T2Ubi6Us7bd3T/jSeZiYqBNRURj5gT1/YtV8M926JcPBnwJrONZ1ywxGTQeVENS9MSjacxj3zKHf62fNzUC8KMN0rQjvasfMEH1t3fIO5IweO9Rd1ENEqzthSX0BbS4YzvoNpZ5k4Ya3CvwM6F2gnyLxrm9Yln9z/wk4sDqYq8rnfUZNYlL+pRMyHecWs3lCeW3YczloJZZbIvmNMS6zVPls/pBMQL5pWhvvYUDQTnGTvHWArOBezOY7fgr2FeR1IOdOeZyjreP70gfzLCNJNcQ9gXTiLMq2eInRi+GPml/UP+x/jf8639Y/4L+7/yP9j/iT+5vmW9glJB9ZWFpwnkju2fF/JsCP9W8Bh0XTO24NXyxfiGAc8giYbIhT6QEcymZrJrrPE0JbIaAmRhWnuqY6K4ib621M8S9jwhq8j+azBJMT8Rdq9+9xk1ve5400HXTFhpQdPHpkbNZJaCTcvMjiNXMi+ckNLx0twzSsONfMJbuWJC8VnxWmNtTS1ndiznrGgaetZcmYnERJJIE2de3r9j1STm6obpkOF6x2xmeiyxDBxty71e0rnChswpf8LtQ7skyXKPf+oZJ4PRQqNwvJ9x1w5p3aL2dTPVriX4xP5p4qr6wM7cszJHGo4fEwyPTOVPmUtFsR17uaMPIw/Vl7yl55pfsyMy6ZqDNhQyWxINgbkYWmOwUsjsOOSXHGWNlUSg4SAXHMyKMbWMU2LVFIy+4DA6SuiYjwX6Qr0Hjg3iAn6vhPrEfN7A0eLvCuZbSAOUiwX6mN2ZohfMrAjSUFFwON7znD09f2oeeMYtXa5IpmZDYp1nJnvFvb0kSmHDgHGZdHHJ/Y8t050jjxbFEWZFj1veHxrm0xV+5SkHQ35jCNEgJVN/UpGt4C1MpuJt/5SL5syaA45EEEOsLZUKmcToJkytFEmozFhgRWRnjmgRND2jdkInhyVhnp5wHoRmU+FMYS4eHgrGQVbIwWBGwEFZxSUFaDvCLLBfxpp4+cj1ixPX9jWZnpuXrzh3z9FvApVA9dMVyc5cfvqaz9avcUxYItYoBeGhrHjIX/L2boNJnnqTOVyMNO0Lygfgg1AeAm7nCN6ifWFF5JKJfRmYJTGXiO8tTRr42Rfv+JU42nLkK77hSmZGjVRMrIjMRT7SO6ZFS46nkDizoadmshNxlUmmJmuHCT1Nm2jcEese/ylvI//R1gMrLhnoSqCXwOQc5bKmfjYh8wxrj99DWSu2sYwncDtBngomOCQk5Kmg+4ahChjjyeeR5pkhWce5yQiWSTtCPXFx6ZBDotq2hPWM2YNulelSOXllACKGbJXNEJjVk9cVZ6esVw65GZGQSdGR69WC2RsSPG6J/+YD3VGwXaZbf+TJkOEA5m8VbgS+7jCXPfIuY0vGiFlevy7IIaCPULee+RRxHxLWzKyegd5F6kdBvl2KTgTFXlrKHLGPizSikNGUcQ+CfbR0LRjn0RtBjpZuytRyRmRG6ysKKxxKIxkaKJWjzBa5BzkZSnRoMEBB/cJgdlpYacJIolao2ow+BfaFubZ4New0cy+Rs+7p856jq0n2HuYRczDMQ81GR67oOZc1s81c6i3XZWIwBSeP7ChUHwK8AY4t9t8X9quC/UlPeRE54/Ac2RLZu4I2mViBPyvyG495nZDPFF5BuYYgCdyEZ8AwY7Is8p61JbmFsddh6UrGnITqYKm3M5pH0hniscYcAk0R9ji8JuwqEHxGhgbtI84W9LphruwyMtYL9rQYODkrJidWLztQpciAteBFCP2SFJSzxdxDWRd4rtgr0BFiXqxNg3VUK+j2gfKDUJxgnUHaFZNRcgfuE6BR/DWwNsQzmLUyrSLBKiuOrOixyMKGZbegEgRsp7itgSpThsiqE1zuGVVwGqhNJDowtYeSsMYgd0J57Wjk/ydMeDed0bVjUsHqiNNIEk8uka4ciN5QzDXBK2ozRRI1iUYTq/JI1l8zRI9zEbjjKr7gC0n8nj7wE/k1V+E7fMxIPmIl42iReUtVramosOXI2g5cxZ6oWyb3gqQdYjy+PLIyi/J2NlvmXFFpwZVIQajEs0snduUt6h6AgULFyVwg2rKKJ3p/STBrOk48GX/N1iScs6CeXcjYYcNVuGFwhiOeTRnpQiSZwkO95U52mOKpcsJQUAelDgzSYDHUmplTj5GeSQpHqXjSVdyvApXvuQx5IbM7JfiGihOdAlkoDZRuIDU9oVFOznIyH5kLdgF6NTFTzbAtSkWgFyWbgIoSKNz7Kz5UHaUasIyQHMV71EVCXrCktgi1JLIshpwNN1RkrAZmWePLAh8dzCNKRXe8Ynf6lm35kTuzwAQzhYlERNhmYX2ONBdA5RikIjmPmo9fatmw0ok2F/blhOoNNtywNz0lvCHZMzXKi/ANz8YH7HDmSQm8Y2RXvuOnjGQy2zzjZljHkQv7wCb/ml4vsbwhOsUiNAzAyGSETE2tlqIt92wxxtL6I5/ZkSafQQrJLBpkr1DrEm0WAkfZcXAveV8FDq7imjMrueGRC3q3Y1W/ZrKBrDMFRxTo6Yh0zGYBiFUfC192IRcTEcRZMmVJSZWCaCG5mrNUODvREKj8RKgLdT1RilIJIJZQKb4O4JXebFA1+Cx4LXgXyFKRyzVubNkPD/yj3rffkfVeVuzcA9kGHlcVWlVcOUAS5qOyVU0kVIFQCevKIDlxrhxDu6GynjoKXkeCHemrxDQrjSZ8CosatvLMCAIkUxicYTYXQOTWr/ngCz8SeLoTJus5rp5yNMplqTDuLdJCbNa8N8qunfDuxKMpiM8YKwvsjMLkIXaJdn3iGDNDl0jNwnqoafChxY6Wbj5jc8ZUAkVJDSRrOdiOddfhmkesRJw2xGRw1cJtSN4RDASEoz7ByIrCgVlOrFxc5imkkKMjm47JJYamgE74tNiewSAFilGSSRTjKdqQmEEXHpkKmJKpBNQqWWdyndE1zBayViRdBjGarCSNRBQk0+jS0zalYHLBMVGZ5aE2U+FUMEkpCZQLRl3TcGKld0jy1CqY1KBlJppMNMJKZPndlgFfpiUCHGZMUYwsem6WJhfWK7ESDs7Tm5a9CgUYLifuknCxtaSm4LKyKkdOxZPYMLLF28DoK0apiLSM1jM0SuUTKzFoUXCR7DP6EVSexFBMpm4ysytUdSIYePTXHP3nzP4vMSZCScxOoYrIJJAE0ypRDIPuqQr4dAZb8+ALt0bAL0m9W+/5HsvJTBz9xFGUuQjTqlDSwsE510LUzE4z5yajbmbq/AIijd1yfWymKwOqKx7NknDwcsbSE03NKIItA9s8LLwR4+irQmqV6BKqM1kzWinRRLKHtMmcrhNDHfnkn+ge8h9r7cpAso7ebj7qARa4f0/HzBaL+3gGGtnZR8ZyZvIbtrlH5sLW3KPVSw40WF1R5wMVHZfc8Xl+h2KJ7jlrs4y+VcZwxS1NGSg4Kp1xnD9Cuz0rveVCfmAsMy0X7KXF4pezhcLBGdLWoacHUv0lRQvZFCZfA5ZEAxWkpqBtz9DU6DzT1u95rn/Pz4Z3fN7POBuJ7cxRe36oXvIs/UhlHIYJU9Y0mvEfhQ61KpUqgQorhYYFct5LTQFMUQqWYDtGtjQ6U7BEHJGGYDYEjVTZI7pHg8efLWWAMpdl3ClnTGaZkYiWlTjiIJicaQVSMGjekfKBipGmPLDJUGxAVVilSCCw5QOX+iMbBiY2WB3oxLIvM9f2CRel/cjneiDIGuOuUBRnBW2GBSbsn4CFWLeUsCLAog4vQtYlRaMWHBkRC1Io1mNMQMsdRjusZgwTjS6jsVJ6OhtpOGNlSaiIZpYWpcEy48qElx5japrc09iGRjKiSrQFrRLZdJSyJBdUMkuMREAKVfWIcr+McPuMiqBmQmUikpjFUopHNBKdIdUQmTg5OFhLg6HC4MgEhLOtOcuayXa0GDIjwcxoHYg10Fh0lci1pTgWC2KGmpkmfzSdSgJzoLIP7PkeIzWtueVJ/iVPS2LMy55SuQ1nLgjS0nHCkVBVwDJlS7HbJVlsGpKuMKVinXuu8294yS9Y5SMLaO13e7UcWaeBbRmRKmClJrhEqgu2grYScgKfDQTHyghOC8UUip9IVplrwVSJ4GGVhDob1CuTn8lyZo0ji2XyI3frxGaVqaozxWfEeYTy8RmuYEtFMTUnmTnZDasYsHlprs9WaDNQDEUdJhqqZClJQD3GCmOndHWAWTEVqMyYAn6uoQ8UVZIt2AxVsgsBPWdAFqHLkikmerC2gAoqSjYgThENUCnx49S2dYaSM5iE1opkXf6ki4wdtDEyNUpzLNhgMPWSxlgz0TQrEo6oM7MHaZXaKFU2EC1qPMUm1BWKF6L1zGIJ4ghUzBIpLlMAzQYjgouJVgWqmigVIg2ijskI2hSiF6R4VB0zQrHCzJpHuYJ0w0YjK5mpk6FZHBAYn5D6I9PLOKJpCGrIxaN2JplM8YVoABVMVATFaAJVNC87Ra0DSSIzFUlGhAS5AoUVI5XOCIWjDdjW0NkaTQF1mdImZgEVQ0BxvjAqDN6yrmsqO0I2RN0h9FS2QGgX4QsJ9Up0IC4izoM1BFMQU5ZnXgO1FbCCIKga1EaCgFGLKzVOC9YJs2bEC6FWvBN62+IwVDoSPaQKSp1omkyYFW91eQ9RlpK0Imo/7llLIhQZyHYm2ETnAGNwBYwGCpngKwafKXZJYFmnSzo+KjY4cmX/0YDfby3UfHX/hn9Y/TF37EnqcSmQqppR1kiuSIeItqsFXlYZTC00OVKJ50Ie+cK85pkZQTIfqLmyFZ/+9a9hOnL8w6cMzyqSN8w13JWGum7x58xTP+Nt4eRaVjLw3+V/y6n8Nf+b/zP+d/kLDrrjldyxZ6TKE6l4DruO+Q82bFxi927ApLd8ou95lBNyEWn5QJt7nHvg0sC1nhlKQe9u+OPdW/7Z9tfov2goT75E/vVbyt9O2KeWvQ68F2WcHYYLwn5D+L0nPGyeUPgUWyr8mJAjmBMQYVSDZUNVhNXwLbWb+ZbPseqg/SXlz+AUHa9izfAPf0f39RV/Y3/Cpn7Nz/SGzWFm87Me01W4y5nqPmMetwzrlmNtMfYZx9evEYXu7Tv+s+ENVz9/xr/yP2cMz+D/Gdh8teFx2xK+uuIqJ5y/YV3/Pn2v5DByyC0fhgsiFaG2vKHGyszP+AY1NWc6HtOKnfR8RuH79DmPf73m/f9y5g8uExd/NPCoIzJl5mbNHSsmVuRcM32Asmu4ud7+v9y92Y5l23Wm943ZrWY3sXc02ec5h8lDUtShVaUqWxIsGHYBhg0/jx+lnscXhi3DsGRQLklFit3pT2ZGRre71c1m+GKFdCdeFIhiSfNyX8RGRKw155hj/P/381YWvDENOzZ8wce0e8Xd9wS545NcUfsPVKFQx8/5H0PiS/cX3HHGp9U3yKcJTR1v9CskvWXlM38kFZ16Lsot+15pSbzma67cW3ruqM8jTQ2N3vCkjKzMgXv7jM/5FFXLoWz4j/whsQz8uen4zL3n7AjHU4bQoCki14qvE6uPRyo50bPhnWZ6cdSmZjEcOHcPPPARf1vO+cPvvcQvA2OYL5DPcuLGjowSWJVMbQqlnBjMXJg6NWRNWKnIMlFzIBxPBFNz4xw3/mMeqKiWiT/9H3qePf0bBEv5rqc0S+wnT6jef0G+dNxefsx721Br5JX2HH7zltXH4HTDISYOXw88X/fw+ndZGvznX/diWWZl7C1f7JeYJ4FnZ1/BVvGVIA8tctlhPwF5UcAWMnBsz/hi8RnfjSs+1T1P0v9JUyK9dfhX53T9jvN+pDYOWsfJZOR+Qfy7AffZimtgUSau2PGvzYFVuedaf8Ivm0/5qre8cMpRv+WPVh+owsCtVhhqlumWMCXqKjKqUBedPUZMLD+C8fsBfrLgbBy5+N4rPjcdDiUXz7SzuO+O+HPgE9CmY/XJEnmm3D9f850XNsfC68njhgmtLQQ/x2ROJwgQRdhzxV/lP+OSO15QMLqicKKNR17kQvmiw9gN0VvM4QhunkA0RNIB3KsLwmLPlDKS13yIT/mNNZzj+d4msg2CHI+UDsaywF6PHD7vWbwMnF6dceMXvDmcwf/7UzavFow/dhyxrJloVRnMGafzik5q7oxhK/c8re6wecJ0HtPNkZ9jtEy2wukAucClZ7EZGb9K+OeC2Riqlw08h9Oq4l14RuIV69OXNHc74jfQvwc+FMLoCT5ja8gXF7yrG3qteNLB8MU97vuBl39yieR7WMDd2RW/0CWqiaiBiOEolug9lArBs9KeVTtgl3NCVxMNbiN0L4XVFfTv9oRPFXkNunK0RyWZSHm14gu95Pr0MX61ob7bw0NBnICpkG866Cv2/+aMm+1smQm/Dox/K+irkcNizalq8NkzTFsSK74vPf+r+9/4avy/2IQdr/UDLATVisnVPBQHZstZL8jdd7SrhpuSQCr05ze4T4VwIewX89zoQZ/wITVcSccL/55YModJuSw9y9yTVTg1C6qqUDeJo3FsWNN0ES2ClRXaHaBxnP35EvNk8XvcRX436/t6zTG37KThaGo6WpI6Oqnoc4UxgUY9LZEVR5Jv+AbPZY48H29Jq3vOTccNa1YUJit84IwNkdfmPXtZ4PCsmPjafIwFfsJXLP2Rz69+wq+ksJAD67ADH1gAl+zZ+yUvObHgju9oOFDTLE/U7S1vXy/oP71CvgbrPacKhgW4NyvyCbgCvYi4V/XMB/GwMAf+lR54+te/oXx7Qp9buvXE6pMN23ri34a/5xuZ+AUf4aqKF+YtL/mCLXs8FV4GPIqViRUPvDTvuGPLgYpBarLpUNmRWDJSaOTEArjnkkAmMnK6y5icGd8WhvsG3gu8TXx7ylQvhOF6RG4a9G5JOpvtHaUunM4h24JxJ2oKtUaem+8448DIgTsuWcrIk/QNP3E/55XMDMP3csmOJYLnLEw845r3rEnSEugZCDxY5fxZ5kn1gYaeZBe8x9LnJdoZhjsh33uMN+SouCDIE0sKii4dYwA7djwNH3jC1yRzAoSaEyvtcDIPa1Y2s5T9rFJXw/jIB1EWJGMwNmK1w6ujwrNyB6RuSAwULG4tDF6ZYqTcBzhOSBtxcYEmS64XPHn5ju+/uMXIkXuW7MoLbq6hW51znCB++wT/SyHdONxnhuxATi37+x9we3pG3U6s/IHaD5xixc39ltRvISraZtRHmrPnTIMS/sAxqLJYrElFGe8Tq5Vh6z2CIMZhjUXoePLpkhcFzqTjgiNnsueJOfBCItHMEewdSwYJjBg2KEESaE8lEGygKyuub9ZYv2I6DWS758dPLU/MLW+44cocf5/byO9sfVK+4fJ0YOlG1CsZRYJiLzyyT3C9Yrp7QG7A7ZX+PbgI1imsA3YdcC9G9gvBREP8pqNcK8tPa2o/sdYRkQ4Q6ovI2b/bMDQPBBfwRSingekaVktPlExfHFGWdFLxuRN+ZN8i3FNYkrVlOu2xY6LOnsWxw+772UazOHL2P83MK3kP+T/2NDah6YSphZI6YgD7cSE9nVMNiYHpZsJYj1s5Yh4oBZptxeKFQc8nmCrS1BPOl0zbkbKdyN7Mw4mHCOuWcd3RXM0KL9sXslP0snDagmpF/WcF+/OJ6buEvoAqg/OJdmG48zU7kwg12EXCP2+wb7bE4Q47RkoQ8sqSFoYSavpScdQVRzOxMSeumgQnOPxlpP2v9pw9n3BUtGHNfOp3tGVBZwLpe0/QN7dUTaGI58CC0VjuaRn4mNf+K56kkRyXHE3AfHLEftajfyCY/xl2Pzb09jlfTM84mho1jolEttdcXOxJqSOPwEI4AbUXGmMo+8SiOoHx7EzFiEfNkWacKDcW11czN0iVKWwZfnDFvkycdiPVoeLs+476fzHwZM+D99ypowuOTV6RTGEMhrCZYcqDnlEtPceHHfbdhH+14a6/ZfusYXUReSiRC6coM9+RjVA9t3BpSXmPe7nmlHYscFhbqBtFntQMZaAeM+4wA8zzS8vy+8L+0nJdZkvui42j/cGW+LcfsAFoPZWZ0CGzmAJjpRzF0mtLlJrImhNLRIVApq2FduOQxpPbQPITlR/I1nMtFf32jFdv9riHO9IO8mApg4XUo9t/umv8Wxs1Zng8PsqCpJt5+knEqFLHgO8MPlSzhAtw+YQzE0k8qVgquWclO46qWLE81Z6r4R051ewp7J1jV0NFwJp5ygvlMeI6MljPpImNfssieVblI0QKKg6jBSNm7ueXSJAe5QBYghZCOQIHkoz4oAgdrkQgU3FixTWWN5TcUE+Gld1xMInsjgSUUmYZW28MkyxIZsuQPlBsx+gHHsTQs8A+BkyCkIxi3ITXwiiOQRJSRtoyv3gjZ/PLI0eMVUpSSijsfeab0PJSLEMZWLlICuBsgZJRNZS8wOAxUrCxwuSGSEXgxConzscVNRZhDXnJKt2zlgcqc4uzPUjFpJaRMPModI1qi9qIysSklhpYsKeTNb1e0EvLuRxwCMQVYdzSxApDJjJ3gbM4BlMRsUguUOYpuFFPFI/B0OY5PvzWvuJBFBO/Ya17PHdYdjSa2MRIy4nJdmS5wtl5884BJq/kbLFMLHlAChg7gUKIHav6hiU3LOnwuSNrRZQaL5GWkV1x3HCFWPhgX/EVf4jYyJ7/h1weyfNRiOKIOUI2+BLw6shSIVKRkoALVGXibDqyqkfUNTxUT/k27Fi7HVu9Zj1OnPSKSSzOTmzGI1VTMRvhDFkMBks79Sx8x946vM5sFVcSxazZ8Zyj1iRzw3X1Hc3lkacjDC2MDWzrjr4p1EHYuwok05a3LGNHGiLLrNRWaUtis1Ps4XdQDfyeV6KaYY7FU8oTEj0pKPgBI4rLBsmQzGNGsQJxZgDt5ZyvzBXPXMOzCWSEuFA6UbwzhDyzbGI9ctDnoCeCwJA9hYxjx1IrSg7UGrm3S35jf8A1S7ZyT5YjJ9tScyQzUmnG5wHRhixmBsXqzJ9CC+KZYc/hSOcLVTgQfZj3TQq4WR7pnZC8kOuCa45I8ER5jGY1GZs8ZnDImPFhwIjBllk5EnSOMN9LwzmFwITXiUZ71mnPIsKUwVqDZKUfADyDZeaRObB1YpSMFQO5kEzFLRXetIzmjhYF61CTMElxybEswDRPznaSKGqxfSLo48SKJUUtThJZEodQ6JgnE40KYd5CZo5MBskWRZgk4VVRrcAInRNWzuJlQi2cqhFXe4rJIEIujmASLk346KnKhEmCllnT9g+MjIMs6UtDTsJqEorJxHpPiAPFwk4qbk3FGRZfOpqyw9k5hSXogOSZY2UMBAsSJ9yYZ2C8md0FdQLNM/TYqmKSIk7pA+yrFZO5xJiaYh5A50cFddgRTG7pc83gR3oZKKnBdxZMRR9q9lITpCKZC5K2rMoHNvE9cfI4B0EOBFuICKNd0NsFLgtGZ6snVDMfhYykicekVY6h5ugdUVuObKhtRZY7SiqgC8KUMLFHRJCgJDNSJIOrKeqR9KhiGufz3ZhC9gP3refs97GB/A5XmwbGx7j6jCOWBaoWrJknbGIe49gP1PLASgvoM86mBy67D1BPvHUVOU+84JZiOhzPacVwXt4hck5rnvNEJ96XJxibWPMWy4l795KOwCWfc24yVnesJLHMBuw56/KO3jicGBwB1RFjjqiz5FpIHsKkSJ7TSIpL1NkyImhIJDfOaXeq1Dpxnvc8nUb8YBm1YbKZmohhZK1vaeWMwidkKVS844x3tGVArSeTiGrwFDwjC7llUMO+XDGYimwUSwc6QxQrSbRloGKYY1nlyElqvJFZNVNmJY4mxSeDH4Qc6zmyPj0y+ZgIFnIN2vWI6fBMBPZseOCyPLC3wk7OGDURmFjKiZXeEkqmMU9REUSVFTu23BL0E0ZTYUtHS0dVjuxMJtsDngmRCSMjU+kwYYF6xboWNMy8CwlYB8VGonVQDCEq23LkrJx4MIFurjpptUNlJDtDXSJ1GbAkMpZsIlEMSoNmh9gM2iMayUA2EBgxaslYooyIFUgjlposHslmhpcqYDJjXUA64AGDUpWMsmSoruhzxCRHNUGZR+pQIkokaSCywEpLZKSWCNSoLmAy2Klgq0LKw1w/aib7GTyc6+XMN/P3BEk4vcExIZrweqIR4YTB07GQOxY8YVUm2jTQVB2exFQsExnVhCdSo5jHmPeV9qjO8ebFnuOLR6YEtWOSOVZXCvOZ9C9gbfPIImWsiajOMNssgWzzHHk/C3kp5jFJt4AdYfbijuAj0SmjGhrTUqWMThkVj+Yel/MMly8d2AF1yikUltUJ6QtGZ8UvomQ7MUpkEkNmxWAiWSDljJYKl0fsBC4amm4kVPJoAQHxPbGdSV9i5hRURDCPagZVsFjMZKgm5pQxW5OILJLM8Ho36x2wEbETYg2MBQyITyAFiQbvIBuduSDLQG87Kp8QB2IMZEWKBQydbdC6Z20TNsn88wuzQwGll9lN0EbBZ6U3PYs6UrISCsSiqDVELyAWmx2WimBaBjkQxVINhnYqyNFwMUwz8Fq3DNKCTFgqVKB3ylBnrOzwpVDDjMzWFQU4ieXeGXasGDI8NIl1qzSLE8dW2HvHXtd8CB9zEIuj0EnmyiSS6XAFJDokGYJMmKGGCDI8sE4DxcMeCPR4ygyCH4WqQCQSSkVUy95ZJpl4WkZWOqJ1TzlTNEAoI4YlPSsaAVs6Cgn1kBRGI7hH6z2DQbRCHWhISMk0SZE8K30ohlxA7QA544tDrc53xDI7T3JQfNXP6H7xiApFhWQT4mHyc2DFQYSbemBTjagCRWfLqS3YLGiy+DRROeilYlBHVg+qWDvhGTA6YKQ8Rnd71CoGxVBRZMXOCRu350wVlz0+tv4FIQAAIABJREFUgiQ3MzXTfyJMeGqVzMgSMKoMxjEAkUCiATtiZG6AhKTkFJmkkGTE0VNnxWgLpswQvSxk3zJKosonmsmwOtUY0zGZCmMdLheKgWgyXkcqOnoDnZtFQTURz4QtihqDakIonGTJyZ1zToJyIrsG1UTLDSHtMFU1K1pwj15WJbFlMM/p7S0Zg0VmWVbQR8CVnYG1ZcchK8b3pGmatWQ+EhlQlkRTiKGQAqhJCBVVPhKKIeWGkBYsVPEkijQYApIVWzKuCDYZWgut62lLRktgMgmqEerM4IXkFSkTC51wMqBMNCliSjNnuEuNZQA5gi30pmIW5y3ZWVjagJH5oRm9JcaE6DinDDDD6kwpqLNAx4J7ohZcGTHGYs284UuyMAjLXGjsSNKIM36OR0fmRpoFMZmg0/yZemp6nuo3vBi/I5Qju8owWuEMJWRAHcoKO26o6pZ6OlCNsyoCVZxaquwZnaU3CVMmqlEZskEBp4lQ4j96BX1JuDJLDZPJtAxU+cSz8gsOuiL6LUEzasw/vgUuGZIaMAoyNyQdOjehzIIBoRfP5CpGWgYcS5TlYFmnFdpadn5HVEGMByp6KUyy5CQzKSpSM9FiZaLMAl4mVSItBY/LPVfsCRqxkmk14EcPCUTzfIvTCQdoqVAqMoaj2XD0LdE8YGJDZsW9q/mwaHlSjb/9Rf9nsEYuONkWNR2NHInsyQIYg2iZ5awKNhmSCNFljAWVgnCkdQ7HjmwtsQ70IeAQ6pKJMpFCpAKCCBogPr7LEceNWZD0gkEWBJ0YzUiVP9DoHjiieCYcgwZG25Cl51RnjE30fr681VYQEUgWO1kSSvGOvQp1mCcUGTNbjSTOFjfmW7PEgst+BuYWg8eiTBQzYW1h8pb7EGiDR8YO0WZ+5mhR2xPVMBAYpaJnS3E1+Mjkb1i5SJRZUqqTR0sg/wNwtwgYyCjZzeA4h8WTQZQogqqHAtZGJjdDrRu1UCwFIdqMBiFbAIMlkNSQpKPWASsDFqXRWd47UpNsJHgHvpBdzWAbRgytFkxJUCqKVSaT8XZuuljAlkKlCVf2iJxIFpIBtRkp810PJ0QLtWGGIzJRbIPair3AmRSy6UihgFicZhZMFLGczBPu5TktysCekxwYjGVkTSVzjoG182XYSsFmnQsffZxBCGiIDDWIFUZn0NKxyrfkfEKALI/WM2OJlcOlRFMm6pLZOyWZHmszBUsvNScqxAQGk9jTE9VxMk/50jWszMiRFav8QDazXW/AEEzmVI3ctcraReYTYL69qNHHS8wRyxzL6qTFSSZpwaC4nMlY1Dq0zH/7iDJKTSeBDKyNIFnBThxdpISKXLX0Rv8z7xy/+zW6QmcyA0pGKKYwAb20TKaloGQZ54Iegy0jlRSKLQwBignzvm8NUStELbAiycRkC5lxBu6XgCczp7s2ZAk0paeio2WgGEhSkVhRHikwaj1oR62BojLbKXNDo5ZjKmTfEYNF4tw3zr7DWYtKDSbPZ1/OiE0kN8zydhkBj0rCmoyhwzOSZEHG47Xg6EAGrIJTQ6RgGKhKxhnPhCVSU6gwj4knogYrgifiikGkIc4oZcBjphYT17gyNxgogi2QH1OeZpglCAXsfLFEAi4rboQ+eQotkw4EajoWHCzMbY15r406f5eQKSIYoMkJMTxaJsGqRbEYwOqIkxkoXiVDRUVyFaINTQ6UWDNqRckWI3ZuTocMcgI5IOYCoUbFMmlL0i05Z7AGyBidAxYskMUiTDTlQCIwsAUVrAx4BWWFw2FkoohSzIL5P6502YNdEtKAyZHBzPsZJoJNZAmoTYjRx9+pw0pFMh3FRqx6RCKp3VAWnnLK1GW+bGoZSMGAG7AuYaTHqJubZ3lCtMIQERkQ22PVIRoeN+C59hYVwJFltuHBgVpPiHoacYQy4EwkESlUoHOtE8kkUYpkEkqUQNYK4YRgUG3IPDasuEdtoLCa6wCdP6/oCNrh+ZcRz+2zPlruPWoiQT02B7L2PB5zhMJ8EJEoKMkI6i0iCefK3HAXRy5KNkpx8+AhWSGZOaVIrcE9eoerLJAapIwgaZ5BiaI6UssDNWdUuqIt9481zQrzCCSeG35z+m2xIHZOA5M4A/lx8+Bb5gMbiI/noqAIthhsEVy2qDUMleIlEWyZ7+5WwUwzK1VnJbMpA5iJ0c8Jnj4aJMsc2EKmSoKZ5oae5Dkgh1jTxG6O2U4RHDMPqcBcbEaMmWH7BkhisWqpikXyhGE+y5MBUyxGBaOROk9kGYgMWKAqFlcgWzABOid0pmaQioTM7ysThkIzWXIWkggi4OboF1CDK5HaCnVJtLKjzcIyCWEUTL9k0UFOQIlc6BzMbS3cW2hzh5sUVwQRBfNYh0gBV4h+hi2bf2iIohQjdFaQSpiMIVNTZD7v1kWosaArjhZqEi56TOkoVHOSmAoqZQYSq4GiRNG56WInogiVUaRkfJ7Pi6LNnEKohmwjyXhMqbApYXXCaCZrwmQQDJOVOcG1KKEYxiIkG8AUNCdEgEckxTKPLOOAQWaQslGQBKYAoOIYZaa3qiqOx+dBlIghYlGZ64HsEsUWvAp2ErILs8BFp8cADNDH4imGSK6U4DP/FKXmt97fHs48FQ880wPBdNzIU665YCqGL905zfkJzD1Nctxf7zh7/hGCZ8sDH+vnfDre4z4/8axRbgucTRX23UTlMk8P15hTw/RXE/2big9vnrIgc/rZW1Z/Yoi+cM6RT9I9a41Em3ktb/ke7/mKl6y0oyLSph021Pyl+YzPF0v+XfwaV/4GVwKXkmn5Cst7mmxgLyy3kWI9t/kpX8TX7PsK2lu6NvHwved8wSU//r7w4d/3PLEP/MF/v2dZD7T+FaMGTstLqvqImImWiRtx5EWFPTNUZ/BhWnDLio/jnvKLjvd/rcj3Z7lvJ1uYXjJWDd9wxgWFP33TUPkP3OklI09ZFeVHi4L/ZKD5uIarCf0qIt2JxkIjGeED25dKvc+MfzFgrsBe3LF8fUfddui54+e/Dvzsj/4N2+qe1x/f4ftr9H7ixSaiH7WIXcxe8XzBkBLWNFSyw+nEWmaw3q19RVsctSSq7obj0fLFX2d+8ply9tm3vPioZS0jPULPkpNZMzhD/cIRq7n19V5WfO02nJkjf374P/ij+J7TWeFr95StWdLufs22rlEtuHd7PrJHzrWh7I+YnJAKLr7+O95cnuGmA9+uzpjMFn8fefj5xPj0jH7TzBJBM1IvLFP3lh+2X5NQRnXs/TnL7o4/fvgLfrj9S/66uub/5r+jS4mhdbMs8NczcKp1LUWHOaZXMlfc861uOUZPb2o+91egr/laNzxQ8cIMLH/1jjhs+f9+/Kc8bO/5M/0lWyK/nrbchCvQjOgeL569ruhouLVb+hJIRvmBfsWLU6FfWtrygdfNiZ/bN/zd9AnV8SXLv/2GVT3BQrFeMaVn2UK/VI5uzS90S6c/4CHec373V6h0HF+v+fqTl4Rt4WR+zn/7n14H/BexvtUz3o8bzg/veON+xV4fWCkwzRs8R0W/Azt58tMlH646nv03A7YVvIls5Q7v9+gn3+OXAY7i2QJW9sSLiMMTDiOvzm7RbKDAqozsec5vdIljgcn3rO1T2mz4oXzJJ1UCIJsFrsxKrvf5NfcyQSVE2TH5JWNZsiqCTZHTdaJ8O+ciTE1D3jRcpxXCGc6fGBQq+4rpN3+P6QT3kcBRKLGi2xiO1YIDNZsAfnkHWrjdPOEv/VOWwfG8eU8l8I0+4e/lFR/0Bb1uiOJZZU+xW5ItvIm/4keLRAotd1WCq3Pky0LTtEBi2BXCdaH0huwrDrbmwOKxqaukMZGzIichDBmzyvit0rdCXlb0UhGpsH3L6UshhoqSFiSfEckMGbw1BLWYknmQmp15gnOXnNkdF3Kgsp6jP+fentOxZs0BbxIE+ccJR0wGyYXlrkPuA/srz75qmcgMJpBebJDv94TvTgzewllLn/o5FlPyrIIqjj3CtIJmKrgSiKq4SbG+EJ1wLxf8B/2Mn8pnPLVHSopssyVWa2yclUrnV2uObaCMB5ZDIdVzw9+VmlJG5BLkEyHcCt26Ia3OONdMnW543x9BLcYU7EromwVTSLDvadolg3WgAfGnuZghc8w1k10Qcofojvfuis6sKHHk0gmK5VrP8BypK0uUuTiJtuGtTch2C6PHkGcganFMu4hrPGubUIR76WldJibHfTpnYw6zmlAm7kvDlC02BByZm3DGnfEsU0InoShMMpI+WnM3POXBBbL0v8dd5HezenfC8BTLmpwc2VW8p52j2mXDGQO1DvS65qgjIkfWcsOH+oy9+QTveiKWSSxfyyVoRa9b4IFe5rPsTG/ItsXrROaMY77gpG9p7IFWe5J4/kbfcOQczQv2tFyz5m1+wUJ2vJA9ByxZwNmJExWH5RrtC+uLFYdJkGa2Qa22Bo4Fc9Uiy0KRhF15SquMdr64eZ+xNwMrp5jzTFiMKBUigYaBpRznYhyLeWSyXeo9Rjw9K0at2eXnc7MdpSodC3NOrZEzTgiBUlpOsqCThqELxPua9KEl6QyULF/esa4vOPYj/oc153/i2B88+1+NNG2ASemvlZIqmgdlyBWxesZdzhi95FtZcasjNR0TDUhiZTtWemJiwU623OY1Hsuong9ccptXrJ2Syz01kWgs1mTO7YmrfIMzsOc5/aQEyZhm4mhWMD1e3pyjeQ2Lc0NdCUkH+qniMC15awOvbIPnwIaOjGNlBloiRT1HamrpeGY+0MuKQz4jGEfLHdjIRI0VQwVEVRo8wkChcGBFlzx13UM1kA1khMtVgVPk4eiIo+NZteN75lds+JYv+ZSdfc3VtsKaHQMteeHg3FDnBWOWWVm83pKjxYYCwfCQLrm7aaAPpE5gKSTvsWthWSnDMBGqC2JR/I+U2BtsB7mccW9H2rziI6ts3QNZLqioCQYmMtc8416v8KLc2C198VRS0Zo9Rwx3XDBq4FxnjspYVrw152zNPS/5gtuVIUqF5oppv+MjueV7+jmv5Wue/Atp1LQciWrQEIhjpu6OVLkiS0+4qpl+dk1+D/6gpIcRqRRZGfCOOGSsGly7wOaM2JHqwoB4Th+O6AtPEk/EYvSRcTQlzF4pD3NDh0uwy4rpYWJTQ9M+YKqWXiJnds9MLm3xpTAtOswPLPrSEi9nRuNSFfYT6XpEjobpdaHaOu5uC8uSad806NWA+Ugw0qD/qpCeKHZUxEyslxabDXoweO/moTIZrixpU3BRkQE4t4Q/LvBM4L1DfjogHjidsIOSx4jRwjgIZSUEM0GaqOMddgVcOWSbmRQYwZ2NLOLt3OC1Lf1FRS6F9F2h/nWi/q9b4mpkuqypouDihG0m6mpgFCFzQoswfrBMx8L6j2uG10t+vWq5Lj/kmieclT2t9DSyYJoMYhrSSVBa3vKSeyxRa9DMybSspsDlV29Z1re40WP/5sSHvyo8LRF+A+4y8nT1lk3eMw4DcbXgXVtzNo3EL+8IncKqR360pFqBNCc4L9gNnHwFUaE4dnbFqf7A1QtDbC1DXTGSUCa8PXA5DfSnis8Xf8JdKPzh57/h1U/f0/3rDV+aZ4ym5/l4IDqlE8cq9zij3E9QyUSVO6qtEiqLVBPrGmwDH9oVydQYGQhrGFcN8SCEnx1ZOdDXHlkWbDAz/sAUEMjvFL90MAl919PUgl97tDYMumDZBy7ubnny9hrzIBDALACBrp/ZN0M2fMOGTmY+kC0eK46OwI4lgczgW8qiwi0yNQNmn+EYeagMIFykiMsjrC35qMiZ4j9LuB8HksR/Epn1Wxs1h7DAy4iVyMm0HNjSs6aI5Tv3lBf2G6p8yzYumXaZzdpymR+4sndc8UCbjph9QqJhFRU3JVIvaCOzNCkb2AnTVPF1/YIn6cRi/y1XqVA04mViWyI2K95Gnqb3vPLvaeUBK92jfy+havk1r/jCt/yBDLwus3StsSeu9CtM/m7ufkWlLSce7IJbd8V9ekbJMwyvqxxv/YJv2fLRpdAd32I+OJbDAxfujFO14X2sGUKgtw2SYI0FcfRWyI0SahiLZ6cBVwLLm4r2egZ8HmVCZIE3V9yw4qf+I5Z4XvsH1nrP+/KSgnLmLriqP2d4kglPjviQaXpYj7PP2pKwek+1OBJrR7jNiPFsjkfOec/KnBAr9OOaY37NnX9O22ReTwdCP9Je3BPrjskGpqmgxZC1gEwEzdQUtvqA1QNWlaYYrGxgyKSy4bgPpJ1lecpMw4nGJ/bMQMBBNjy4BaemZfAVo1lyzTm3ZsUbveX14S1nKdK5FimeNhmaPKIqc6TfUDA2suwn0gilMiQrhKFnFUdIhVobqqkQThYOik4VRg117mjknsYNoEeexFsmHVmZB96aZ5joeX58z6vmHUNzxc/48QyM9Ya8nZsemYITi/ECmiCfqNwD9vEZyzQ8yJp7e8GhnHNgTdHAcjeRDo73+iN+I/f82/w1QSYGKnZmOys6zESkoZMFk1omWm7sloTyXN9jB0eVLK0MrNkRzVPeuucszJoX4//OlXxDuYTRw0YSBNg1jp1zXMsz7njGyn7NevgPrO4T4SPPr1ZLptWn3ET/z75Rc6tXnKZLXo+ONn+DGyJhMoCZp5ajRWNDMplxIdyHDdsX7xhzBBEqLMZn+jbwLmwYpeKMdzj7wOQMU2ogTTR6grwmREsdewoV7/QNNRuq/B3FJqz0bPJXeHNHLy0nhEkU7JpdvmSncLQ93kd60zKUlqhzQoFMmXgyNBjum4p3VcM4XrEpS4xGJmmJ04JwsticiWeFU1DquudhuWEfFpxoSYAgJIGDP+Od+5gGA9UM9PzWvOADn5D0kr107DkSJXIjb+jFE+j5gXtHMobBeoyNTNXIECZMCZhpgglyFox3c+xy2dAjZC2YNFP5pcxepRxmKJ8JUIIwyZz8145LZLfEnhxahF4mJl3haLBSECyj8ezkioOcY01HweIrwXpl7xacTGCioacmhorKKyLgs4VcYfNEGDNxEvaccWAzKxYkMC4cuj6CBa0Ce1sxiWclszKyIlEKaDEMdr7MGHWoQiw1Hc+5tlu+k+f8kh/xS/2UyA2v5CuOeDIvMe49L/Q7njUjh8pSWYu1yuSVyUNdPCMT2oJrE6YXxrrlYB2mTGxKz31RUIdoQp3Sh4poHF4yQ5U5isXngC92niyqJZaWo72kp8PqBKwoeEJ6z0X5wODgZM65MbAJIxNKlppRWnoKG2vwZYErCcGS1CAjqB1RJ2gKLNw81T7qmofynKVVWvs10WTuOCdiWTGyUMPBXdAxsZSRoormCiuJroHbasFBF6x/S7LBP5cVAVsCda5walAcSZdMWmNNxxn3LPVAmRGptBxYcOBBzrlzCzbmnoaRtXpOLOeAgDJSmQmLpSGzYceoD4RyZDQ1qkI0gV4yhUjPim/kNXte4cXQG+E9aw5yxYUOuHIkG0dkYsGJICuMewGVRfwOUyVMtUK7I1UNO3WYtsX7A9lOIDIrbLQmhprKJ8pkkanMVmxqZA5ppZITDZ5WM6EMBE5UOu8LpljuHRywdLpmoJAlY3OgNhOt3rGUmqwbes2oy2QKXYKSPLafWaFpGLF5wD7ewfr2wPRMSL5QvKPSgB6UPo6kEJgkot6Rg2GwiuIYgZwtzsxwSicjK+lodSTpkr25ZJQlWYWDLGcGkToa9oxqELGItHMctgzU9oYinoELsllgTIe3OxqZ6KsWlhVMO3SzIJy/pZF7Jl3Qp4gOlmhHRkZaTlzlexI1a+lntRSWiKGmYyP3GMDRoWJZ6hGXj7O0XsqsqVWh0YhSGFGKzQx5ojEnKhTjRqhPmM016iDlClVYuDvO5Vue6luO5XyOvbYTNhtu0oIBgzaZWCeyOCQpPo24uKBUEU9Ccks3Wso4KxpcncCdqP3IlpEuJ5KpEdejTQQNMF1ANau6XBKuwomn8t28f2jLShIG2PGEnpbOWHYz3YwlHWIzAxVHs+JkKk54ipaZFcWay/I5l+YDS3PJQXqMARcPrDlwVnY0csRr+n1uI7+zVeXZimaKkCelOcXZ8lYUqTyyP+KO4KODCNYkbKNoSqSsszLSeaCADJQ642tH2Bck2/kcxdJIwaGIZmxW5MEgTQPbA+IF3ytVBO8SVegJ4gjSM3JJVEvLiIQBWWfKWjhVgFT4HnyJyJSZVMiA+oAOCRczUBGbkbQtLKsjcSucWsHYhPeR8VIJeVa6SFUYQ8aN4GuYAlg/UjJgC8NZYTgvLCZlGR9t8rFQD8z8Oy/YQUkVGMmE/5+6N+m1NLvS8561m6893W2jyRuRkWSSFMnKYlVJlizZMISayLA9MGwPDPgX+h8ILg8EGDAs2HKZRbHYZJKZyWhvxL33tF+/914efCGPTE5EgKg1Pgc4OM0+e++13udJYLqIMZ4xn2aLZLKgswjhbBjItCNgGXLwvWBO4DpBnKNzI9EJpgOnEaHHyoFMegwdjiXSG2QEWSTGIufkaz7oIwYWuOlAZXtyP9FhaV3GpAAZ77nklpIzRrwO7NVhg2GzGzF2gMmhu8T9Fthb9DThh0hZ7VkMRxgSzUI5EKij4h+mWbtuwJzPSu7MRPBgnEHFAWE2kuJnzXUxQe0ZnXKiwpiStXZcTh2xi7y+eMTXxYabeEDv3hBCxWv3iExuec7DPEWOxWqYY60qlEnIQsB5JeQR7yaChb6M3PuIqqM2DoqeqYjo3sMhMSawZUDqfJ7UcgNImtHpw4yu8L1ihgFrQCtDkxd0usAFz6YN+G2Axs+pHgsZBjMaSJZehIYlna5IKF4SlThSMkziGCkZXT5DgU1PhiFNEY2WE55cPdkwT4BqpvMkmSi2mgiFMI6zeO//r/6wnlue0bBEqbB6ySQbztljFTpdMDHxJO15dkiEb1teLD/w/RSpTMc785QP3jA8drhswzaO5JNjuUzs/R3VJvKjrmWRXrJ8myg+FzpX4DxYBmwaaJLng93wNj9nZzMO4SkGz0Z3HExJxIGbqDVyxgPn+orz8ZYkws5vGMRwxg5rW0ZZEsqEERjE0BtFYo+OF9zyOcd4znNe413JIJ72U0EvO/rK89pf8k7PKcwdT9Jr1nHLe57N0C7pMT4yLhR3BelyT2UO9CKMY6I6BjLecy0njDqutcNR8UVq+GQQvju8QW3k3O8YmTjpmvflNdnqK3QxQr6i9TskDNTxgGjPYrUmmo42L/DXDcXnGeOq5WLcctHdY2zClz2fx5/zl93fQZ/IR0OKgZ4Wx/yHbl1HLg9U9h2FbMlkgpQTzALRgZojCxd4xYpgz4jpls1VwFxnvLlY0JYVa0bajwnhQlqGzHOQBZOFAOTqWbfKajihTYTB418Jz93E6tmJDxlotiHYx4xnHYYzTovA2fCKz2TPXbXh3xU3rOoL3HTk1qzppebSvOQaR94fuByF86Ss7EhpJ3aTZygWlNOOx7zhLj6iNwtuN08oshOaHI/kPXHa4YOilSddTIxuHvnL6nkS2YulY0EpA3VsaU3BedpyMe74ViqO/pxeKxCHDy1Pp18xciBKSSc5SzmQKZzMbNCxOmJMItORm/gVn8bAb7IFAvhTTv3NkeyzjIPpWXLPZ+nXrHxB+u6eaCakEFxWQJYYxfNgr/Cm5Yo3QKTQAxoMpof1dM+FlNTsyHT4Y+wH/qS1ZcVJFiRx0Hczy2MwkBvcmaBFQAuDph4bEiYteCtXJIFad0TdsByU8nDAnS3Y+jV7hCdGKdQxmIK+Vu5NzpmdSCkiOrLht1zziF99+wX74Qs+/8HXqPwfWDcfOoUtqgNvuWBhC7L4wMbOpo+gJRbLKBC8Q92EyRUxBjsIJ+N4zZrRnZPEMZoOiYaujNjPlLCFlC/Ybhz5owFbKlEdjgyjaVY/7iyXu4YfLr5CFznRKvesabGc65afhH9LlMRjd0um9xTJcmcvmUQJVY4Z9lQJujjRGUVXFvEBa5RoB2KpkEbyOFLIRKSg1XncHARdw7Sc4w4pQpjA9QPnseEOZfIr/FVA6g4jDaN+wr1+RmvnA10rOT0ZCcta91R6xMbAvb2ajWkyAi0ZOY1Z8M485yZrSPkR8oBVwU6QCofUSi4DNYmTegZyQm5hBWMFqcrmbr1fsioP5BJIVAy6ZNICaw3WKiaOdGXO7/zn/Nz8BS9lwyElrGn4nn7DE71lLTsyO7JHecc1L+yG77HFmhEzKPHe4HohVBE2CbGCbyNmsExRiar0ODqjWBMoHVgrqBFkVCyBzkVCaSikoyQxsiQaT1Rh6HNCyviWF3xpnvCIN1T6no6CL9136O0dtb5hYMVStvTquI9rJm/JGbG2JxFAAt5GvPsYUTEDNglvzDWDVTbhhBveM+DpvWeSAENgyjYc7RVGh1mNK3FuvBhLb5TeQP0A5b2d48rlgZxASf+nXkr+oytxRhALrsNFQ4awiEoub3F0eCYqTiw5UIUHciaOdsNK9yxIiE4YEpfpwCY1tLZkMoYlLT52TGZF1AW9OBYMeHlA/JFRPUNa4kwk48QTfcsqJVqpmNiQqWB1YKRgMgOTTIzkRC3JKdChIHRLgtly5V/N615WsHA9D3aD1AlnG9QmVHPymJFMyfayItqJfagJFxZTW+7knEZzhJGMDqMbDMJS9lzqS4QFR10ziiCpZYEhmQ4k0WnFKEsQwzJ1dESOkpF0ouLIgiNNVhPOIcVAl3LsZr5QHSOwSlBm9H3JtFNohOANY67YG0hhIOoEY4KLHvH35HLHAsGpstHjRy5fRRTLlhWPpMAzUMmerSxmWKYotW040nOSSxRLRWDFkT1r3oUneFPTh0tSl2GKxIUcORtfcXQ5Wnr6Tcu1zViFt+BH7vURvfRYGbliRzFf01GlkUkcETNLpk1HhscSMTgCBjEjRjrylKjShOiWIBZDwJLITE+vK0YyelUwEzXzY6Ps0TxRfwxRuCISi4GDF152TbP+AAAgAElEQVTrZ+z1CQ+s2cgditJLwSLP6KRm2oxY17FeGPyyxfueLC8x+TtWqaNKjr5InKylUUPlPKXZcx16FqajtT2jLtlLRq81Q5YTFvc0WUGWD3yXX/P99Euex5/R2QWGPWt9wcmcc0RZyo6MnpGZeZgBg66ZJMMy4hCmjxeYhe1wEsmASIZoQSY94ieGpWeXLrnle7ySnq058fmfdin5o5QE8McE/cRKgJMlqM5Gq8Egzfy4ZCLWCLlxECImA1dAdAOjZETrMDpHaiSPWA8yzvy50edERq76DHsaMStBVwr3iXSUOca0AFGhtxlz2DBjlSYaGxARfIxkoqgDkY9MDpfNIGkFWwjGJrKXDtlZspOgnUG3GcYYbEzovcH/+4J1FrB45OlAVk74k2EcE25lyKxHdhOmMfhcERFMBiQlayAEj1KQ2iM6OHRUXATtAZ+RThOVFfRlhikmjC7Qu0Q2JlSFrDGYxoFNZGcJE2brgT8pxehwvYJV0hDJO0txp3AUTFIKF/Fjx9IEUtGwihmmy2AH+sqQfdVw88mAFL/lm8UTSklkyeAZUBH8EDDBoE7w0nxszSQW5kSeAr1LNJcZlZswB0M0Bk2B1Jb4RpDDiBSG5C0xJnQYkFpwU45uBWlLTB/g6CjDiJky4tZSPpkIq4nGRXLZ8zztuBxG4mAxy4jRyL0+YTIrJN5zfWgp9oFHj99xYsDF2bRlsz3enGgpOeqSUnesUovvgc6ytMIQWlyvxKOQWyVNkVQIkw14DBmeQgAXcTTYaUXqBDuAHQviMWKz2cJsPNgAWsF0poSFJR89OkxMPtFmQlBlcIn3FxbbGarXipuYdeoSyMaEGCFaoWbiYryj9wVHMogjaxouOc7t0yhMfSLLZ2ZtrDzBeLwRMmMIFrwRtBHs0ZGdRnS0mKFg2fz+WPgfvKh5zzMORIJa+rhitIm1eQdmQxtzejfwubRstoHhb0+Uz17zffeBYM75HU95mT/m+MkLdpyxY2SdRl6YjHt9T+lec22+YTW9gm8nzA8ndmcVbmkJbiQzhiaueW8ecWWXNLbiQ7hiImcj97yLL+iMRawi8RVXHHiR3nCVdtwlw31ckcSwyvb0vmNv1jyqLcZ8zNdj8EODDDmv43Pu+xU/jv83m0XBlsdUfy6kInBcnvNb+4LX0yX/idvzZLylpmPrrrAolc7A2uIikS2gXgeemHs0Ldk/jJj3kRX33OiOgsinTlmnjBtzx49Sx+XbX3LcLHl+9po9Pdt0yddyyU8+uSBbvoW6oKkNPgwstCFpoFwvCb1wyjdU32kp/rMrwtUrVkPDRfOAkYRfBH7ofslPdj/j7W5JWTyCMMeElZkpY2Sk8Cdq9lRyJNdET8lJa3LTstTAQjpaMtKUUzT3/PnnGcvPVtw9fUaX9VxPyoEFAUfNlrHoOGQZoxnwtBRxYrGNmIctsUnAGdP/daR8NLH6Jwdee5jkEW/lc16fXXFrnpJlgX8W/zceTz/ntX3O/+r/Gz7oI679gV56znXkuT3yyBYsmz2XvVIZyKyQoYQ4sy3ypJyZB87inlNe8pv6+5ThHsXzid5i+yPZPhDOoPbC1inJVZTLA9KNuDESypqFtizdgb1k3MR3POruGOqKd6wYNEeDI3Ydn/KWnHt6cQwCl3KPaMPv9IKkjjpEukzodOI78g0v7C2Ra4QfMr0fkS9/wdX/9F1uTUEdJ36c3iJ1z+VfjdQDpDtDsapRmRhNzilbU8WG52ailI5LKqa9obcNj82WGzwXaeJiGiH/o+wJ/mTVpkveppzGO+rR070f6INQnNWUn0TStxP28QJjGrJJkbHgrX/EY/M1Z+YOScJiHLF3W8rijKk0NOLJmZXOJxE+VAtOqSCGB+IIBMOKV3yiLf/z/+L4Kv4Zm+85jPk3WDZ4uaDmFqOWbboGoxR6jzM5w2TIdcVkO9BEdAZbRgoLTQr0g6VjyS0XtLLGyoSQYcWwXey4/mcW/SoR44rsR484Pn7P9dQSADRi9EjYj4zfJtarW/7SvqStFvxKvssHzghYnuo7PvGv6DVnSYvYA6vE3AV1QrIVcnrNQj2n3jGlimxTYDYty8JyDAOmLkkq1KmjjEcmX3GkYHAZagS98sRNj0uCGQy6VyRrWUSomUedz35cwOJAMgM7HvOL9Iwbe+Baf0uHpZOcjDBfgnJgl3Le2Se04inTHWs7suLEvVR8zSWPfKRcKa5KFChTigybBe5cqbOeEmWIZxD6ebO6gngBsvD0amhyj1zmmGkgac6DrKh9xiZ3s2J0grha8Lf2Bf86fkHkE/LhK57lDZ/Kb1lPL3mczw2BexrexWfs5QL1X8+HozEj/WpgMXjSWrC5wShMbxX3448cojESNScYR+mVsxKy3KIFTMNIlWaNu9QZhUQ2DHwwiZhDrzAeDf2U8av8BX/Hf8oX00/56/KeVj3f8JxCMha85KQ1Rkem6Lidrqjzlo3cIdIwkVCTqBcDVSHkdknghIyOl/EGLweuh69x9wMP5Tn9WULiCTlExs2Ke7ui4MB1MoidO2JiMhIBFy3m9YAMwlIS080RIx2rGa39D7oCjkEiRlqKZDgQyWzkWu9Ycc9BcpwI69hQ9XtS5sDUrLlDbGDHPLVxbk94kzhJS6+JpRmYEE7i6aTkxIaVbRHZYenotaKKM0+lomMl72nMyFsuObLAB3BMNE5QsUQcQyroNcdrRnoQ0t5hK8t3qiMrmWg2jwiuYccZoyRKIq0qYwJUGTwcnp8xPLcc4iWNMZxs4IEzTmQzi5CWqAZBuTb3PLOv2clnbF1FT8AwMhPBBnIcgYyDLVhgWEpgLyP3VggxsZjJgTRloHOGqRR0aCiWFU3hCO+AncVVJftbh74ysE0MSyFcRuyzNdIniqcF0zEhN4HajFzQsdRAMsLSdOTsmORI0pzdx4kNowO5GNJHSHSWJkrp2Yuw1cs5ssV7FnRs0xW/aS9Z5SvGbkV3f+TiUc5lMfLYfUAva+6lZEqRR+6eLL3mRMForlAz4usjj/VAzYRTJfOBkUBDDiQ8E6U0eAIBj2qOEY8iJGtxIpTaMEoJIoiMZJwYqYkppxsdLndskqEKIzFvkNJRayJTx2mRiGWgEfg6fYdITs6BK24Bw4Nds6z2xOrILi2oLwKfnu3J3AeSDHgSNQ+sUsuTPFBUe45EDiwp1LHigaVpcdoj2UCQkjt3wQee0GYruqoBV1Hqge/pr/nCfMUL82uCVFxo4kuUb3WesDg3H/AfZ48SPTmRPlWMkuHMRIbObB7pWMoOoWAkY6QAU+G1p6qUpjzjdVrxJS/w5kjBln/1p11K/iiVGkG3AuOEKRT2kTQEdC0wCd0DuGiwzs5Ki9LOCmqTcBVQwTF0qC6xwWAFqDN8nEgpIGFi8GGOe3Ql07YhfwaGgvFn92hRkFJBWHXoZOilIGhBIcLC9EycECoEg3MecQM6Kb5VXD3hBawHlhlZ0dP9NOHuWrKHSDgo/rct/jxQJGH6OiK/HEiDYFYT8s8Vf27hThGTkE8szjrSfgKxJJfQ3KOMMDjSVmHyMwT+QTB9IgwJWTqiJlwcmJpEhif8IjHEhDuL6KtmtgAFB9sRjkBQjIMsm9D9kew0YE0xT3qrMt216DuLTYF0ElKfqDXB0FIsMwbXUqrB9AvSG+BvI+nmPef/GLInPcfK4FyFDZGahjOgOHQMrRCtUv0HzbCuqLWlMjsGMew/PWOUHYutJ7kRGxPjbUt+p0x3YFc1scjo23t8Gch0QhvP8E3Evwf/VIm3gVA5/J2iU48MinvRYC8KNtKTd1uWXUnfziyvzFgO6YJ7eUKhys02kb+PPH9xS+sGVEfUg/eB0nTsOGOfhLXscFNPdhToE8U6YthjG8fwXsgvBG0mZOlQtRQYyhjxJmDXgrqET5HdQTF7qDtHumvJngHeUZSOdOjhzHJ4WnDKDE8CZP38XzAaIaXE4D0P5RlLTpSnnuwDjMnN2vZJZyOdtyyl5cnpjmZ1xpfuEUmVJR1XnFAitJFxn6jrjLEQwrIgVTmFDRgiox+pBoH3Eb21xAdwbwP6skOa339I+4MXNTa1QEZjN0zmkl62CAOTs5xSzYAHAlYzylEw3cAl95w0kWTFzi5pzYIjGXdSMKlyFSeatGBnbvht7qirn2Kd423+CYOrWNoFTvrZ+iRP6PUKmyYquyfTPTUfuES5188JmiGSITqPsgYcEzka5/GpXASjkFJkBrV5rCqJnKCGjIR3HW1WcsqvsadEOexo3QWTUZLr2JnnvOUZD/aMQT/Q2xVJetR288RJWJIZZsirhzZz5DpiZYEfFlSt4seWWhO5GrKQEfOCLQW7THC541hY7uyCrVYEVxPskc5NjCXgA84mspjQmJhQRjMxWOF9WbCuhJUZKaZAPwXUzDRsMw4swoE6dSySUMkVWZgznykKky2ZJMMjZAyUtHgSg9RMInPSXEfKFMiNRQYhTw1iW3qTcZKawMBgPa1sZiuYGI6i3LOgY8WEouNEFQxFUFoRSOeYJNgxsjpFLjJh8jnv1bLNcu5NxdIc2BvH1+6S1zwlYcmmkcnVPMgKIwda7wmZkIxlEpgMTMbO9gNRJgQfBs7THs+JNsvZS4mmmkwsTg8UoUE0QD2ydcKHvOJMLliYHZmMkNycnQdGN/MwSmat34Nd8UauOXLGxAywjVKhnBhNYiLDiSGJIzLHRGbom8yvLbVs4o66WNMBdbRcHDyrLvKenkTBkGoiylYyzm3OZCOS9cQ40nlPND12CJx7h522XHeBIUQyW+JCS84Oo5aU7H/kVuBPX1E2HF1OsCCtY7MbmLyBIgMVIgFnxhkCLgGRidFCUCEiBE0kSVhVMrHM33BLngKSWlpnmKSiUEs+ZQwTMzMEJZ+FRVjSzFYzFT7V2BTIgF5yoq44pnl6xqI4En1cM2ogSAIG0PlzSBpoS8vEhoEN0ZQEFKMlE5GTaxnzhOTQ0LKrWvYmsvIj0SQCATUTiMFGxaYJaweCFDSy4CTrmYetJwoOIDkZiaAQRJkUipCTaUaJo0seExeM6kjZBC6CGIKCV4cNCUHRKEzkjGKJJhJl7toGB84IbvIsBoEoKCNKxqSGaC3GwWSEgZLB1oyMQD4D98gYsSSZwcW9r9nKmgDUGEoSE5EpWbauIObzmKt3M8BwsjB6wAmjUSY8QSsy8QQDkxeS+QgQDEpUIWlAUkAwPNiCC7fkYvS41M92wyh0uXDrVhh5zkXqSbxjYoc1E6We6E3JkCo0rXCaM6UBFUc2GOgCSiLmCsMAJMJHpaQboQiGUhVNA1lqyByz4c8IMYALEa/MwD3NiCo4MVgbEFGcJiyGKBUDC5Lk5ApVgmpMLO1AHQMPJiOpI5qCKBWeDqeJwZxzoGLBSGG72SADWIHgI6OpcPS4NJGbAqsBFwNlGPDRoOroJaHAJJ5MPYMVJhHKkLMaMvL/EE1MM65P/z807j/sapk3+qUquQZydtQEzsMda7lDfEnUmiIksmgIYnFywMQjKm7miujIIhxAEpMtydPEQiIncpyeWPOBRVqQsaWQnqQVg25mwGyyWDKiFQJgNGIERqOgho6IUYdhzSQbOuuJGrAMYBM27DjT11xxR5veMunAnfYM9owqDGAC0QxY2RNpOTrHAyVHP4sLRk20LIkayZjIGbA64mWiZkcd9jRuwhLJaYmqICusQqnKQgZaOeKxmCSUZo/IiWAyjPr5iB1bkmazgU4HrLGoTYymJDmlsAM+PRA10ptIynJwAy67YogZjY3EyiAysBh6nrgdSz3QSkbGiNMOmCGQRiZ8bEBynJkR/04TkgSxhh7PwGpe01RxOmLSvIc6Gjt37I3FSMKwpzBfUgmoLHhwjgElNyeEGkNDTLPy1UpLpkcK7TAyx6DmjopiSCxSQyENqh2Zlqx05GAgmQEvJ6rYMLCiNwVRIrU2JA60VPikHyPtMzw4SCIgTBiS+BluKpAz4umQBKWcWOsDiYIjq9mmqBNl6KnSnkLeUMpbIGDFsqRlmRoWdFR6wGjCMuBFWcg9mZ4ogUKFIU1MFrbksymLSKY5LjXMLsEtS30AnXhgT84dSc4I+pwsTYgovdQoQiASyIm4OTihEZ9GFubIii0jK6Y04kyP1wlJCWcnFEtDoGXJgWsGWfwpl5E/WqUEYhS1wmDmZmUG6KgIhsjsP5HITN7PAjGA2IR8hMYml80I648TNQDRWjTNQnhIxI+OoZAEnOJdICr44DHjzMOarGOgRpPBmYbpo83LitALhNwTGsXJR/yFQtIJrGJzw4gwWjNH1QykqBBnIO+UzxHrckxomoUM6hJilVAqZgLnRrRITB6MQJeBrxK9NzhvOPiJJovUoizVYaMgYW6SSZywOksogklYnY2NRiO9mY1FVgLRzpHmZOf3M8di1VHIgGhAzMf4kChOEtEIFiGbDDIqKSUk9ThVvI6z9aq3yLGmbvZoUHQILNLAZARj5jhkHiwyjRgz24iUxEiOlZJMI1k6EkmzMYsAVkg+MhiIRc+UzfdMqEL4KM6Rio6KZVpgtcHQElFGa5mM4qzgOtARYoyoBa+Qx4hhnM1ZH0UZGt3H5yWSzLBdROhdRi/uo7nPABlg5z0mgsESEohLjDmzRS+6Wb6BARQVSMYhCFYmog9MSRkMWBsYHMQK8qxFMz42NA1OcqBHJTHYiZMRotP5Is1nTJITJGOSkkQgaIGaBiNgkiBiGSWQmdk05bUjl55WZ7QF1mOSYhkQTeTRkg8eO0Ig0pn40STRozgmp0woRsGJJ6WJ6AxdniCNrH7Pb/wPXtR8EX7Kv03/lN/pDWjBUg9kbkEbhMmOOArutWBTXOLKBvl1Q0mPTXdszGM2JM74hhtgqdcESurpGy6KjL/TC/5Ga/Z//d9y4+75+fJTHoWAF4uXFpsmjrbi9VTS25KCI0tzYMnvWDLylf0v8PRsdGCZZquPEmlbS34aeWru+KU54+/1E9w48YNsoN33NKuag71gITs2y47l05a7PPC633D/1rBZHdisbln8hWdqcr6Kz/nSPGc0G37T/o6i/EeUFFgGNjxg0zW7Y2QcEmlteWmecWKF0xFXOGgTxa5hfZMIUrENa16aF/w/ccEHEf7skyVvZOJf88+J+o7/gbf84/F/5+rJkd3yguJUsHJKn3mOfoORefw2GM/2+WPOzx3s7zC/Uczna/pPnyK/TvzFjbDYB7o7WBpDHo5sFptZ5dccmC6e0UwZxipPXcMj3dKScxRDwBEoaGWiCOCTxyyUxV8sqfNLxqtbOpY0ZNwDnRYMUjPGih1X3MeeO/OUt2bFj13Dqj3QqfLuB1/wzZunrFYW7n+HOT5i/9OAWfySH/91zlsvJCl5wi2GwE/1C+50xX9p/x2P/B3/Pn2fv9G/YkRQq6SV4e5szdeLFRMPHMUzETi4a271U140/yefmpf8vbuhsRk7ana64JQqsJF/dGZhihyo2N58wtE+4xQUay+RTeQ2VbyJNWqXfNM/4k235v35Jc79lh2GD/qYL/mED+cXXNeJvfPsOMMw8C2P6GNJpkrr4VJOeEkkAtYmsjFjbD02XxFkojIw3I2YEFhyZGdG9nbJjopJv0cdtyyLW9SdGP2CKZ5Tph6VDPv+xOXdS76/XvLhfMsxu0HNknNOnJmBwv/D35BYCeT+NG88v40cfwHVzQDlieFWOb4PlD8GNrA7nni0PJJJT6eOb/0Ttiy4Kt5ytYKiTFgZZmV1CqQ+UmQjPoscqGdjkQOKCUdN7e757//Hd7x0f8/K/4IzhNKeQEdUzwk6x/3u9ZLCrPmMX7KRnp/zgt+y4ZxXfN+9gT7QbeHsX5T0Ty3GlaxIWBpcCnRUCA1qRuIqo7juKAYhNxGvhiImaumIIjDmxAi8WNHe1Px6OUdVHzjDa8BgOMaab/QZRgIbE2lT5M5dE7TgbGw5fvWKy0UknlvEK7HvWN9cMrZHsmvL+olFlo4PrxrMsma4XAKBpUxsbCCXmcRfrA1urTQPHaf3yqNrBTsf4v20YPtSqT91eCJLbvmEx1zFI2t7AEYyXZHIyUkkhAMFRyqWcuJS9qwZaLggUDOYhvTIcfU59D+P1JuM4nGkDz1hv2B0hrxuWKSX5O5EL4l4cUX/9oG227H+l2cc0ohrE+wVXQQ6NaQmZ/+Lgcd/JZjMUMUdP9Hf8E5+xa2CL0b2suSDLqjMHT79hmgyRHJWpmfVtSxyyziNjPeRrAngwHvgzUD+XUP2A4OcBg4/haJqefrZB062wHiDOkjDiE2G2irp2GK7gBkDB33MnYKRCWMHcg+bfODCNfyQLzkj8Z3sWxxbVmbkuc+YGHhv1mQS6W1NkpwydYgEdlPNXbrhS3/D1/HIf3454cpfzru4G4f7oeOiuqPQE3hPuKqo3MTaDlyZyFQViBPOtSWjJYmj0UswdmbGxSPjNmI2FcX3Iu0jz44lTVwz2Y7v/AnXkT9G3eqnGJlY0LB0R76jr7FTJJfI4GbGwiQtxgckwDL2jO4DdRhBPbm9o9j2FB8ahlypH0ecs+RTgtiSxZ4Xcs+zxYEgLR4lpiXvOTFaS8UWT09gQUbinCM9O96YDQUdR3JUc0KsuJUNH6RETUa26ck2SuUmnpoH/pKfUecDVuHGHHjHDcEZ3hN5oOKR7FjSo5rTSE2HsNCOWpV7cfMJTwxOhIo9FTBpSccay8iaLb0m9lqhklFiWcmBXPbU7BGt6UzBkT0LdjSyZqLCA2emZ2kemFYZMVzyZrvH+ALJINiSS3/PP/n8a8pPW7bNJYf6itZ0HNrAl189I37bgl+QLSeeZ/f8mf2SDfc0rGg15xUb3vAJohnPwkueDW9I/gybJzZyYNATiRkyvqNkoKLUllxaEkssylU90YkjSM/aJlwxsCfR2cB3ecMNht9wwys+IWlO0oAxhodTRr9YciVvWMiJK9kyUlLT0OMZpSRozjIlliZhmDg3W7xObFliteeK9zxze1q23HLFQfNZsa4dI3tuioIPxvJA4mBqPmxLjv2Sk6+Jg9Ang+0Nn10Yvm9ekZsIDNTcc2JFjhLVogw8uUis4z1X7o4lW3KdoywFHSu7ZyXdR3t6NRsQJWOfhIKCaBKNFGxjRuMyGnIy7Xlqj3hx7IzQSMkHfcx1vEGN4YNZ8p4L9lzRSU5Uj5cOz4AjzDwQazASWWliAFYSuCCy0MQOQ2k8BSO19EQ7w+GN9DgZ6Uh8SCtsXPJ76Z3/gErXgrc5aiNjlTBtCYcE4xECrH5QwiDoasKsITowCCqKrA08KWlWC1pxiIuUeZpB+uUcqgs+YyJjTDl9ZjhbwrhNSAoUP/LIYoQxEifLh2LNg2wIxrKgo+OcXmf7qZQWt6lIbx8AC7lhNAWSC0Z7ismCCHXhoB5xNwnWHnlR4J71iLFwEeB9hnk3YVfADz38BHxn4f2ArAXNBbkErSH/rGaoBPcvAlyscN+dDUZEh7F7dJPBAEYnpJ9gDdlTsI8dCJhgYAlxKeQLQaqEufAYf05XVOzyjjwGzsuAP0KaBHnhcV8I8gTSownzXYPZefCg64QxhoE066gbi7RxVogHw9h+FMS1Ybb6eqVgosAzeU98AvJ9RVYTDkOgpseSS0duOtb0LF7dkZ0CtvRkf7Hh5r/bkv1oA/+yp75uMaZncgXHT59z6674UgqMDVzc3GNuO8xaCCFQGINziRgTdrXAnCe2ZCQcV+UeCZZ8GVEHkRFvjmyoWGhHtQGcsPMFB5PxVAWZwEyGUhNn7KjpOFmHMQvy84QtB7qVITnHegPmvIcSqIRYKcEk8jjhpgZXZ8T8jNYcWF46nv5XF3B7wHzXwA9LiEfYGKgFSg8+sNCOQj3FMBGdZ7e4pFPDKIaDODweihJ56mE54CsDQ465OyElGD1RiSFWGfeu5khJJo5kWsZ5lpHF1FONI3a02GQwVuZoHz1oRQo5cemwP3Aw1rS7hnwJi/96Scp+/2/8D17U3DT3/CwzdHJJssIiVTgyCh0/WpcMA0tO2YI9hhQ3M/RMIy5GnIWCO2o9cpKSOzKC+4CnJMZnfGPPCY86vs/IKXk+HeeDk2jASCCYwN45GrfBEJEYWJktFQOjKCUNZerZjJFFdmSpDWUQXKtM6YHOnvMg32NlApl+TdSM0ZzTsGHinszt8HnDaDyjucTHDe74QLU+cV+3BCPc2c/Y6SWKZ5dtuJMbzmJgY36HkQPqI8EWjGropWTLhhNrFllPWowcVHmqUDLSJkvwBQ1nHFlzbyz33vO7NPA7vmBFzlX8W54172kWlq0541w9GxV2NqM3GaWObCQRFQ4+526Vc3PKqG4d9883bFcZqXjPOt6z7FvcAJk3KIGJiMSWRdNhNhkOj9GOpT5wIQcmWRMwDFoiBE4SKVyYuzC253595PZRz6ZO9FLTsaKThunj9yWkHHSN0nPkihNL6H9NGY68K4S/XzzmcPaEP1/ds95NcDTUezC2IwsfWOgKHw4s/QOalA/mMw5T4Ln8G348fMNODVL8U0b1hJSIlWVblbw11zguaLAEDI275o5nhKngajxw5U6z1F0XHO0Ze3NByUsiLdFGGnvNQ/6EO0oyG7jXJWIPbKnZcgFc0PGUdlrSmCsaXzFiiZyzkyvu65yFf2A0eyIZYyrZylMObsWCI5YGIw0ioAQ8I0VKmGAQDGIiZIZeDapC9fHw3kugZc2JG3YKC73DJ8POlgxuSa4tVj11O1K0LXbRoVZp64KTOgoOHzPnV3+E7cCftjICpR6wkqDJCd2Aj8BoyaPBTgo6oM7jp8Sq7VlmDd+aRzT2E/Y2Y7LvEROwpsUy4EiY5AiRWQ/JQC+e0cs8oeE7HJDLG+qzv2FjfkHOyEJbRDoGUWyqSMbQiONlWnNpHD/gRG16fpav+LW84Mf6gGUEyWgInNkJLQOTHslSpDI5UJBY4LQBOgY74XOHTpYsQK2eYsjY2JJaukAAACAASURBVIEkBh9KkpaQdbQLyzt/zQPPGZInlx1OLCI5R3NNLQ1Re1pNtGSIRlZhxJ8G/DKn8wmRhnYMLIoCCQWaRXrXUxqFQUhDRlQDnChUKVOYbVspzpnnzJDUEcIAyYMKHksWLIQMiUKhExseOMT/l7s367U0yc7znhXDN+75TDnWlN1V3cWmKIkSTd0ZsC1IsOFLA7rzj/DP0q0twBe2IBGGIYtkt0n2UF2dlVU5nMwz7fkbI2LpYqd9R97YgNCMP7Bxzt7xfRFrrfd57pnGjto8nPgBOjLqlIl0GAIiGYon14EZ249F+KckPF4skiVae7JGiYnglcwadKiw48ikODLlGmOUUcJJjRgMtlUIkYlJ2B4kWpxabOhZjZ58CyYKoxGs7fksvuYf2r/mN6lhz4wbfc6BBUGmOE7wzkJ3VKmjTFtsH5jGiOkjQQWTWXwMEDyjV1IxklNTrY+4NmDHB0RLcAvUATEhIeGSwBgQPbUcB1fRG0fJBmMVY8Fpy0Tuec7fsOrfsTINxm8RaSl8xoOWHMwjLuORRhyIUrABEo2dsTGP+V6+YOtbfup+y2cONOzoZ0KeRyZsKOLIaITGJYzZMo0NxJ6uqEkSWKZIxkBCGLTAK0TZ4l1CSaQ80Mx7HkxkTAXEOa356FP/PV4HLsnYIafeMZfjHbPjgS63XNsFRibk2oE5oL7DaqTQnlmvWDPQ5w1+VMxR8QFiGEnuZNPwGqnHjmI4UPs1vQNjHWPcM2hLZ3IK2WGJjGLwyVKlyN5W5CyImrPjikFKOuvYy5IdZyc2hO9R3xBTT0bLub7jkmvqYLl3C5II+1DQ2pwoPRNtyQAz5oj3iESc7ikjGDcS9TTBbHEU0mDFMEhNL9PTFDQNUYuTNpkRk1oKsybXAcOMXk7Mh1JGao7kelK8GnrKuCWagYOUBLMkhQROGE/yDKZpzTP7H3D2LTH7jKN8RiGGflzg4ie4rkCDYIaBOn1g7l5xGW6JsuBBllzrjJ0sgFP2YzkcUTV03jCxB3Z64ER48fQfJ9q8BjyBQSyGSBkbOmdRGzHugDeBwJxOl0zkNYtwx5Yr3tozOrF43WG0YBgm2LQg2YpCOiZpw0jEMdCibJjSs8ClRCaBpAOZ7ZnLHkkNST1zGi7lmr1mtOJIusCK5SCKpCO1XbPDcMCSmJC6CmlqutyQ9GSESyFQkHGWPlClI6MTHHsGwHHEyAgMJLsht2+puCEPOxbOYfVARkOd1tS24yg1SoaiJDKUkkhB0CO9eLamZtAKoaDQHfOww2YZOyqOzNjKcx7MFpWeB1ZseUynZ0QNBFOSAyVHLOnjf8phdaDkiEmWTIaTnTSNOOmxlJg0kNsjowZMStTGg46oZDQyQe3v+YPo4+ot+CzRuZ5jrVB4snFgMGDahlT1SGFOjJOP70wx/jTb7ZQ+h954+pQTTCS4DjPEjwpmJSBIzBH1BDmFB7KDgDEMk4gUI1m0mFRxsFPuJaNAmSfQWGNtSZLAIAPOm4/qZAFRNNqTUD4bQRMaFRcDuEicwTCOlEVDVwTyLKALkK5l2J5U1qYAmQhWLDGPWGMRPGoD0Yxo5mldwFyOmOWWLi+QOKWIJcm9h0yJYnBtROLpb2ryyHSakENDyhTJhWAEkQKVhq4aaaueBz/ljoqZ78jGlsnwcW9Vka4eqCohZYYxV2zWIqVytEJmDSNKlAxJGQRLQlE70qtSqiAaKUUxGnEJApa9tdzlR3SuODfgNOEQBhRjlEoHMvZMuwAHEGNgEtisEpcXO8ZFxGanM1vrlLdVwWu54JYVB39DU0VKnyhMRTH2pBYET/iI/A3O04gjSsHcVajrMT4iajCaEN0yo6IaOpIIXW1prcUmxWkA5TQpyIFTUTjRRBjEErwh9SPJTWmSYZI1DDZROTkBn43DjDBJ4EbF5JYmGjKXE3UkzSJpP5J5MJlBOzCMxEwZNeJFyONIpREzKFEqhmxBpEXF0qL09LQukPKAjRCLhOtHYtBT1Aql0MjgCxrJCCJYTR/PM3oK9aYBGQIkOWnejcEFxdmE6ulM3nqLaMvcKXkC6xNpseehVi7/lj3+dxZq5KA8zX/LP6mm3KUrgkRE7nlkDzxLGyapQcRwN+lx//wZB2f4Pi7JZMtRShShkp6V7NjrnkBFZXqsGCaMlHzgZ/wKr57z9MBz2eK6jmOckkvBU73jc3PNlAeWwx0Xx4x+8oRfy1N6Fhg78lhf8uMPv+A2LXlXPedFfMXjB7CbRHa2QBF2puIbecKLPDAYA9qQy0BUh1XPUjuqfM/t44K1fAJmRVV/B7VSaMcX8hs8gce8p6RnogPTlLi2hkYicRzxD0pRJnw1smXKzCbMs5Hpf7/i9fKSH8RybtZU+oozzpnHAq8WocEmSy+OnRFam0EFo3f0NlHEkeEazCSx0h3P5TUHSvK44/nNWyYve46/7rCvI+5ZS5ZvYFUw+oqRkgTEvENkSrczpPOaw5BDt2dV3rOxJdYIVgNWWwYJRBImJXqmHFURMYhW0DZMV4ZsJlRyZErLLN3xYGomcseFveaL+ANXbOjNyBcoq+xAOE+MpqQ2QuUPZD8J+KspVCXaGuK8QrOMmTScuwcKtkxMy2PeslLIHhr61uEnyrQ8HZALBpwNRDX0OmcvV7zjnB1zFv7AhXyD1D3X2RN24TFfyyuerP+CYfUjfmsCnpG6F9wHZTJLHJYbatsSxBE1o2NChwO19ESCyWlCzr2WJLvkzjzCpsTnXPO5u2HiHbF0wJGlDHwmb7hNM5w5HYrz1NO6OVEdK7nDZg/s60QQj5WB8bOA/jfP6SdKCo7GP+JaHtGlxOf2jgvzA8V9z7AuaT+p2GUdjsDTw5rDIuOueExtEgwPpH7kaDPu9fKE39Pfc0ANYGgpeovdjFAneAKdCNUu4YdEngvSCbJJSK+nCEkD5f6W50/fMRRLMlWKEMjjgJCx1SlHPyXJmtaD05JSd7hDInsAuRuZPkkMkngWPV4GrGxY6ZZSIrl2TE3irQQWlPwBH3jMe56mO4poeaHvcNmRT/UO3yqxN0zKnJAGYgzUsmZpRhxzUCGJYkx/Is0nR1ME2iKS6gEnkfdFxWhOv8x5yOHuZHfIwsiENfYjL0elZSAjScWEPRNtmMQEMue1tIiFufRkzQBDht0JWdNTT2rGeMDGkdSMaK+wd0gAyogzDQWJSrITlB1IQ2TcRqSxFIdIswPGCY0xDBjK1NDePmBnUB0Ty6zl3p+KL9Ntg/U9UlqGGMjaI4WPPC4eGM0Pp8ubWIwKE7lnaqpTfKwXxrXiR4VOCA8w3IP/VGmmOe/9iiY85jJeMx9b7PGUCeqdMDE9Wb8jbQJymzN3kRfmDRdvd8QYGWyJZoCzbOWMwJQSh5iIpjXnbLky73DSYFLFle7IRfHjgbg/HVzUZchZSVoPaACqjNgPyD0gI9JD6oFOyCVSdQPaQx8EYzMGP0LpSUHQfWAy3jNBkVSftL9eMWPgfNzzpNhy62pmkpjLgZFIq5YhFchHe5NNI6vYIdoTcXRaIlyzpyOXgD8cCXsF8cRNJF7D4bKAzOO2W7IVGG9IlKcxeZMQu0fVEMTjNTLhjj1ChSePHa6L2F5x97AsE63ek6v9e4AShjNecfIeNUwIjOLY5VNam9HKjBZhIZCHjtEYbvwEEzxjOKDDyNg4khqysxG1I2IhxEDnK3bUWDNy5hsarxhRBjwHf0ZLjdeOXE+GCSgxEhgtJ3MGiSIaajMQ8SRyMnomPGBwROOIbEET34XPKPRPWcgDVhP/d/yaO/cEb0YCQpTAdax5bR7zWG54lO44GGEwls5kzNjgXIbHU1LSSmAqHU+GH3i0fsOQzdCqQK1iTKLngJcWgyCcfjNGAjsgClQceU7HUQ03UrExI2qVlukp+y8ZZihQDzKBJpWs9QtUMtbxGb39hEFGDliiU4IPaLLUsadjxoFnzJPBSKJ0G37Ebyg1cssjrDmyLws6W3Irc/a6ZCcVB5ZstGApLU5egQq79IjBZHizp8azR8nNjmX2gVJORpjEjDVTOgN35BxRGjKMqRmJzKue3KypaViknjkHdiL0LPFqmBHIOJDZlqU5gjacIlGOXOTE15CSeyoO4gDHMjaUMnDnliQ3wSjMGKnpMDpQTgfa6oBYYYgFh7gicw1XvOVz85JSdnSUAJiUczB7rnRLkgqPO7Eh6FFnuacmT4ZCHIfk2RpHJ45OTnHOWtcE6chMwzJtmejABRM+6CfcS0WUxL1bIimj0wxIrMWyJ+JRAhVNKhCJnMmeexG89pQxEczJ2mfoyGUA9ogtsQSEllx2zEQpJbBgyYQdqMfrQMNA0Mglax7LGzC//5IFAN8r4z5hJxaXhJQMtvBYN54Mpldzmn1HdScMbyM8jZghoV6QLdjXkcWkYbaIFOzhMBAPFakP+OnIJGtYFB1oS923MMKQCb5NxF7xuRAODm7g3HSkeo2KnhotH7Y0KWf5KGPMEog7vROPgbxXZGyQnWfoLWoCEpVYRAw5yEhCMR6KTBBnSOceUwv2EaSJRc9azDESHwJjD8MaXFKyLdAr/nrAlon4QfBRqGeBru7YZ4GFAOlkjSRLUClMMvykQ4My7AVz6bCrUwEpbQKC4IAsJQqNePEoJaOLHGowvsC3iey2R4MhnDtUFZ0Yog24nWABO1FCNgI1ts6Q5w75LGB+Nmf4TLmdTnjllkw0YuTADstOakLqscEgtiRJeYpnMWIY6PA0aUE732HzDaaM6CuhuAN97xkfQOeKsQ4STBVqHfCmwZhIZgrScUcaT7rwMQi1GpwVUhvQDVwsWoL0OJtOGG9nEOtQ9eTGI3R439FPc9YxZyMFRjNM7eESZBbxusXHgVmXIa8HbA42WNIa8kdHZKmYcIqqh11CG4WtZWFzXGxJFoZdwHY9bhYwPchBiDfAGsI2kAzYHtIOzNFgCiBXWpuwatBNZH7/QH9WMDpPRWSuDYvYokcY9nJiFY4JW3NSPXZCOxWypFQ0VBwYdUlKZ0R7Kj4O6hk6Q9pG4mVJSIk6HMhNdbrfAxMpmMWEtiebFaXH2Mi0jH/rHv87CzX+Qfgq/xWzxYa/0T/iTXxClTa8kA88Gh5INNzXJTfznOJfPOH/6Bt+MI94IT8waEmejlyl17zgBypgaTYsum/J6hW3+ggbG/7QfMP/ZV5gzQPn4ZZJM7KNJd72fKG/5ifyklUauTh2lHf33BQr/sY/pRtK8rLhy/4v+QdvfsG/5U/4+Y/+GU8tPLv5BdxvkQvPmpyGTwjmU+bVLQdTYGPPxK15cDmm2jPzG3J3z8PFY27HTzGp5A/P1yT7QLRbXrDnSdwy1Y4dObiWaTzg6RiZoH2NuTH4pdKvLG8459K29F938PWM/9P8iDGe8yn/nvPwHRfcYPkJO5uxYcPWT/kdX7HUjiATqKEVQy8lWYDmJcgnkam/4Qvp2YwVj/eOr371ksO/tfg/zzAbpfyHifHpjnShqNufLqQBNHOYsSA+JLoXGU2Xcba95Yvymp3UVHpgGXY0tiRRMSKI6djrGSMlQQx5njjqNfKJks4PVPKBFR1zbShNYK63fGZ2fC6/Yxo3wIEDgU+zt+j5jsHNcDJwkd1S/OMN9+Ko7nP0aLhIK0wPn5l7Mk0s3cNpfC4p9ZD48vtXZA/Kpy/e8On8G6IKT/stuQQecs81M9DPea2f8WBmrIrveaS/I5Ul32VPuO1nPD/c89nL37CfPONbd0prVw3kPwxUXyiV7KiZ04WMZAt2zNjpOQ1ntBTcucf84Jf8Ui64co+4kado2/OCVzyvP3CoHjHkBQsafjQ+4M1vUfMJULDkjmACb+QCjcKn7g1F9j232RMaKmrbEn+yY/3lc5rhFY/NhIZzPphH+LDlkW251BuONwNHHjFeLemygVmqqM1LXk8u+M3iDyk2RxbDX8NQstVHvCfitKZO6f/zYeA/95rynlmvmE2CF57yCegtyEPEjUqZC+xGzD7iRxB3wNwtqF6+59n8LT9UGQOefIhUfQtV4FZqHuyE0u5OY65jhuEee6yZ3oB5NzD9OuHcK5b2HQ9ScuAEycvTngVHquDZZl9xEW95lHZ80f2aWt4xxpxJHPncGYwMmFCSBqinjlZbqr3hcaM490Bkz1rnJD8hkx3zcU02GNZ+QjddkNsRG1t+517wmHec6R1TzWke9ogvcCGR0VGnex7FLc5suOWSbbri0n7HTPdUQ04Sz8wfEQ48iRtke8ReFBjnkNsjqypnN2wwIWM8BNgr3PY4a5ASCjUsWXOlPVXYsVOQtsSt9+hOcdtAsVX0MDDGEmGgjHvSq5ZiWpN1DY/115jQc9EUXLy9R+aK2JbUKHIbsJVQXx1Y+jeUsSJLLfusZcI9S2Y05Eif6K6hCgUcMsybB+rrQBoNbbHknb0ghjOmvGbRHnF3GasEhzGSjXvmCdz3HrnPmLXXfF0Zlt9nNENiXxYM9QjGsCNnwgc+lXsOzCntgmnc8A/SL7jiNR/MFYV7x2coF/sd9lggqWN0I+5pRjic3u/Z3KOHBvcuBxWGHiQEfAdmHJBuiw7QBsg5dZBsaTFDQnYjZX/PgsheEtqdlKF2OHI1dARtuDS3rHTgIr5nVE7vFMlPOk9WLPXIZ91bCu3Y6sm88qL/wOOyYJOu8A8d/UGZlCU87NA30H8Jl9FQvh05ThP7LFLbBOMAFkoi5ZBosxFMz7I/0rmMXCKTMZE3EdtW6L1SXyjW7hFnCfL7nzVYmI5AYBpbltpgrPKeCXt/xZqKIHsudMdiGOh0xq19jtCRD4HZuqdqOuR8RphlBNNSIkhraPycpryiyZR9ceQibohGOajQWkOuD5xri6jhgQwjDRM5kICDXHA+dlz7lkI8zejIqVi5BmFPoMDbGpsgUvCtfsVGlkzNHYc45bt0jqfiGT8wjTusyfkgT3nJln/s/prP9Q2dZlzLJVsqKu04lw1xFFpZUYrySG/5eveWyQ9b0qShvAq8WSzJTEEWhKlEcnM8ibIDqEnsxTBlhcGQgHsxdDLBupoRuCPgiaCWEBLeg+aeB874jq9IVGzcjFbOUXoakzCZh9GgnZC6jCOeB5SJg6lEVtzwhFc8Nwf+mh+RsactKvax5CGe07oLjlrxXpasWTBnwzPzmq1c8dvwBY3JmJk1Uw7cpClX5j0v3Pds0wXv5AmtZDQq9DLngXPWUrNhQaETSlqmVU8ZBi51xyfmmitecqePcd7QyEiuJTMW1NyzYgOa6LXiaErEKAmLpI6NzDgAuaxZuh1tKpjrORsmOD2QiaFVg9JxMT1iaOlUWcsj7tRgdcdjeck/Df+Bs/DA1s24d2eEKOzlgqcygZSI4qn0BAcOyfBgcu4oWUlFrz0dK8Aw03tW6T3nZkvQDqcjj+SWx3LHRgo8AypfskkTdtR0WhKweOm41hUXcsmCO44YrlkxmIov01/T6DNyoI4HDmIZDFhtWKAUqaOWnpVsyWKixDG3O5J23OolN7pBbaSyPZ0eGKTjqbziRfqBOsS/F9GnGIV4HMlrIWDonCBTR2+ElJTyE+HQ9tS3grxMp8hQExmXQton/LFjVvW4LGccetKdYg4DYzOQfSK4ac+8eE8pPW4IKJFowQ0DdIpdGvqmJ8jIdF5ACYNVshCYvNlSHQzzcsb1ReDgPbWcAOD5Y6HZDpQ3YO4HpCjwO0gY7LQk+MRoRtIsMC5BBsgeeeJyJNSJMgdpFD0IemcwewUTTrGuRmBImPcD5Ia4VTQbmDYnQ2TU/JTcrFoGG3CAemF81KNXCT1mjLcdxacGeZI4okyPkeROdnmxBww1gqenYF1AWwxMkmUWA9MPwA5iPWBGRX7iGLzie0G6kUITxoF6Q7pKyFcJXgzkfzzj+nnGD/olP7c/5nO2eF6yYcaaz9kYS1UoMQ9EsfiYMHJAXWIvS96KcLWaUg0bUi6MMeDuFHnTEO4FflKQ4UiacOlURO8YaH0HOYQ+QSxIdztCBswGrAPdDZQPkUmxp2c8YT1SxmAMxkNnMoScSWwoTMf9dMkbfcI2VsxNwC8PhGdKNh9ZdFtKHygPFd2vDrgVOAf9Wyg6h60VySwmgewMelSCHyirI2ZooITwPuDuE/ookBz4FvQeuC3gbiRMgSwSNWL3p4i+dIq1IH3Cbjvmdzfczp+gLrBkw9PUMh0SekzE3YkzmcbxVOQZgb1lPK/pbcLTsmLLTfqED+kxR3tgQQODEo8GPUAaPJqPRP34HfGErZ4xkrjkgDSbE8x7HmEST/vyb1l/Z6HGZCOzbiT1D/w224D5hIyKoKcu89nOsuwCL8str6sLflU94YE/4ky3GCl5L59SmkhN5Aee84qf8rgYmauwNzMWseFcdzxKA5PwK54f7ulDoEsFc3nHs/G3rMxbgo2nLsyo+OTppUCMZSRQRMd8l/jqcM/r5hXPjmvyVunEMEtveBo913LJrTxm73Pm3LOMls4ZjrrAaEat4dTtMTuSbBlJ7O2RhVGSRiwZg1R0Tsh1YBEbMo0EmyFJKAbFoKhXTt6kDiuB95nQ2ylvuGAeK4ooVENgNt5xoe+RKHzBHdP1Nf8i+9fY7B1L+w7U4xQmOmKiwyZhfkw8ad9xnGyZhZ8waedMNpZ6W0BIHD1kqtTRIAI7f3qAuw5sUyOhZt40VMMHZr2yaEZmYYNx3UlFqZYsJpzJGKSklZJBMpSOAYskjw0ONKBuxDGyTJHz48iHfGBeBAqO2LShsC0ZAwahkZoPbsWDmaEY1N5xUyrXck6eV3QT4fUsQ8qMrbW08pRL7cjTO6JZ8ip/gZ8HHg/fcVM+YSszUIjxkjScYSjxsmcZ77gZF+T5iMiBIg1oymhsySADM22YdwN0H5iUFUfJyPpLZrvX+OFIkg6nU2qNeAYiAsmT2Z7z8T2bccV9+5xnrSNLl7TlAvKRxfGOYmi4nQl7KZmRE22PlTVJH3NgTk5JlIGGHLUd89TzfFcy72fcrODO77lzEz6QM/VLKgRPyyzcMYbEkHlCylnsLfPjkrsXllgfWPYVxYNyHgpu5pbSHtBqpK8aeqtEKel1jvw9GPGdscOnBmOBEMgNjFERTh3ZmBLOO4yA78E0GWISdQOTh8Cz6R7Dkd6CNQMLdmRdYmGUwhr2YhlSzdE4glN6BzaDwYxsTcWNPmfLCk9HJ5FRPuEeZeK37KnxsqZwI4PtCGqxIwTp2cuEkXM8icqMIOFkXtPEmB1p3YZSa4pg8cmRRFAtsOm0jwcjBBKVURbaUKQBMQGjOS4IYgTRAUP6GK1zRD3B1xB3AutKTiceNVDqSMBy9DkpF86zDpWR4AxJK1zYI64lmEQUIIMhM8TcM9ryY6d+QM3pM0RPgGUbBQHEg2YDyRbEjzl4Chi8YedmvJeKTp7Q28imnGF8dop2ZAPGN8yLhJOeQhVlRuMrBkkojgmBaepwx4GJgmUk+MhYQBYFmpE6dGRmS29XjBhisicUoofGQ5ZFVBKDKD4limDwx3SKIiVlIDAaxyAlt6xYc4FIBwgNnqN9xC1rlrojo2eq77mIHYv+ARncqTMUImZU8iSMA6fQuTvBf4keox3OgB3BdRA7QxFgREnO4hUYHCmOaB5BGgQLYtE4w6cdYgYKVaZqWUvBnoJMlgwY7pgy4ol6AtyJRAId3nS4FDHG0edCJxVBFgS/JVrAjGRJcAhHc8kDPUUGziTAs8Nj8oEkPZaczq64k8RC12R9gxAhO4GoOw/ZGCidEodEKCy98ez5/edlTcMdyVhqBop4REUpTc4YG5YERLfUaYMlkkQRUZJN9Fki5UrWK8QDwec8+EjmLZkkguvo7Y4jGQFLbk7q2vBxWkBF6aiJAkcpOX6Ml2TaE9RjTMUoiZZEMiO1tqf9mgqSdSdpgAb2nLEzT+jtIwwdrX3M3WipNeGN0pkNrV7RychevmMko6fgwJKdPqFjBhxPk6be0OPoSRxVaDwsnKJxxKTEIBkJobIHcj1SxT3GCMF6Igem6lmwxmmL1UQicWtWKIEmKdE+Q3Rgkjm21jDmQLblyvyaT8Mv6fwOic/JxNFZS0sJ2pOMkjJ/4rcnh8Oj6aTNLXXgfNyRywfemCkHm/NeXnB0BVumdBJR6REsVj2ZjDj2qCxpOV2G+QhpzSRQaoNPR6xcEqjYcM61vAAM9zwmMMGSMaog5sSpcwxYs2eQBzBHbN/hrGLEYFM6fVfpcAJbmhwfxtPEDj2JiFAwcgbaU+gaKweSMWRsmespij9wxMoUk1oudUsIDbfuEtGcTEZs3DGagnfuc1q74CATNiy5dY/YSX36nNQSXUEjUxparCgdE+7NjCinhqCTyFR3TGPLCb/vTpDp1JMxUpiGSnvy1JDZIy7lJDthrzMGgUIsWznnDT9lw5Z7rkimwOqIR3AoIxkHWRBkQlKlkwUb3CmmqhssJ5Cykx0+7uhNjnGfYRnxaeBMPzCaB9YUBM0YmFD/P97q3/NVPUzRpiUNJ4mK4MjaRJ8ZHCAY/GCwfYYZRmgF+kTenqY9JE+4IcAw4kZBG0WCYJOetMQacCZSjwPZ6JC9p9w4ZF9RNGukqyl0IO8cXDjifIuxA9MxJ9uX0LenGG2ESetJI6g1WLWYIeBTOk3ZBEN0ljFLeBOxSSlUYDjB0J2PkAbUBWIW0VyIQZEINhjy0Z+UiAkYRkJ5im/5IcetFanApIGSPSl+xA6oYgwQOUHPTUQDSMjx2qFeUYTsYLDbJRo3EAIYIYowOEeWHPkIZAM2Bia94vfFCeGhjrJJIIY8CnaXQcxhMeJCS6aRNCREThGa4I+M6UChW65kw1W4Y8Ut80wY9EjRK0VncWNFzE9aaY9FVck0cHmInHUOSRaGArYl2m+RBoqxYRgjXVkwqJLpnkrX5ESqUODaEjMAMSMfaiQdkcKAz5BdS3lM0I5IFugk4k1GLgYYsDQU0jLhyFw3xKGi1YDVB2rTuvQCwAAAIABJREFUUXXNyVExOsru1OSxe8/ZxkCySAn+MCKHgmzfIa6g71psZzHHmik7XDpBps0IrvXIvsBne2RiYcwo+x7pc0w4FfVsW52mcbqIOocdG/yQkM5ix4CkyHnfYP2WxloigRRzir7CHXvEWwwJ7RVJFVNxyAGack3jlVynlHHHhIJIhzJgRciGRN4JSk6KkaKLDD4nl0ge92Suxw4e2TvK3eFEpe4N7t78rXv87yzUpEee/TeBXXHO+klJL5EmTHlpn9BnJZPf/IKn9+8Y//CM6y++5NB9zrv6DKcN3uT8VfOUb7Nz7vycP+8/4+f2n/Az+5TP0rfsOGeWdYwx44vbI5fH37JKIz+/UYoSPpd7LqQlWs9OKqq6IctKNuuey+qIYySScW1+wji+pPz1N/yPL3aU3z/ww8ueR5OCz823PEP5j0PiV1WGRficawY3Z805m/4T9m9gvMpJ1vKca87CB3b5U4rQYpLgkuM1n/JLCq7kHX+cvmXVHugcNL5iyciF3+EvI+0ElJ4f8Z5WD+zlR2SxxIwteXHERmivhctl5IV/Sz0kPv/uN/yjw5Z/evVrDhPL5Idrxu0MTXvOf3aHkStutonLmz1PR8uaiiK3uDzRPURM0ZH9DwvyyZ4PtFwOE+zB8b42NFIy/iC07+4ptSTeW/7ov7xl9uaezTW4FzVrJtzyhI1dIamhNju21AyU5IxMZMuGc5p3A8V4RbnPSY0wLHN0BPP6A5PZDPf8GTeSMWXGDGFHzXc8RWJGZY4cUAYSqS7Y9Tmx+ILdcMPZPyp4+GfP+aXpGPoJm+6KMnzL5Rz2nPFv3J/yZ1/8CT+9+AuGcsVr+QSrHS/v33D8yy3youGT1S0/lm9INmMqW9qY0401Jt2TxQOV7UhFxD0zFNmGig3v03PevE7Yvxj57JlwJKPViqW2LOTAOxwNngU3/Ozm3/EHq4h9+5IffftXcGHg6wN9NsNaiw6WkEqOZDjmvLUrOqa0seKNnrGmYJL2qAGVEdsvCf/zW5pf/Dlf/08r/v1ly2b8BKgIaeRgG6bmji/lJW35mBv1XG+nXP7VPfryl1x99Qx3fsO0LXD/SyCMv+FP/rst8/kHxi8D8UlBbt+zYEotB6z7/S/UPJENi2kgmxnYBrzP0DigU8gXJ1sNNqI1dHtw3wyEr1t8LHj/b97y439V0U4fuAtCEQ2Xeo/5sKY+bAkLg8xyjrbmXf6c9uId8lPgx1PWLvKNfMX/2v9zPsgV/0X2LcXwjlf6giF/gut+yR8W91yZPVsir+RTPE/4VFp2WvBX8jkPKP91ecdsHkGhqoXj0vKQt3wQ4YwKFLyx7JmwtXDlb5lpx5jgQWvEwIvuG9qyYC0TPHNKlxB6UhgwVGykoJUFIzNaajqdc8OPmDOS+Z5WSkbNGWTKb2XHi589h3qD84opV6yHKWG74+y8xS6F4scZPM+Jdca9sWxtxoEp95RclA3WC0wGWFhCZrFzhy7A1EJuFKhoXQ0/Afna8n7+Kf9RvqLRSx7n9zx/LlhnSPQM3hNKw5XZc6ZvGaRk7c5Aj6zIODJFIzyJN5gu4jNDNbWkpz3jTyF7dga/vOFJAf3zd3xDyX2CpZmwkIb4NFGtDHvrONae+Z9M6f/snkFAWmCvVJXSph6r89MUnU74rfkpRlseyY4brvi5/gFv9cf8twrP+SVXdmRFT+UjIXWIEfTG0P68Y9IopgeTJcpHwpC1eGvJvSd0AW2Vfg3ZqzWr4pSDTyroDfA3a9xhxKyEVIPgSKkgbjsyVXgypc93rMea77Mv+CAXOA1EMRy1YCWGmd5QGYANN7ai9wVpdOxDxfvyGX/Jj3Dxgi+Xa37yVY5531CsLOaJZz15Rusann75FpGG2Ak/SAH5giLd0qac9/Y5N+NIaSAd78mcY0vBIXcsPxkxqUNXgf0HGM8Mm+mKD38PwOYT25Hi6dC/33fMu8T50DK3t/gqI246SEp3lhGnHRe8o9OerO6JRUY/GchTRI4NaZLxUDjqMpCzo6bHyQSfHJ1YXLJU0pOkYcsZ72R+mhzBccMZHs9UG9ZpysbNGSjo8BSmYUpDpxktl1gtyXVDZR2tjgTdEmNAxRDfbmjfQvXinPvzM74fLEWx4kyvyWXPVkt+Hn/MO3tFo0smQSn9CDInooxSsNaK9+LJ5kdWX77DHhzr/Iq3PMLTUcuBZf9AvjnAZEaaTFAiS2mIcseD5Dg8Y5oxpSdQMBjBaU4yHeeLk3WxGUDmPX/6+Rv+K//vuMXwyuXcMuWtOiiXZJ8G7reOVgV3UVC6joqW2oYTxS4JMWX0LgNbctQ5v04LggjBxI/R+IIVx5NtU4Q7ecSWObVriAz0ZOypqUURco7ymE4rbAw0tuZ38hyjll5rcu0oaWnEsqcmw5C5/f87kd2wItkcKzloiaqSW3DG0FIjFOR2oJIRT0AZ6CVnp4YiCEtrMDJHWFHScyV3BMlZk1ACC3vgGffs3IQ3uiKaFQsOlG7kNY/41/FfkqUGtaDG8cCcTupTE8TV3DNjLzWSIme6I3FqNtyQU2vHV7znC/0ekcSDLvjAjCtp8ToyMmHHOY0YOjMnETDSQ7K0FOxITGloyPg2XtGlZ0RXUcoBG1se5IyMjIN43vuKXB0udli7Yq1gRZnIjoKRuR3JdY+Xj0Dt01WcCsuF6VEOtHzBB33EqDPO7bv/3I+S/19W/N+29LWjmAqaj9RJiRuH6SNuWqO/2GJ6gTpDl3KK32okvIxoDv7H0JwLecqxYaRvErmPaAnkieANoxYcGku62VL/Ttj87yPLWU/MEnHTEO4g0JPTw5Wj8IHiOBLuM9pzKKMy/V2i+/Mb6srTrQRXOowZoRyIV4CP6Cwj61rSFnY7IVsb6ObED0ek5hQv3kJ5KZjznCgDYhKqI2wi4/cJmwTOFPtjgUvof95y+DNl+sclw3NLrAfyuEYzj+E/cfcmPbdk2Xnes/be0Z/+a26X92bezGpZ7MoGLQ5tmDYMCRBgWIANARp4qJmn/iWGR/ZfsA0IhmDZMkBTBAmySqxSsYqZVdnczNt8/WkjYnfLg7hDswYGAaK0/8A5J3BiR+y13vU8LWG7o1pb3HlNPB0xOcNmTuq2yKxFZMXuR6+52PfIqNgdZGMoLhb0OJqceHS7w7ojqZhx/MLgfzQw+0crQrOj8gYxluE2YK8iZQlhNtA7uFTh7uce+VSoLqCoOs7SOx7nz3jkblgOW7pixGtgmZXnqeLml0r5bXAfZ3qbSEmoBFZhy4c//Zz86RviDMqyp/+XO77588zLVU2Zlew9w3xJKB1F6pnLNUss5XbD3b8N2K+h+n4AUxEejjTWEI6e4TYxe2LQG48+suytodTE/BipqkytJ2rZU0pPezqSP9vyjAeefX9NNIq8OzL8QmlXkJ4mpALuDcOvMvXGIStH7CNmN5A+j9hw5PBFpnCW/o9viWfQPnf0a2jmYN+M8Mpz/1rZPBPG14E0RNqFkuPIeGVovvHsvvYMHs5+v2Kwibbs4HbguHeUXcl6vKcKR16dn3NlCtzR8extRG4iIUZMNsRPoW57+oXS7HbMvwe352vupODMvWbNNVdTuQzSSN5FpAbBMvZKvg7syzPqKvGieE3kBrmz6I/g7o+VjQTGrVIMUP3R//c9/msLNWQl5QKlwzFS0XMwLaNccElmufPUPUDJUeaMuqJngyVgODLYAqsdLs8hN2Q7o06WszCQ5URyyslURGvoqcimpkl7ZsNbHtcWm49oLnG2RMj4IhNRWg9qLSOeo1VSVTAfPcvxLYxTd9V54Wy4o7f3nMlTFnqg0wErDlVHycBKTxTS0tuGLHPOdw47CA+NRccai6fUkp1c8NYsaRlJ7udgB5ogrC105sBY7TnVidHOsTQs04FrYzmYjiYmjIEkCRGlHTLaH2ln00u95B3z8EA9bPFJKfeC6R+/Vw1vEda0R6HuldLvWWtJpdfAkkEtx/nI2ZMdmUyMmSYZDAWFVrhssO/VqHZX8bR/oPPfMB8CUjuaeJySCbJgYIEzDzRyR5tLymnIiy4NONOArYCSIlpGnRR8wSqtzyz8gKrjRi55ZB8gDgRZceUe88QOVDFTJUN0DsuRjoYdDX1TEeqRuh9ZFjOOsWYMPS2ZLsAiDVB43pkl63bJ3ERatlhVnC/o+opiDEwTnCM2G1oyhIilIotFDNiQSbbjuiyI1Yq9XNLrc3zeUQ41th8oVchAxYGGni0fcGcvKen5YDgQxi0LL5ylW3wQzETooNCE+IwqWAx1MNR5SS4LktYczIZMh9UC1RrDDpMDVVRWPcg4sNQdIgWjOYe0ZNAtGUsVDxTyQG0VQ0s37ilPAzrsqPBU2SOnhs2onI9bGCPRQW+PFNazTDvO9C0O/3f2UvD3tZ7pG2qZYszyvuovyaMyySOtMGkJXSarourw5Uil0AWhDnskD2go6Q4zpIYxDFiFlBRQvAA6p7eKqaE1GUmCupqRliBnJB7w7BlpGWnoWFOma9YSWCdLkWecXKDSwMVJedLCqIATsjGgmWQVCYnNOCdJSSk1hyRT553EoBFfgksJowHVmsiMSt9y0hGkQtUxGqUoIy4rc6Y0SDSG4b1ycZCKUWaMmmndHog4SkQFy0iwnt5WnFwilFPBok4t2dwDk+pXbEadR98fcoSJkRD1PRRWI6lQYpnRJk0QZjW4XGBxWMl4B3tXcMs5J86JWLwVTm6Gyn6C0mvLvSsocVRxB9bgRbERCp0TZU5vPTlP6Y5kQHJCUiIaSE4wR2iOlpkfKYsRp9D4EjsmvBlJYhAavPHkwpONTqA+EbJNYKHUTA6CKwosk07d5oHWPNDKAq8Nd+YRe70gac0MweQBQyJNJH2MGpxv4CSYeABjQTLZKiqeWGVMYUDTpEJ1kzZdAYlClwDvMClgTIFNBV2CkwmIPTGWmaY2BFtickWZW04syKbGvJd2TqBsATmx9omFr4AMybAcaoKWNG0mmHFypCZBHYxGKYupPySFMIaIE0e2G0bOSFwBtxyMsheHEGmjYrJSEjC5xlNwrKDQgCst4ZAgOiwO8+/BrIFopAuCy8oYoIkgR5k4B2aEk5KTw88qdC5IeqDLFkkZ1JKkgJSpQmJjDW3VUuTpv4cIvcuIws7WSFJqa3FkUpoOWCIZYyM7AknrSbcsgtMekYJaE43umWlPIytKHTFAkY+oLXCiwAkrAZMbcvbYmHA5gxZkaRFpMDHjjDJIQbDnPMglWYS6eEAk0MWMFWFnegYtqLOjw2DEIFmI2RAoqDixjnB5KnBHQV1maALejmTtWeLItHgzxzBSMOCocVi6fECNEGzGGgV9hDEGazyWI4V2uCxYTTgsuMyx2DLWcxIOrbaU8oZ5vmKWthRAlwNFGCjpcS4RpMCbBhhwKFCRsWg+UBuhz5CMQ9Qz1x6fS3Zmhhdlzj0mHfGuoceBDWhODK6ZEo45YzMYKxgyRhUjUKd3XPCGRzIwy4JyZOCGSue4CK1VRAaCGio9sUxXYCuCmTFGJRgPMoI5ULGlDZ5oSgYLLk+mJRGHlTQlnTmi2pFNi2eGASwDRhsGc8kgfjo0y2Qn9VggIVhcrsAIQSqcRNp8oNUZg5lUzhmLU48lkgQiBSpKMpkTjkoqEmlqUpGxqkQNeDtBUskWTMHJldznOU4cC+5wxnI0LZInJXovkYxQaU+LRQM4l1nxwIXecpH3LNJI5SLJeJbmRJ22k6eZyfJY5ciOkr2paOXXH39+U5bxgmlBUNwIxig5K6UHM0znt73ds5yfCFVAa4NVMBhcNiARj1BRQspEDVSuIQWZ/otJiRGcKSCDpBLxHrTEMuDGmnqXGbueqEC2aHIkIlJGUgbUYXKiipOteHAJWxmsAYwwVIowUmpNMIJzSrRQq2JCog0gcTqfqY1InlL+RWJK2eTpGVYGA7EkMpCNYrAUwVH0I0UoMd6TPYhCKDPWREIBfRNpyp4cM1kA0xMaEJcoMJPaPAuSM5KgVEOTJwhwMBHJIy6AsYYsdkrySSYaJdlMkRySwEumcolCoIgABTZnJFuMsaSsRMBwpCRR2B6Y9v8u9xSaiFbxZcKbiKBUIiCOREUZI8ZPf3k10+8sFEwqIXoMiaxTpi9Kgb7PwGUiycnUTHYHsu3xNaTCYApLyBBEp0ZSroiUGFsQ7IDRyecZxBClJuSWOu1xmhjTQDYGzVPBm6rmaDPBKRdlzakWbKE4Ezg5xRUZZ8AkpQ6KGWtaGcg9GN8xDiMyn96VSBYxETKYDIODVHqkVPIJTCxph4hNGZPAKRCFVFhCkXFMo1+WgVqFvVbEGCHqtPvFAgklZT8gdYkN/YRV8AaTS7AKjFiJlEQKVcqovP9qDNbhtSKKYzQrHIYWj5Ix1BAypTe4U4kdPTLq33qP/9qdaqeJ8sOOYn5iLQfeAF/KhqgDP9AbzDiQvWWgw1MwNidKDCIl98w4FSse5y95yhecu44P5Q3/af7XvBj/kn/V/Ve84gVfxseMyxWL6iVn7wb0Zwee/eKX3P+w4cFE2qGg4i1V+YDMBasVRWoYzYyGSMcVxWKg9nA8trSxJLy+wfz0htW6pG97SrfjUipqjrw1lzx4ww/cL/Gu4Ker/4CbqqQ/dbz9lyObHnhxIJUj6czxQMvXsuLuNOdlVdO7ESWw+LcR9/anrP/xS17Nar5TP0FCYlEbDCcO2eFsj7oB0ScoLWoCzip2PyJVzV2ViBeOXBWM6xrHCXlI+Jsj+gcrdnbLIiZ4pcS6p3traWYDH3TfYNyROBsYn/2QVx93PEr/juZkiGVCT0dmRNoxYEqdLH0/eqAbtxRv7ymXgnMjH+YrvsU3FCg7hdKs8Fga3XEpOwyCzw7PGtRiouJ7z8k2fCNLNn3Bx7fQtIYbPeMnuuJM7niuD7yVJ3yhL3nuv6I6bCnznP3a0to7GhOmRFMLH11uaH70CypWHF98n7Y0nAbFF8K8uuMjueJrhVkwrNyJlb0BOsoHy+mvIt/+zyInHTmdMuici+LEvXvDg2RKmZFlonHv7Tl5+QNexe/wE/eSYz7jYZfgukWv3nI5Bu7xLK2g2TCaC/o8p2WLSZEHU7K/mFPYOSkfceIwJCrtkUFxp0jTHFmNV6x3X3DaPObgGnbpjGS2OB0wumAp72jkDebJlsFB/usrXp5levc5X5kzpFpg4jk1JyobKKVHGdAQcLfQ/w2U7YZd3mHvhfkjJV0r99vIui5gDHhZMIaac3fio/gGZ8Ovu81/I1YnR+q2x60g30E89qQM1lvut0LX1Rjn0TB1I6XM+NLCylF1gTScKMaW8Rd3VM09/reFw6UQYo3sPWZM0J6ouCd3QtU65HRito9cLq74ffPveCc7DMqDbXHseME1z+ynLIisTg+c/c2e5v6a3ceJzVnAfQqpuOHp955R+iM+NDBTfHmivB2wf/rA03MlPvXsV084YXFMozspTXprkT2VUaxWpGipswCRshvJ3y3IA1hjWCoE6TlowZgc1gnZjoRc4FNHtJGF3LBQED2xKG5YrgwDFYfSYqpAuwvcf3nHcWsxNlOkAp8j3SqiZsdBTxgsXgLjkGmCQigp3aTKDDNH8wKoI9OkeKDMd+gInx/O+CI/J5D5gNdcxFs6O9CY94pulDI7VnKitHHqIIln9p7ZcNIZV7ZlrhueHXYMg8CywiRP3SujRpq2xr/x+CJSfWiYHyLyywP6BuxgGN7BLCcMEbSmqR3B5AlmfnbG8OevpqLrbiA2kWU78i1+RWvespTAiQXf1k95RA94bvMCNZmajM7AiYF1SVxkxmHEXlnSCXJlSMFOINVNRh5V8CxAlSjnkJctw3BkWTlsoTSXCitPGQVCgK2lKZVZe6B8GggCRwtBHcu856V+yYDhIW4ojVJyy0ZO1OaBkkj91S2b/T1pDv68IGx7lnd3/Ee//8CVXNDebUmvQAVGUbqoVPmBC+lZph1H13F0C677OS+KE4VkahmoOFCakSbscN5T5iO1abHJTjF11/GumLG/hKaqQAcqur/XfeTvYlWnRPtg0H0PLsPCkLIhi5BixpWQ+4nnIwFinREi1QD2NsEBemMomor8i8CiPDJmZaigfmyJ58JDAQMlg7OIZJ6MA2e316ziAetG/Kyg7Cy9Gak5sTYtiGHUj1BaSimxsqdmYCk9MOJM5KQGEYOTTM85t/0FqSmIT5X9oqSz0FIg6oCSkkzHA1XOWFMQJDOXBxY6sGQauQJhljybt1c8/dUr3DYTn2SKDx5YL2ZcsGe1e0v6+QkVxQ496xQ4zDJjU1FLxMWSG51zR0d6D2oGwwV3KEIywjCv6GNGLNQSWDAQERpzR0lNlTdUbAgxkLSAFmbdlg/NDR+9ec3s1R3ZOcpqpOyV1eKBDz95x9EKB3lCwz2RjoNu8DQkIwQcPiotiuPEkRqVklpgxoFztjT2RK8wYDlISWkdNSc6PKPMuLMtUQpmHNlwJFJwwY7vyzd8nD/FmESWOSrK3NyDhcnZOKVBnnLHI/MlJ5nzjucM1Ewh+YixIw3XPOZ+AsHyks9lzXXquJE11ma87rg1C+5kyTFGBpRDrEm2YV70mNxD9szNlOpqaHjLObc6J+eOLoPgJ+OiQCGZUia2TBDlmgs29o4Z94zZIBQctCZIRjRT6cCKe87kgT1rWjOy5patdCgNDQnHVPCpJAOJPneczJxAppKBgkyBpzOeRk5UWMQ6nCSWbFnrlu7uGy5PA/GuZ/3tkfPFntpEDqbmlpqZKsqANQeEyL8XgBqA8xJzrkhVkN8kskTMPGJWQJ9BAvMPgSeC2wi6MHDZIDEhfYKqphsG3N0AAaQXREcKn+Ab0DtlfrlH20w5gN5Fmg7Ugfr3Q4ALj/ukhJWSkuK1ZJg3zJ9smYlhmHn6VWB9vSD/4kida44mUGQDVUVRjrh7i/55YpzX1M9avIwUpZL7Af8AZqcYXxIlMhwSjQ7EnU5NugPYpSN3EbNNmLMZqThg9gYzlpRLT7Ie7hO2BaKFmcI8Uz22uKcVGI+J4JxD9keaOWQ7ku2B9iMlf5VJJ0N5ofhDxPojVdWRjJArRwgQS8WtleKRICvH7FFBPATMraGewekMTCugltpkMOAKBW8Yv0lYD7GAQSveyYJYWuoE13LJQZdoscc+FrbrggdT42hABgZK9rJgeLmiMztkFpCZUvywpP5fBsIXA+bWkvpEqWA0c9KOraxwNJTLHYvvDZh9g3mS4bczrSugb2C7pz0T4vMFp6pEm8kaZ1NA64JjM+c6d+zMimOOFLZgxpesakdPw4mGps7YdiS3cH95zjvraFNH+7s1pvNQF9R3E3vLrA2ooFuBrSLnFp0nMEqxMuQzkLZA6ga72cMj4EHRLVDPyHkgW4UiY2dQVSCPDaYEJCEXBVXukWVCagipJOQGJ1MSTIYEn4F2iqwirtGJf+ksqSkwOaEpkG3iqI/wVAyyZ0lPlSfYPR/UjC5wNDW7y3OGwmJtplbBDjV5l2EluMeWaEt4GKfP+VvWry/U2ET9qGEoDWVWkmx4LZ/guaV3bzjmyDobykE4i5EZ9wT5hgZ4zRkHs8Kav2TGawr7go285R/Ev2B5eMP/tRC+1I95I98mF8rOBY43A/rN59RvH3gUPfuioEsl9f6O7izgyyVBO6wGvBjOFUqNyKaBHeSjgbFm2ApyFSmipdBbOvOay/ee93tdMJrEI/0CsZFm9l1u7QIZNuhfnuh6aLnm/uJEWlzy2p7xFS85DA2pfItHMLGg+JuByx+/5fCfO34677g2F8z3t7QEynzLPnWsjKeSPXdxRmUN2QYoFRmFTEmvJ2xjyFEZZ1CmktAPnPpIXD9iJwOZAt2CfKXIbYN74VlUeyorHFfK6299wusXC743fMnyQQmFwtFQqFJrmIBMxzn6TaDtPfX1QFxAEDhLB87yLTfWcWOXNMwZmVPRs9EjzgTeFQ0HCrIKVbJkP3Xh38lTXoyJtJuuxz3P+Ey+x3e451Zu+YqXfM7v8Nt8ij1Amy3vVi2JxJO85y5c80VxTpzXfPD5l1yd4HrTkc7X7OKMU6rIBBrx06wnLYlAxiBakvqO+KUw32Y2+cTDMFJYy1J3DO7Ag7bMzIpKRqzZ87pYIfM/5GfxOT+Xxzhdcuc9h7EiDZF5zHRhT9N1HKfJVipvmJkThsDBGvq5pywFc2eo86ToVPEQQY5KtRqYcUO3e02cX3BnOrbukgHF2J65VhgCVva4bqTIkF7teLJ3HDpP7SL3atm5BY6BTg+oWHYY9qZl7PcMD1C0S/rkmO8srBP9PaT9nPWZR+OWUeZkaVjGN3w0fI1xTNKI3+AlJuLqgFSQ1SDBQEoQlOMoaOegGJAIlbWItWTboitD6nqcz7SHjvjmGmv2NM/g+NIQUsns3qCnRLnpafRArDI0FkLP7Khs6gMfubeIgXc85q2c0xF4wqd8UvyInX5CFQaq1zuar3fM5tDUkK9gE048+XbL2zQStYXKkO0Juw+YPlD10K8G9PwDBq0pOE560QyVF6zsGEuPj/NpvrYqCIXgmx77LJJvFBCqSbhK1pqky8kAIJFAwTGfEWxJx54ue8CgZUBKgz8ZemuQoiCJZbgPhN5SjhmVxECCLtPKgUYDnjMeTOKkjlkCTENyAak92RTYc0HbTHQRS8bkANnyJl3wuXnKkZ7vcqJLR9QpHT2BzCiRVgc62aOS8OqYkek4kgwMWrPVc3Yq6LhHo0LrQcAlGPTIrHVwP1BeDyyeBuZHQd4k8t5hfUnwYbLbMZKooHEMdeDt847z/ITjz9/xOCTk3tNLZt3taTjS6j1Kx0wSF+mKMzMSs3LPmgJDY+5Zt0eshOkhPTfoMGC2ijmBrixpTGANwzLSPPLkc0UtGEC7lnyC1gpaDOSzqVBjgxAOGQ0Jk5VaR+x6JHllzA4UGtOzNlfMdcM+LaklsDI3rLnHmCMtmfJ+j30ManJpAAAgAElEQVQImJRgnWGE+p3n42BxJhAPB9hbcDUpjWBhKTsW7CgJnEwmGMfD1IOn1sgq9zzYHdGCVY+GhMseGCa+l03Ecsab5pJjaTjLfooS87e/jPymrOLosccObhWdQz5XAhlGSzoozkJwGdJ0H2cM5EzhBblTzA60s2BK5E2PjYZaPMMSqpnAxnM39XHZS0tDph0S9m5EY8CWYRo7rubcFCdmsidIyYmBva4n9p6ZYIiOkZkEyJloLActUDxrdhhd8eo0IxcCHWjhsbJDbEPSEkug5Z6l3tKkKYMhjJybA6V6xAzsZIGTllK2XDy85eyvd9hbxbcV8tizSZ4Ne2Z+i3kzcSJsSrgCOivkWsgYvFlyo8+5to85UuOItMDM7NizIEukrR0UI7bwzPUdT/kKE+a8tY852sgRZaeX1DFwTKBOWbgHvhVv+ejVAfezE8k2uPMKM4zUjxxPP7jmro28zUsWecvOZaKckTMUJJJEkkzp5EoCWQ6MKpRasiRyqXeoUY5MRjoVS8JQ6IkzPbKTgm9k4vMtdEcjJ+5YszJHPpDXPNJvOIqhEUupgtFERPCsGXQF9GzkhkfhhpPz7GXJgziQTEuiTSMrs2VjvsHlA15XfKXn3JuKG3POhj1JK+51zi1POVLTJ8s+dljrGbjlaKbmakei0R1Cj+GMHRvELJmrp9QBxJApMYxUcqRkZKfCKC2jVtQAIgRq9izodYYgnGnkUo8sJVBJTzKZjWYOWpNkSWmOVDpQRYeTzGgsIw2eOUcShpESR52UtTlRyz2WKWVfv1e7t3ja4y2L+0T+TAgfHGgX+/d685aeDZk7vDEkBZGKoPP3at3f7KUrJawMVVHg7gSTFe0E5qCHaQS1XYHbKLlRpFM4g3zniVZxTYlNoIcEWiPRQxqRDHoAdlAWgrqAHRzsMxWK5gIdPdoFwjpTvghoK0h2k52rKlmutgjCfe05VsLFRUP68x11tJxESClBI5g6I1c1+oXCWYE8bcDcYwyTxfME0WcqW2LdiboGOzj89YhbTM+0fG7wMyiGiMwcyQl2zBOAe6GEMiN9pjwKRiymiugyIOtI+aSA9yG1shA4JooKvCTUDJSPFa565FiRJZMk4HSk1mpKJ2WmaygJ2yrlhcLiiJ5FGBQ9OkzrSLOELgSCwzkPbsS6BNHgHiLSGyqdWlcDKwYRsss8yIKTNGixxTaO2CwYpaUBklgk1xj2DJfh/TMiwzphP1DUKXqvmL1BPLicqHVk+z5xPSewsFc0HxzhRuEiIx9BMVPMOw9VxD6Du8sZ13aOsZFSPIu0pSgD28pwZxv2LBhNQek8j/IbLm0iWcuWGaX1lPaBUFted0/53C3ZxCPf+iSgdUZtpsgZ2QENUDjiGNC9oqsST09VJ/w8oysoa4UyE1YKS0sODTJkpKhJGbIIWEFbSHOl2Hi0AmWC9mpQ+plQZoOmgkEaovGIOyFe4XWFKwvEHpFmAlY770iFQAqIDngSAw1JG4QTjkk1H1poNp5kj3hzyZvlkgGLYcuCyLI3VHcZWiGcKZWJcBSo/n8yap79tePu+3P2FxVWHLN+x7zqORhFfKAsM31n2c86RqM8CgFTXLEctrwoP+fb9s94OVzxYtzyh/ZnvLM7nlw9UOyP/PbqT/Ddlrl+zagNR1lwWzvypeBnNb+w3+Gvqznl0PM7d28p7u5xjSNtIrs2U9odJRCKFt/CdgGzVhibRH4mxG4PNnN0jpGCloZWA46IRIdzDkiICahAVkeRDPakcBNoF8pYZJwajLEUBtBEpiVqiQBdazDJM8ueWXqgT4EtM2rdc7SXrKVnlfbMcuLkHHGsIYGPBXey5pYFt+Ubmkb5sXyPufF84l4xjzdsw5ETlmQaiiSUnSU1DTs7Z2ufUbuCvABmX+CaCk0PmPiMytYQasZc4rMlCuR1Rb+6h1WBn9c09wLWcG3W/JLvcq0rOvkZL/mcBTs6PbFO15TFiTFb5vKKsh4JbkesA5iBSgVrarRUpDjRcKDNGZeFwnicHCjJtHlgaXtG23EwjlNaUx8GOqmQhWKSUH9T8Pzaor/7wLuLv6DmFev7RJtOfMf8hIW85mI4IE3PBQsqfUK7uKV82hOqki9lxa5d8Xn6mK/jt2iGyIvbyGUV8I8Krq1lBMSWPMiGG/kuUc94tbnm9uPAywvDl/a7fGnOaOU1JQ/0dNwXa54FgwvKGGtiWRLqO4ruhOAnQ5YUUIBUBckZ5EFpvpiziQVPPxR+YfeITHBRlRFrJrFrbhzxGZjVlpaaEEG04SLseZavOFRzbswlC0acenbFilcfH4jXD7TlEZgh5QlmkeOTkvt1x6YtGfc7yjDBJ6ML1PbIJj38HbwO/P2us/wV3SHgbjrszsJ+wPQTwb1OGYkJHYE0cQa0PlH1DcEqw1pYuoD0kWa0SJEpdsr5TcY5A0mpbOJsCERzZLk35K1CLLAnz9ImlvMDrn2gxPCx9pyPBy7i18wb4eSONB4KL+CVZteRPzPYK0/Remr2VCaBjZBL7CjYk51GYh4yzU3FcjGyWntG8RPEMin1oFRB2M8yvQ30pWUsKw4GFkGpT5Cjm8C/73Gfrfbs7YoDMw4UWAzBFYzM2PGMnQ2UeB7HHeZwQLxQqIUs9OoY5x1OPeIjhIzmTDkYJFjqpUKp5OxIgHEgcUCLglNpqe473FuPJEcz9LhZhuKc5DOXwzUv4iu+qqfhqcJ5CjnS5h1RLAOeaCyjCCUlVixZIydTMFIRqDBakMVAraRm+u0SE+InYCRjxh5hPmT6OGIIoAZzEGRvqI1BY0tKOzIe3o8/RSKUkTFF3Oiorwybouewes29O6PIlkb2zOxbrHnKgMNYpdOEp+ZKLlh5zyrcEmSkOhXMejBBcQp2W2DGRG8SphbyXmHhQBWvBjMTaEFuFB0hRcBb8jGTPBQmYlQpNE8jlPvA5tTSxwE/t9yXHTd2zaFsWeQrHg175mmLrUDMQBUTkhyRjD2VzK8i7TXkUSiaQCpGsslATZlHSIkuTsMPQylEibTc88gKTX7AZk8hlhl7yJGCE7k2tOqwFBxFWMWScsx0TY+I0MWRLILn+u91H/m7WOPMUB4SolAFQa46ym0mD5lCDZKhkYz6hCkL8i6gdw3aF5i7EXoodiWym9Pd90jRQV/Q7Y+Ic5hjzfe6Gb1kNp0yizVmu0KOe6SM4BWT4Wx2ICyOZOdRUYweKPWBD1OgSyfEjgR1jDQELdjKCiuT4fAT/zMWpx/zyWlNdp5jnmF7i5qRe3lML4FlvqfBE6Xkumy5YcWF3rBMd4gEysFQhj2u8hibKW1gqBLtmaKNx5eOQRqQahphPBbgPcwE31rGwmCyQW2gN4mgDZmOQIXH4NThFXpxeLHk2FCeCtKx5a19yZ/wD7gx3+GV/yHb6BlmEDFU4rCtJxWW2nvmuqeoPMaCsQ3aK5Rw6iLHaqK+CHsGU3NgxkAJ6mgECu5IlFhZMA0ljCgDBsegjkZ7kiou96zyHUuuaIs963TFKiVKc6Q3DxQoT/Mt2bb0UtCkK9bhjtm9pyvX4I4Ed2KoOm6LGYGBs3RDc9pzZvc0STDxxOPqipHEPVCmnqd+zwd9z6PCUOQjsfqKF0XBL80THgjMuGej1xzyGc5ArQExDm+maOpz/ZoP8zcU+UThMkGEpHNmMrDULTGPVDnhbKaQgSV3rPWWObeoKHVeM5jlVJrRHRbFy445e5CSoAVGE6oJJFFr4CA1R0oykYpx0jhzzyz37KTlTla4NNDqCefc9E6KUJBo85aL/Ja1bAlMZ4AnfeKjqwcurx3mODGILu9n3HUPfFR/SqlHCmvIRjlKpsonFnpkbX7z9yIAKg+zhrFM9EuokiFXkAqgHkhzQ2wi1Jm0Au0S/exE1UDcK6b1bEuhTEKpyl6EQ1NgvNCokA+BaoCkFlspuc7T+FROaKHEWskFkKahjpOr6G1DkSyxqjDXmcvXM/p5ST5M3yFXik2CkYSGyeTj8fAokTcKxRGflbGAup7SOy63EGokFNgxgauorEfyJAuQg2ADnIC5M7hgEAO4E1goQkaCYpJDXYGWAa2VvSh1FZE+YkaDJot3A+ZcpoRMFoxALhUVQbLBeiAEmnSkYkqo6Al06RitwRbQVIFklWQFWwS0S+S5oi2EEMilkuKB8bxEv+/ZP1bWZcDrip19wpGnFNrQyg4lYOyJIx5TC12Ejb2ldZlKMzGV1PJAL1vGmVIISDIYFXIFw1LRdWbooCFic4VaoZGelnsWeU+wHhpBm0wsIFWRstljmkysDAMFg7OomKn5IIIUgdHAXhbs2JAlczCes82cret5wDHYAMsD6SxzqhM7u2bPgtvOUm0aFq6nGxRtMqG0NKWlaJT0WPH+iJ2Ziblje+qUKQaD14DpQLKiseB06TnmRNcckJlB9wZyg6ZMMidUM6mYrkWFJ7eChALxmS5H1uGe5JS29yAZXY7kKmJLRTMwy4R6pHxfAMooBQMLbhloOKDTqG0byS3EagINeK1IcsFAScYwkyOrtMWYDJJJFUjxvlpc/+3lmF9bqEn/w4j/J9fIP35MLg88sW/5LfmM66w8zj1nSxguCt482/BN0UCYqP2NeH6Pn3DIIx/vF8z+nx/zD3/nS3azc4Y/+5rlXPijD/6EH/AjZlb5uTzjU/0tdivlk/8avv7t3+J/5Y/4RhPP678h/PxT7v/Fa7ofDrT/dOQLV1GmA86WnPSE2XiSqbh6tGH2seO7/+QB+TAxzGfct9/hr68vuag/ptB/Q2NHSik45g2DmVGWNQt3Qss9+UVD2gXi51B/ZEkzz2U68lI+g86ysW8ZsfRNC08eqBcloR75IB15ur3iujvnT+k4ty1f8JiWdzwzmbFouDHnqN+Qt8Kpr3n9/Al/Yyue2z1X1SP+x/RfUvp3/PebH/N7X/4L7tkyMEeDY7GG+LtLrr615na+5Cf+Ba1t+Gfftmz4K4aDYbEdOLxNcAbsArs7S9AGXUL9uKRiwdvS8asXL/jwszvcsuPnPOFfb79HLl7yorrjt8q/5FwH1iZwxhsqUWop+FJ+xcXzB3i9xy1q2nLHWt4ya4TmO5bhyZGN+5zvhgXP5RvO+ZqX/IJP+DEfu89ZNLd8XczZ2ZLt7QVvfnHPu7UytFD0FfpnA+VnX/GD/7jmkz+4hbEn/qly+Uz5w8tfUV1uKFS5KaHkksKe+PgHWzb/fMGPXy7438wfMhaGn8b/kL94+5x/FDz+f/q/qf+TDzlcbLg2F6z4lA1f8Vf6lCE/5fW24fZlx3f/eUMnlv/d/pC/LL6Njf8H39Ej7yj5lDXPDxXa1zzsz7lLa/Sxo5ofiM4z5BKlhjmMS8PRtcjdht3//Dmy+gu+9d99iy+/t6TWgYV4jnZBSIoda/ozy+y/3TAYwbQ9tlB2ds7L7af8Xvqa/3P4Q37S/A7fCp/yQu8JVYf8N8/54L/Ycz070dcb3IsEg+fsDz7g39TP6bcj612PubqhevKIXbHiIB1n/je/UDPXB8JnC47/as/5iw4OnnQH7AceuYx5EPI3Qjo4zHlGXxrs6wO2bFj9oEaWAX51hz0maC3HX2bcIOi5xz6xBDMwu4NgBsrPZrz7C8/sB8+Jh1cMX97x6PdnvO4iSx74VvacH265+fpr1p98yHFxpHmYIsIYwX9dcfjjezaPCvT3Idj/l7o3WbYsO870Pve11u5Oc9u4EZERkZlIZCaYAEmBNIKN0cqMMlNZmekF9A56Gz1FDTWS1UhVEotmggpiT6CIRCYS2URkNLc77W5W4zXYUUNyBJGGNbqDa+eee85evny5//79GxZNA90IKZJfG+U8USThboFDZFG+4fHvV7zxmQrFZyFfR+LRUT9u6B4GXq6vOOjMv3myDxz+agMnS8Z3ByIja7ulkcJRMyMLJnvEibxh6V5STY69XPC1C5zoDR/0C+7//O9prx6TL5Vx94az7gndh6cs9DVvvjXCjdBOyv0/KC4t8H/gCWw5sZoHa+XkSsFG4tjRuwXDPxzJ//vAO79d0XxPqJdAaglb44fHVzyIf8WPbU0NtLLlWb6hy1uiekwDR3eOlprAnlr6t143HSMrINOxx+kOe9pQXxnxF3PBwG4Tdl2Qk0JMjr63mQXRDeAm7JVgW7jfOepdR+8DkjNUgfYw8vjlPavFczY3BdpE/+1AXRsX7wbuWKPiWeTXnLuW2huTwco2vCOvuC1XM2zzqzvOh2uqjxx2n3G9Iq1yKAX/IpMu5lnqqj3l+P/c0uY8S3G7GRa8bSElT34ZOf4KVu8Etl8oQQ/IhwUVUBL5RSF/bhRN3H/xGvfDd9isH/PiLQvkMl/z7v6Ww5ffcvFbV+zqTO0EscQ0ebpNTf7LI/4O4n3EL3uWF4W2cbAbGQ9GGoUTb5jV3NkaU+OxbTnNPevxBbooiJvZEJ0eWYQd+VSRPpGXES0r4ouKfH/H985avvn2K84LXDxeUjebf8Uo8utZ287TXhj16MivEu7vjkw/g3GcrWpVMvWJkk4N/zQzDUb6j5kp9yyeCa7yDL/YE8YDemrI6sDhVxM1Af3Us99tCa7HXyRO10qehGMy9P1E8wNmBedrgXjD2QeO++WSSRoqcVQ68Vt8waPpmr27xLSmUWVnJ1hxnMmW1rZ8Ep7zZ+u/5MnimlGFW73ky/KYr+WKz+SGFzKQ04iw5igr7vBsOOWCI173uDJxceOJz19xegbVO6fsLcHjAmcQnnj0dMXGdZyXQEmGjAUi2FnH9p2KOzMaOqIJgxzAtri8Jruae1ZYWdKL4ygt1wTy9gHDfzXYr/jr7/0J/6U84vnddzi+eId095wf/HHEmj1TJbQfV6SvBX9UJEB8lOAI8UVGNxva78PwZOSVWzDJmqXuuOOcGy7p7ZxojplMdsBxC/QkagY8TjNZ7sm2xChUZM7dkeBHruxbHvIlrc/07pQzDjziW7wYFcadPWUrPed6z8nNyPgXBReOXDzpGc+Fm6tnHE8WmBx5P73kZHOHS5mhH8mV8vDhDdJkagqjCzyctrSfv0LKSFXD+unXfPcs8JL3GHnEA/mGp/4b7m3CaBB/ykGecc2CdSr8m/wz/vT4n1hXe+7Ckr/lt/l5rnjkb+n0yCQ1fWkQXbNgwzP7mmf6LRtpOdqC16rciKOzyBkjFxpJ9CzZYXQM1JzqawIbgggnduC2XHEtj9jpGo+xKsoZBx6E5yxo5yEzP49wPmCiJdHYHHMa2fKe3fA79imNvCCjrN+A+483pJtMeVJR/Ej5yYaz+8/50R8mXrtvOZQz3nBOsoYT6XnGS674+l87lPxalnsE7blwPAX5g5Yp1eg04PSIXBT0R2fI9g5WgfAwkt4rlK6m6oSYe+y8xj8Uhg34OuDawKE5p0w17Af0s+fYcSDjcIuMPIHNm8JZreTecCcLGh2gZKRq6P2CXVFObaQ0nvTpAf6vA0U3jO8U6u9CqQfSN4nmMDfJx62yv5+4+pOAe5LhWFicCSSBR4p3kL6JVMcJOy9My0LVJnR0FDIsFH46oteGrYUyKfllRpbg3lnRPN/DTcKdGzYVUsy4ZcGtllR+1tDnXSE9Z1ZmP1D8s5rie9hlOgHpmBs8g6GTwTRxKgHrdzAm+gOM0ZGcZypCm2tqy/RLB+tIeOJpV4lYZjZQqwVVof2Ror/TIO+MbB4kXtg7fGpPuZFHXLDiilcoRuMy+yC0Z0Y13fD9RgkcWdnE4Fs2GhBr4WQipwNMgSYrD55NNJ+smH4/sHt0S7CClQ7nalq5Y2l3dF7QVQ2riHojOKBVWFXIMOGcpztmLsJI7zyJNUPYUZUewxPLA/a65ow3tGFHev+Kz1Nh56B1O04+Lvgo3K0UNeXSJpIv3F+9RxNvOJ/uKVeO8WrFVoyTZuD8zwT5zMEC1lcFWWT2X4I/gDPDvVNjLxMShdW/DdTXA/poQi462tc93A0QC60XyuQxdexXFWkRaWOPvkmYgnaJq803kB36PGMRxo+E9rEn3RnsCvJexdSMNJ0Qm5asDacM4F7yppyz4YKsmbDesXgMU33KSIdXR8qOno6jXNC5nmU+4G1H03bU1YjvjHJVEx9UVP/EHv9nCzVh67jshWNOvKJj9C3O0mxG5YybylO5zKrsae3IbTij0HFwDWvJrPLASVrgs9HJPbkk9mJM3qFSuLItp/tIDEsGBoK755dnxqvTT/hM/g3KP+LL/8tihObeI7eOZqiYaDFZMlIBBZEJkpKcp6/37BcDaVFxHc5nS0k5Q+UJPQuUDU4mJrfkzq7IVJzYlspeMTY9qYbRFFcbk1cKjiX3qAzIW12WxjXISwZNRGcYShSlpESNMUrByZaWezQfKGpMOCZxSIG6eFxZUkpLJSdIVL5c/JDBJX52ZjxZ/B9k7xl5iLkT7pZQzpQXi1O+0g/41v2Acz9bfp5uCwyONEHSTJQCcUk9Gn1ekAt4GTms9ryqnvCVf8xF9SlVqHjDFaN+BysP2WvHQODE9ixkoJYtHRBS4NTd4/SG5DdMaiSWqAmid5QqM7pqFqfajtpuCS7jmLsvSOK2OuVNuOCaB+w4Yu41ZCO6jhf1mnAG1kJbRfYE2pLxccIyiMzjbRgcOWPPE4Ke8er0BTdXe/7+4iE/lT9CpOab8JQxXbIabnm8g7B3uNKAU9q851TuUXYkUYouuK2Nr7Uw6ilfVx/wXD7kW/9T3tN7vBQq21PIjF6ZNKCHJW08xecbzCsQMKnhrY3yHqVYxTIGZKvsxh0P+EeWGWRcctcuUPV0E1RS+HZt3DrlEk9xmYynyj1tumFle0yUozvhWB7R+8DX6z17X9g2D4l6wVWzZ8GO3sFLd4ILwqI8xyOIFSILNu4BN/WOh7/W1OBffr3ifT5IRh3vEUn0HioDlyqiRbCECGhxJAejiywHxb2NVRKU4OSt1Nkh0ebRomwz5BWhTnmGgsVE14PkNT4qzeRwKVBZQeVIaztau6dJmSYeKCXgExwr2DdwYdAMQnItn59kHriKtXiwHnxNKGAZhhaqBkKBhoToRDKPM6XKGc2Kji2+X1AfRl62S0o1T9e70lEPgbxU0lugshZDZZotwItDbY3KcyodqYtjlDXRKwmPn1ZUQ4dPHW1aUA0jhESudziJFDVEFTcWquJRq0jUTMicOquSsLdFAJ0h5oOSR5AEWMLwYB0uQm0TddnSWAdSg5tVQ5SK6Cp68RQqmlyoGTEfSVRgDhF9y+tJVNKDDkQBcQXF0Dw/C+CY3MghFLIamkeST2QR1Atj8GTxBFOcZfAVsUpUZFwcqRJIaWiHPToKTUo4NzHpgi2P2fCQiKMqW1oZaCUiMpHKklIafNHZ4pMZpFkqI1eGaSKHMlP9cqAbQTNYgTBArGrMQQKaAlUCTKmS4kpNco67uqeRQpMCdsjoGFhNkIqSS0vlAmv2LGyPEDGb2VzZHDkUimacCUThGBz7RaFtAm2JiEXQSNI8c49xVLbnKC17f0FdDizzlkVxmCQKnqZknB3ARZIXXDQ8gUoMKyPdBH4SpN9yMRUWE9jQs7PDb/y4wSopIUZEIihIDDS9UB0y0gV6P4BTRDKuKDoVlrslhXt072HR4KcdgZkL5EzoRnCVMOAgCctUwyDUIUJSZFQYa7R3pJhwqeBJaCzEURlqoXIDgQMdr1mU11j2tLqh0TWHUuHzwFL3c+ziliWfcsWX5CJUesk2bdkGpbOAl3Misz3pumxZxMxpWfKII2duxKynyh5XMiHPRgeSFCkzlHtslIOvMJRsmbEypsUMu/fLmhtdcis1wU454tnLkl5rRgJJaiYqogaSLDAqtKwpcoWZx3Ogy3sSWyq7Y/JLModZLWJ33DlH1gtw0JaR5ZBxI5TDmvrwAHcc0GOhHVqWMZBlT20z9PKle0QWB+IJHAkkRjEyUBCcMQN67YBYxMkRo8LnkU6PXMiXPOELgim3pSdzpNEKM3tLIFvSElmVPcsxs+gBq2AYCIMxRcPbrIRuy4H1uIfUkI8ByxmbEid6z+jgWOAkZRZjQk1ZaKa3idr2nJRbTvUVS24JHPEcqdnSmdHaPXXeU6UdS73jpHzNeekxW7PkI+oCkYmJnkKN1zM8ysI2VLbFyTCbNpijswMjQkOPYBRmd2SY409lDiyjGlmVOwZqFuViNqD3s7pzUQ40HKncns4mlrJnEE+xiZUdqDECzKBpu2bBS87yN5zLDWZC1QvSF9gr5VijJyPeHF1/5LR8S3I31OUd9tqC9NQkWnq85d/4WAQwdiBupJfA1lUsFS6jEpKiKOYmigmUBUwGQ0+nFdIrYZo5WhVC1kzymVQpE+NsJiA2czd6R1UqZIrIpDR9BDyugGhhbA3n558ps9piconkBDWhOXgaMcr0lssyVJwdAroVKBWd8/j+HkKghAFMCEVm4wiYuU0lIj4TfWYSqGyG3/YBKgUfA+RE8RmxhC/Ms8UhUpwhIkwKouBVZnWuQMjMyuLo0CiQPRQoamSDUJTaAmVQZFDII9Hx1pxgos4RzRVqAy4JGgPVGJDU4vMGwebnTBPqDGJBSkWdM5Yy5gfMGaC4LFho6V1HMqO4iikvyGXA6YTkRF0UH3tWNhLYc55HRuugWtKmhEdJHsgGBpoAIoKgYsQExc85UFsitaQZJD+OkGV+vwBmUN6+9ypB2eHMU2gZaZh0BtlTHNAg5lhwpCl3ZFdxcEsmHDUJbN7FZkpTDjjb0OmIuYgwG0NghYhiGEUyqR2JC6g6MK94r4ifDRfcJOBBPGADYh6vFZIKqOG0gJtITSJ7o9ZCkkBUqDAEQ9NEdh7B0cQJzcDgsUMgpAxRkZIQE1BH8YA5ks2NwI4M1lOVCaezA6u4ARXwqaLORiMbxDxHFbBEOzlWfU3VGxwXaBywKjG0E2M3cP5P7PF/tlATP1zz5nVPdzD27Qm/kHe5Ts8gbPk0vOTD7/2AD779nO9/8Sl855GCzCoAACAASURBVJz/5M9IwBsW7PUTPrVP0OY1f/SnT/jFKbziIR//0T23buIv2++wTjW/9Td/Tjtc8+7DX9A+3dF/9IS/7j/iZ8/hj69e8d7ic4K75f420/60UH41cfYocignNH6BcMr9zze0Y+ThxWvycCSvHHdPPuaVtTPp3UWeW+J1WdDqwFo3FBJ3dsZ2qHha3/JePXF+Uqj+h4BvlHg5MSzXfMMFE5eg9+yl5dHUMv20J/5t5OqTdxmT8Hqx5qvTKxY58oRres18zIEPuKG537Ja9dTuhmPbwxkcv7whf/UFTz94QvjpT3g3OJ7+4Cv+9vgdfrJ4nx/88e8R2y07HvGaQPcHFe7DhzyPj/l5+YgXw0Omw3OO60t2Pz7A3cjqA+P+UrmJI/mXiTuUTdNxeAGNJBYfP6XQcp9XxAtBLs7ZDkummw2rB4Eb4Jf2GJc9Z+41WZTAgFAQq6llAZuR7uSUV7HinjWV2+My3PanfNm+z85fzn+DC17yhB1rvtaP+DvZ8QXP+JbHvNPcIKeeVc6MqeHzy4c8/F+/x6OXI59/+IT/bI/5bXfNR+c/YffuA3788B2yu6Cyni94l//C91mUwB+fZD5cnfOle8xXtuI8CtWxwP0bqiYx0sAtrGPiQieg0EuNUyOwwS/PiZuK3fl32I+BNzTkDLep476q+YANqr/kZDHBeaGqbnjWX/EP/9s/8Ds/OKf6nzyhKmynDKOfcSlk7FTpfq/h+toowXFBTyfCvS4YEZDMos/kv090peHlw4ZtNdFOxkmItO0p003m/bOveSE/5c5f8HfyPt00ceV3hOojPsvPiLbmiq+5/OqaqTP89xb46Z6ikbFeELPRlMJ1vuBNcvy733CDg/9gP+J/4Vecpc/g8Yrdq561GN5VDFXGrRPV4wopmdwrIjVmyngz0B+hXa/IFMZaaTpP3ST2OXOyVEwKFQYakVNH7hLSAv/4BfFUkEdrng+n9OUBB9cT3RmXqyuuPnoO9RsGecbg97jfPyedrihfCvnpxP4Pvs+v/lDpbcvvlRtkOScG9VmLLTPV7zf49wyGHfmBstMl+xxQNWQaibvC9HWPO0zovnDWReS7Z9xIx8ZqYslUOeOnmVC/Zc1Rz7nnAfvSklXx0mAozida2/BAdlSWOJaWQWouLDHueo474+SiZbFUzEcuPxFKdUSzY5CALpStC7zkgqQLPvTf8EgVzgWpC+3+SNKJuDZ4FMlrx4Ri5hgqGE9anrdP+FbepS2RRiNFEyea2biWL2VNS8PTMoIWJubCUCUJ40iWRwg1a8uoKk0Nsk7wjuAeGJtJaIKn+TBx9k7FdW2UqaK6WKIfF+R1z9VZTTwxujYx3A2UB89445Yswo5m27E/brBjwTZgrwv53qgeZm5Y8bfDuzxvP+FOl3xHrlGp2bEiotQl4mSiVIWcDJpZUcA1+C7h2on6+4FDM2D3W/oRAkrdCrdfFtbReFCD+oguC1pDkpExVTAFhvohr6st704b9PXA8cboxol2BXsbsZhZinHu4CiOr9cnnH8iHKrIUVtWT5QpTTSXK8Y3W44/esrN5SPGyzse5y0nlVFdKu6spV3cU3LC5z09jj2Bh1E5sciuUXa6oDJjfRwpTnjdrmhpeXTcMe0m9GxkpQEkkgMUGxk96D1UO9BTmWfQf4PX4tPDDNdvA5wk7IEyVSPVFhgNuQT5JOAuDNYO/6YwVUcsK7otSDpQPXFoJ6QaTAP+yYhcFOrfgnCvyDc946VHHythNPjpRPnaMUVjsMTioSFNYNpGmhg5f5DYMLIms9cT3jSZJIGJih0nbDkhuZqKyEIGPIVrOcdkxFvgjTzkW33InVxwywPuOKdyRxZ2y9P+Cy72E7e3W5h2tKc9E4WxMdwnHVEj0aBbL5CXMPXGbfOYjVvQFNCSGS4DJ/9jTW8n3CyXbFPL3p9xn5bsXMONdNzIObdyyoHuLctoz1CWBALDt/ekfIVdet59OvI/L/9PPtH/wOdnH/PzxR8yPnMs6wM7meXtZt/l2Ez4m5cs/uue6d9H4l8Z2+MLzt6NdHfC4euBsz95xYPLkWEb0QvhZnnBG85BKtb0RDIHzujtCqXgZGREqMmcc48jMdCRnKfF3mJ+a3QekmcSiAQy0OjAglvWGFWMpDTBBRysp+pabDrijon2PHKPcswNQ3ZU+wm+MWypyJXg1VhIpFVHmyckZcjG1ArFN0TXYpJpOeIRoi2ZZEmmQlRZ0nNe3dD5yAs947PwCUt5zV5OubFz9rljZx1bEfY0FNexoCACG1Yofr5USU22yIltWOuehHLDOUcRLtjQmVGYz+HztOcivyGGmnN9zbvlnMoSmcTC7ZESGenmSxMDQqLXJb40CPdEKoLVnPGChR6I4hnSCp9HzEPsJspgNPtxHsNpRg61Y3Tr+YKKMdepHYmaa/NsbfWvGkd+Xcsu/YwcoCbRoIPHXmT2b4zVypH/8Uh9m5k4ME2Qf5ZwDxL6KaRdRn7ZY8nwgD8TWs2E42t0q4QNpF9MlFdwDTx0S/r/b0v+scHqQHxPkfeF+oMaWfWkttDluckySqJHqR8ChwG7L4x34L4CezWQvoH0lRDqCXcOcUj4OiC1wsH4+u+M9ws0tw6rwEUD2+PXHdX2iPUT+sEJ/uIaeQ2xSvjHLd16h3QjeuKxLjPdTYTHNTSGfJyQE0jfFMot0A00bcFeFOzGMb4W0jDBpHSPGvwC1AXuvhrIf5VZfhc4y/DdM3bVgqQjp1qj1z1yhGafePPZwOZnkWefHBj7TJ0zmhviTWIcoekzuS/khVGvFF55ph+PNA+M1b8tXD675R234kRec0WFmtKL0jBxEY2Xf3Hk7M9a9LzGbCJtC8HBpR4Juz3BhFgrTJHpeiLfGOXzAfnlQHhU8OsFfRkITnEWcCLoPjL8IsHLgH8HUp6bSHY/NwOkg7IubJznjpZI5pKCFo8UoZYjSworGTlzkaXtWVvipSyJtuD65xVXf5FZ/zBx8f090fU8SiNqe2S7oewy0hscb/BBkFxwQdFnAZVEcYFS1RzbLVXM+CPkqad6ViA4+JuJ4UvBLSpYTvSjUZ1CWBjhA4VT8FWiLYX6GEkD6DnokCB5Sg1RAhId+ccj939vnP0hhI8hGcirRHUJ5TZRrxLRhERNQWjJrOlp6WGY80e4ZSENum7ptWBEliVx9eYV45/f4/8a5IsJlYLUE81jR3X+T1eN/9nrm4SJZj/SHAbSpfBKWsyvqezARjwvTxd8+Dpxtt/yOL2C6rsUAgMLjtLw0p3wuHvFcSG8DGc8l0ecX40czfGZf58Ppprm9j9zcT2wCnccr/a8On/Kz/Yf8W3/jDYp5+kbNN8RduBDodoMLHLkKDWBBmxNfS00xajjSIyRHAK75SNuJNPiMO245ZKJU1oip+kWHyoOcsqhtCzKyAPbEgLIVcatjOsODtWae7cECiqZnoSZEjaC3BbEt/Q1bGXBRh/Qlms6BkpZ0Oktl+Wa2I+0ixohs3MZPDR94XQ/4vPE8vo1oV7RpsKmPOAf1u/xq5MnVE7Zc8FNNVAeGeGs4rl/wGfyhLvwmGW8YW8V+rJn9dIhj3S26owL7NAwHJRNvWY/Bs5SRk6NG+u4rS6Q1qBacUhXjH3DRTairnklT7lySpIbhIwxzdVBhKZ47DgiUnO0jBm0bLAMh7xmyxKVSGcRkY5IQ4PnTs/5eftdnvOQO864dR27VSAfC0bHdVjz9Q+/prnd8mWz4Bf+d7m0n/F+F9kvPb+oPqbnKcvqmq/sPT7jd1m6xLvN51xWNd+kd3gpT6j1gOQaOToqF2gKSBSMeVi3olBx5ESPnNqebc5kgdvmhLty4A0XRE45smI0eCzXpDKRxRhah9YT7cbR/NSzOm0IGbL0pFJDqigGhUQJRnlglG2hKpGGnoXUbHVJkoS3QjMZem+EwTPlmkkr8BNOBrx5/Fi4sBtW4TVfyDNueZdL/RWTm9jbkjfpKRMrbsIl+fgrqv6EKCsOfmRvga50qHr2At/KOb1e/bpygn+19YX8Lsk2s6Vhq0wOpAiUOF+QO5BQEC0UPFOpWTEgvREK+CkDIA4IShYh94BzUM/26pIh18pYCeZAdhNtA72HO0lMKLwVw1dNZO1fUiRj1lKAfGlcP1RWO8+wgLvHC75Yr/Cl4PIBcKRQ8HUkBWV6FPHvR+QV4CEXT7GaMvcUEAN3KNRNQ9kdCFPkNhlTtWCMDp9AU6IZ4dC3DM2KpCuitPQ48lvbyKCJOo+YJVYhoKZIsZnNkzNh8gyHuVMQqwRmhBNjdMyA1E7JtXFwyoFzAi29dFiZocTJF0JxaJ67agRHdn62afXK0YOrhV4dRzyIcGQJMmI+saVjZycklBj8bClJmlk9JKZZI0ZtkVY3SBCyA/MBlpnUGZYAVfQsk89nu1FPQ2w8dnaEAeLDQq4MxRAp7NsFt22N2J6zwVOigAV8nq3Kqyi0JAZp+DJ8xBfyXVbcccIOz8AgNWY1IR/wTEBFNQrRD+iywJ2jCkBdkLOMcyAHhxvBMzsblD4jeaRSEFXwHnUDmg1JEHPDprrkHniaexhG8miI6Px6qUA2KhIdPdkZm9DRhSNtGRllQVqMlM6om8TRJ95crPjy2QPM7XiUB7ybLdZ9U6hk7ky6LDMrxDpSPBDY4upEliUpV7gSca7ikBcENXzssanQWqG4AxLSvB9tVkWWrGj2LMfyG1+oqTeF1Ah2NjccqWeTACtvuQBSyBdKuso0i4m8z5gkxFcQDZ0SuoJ8KsQwK5jcKeTLjH84MPiM7YzSJeQMZILoQTeGuxOCFOTcgavRMeJdJueEeaE2GFhwUADBimegYyctk0DNkXWZqExI7pQDmUoc95xxcOf0tprPQGno0sTKTSymO9ZTodtm9ocB1xb8BOOD2UGmJIMxIc2RXE1sadn6B/TU1OS5YF6tuXs8cMeCTVqRpCPm2Ynomo7JWhIN2TomWioBs2G+WEugpMw0CTTG4uSG74Sf8Kf83zwO39DIkZ27xKxwk0957h/RlkQQoZkmzm8L3d8Y9reOnJXgCnpZ01aKv7+nbifafc10OtIwUVmPWo/XnglB3oKdc/EUV5OZ6GTg1O5JeIQNlJn3EGTAyxExRawQJFFxpFiFitHQ0+JZUHACNGBWZuBXBEoEGUkIpdRIqbApooO9jV0R1dkVKpVCKAktBhkERXAYASWz5J4gmVwCHkcrI4NBI7cstEP0wKEERj1BrGfPKTtZs/dnjLIAG98KThxzRx4GWbJjQc2BiFLEWL9F//YUeip6KkaOtIwUhNE6igXW6Z5NWBHkSKsHFrYiSyZwJEkm06ISCRxwnCJ2QmGkkZFoFckcnWRWdkRKZqRCLGKasBqGIjSpgjI7HZkESmrQ4HDiCSQqM7LVDObo5TcfbA6wW2YeHCu0CBE3f467kfbWcFIhuwnpgUOkuZ5HMyUCt45pEPzOIYeIqZFX4KOw2E34jVBuhXxvyG3AbaCsPGwLzUtgrCmPZyWwdoq1YD5RpSN1huJrzBdKs52LJBKQY0IPihyN6pWn/Cqji0TJs8pYa6EMAqPnsBdiVUEv+PxWGVJ7rPaUA/P4cpeIp8BrxSTjO8DNyh6pjOyUGAv10hO7HtYFX4NmwY2KpjQ3VwqUo+J7CLtCasGKIyp4p9jOkNeF+hqORzhUNYduwURPVsA8eYrUQ0HuM25vyNQi44jLs8o4jUatELbAFIhtohTBbR3uhUMLEDOh7FiXHcHdccGaQ15SmKhtR7U1mheGP85q8WqKtIOgVSHlA5IjJgtKUXwCt4v4o0MO87kjPWhV0DZR+Zmb2dNjViE3E2xabOxxFtHJkXqFkiEIufJMxRFRRjFGNQoBQakYWMiRpdyzsh2LckDJeG2YUoXsAs2rgt+MHNJrpO55NCawzNgndAA5QHNItEtwtSJqaBfJUsjmCNlYZbCjQvakOOEqwBS3EerrjB4cpRSKGjSOuEyEZUb8bMVdAXX0pFFn2/Am4XPGFEYVfBGq156TXw5UTxR7V7AAYXL46GFMWA44y3gODDIrpismOkssisf14HykjQWxjApElJO458FmIL5IVN/W8HIirUGcoMsyQ77/ifXPF2qKo44Zn2eZoCMh04ZlOOJlJGkiB5l92lF6EgMVkzQ4DG9bGjfi4wzr9M6Y3GoO3+JxEhm1QXVgzA5zyigjva/IuqDYAochJkh2FElkGzHxmELCMZVMQAhZsbwg+chURQYKk64RTjmUEyLnmHnAUWkgoLOUVWT+/xhnuVilTFXF5EYmmS1ym3LEs8XJhMPPADwPOz9wdAnDCMVB0dnOkY4u7xBNDL4waENmRaEGBZ3AJ6E4nZMyNw8KFTnnoK/pFVRm6OzkRyZfyL6nD8peag5UTFQUzSzE8GNBDkaXA1JaKIohRK1IIrPOXnsOFnhFRRSHN4jSkW2FWoeWmqSz3DhjGJliBZOCYyQwUUmhWKGoYNLjmGXsokbgSEOkyQfQiaAj67Kn0YGDT2wQojmcVExScVRHlIqJwK1v2VQLehMgEqpMoWAiKDXgcVqhonhALSE2MuiR+6pitCs2bgMaKHIK5UCwgUIhUZFkgS+RJSN1iTRZqIFJ4EBm8sIgLVtOOcoCFUM4gK6I2jK6moGa2eKjxWxOX5QKCBjCbC5peGbQlpeJVkc6BpaWqdIRQp4vWCZkganylMozscd0IMuRYBNtgj4ro1T0NDipEZcZOBC0YjKPSUVEZ0ccmTiqsXELztySM3GUFBnlhKMfSeU3X99blZEwyjxWkwo+Cb74+XQXwyKgDdEdiJpxKFYiGqF2oGaUbLMto70tBuh8MU8cyTobY0k2BDcnBTEgeUBLT+V2s1W7VYSYWcqR2o6IUzx+lpvaHkeLFCWYEFKhsYnGDC2OuUQQQeZCgViB4qEk2mJ0ZaLGY7zdYxjFPBSPJkduPFGNRKS2Bjd5pCiigs+eJhYmf0Q4IhpnNZyMzE9Rprc1mQCS0FLwKdNkIYvHlzCP3/j4NjkXzAwtOktCi2HiAEUNRMos11UQU7IqoVTkfoDYggQScR7LytClzMJ2BG6BBWqBIELRee9UgJgwiScjVBZxCBnBRKgY5hEd6TG/YFIhZwcIqUy4IpDz3DV1yiQe8/NoKmRwRiGB5HkMwQSflDonWiY0HuZPXgpRIYgQLOPKiHcZLSNNibQ60uQdldtRrELwiE2zLHtSKPMcmv33S5OluQhogiYB66jLfnatKm9/X4YZ/KgKuULziGRBsxLi3C0VMmIOyW62lrW5kNNMysLmszZwoLZIlRKLEgkISVti8dQV4NL8WWZHOxi+MZpUEDNwBSkJl8ElmeXIorgSkCw4l6hMEEkUHAn3tgJTcCKIGaEUgsFkgiSDrMgAbXKQCpKMbiz/4rHj172MiigZDULqjaYIkuTtuVuRLaNALvNzoJaRKEgw7G0+Q5o9lApgzpGdkIviU4OLA5IL7VBgmuPApNA4m7/7AmSHlIoqg5i9Fas7sniKOcC9PY9sVj+okMxRoQQCWio8jkaVTE2RimIt2WpUHQ4HJWC+m11FrKBmeDMwQczhrODLRCiZVEB1pG8yg/QkjfNee7t/C4FEIuE5uAIyezjmMo88OgLBwFue8z1XY6WQvTGKMUjASQUpoQyM4rllSc/pXPRBEfFk6rlAzDxyoUmRonOtQWaHKQ2K+ZHkmGHPHjKOXhsSHZV4REdgIJijK3vMBQYahAZvirMJmEdKVI6Et9F99saaUGYHKEemZiCxRiygb791pWBlrilgBckZERAyhQlD8Tnh5g7Q7CYlEa/zKHhgJDlHDpko4MyjxaNpBpgHKzQawd7GbDJVHlkIcyFEDhSb5pGmkulKYfRCJBPdhGdgVQ548RxEcW//NzVBZW4lzE+dkSlkCkZGmV/Tk3AMFDGKZkouKJEAFAuMUlOKI1giaKbw9kySiEpEzPCSCWypy4iaoxTDu4iTgtc0v49iFAO12eklB0dfCSE72iJMeSKGmmyz7XfAEDOEAS/9v3js+P9jjUCx+cRJZCLgikfKfIfLJqgZzmYIOdkj0aDUeCZkVDQGfFWIYogYkuc7l2Rw5W3TSIzsC1M1xzUvgnmb85kyxz8oqM80CKV4io1InIvNFj2KYFaQIv89OmGT4KLH0jhDyJ1Rmc0AXxQRBZtHD1EFfVu4rhJ1mObYJ7Nuijy7KWYHooLiEYlkgeznUmYRJbqCpyAmuADpON+5YB5JFhGKujnuasQBLru5aAGUoPSWQYUswuSNvgYNAghqFTJ56uzmPThfD/EmkAWTRBLDiwcfEB1IzM2XpIA5CgVkHuOZ214FqFETnCmhRFpAU8GanuwSJUBWY1KHFofkTCFiKpjOhVVfzbFybgcOjGQm14LNpgM+eyxGxAV8EZCJjEJ2VEAlb8G5ImRX3uY6/Dfq3qTJkiw9z3u+M/hw/Y5xIyIjcqiqrKGrq+duNCCQIAkaSEGSmSSjzEjJuMRGprU2+gv6AzKttdBGO4kyk2SaCAlNAo2JQA+F7pozszIzIjLijj6eSQtPade9Ecza+vwBv3bv9ePH3+99nxebGnLZo2mJ2tOR8GIIKoEakDBqBVNxKN+h3RiyyjyvIc4KMeP/NMU4xoK1JURPEoc4RdYzagHJAA4bEihN0P24H4XXe+Xr32mMlI33gQQ/PjqVQSVQUWiURydBO01hX4e+whj3iiYi+nUUTDxBPBphUDlJHFnqCUmDxNefZUD3HhUgxlHAkhixKpBLTxYSyltUAElh/H+mRBKF1xFnYfIL7vFfHoj4XoVKNW1h6dEUokBFOuURFLN6wfZ/GVgZ8JeKodL0oriSC4x3RJlyl1Z8Yh7zRZrxhX+TLY9pQ+QTdY9adZTvvs+3z3/CsC7Q5sCyH1iGAzbW3IsR/wWkR4L7Jyuk3zNYh4kWTCQj56g97o0J6gc1h3/RMTkPpLciaEfpNWI8qnAEGlJqGLC8YkZIHkUkH46YQtEbQ34CaefRtxBeRSbnNeE80PEGHTlvpj1nT67Jf/AKM4CrLDpE1sqTmwODb9AsqeQlp3TkwRGqCXuVMaSCnow0E+Q8UpY9GfvRkjWLnKunXJgdm1fws/U3eFMpCmpyUzN9a4Kaek7SlhkOkzRWMto2ot/22PsGfdbR2UCzr0nrSFxHsrnidCjp/sJTrAbMfcGpgrvLS/JpgY87cnNEQkLLWAu5SRWvwglr5lgVcMkj2jP1Af10D6tEPZnxKp2z4ZrQgRocY+lnwUbPCOJpZAIpw6WMmgJHhiEbFf+yINcWJYojhut0htglf+E/4El4SD15yvDglB+bt/kh3yOmNVX3OTeTB+z1gvOw5eTYMAvXFOoGKYScSAw7mM/50PbUv/8ItRrIw5GFsbisYxsW1GGCtTVF2hE7R8Gc0r9kZe+44iE+ZkyiZ5quqPSMWgwxwZyaM3aY5ojqVxREBEewGkqNaEVBzqCgfezhYkk7DcwaR3FsmZWPCCmnlURftkzn4FpF6CZ4WgoUpTlSxZ60G2vldAUmE4YEKQpWTdCAkg4NTGONfhiw8Yq30xYXPOrhCddLTcRQ1R1ntuXKqV/7eu7vy19jly9J72qYaWZTSHMH0xXprqbwkVR60jQj7hPLu46QAUaPld54Yl5xvNpTDYF0H9x9Ic01BoVqI+IM1StH6DrsREMbOOwV+bzkTLeU8YagNMq1TPsDVTlwMBaPxWnIDx3rbEOpI8PEk/3rz3h/W7Kqavy2QxaBwhrEBXSXsJ8Ejh9n+I0w/X0hqZqBgiZVuDwnm3XYuRlHSWUklIIhYBnBkmYlMFN0s0jKj1RDYNdmNJMpkgrmKaJEYRmto3dpzdN4gkoDp9k1j+6viCvolMMZQ216phNLzDQMAaUgTQXKQCwULmZoJhg1UEwd+gTECJkpwAX0MiLnCebh/3tZJDqsBpcsexZcc070K7SqSdrjaGnj+DzxYtnJnBCWzPQoEpnYYYxiTk3AM0jFNk3RkxId6/ES2QhBpOuJSdOZGY3OMarj1MhYkZxDKZ4oB/ZZYJhkzG53NDcHOAFMIrtIDBcK3j2lmdb0M4VTsA53/Ft8zAsCnXRcqFtO0pEta3aimeeCnfZjRfksEdcZ7kSTSU7+skfq8eChM5D9gVBZ0iLCLJJNQG1aJlMZnRlKSDnEBwquAtm2ZW12NOlIVveQafQ6kPwRdwcmtFzkH1O/PaUwOfOuJt9tMavIdTZnF+fcdwfkVnCtp7KG7c+ec+/Pa6Su6TrN5NOeLPPcvRAKBDN1eOtRyTNzOyZNzWBLNmaJyjUTdwui2euMzAxUTUv/smfoPVmKBBSSg2o18RA5NMJCa+onwuHHiot//iveTP5/rsODHLFjs0Nc5eRvCuobPekSWHvUfUHnHdlW4KigFbiXkTy4pz0qCNRC6hjhUhNQxuA2gfrPHKFJTJcZqYrjwXqm0e8FJObgHOouQO5JnaevIfceNTkwOy+4w3MjC+pkmKeWhgm7WOL1hD6e8fPrgu10xrR4TuCMwb1BS8l1fMitOqHXlttwj6vdmo2DV4sM7y1oz7BM2IUlVYFmMaWZB0rjqBqFaRMkhT0RTDnjYAo6SvRrl8UxTVnHNNb2yoSjaBoyGikZ0pxWpuzTjF2qaCRjnyr2MaOWgp6KchJJuiEvJwxK+CKeMpP3uOZ9PktvcKSgoWDHgmMo2QeLUxpyTVom0geQCkNGSfqah6/0qDfnHM81u0lHzQlfZmt2WKK0LNPARToCkV5yjmqFVQ1F2uCYE8jYS0FPSU/GUab4NKFOC4SOGTWHWNDqgjZl7OOaIo4Gzk5N2WtNnCjIxul/WoNqoOw89/oGUyas7YjziDYajhFTWKQZHQfZpEGWBUMB+lFBCgWH0vKqPGEjM45pwoYLumhIkqilIugMEuhkyRGQio/DAxBDGXucEwbreKw/QaWCWipqcpb0JZU6WwAAIABJREFUBClIYXTrBHr2kpGkxEeFoiGIYnzNtYRUkFKGYocSoRehpuJoTkiMgxIvBZKgVEfmbMnkjiUGSZpaMpJkJAKZOBqpmMbAqezIUuR5OqEhspSxhWc+C6zf21GoPf5c83z5iJlpUauBqBV3LPicezxLD7lKazJtUHRU6RfX4f46rSJqQq8INiEI2iu6q57wHEoEfy3oK4VqNf2rgDEQjiA3LTEl0gkEsrHlx/cMKjDcJEIHRhROQ3ZmsSuHeeEITwW7zOAe5NIDlpRy2r0f+SAyQNozqSpKN2Ba4AC4npQlVKtQEXzVEL+WwV1O+jTiIuTfGp0sGI0eYLhOcAXxUpGyiFYOFYSqAplYZDFB2wZcIBUWSkGfGOJMgXboicde5qRbj8w1aWbwOsBCiDahRCEuEW8S8dojZUac5aS5Qt961ARSlrDznBRaaIVYQ/QBModDUUtOeWIwk0DyERt4bc8WwlYRJ4LRoMtR7ErBk3KLqQRlIkMd2P5UcD/T3HsX5sPA8OiK6fzIJDpyWk5SIFMebEafC0aPvKsbq5itErkBoxsqW+NM4EZNWPdTRDnUzGPeXaJWLSyFa2s46CmSNFE6GiJ1KsdmPDmSGU9qoXseUFuwbyu6GJgONZIKYmGYYzkPOzI6eqPx2NfyuKGmJGJfi02GYyq53mruXwnqi8DwJw4zGFyImEc9/RXwoWB1YlgFzAKMFfAJLYbYhzEKOzUMqUdiQl0L/rPRqeTmDrtLSAvSG9LW4TZAKYgZo2vpZkSBeSMkiZgMYvBYD8OdBpOwa0N3cKjWo89meNtAC5mKMBPiBNTU4E0CNbaKlT5ymjeINFTBE7cD3IAPAfVeRS8OnQIiB7JQ4Q+JdgLmexViHO66RWs7DnCb8Avv8V8q1Ojv1+Q7zb7MGMQzYYdWOQc8iQnLXUb631vUUpP91oR0EYnW85xLrCQG5bmSCsU3+Czd58P0BlpNcTIQydmkp5TvfJtv1T8hzV4yb/ec7U6xpcGaV7wXWuafgvp6Yv5PAvrHnkm/YZVqRDoIBVszkB4p4guh+HNP/vcFeRfE7rEuh9Si7Ck9FhMmZCT6MOUlFb0s0aGjdMJgA3IB7AT1/B7F7TXxvQZzJtyw5kZyHruP+NZP71j+n7fIdw2b2ZwsOM7ZcGIP3KYBRc46PeciOqbeY+0lu7hgl1bsZAELA+uOIrtjFk+w+QS1hLlc86Bw/MnmHv/X/D/gd2zBN/khVj+nfDenKTylOVAykOsEFHSHJffv31It4ZggHcfaUKqEP4u0C0GrDP/hDr46JZ1l1GrNzdljxE7Y9xZNT+EVqFHA0mSch1se6RWJ7Wt/UOCeU7gvG8KF5nj/jE1a4FNObIUwZBSpwAN7mb0GQmlEtWzkjANzdkkQgbss40WWM00NhD2eb/CUjC9Kxx8ev83WfYN/WD1j+8YFf+rf5wf8HjFlTPyKjjcQLIX6C062B07bI5PyiMoTpI7SHVFl4K+zyObfeYDuPyMYz3zYMbVHDgk8A2fpFZo1XX2NS5FCeQppcNHhQsEiDTxqrtAnORuZYUms4ysa7ij3PZmDjA1wRzCGrjIc7UBgQi05w2PHZAGSjry5sbirK3bvRY6iSZJjtcdOYR9gr+5hh0CuDNEICxL6ZSI3HrsyeFsBE1LQdDrSS6AXzRKLlQG5jGS7PRdpyxPtePXghKvsPsc64+3dc06nNZ/JL0JU/fqs35E/RE6F/VdgsoykTaJZwSIH9xn0ApO5ITcD7cYhtSAm4FVGmCb8ZCDPV6QXARlazP04gnjnFUENsO0xTU7R1QztAVOAHCy1RO5NPfcnL2n9CxSW/JhQdx3qYcXHk5IuejzC9BrO+hazSMRVz+p//Izf/PM58viAyoWwToQ4PjxoCsxHGflPetgI8e9MafRAG4XOTagLi1l36GVCXCRUwnFq8cmQ8PT5nmKRiJmlLyI6O5K3DuUXtJMKpytMEKyqWXIgkLGTBT9P3ydJ5DT7Iy4vIUw9PvTYfJx82qIiTu6QHoyakKYFaeJoc02rFEJHzpZ80qNWQAYqd0jKcWdC/QCq+QAyQud8lJGVKYomnbDhK3wZT+j0AZVaZnJA0g6FwknGjgV7d85aZ69t8Nec4ZnT4GSMIT3PlpxVO1SsIYO9VSzUEg63dMZyk51xm1acxoY8WfCGdpKoYiINA69KxXGmWH58w/RVTytT0qLArGH3IGG+mbFvb2G+ICR4wEvesS/4Un3ODZqvypes/R1bteYqFtxTFWoa6VUgTg2swZ33mJVl+EJIX0Y0YawK7VpsmeEKQ6hAqohc7ynysVIV44hVIl5G+kcaip6lvcb5DZNDwE0V5QnI3pOuFfGu5VI9Y3pR8KoqWXctxU3NfnWfH+k1nUx4N3kWdwmzDag3LfrnV1z+WUf2IyGYLfqxIWWWu03PadSUlaI1FYETJt2RfNvTnZzwXE65F/dM+h1DseDGTCl5yfqwYfgyx1uYukSyO/oFmEZBG+hvPep0Ap9HJn/aw6+5UPPJI2EmQp8MTnIuq4aFbTBHqCeQnUTyNmGe54jLUTLQ3w8UtwZ1pVHbCtYtHKGoFepSw7uBeBXRnyW8gfSPBuJc4UWjpxb3LYepBvSzgHwCTDTJOcIWpBWKzLFeRbbmwIe8w40MvMFzak6p44qQoPdTfnr9No0NXJSfs1MZH6XvcmTHS75Bg+VEXaHCCa92DzBuylBeYPYKTKJZ9NhVTnCR59WcIJqzsGHhtkgD5AG5J4RZxU5O6NIFSR0IkvAyo1SfcEw5rZxSp9fRc7XgNi3ZcsJeTanTjEZO2LLmNjo0loYFZtGQdzcUkzO6neEzvkkvBc95gy/02wwyZ0dOkxQ2KWpvCEoYJkIsIuorEB71dFmk+kpAPYqkNzN2+YrbqLirLnipltwwJQcu05aH8QXeZNzoGUd2I6MmefYywaHpmXPHGtDs0oxrLtnLGSdcoXjKrcrZy4yE5YVcMlcN5+wQCgZriMU42S1FwdqDBXvX8Ki+xpYDqki4VURVhrSLGJVgUISdkFXCdKLoqNGXJa9szmd6zTN1j6Ocs5ETrpixUStcKggpx6oWS0NIE0yY403Jz+UNnsmbJGacyhXfT/8H3+HnDDHjS/UGDSUGuIsrrmT9OhKl2DDBkyHKkPwOLzu0dAQsgYIi1qy5odVTXsQlOzVjm5/SssBTjp4iNUZclxyZpA1TEQKWScoxyTGgKXRHj6VQN9xTnxKScMU9tuqEFUJvDLNVzdeKn3G62rNZz/nL6ltcqhvuqef0Gq7TOT+R7/BEHuNT5DLuGI2c/ei0+jVfEjVucESl6TE4ScTrDvMExEXMy0h4aZBdjuk6lDJwHpEtKOuRKpH6BpmBiiW29sjNaJhUFxqnFPYC5DyifqhRnxnyuYF1gUkHlHKgheE2MGtyBIXrG2zek4+2ddgoqCN6BspoVF9AdSC+GSk+dMR/6VAqwXccogpiCUedON55eK4wM4PkjiQBTE+ohKQt6mxCG6EaxoFSWrb0p4npImcYPH4asace6TyyMGwXgcIEJhtFeg0Nxin0dYCXEfNWIl6MPKZ0e0RfKIapJa0igxeqXQ7bjKwvyIuElzl3OkefOtbtgP400Q1CK+N0yNwJXoEEgcnozpAmQa4JS8cQI2wS/YcZt3vP/W9YpnmNnrxEGUcUTZdVnCpP5nIkLEbXUzmwlSWfqgvmM8uCAxfynJVuuc3mfM4lKV9g/ZE86zAfCM0bA7vljDt/SaMqclo8mg0TTmLJ/QwSYyujOhSojyPSR+Qdg28GFq6j0q/IzQZRipUc6UxOrQ2eRJYiMOGAoqMDUQxovDuneXULL5+iPtIs9w7pPbpwqL9boL8U0g8DlBXTh3v8w9dOlihQRvQmjA73NxTBQBo0xQuL/ZFBDxG5H5GtIriItAZuHOmVhcsKyUfmoWwNxivKMmJPOiQfC0K0z6hvOtwpKF0Qaoh1ILsoabKA3yYKFYgXOf1kIJt7tNaokNH5QAiBZV6T9R1zVyD7gFyBVoqkhUY7Km+QFJmFCfHuSFNC8Rsa1Xd0G2FCgQmCa36xw++XCjU35wc0ltaMFt0qHZkNgTLf4yWQ+znWgdIRb4VWL+nTBMeBoE7YknggT5iEawZ5kztZ8kBesUobPk/f4GPz9xjUBcusJojnN/2fcN70zLsXOH3BRk85TAzlMnJddlQPEhvJcD4DgSmeVqY8yc94w7xkFTvQwtNSccByUJfccZ+7cI+TkNjrM7bpES2OVfeMVf5zrvwSKYWWKdKNXBPi628mJmxKnMozZukJb3dfsGjqMRc381DUaBepJMMmTxA3JnnFcKcykon4GNCpRdOjiSNV2wllCuzdgZAbyszxOPw1m/RNvkyJU93RIdzwgHvFK87UJ1ifmLsDM3WNTjvy1zyCH5z/DpPlhLL7CXfecjATUlLEfGBXXtFUnlMP5q5gus/o4oxBKhzQK0tQBVEMOnbkukejqe2aG7nHmhtmqiclx84ETB5RyqHiQCGRaoiY2lD0FVVscWqHD9Cah7gwo4zXLOWahCEyI9cNq/CEwuzopaJVU2pyFPe4k4qduccsbnDS86l5xPP0No4pSUFTntIwZ0JHlnqm3nGqM6q+IgZFJzOy4FHe0CnFNtMUMY5gTG+pyblV93mRvsYxPIJuTgoPqTmQ64/IqBkDFgs6NaXVhh0PeKXeJrMd2/wBX64s3Ts9k8sGpwNCxaCmHPKCQiku3R2PuluqQ8uT9ZzrXHNWW3LrUNyh0KMvx1YUK9hMhZ2ecxp3TP0zqrgC1UEOIStxaYaknlzdoo2nkSkKjVYzSEKQnLAwbKLBJ0jJoeSIlldEdUKdFXRamKVf/3rui7TFD1P63iCdoozQqAR5T0akqA20kVQOo3BXT1GZJ/ORziWsVjAkVFBIyAi+w6qI9g0xE+pMoUjYVsicoHpIahQL6HqqXc9EA6pAbhwcI34JYV7iVU6fG3wlNKVQAXZIY3vPUEM/xgViJ2AKnG6JU0eRg9UOsa8dU9FjU6IgJx8sRBhsj4SEFRmt8K/NkaYH23REO9pZHWO0plCRKm2oUk4ZTok6Y8k1Ooxx1FZBEQeWLjFtILcD4i1SV4RC0acN+nUjkU8QnSUfwEqkEE+kHa39r3Pd9BppCmKK0GQUewVDiUsyiuNuwWSf4/pIToumwYdTrBWKtOEgJV6fYMjwjJHEwkxx5HjpyVRBoqagRUUYxKKkI4RhrPrtDDq8JvLrOD64Q06vTkh6i+iAsprUjFnhGAWDkCeFEk+uElEpxGXkLZS9Q/ee6ZVBvzplMi3o7+9wvGBKxy2nHFRkrntyDixVIkuGvMtRusMlYdKXpMMByoG2SBQOVGtp84ibReadRTegV4HWju0F0UaiKcAL5SFgtxX6IKi2H9tUlCdoyKKB2pGmBl9ElFaUPrHcNqg5zDYJ/QzsGWSl40ot6LIlt7NnlL1hfTjjbHdNcTxilB1dHZ0hREvlHdN2Sow9XhmMq1mHPZM4UEchS4k81WQkDknTYTFEVIpYSVgPqtbMdU698+hjgdiWSQDdacpdgVz/qnaQv7216hesU6RNnn0WKZ2ivAHZTdBzIG+ASAxhdF0mSBJxmWd7EinzI1URQYRuCnE6MEvCpBYImigB6ce2HLQam1SGiJoFfAEtMA0ZKTCyFArPoA1RVzRxQlI5rZTc4DkwJ0gxOmvsKSE/Y6cDz9XXUXLgY/MBx6B5pe7jdUYnKyplGewpRym5VaeQZqS2oXLVCPnKx5jgyrVkOuNFuSIlodCJWDi88ly4p+SmY6cThkARa3oFyIClpkgtZezIwkAk4qxlQIiSxli3rDA68sh/yl5a9rFnMA1JC30GL+UdAlMaBJ0GJMHgL2ilolNHgtYk3dNkJV+YgtmpMLkZyHsLXnEsEte546gMXSzY6BV7LhjImbJHy5ZEjUqeqYz76SBzCJrKNEDOkQv6OKNXBUcZgb07DJ/ydRqm9CgaKo4UvFIngGVCpKXgIFNe5AV2mdGogjSZEsKWotcYXZFSSw94HckrRaqOTE0CkzAKCg+q68FCaw231rJ7HSUPWPz/OyxDo8iIko1RM1EcxdKJRrAMcoZTOYMpmUlHLi1leoWOJaWsca/RAoacoE5pmWOoSBiqtCGS06mSActpesWSG2pZcaPe4nUylkGmeBSCAY6YdEchG/oU0RLHkJTMAYtOESuKUg34kLCpZcaOVbohkyM1U1oqesmICMH0FBwI+YZuGribRl7aGRPuuEeHStBjOKgTBuZIPOJMxpGcXNlf1Rbyt7p6cioZmA2Oh6JZ7zy2l7GwRxmSVrgyIIuBYRIoU8DqHFc4+jxSFqBLwShBO4tybowQZ4FYRJqUKIsG7TRkjnYViaua2apj0FAWGjVqDwgOJRoDFGHCochol7fMzxOTjeB0Qk08fVnjCiAFfKXo1xD9GA3yWUD6SK6EYD1+ccSvBnwaGTaqijSThNUDmWpIVgiVRh8GvB5dE4gHiQQLJosEm8BAp3L2WrHKFZOyJlaRPIIOY1okTFu6OeR+3OpEabTyHMqO4SxxvO/YrhRKAamgY86gJ6S8IcqBvKoJK0W9SoTTO/Zrh51CnoMxiaPStEsoVxNe5QnxmvlqSje9oRgi/TzglhmuyOlsjqMg6YI6tSijSZkhFIGgO0QFkgwENCr1CJ5bfcqONZGE6JZ67mgfRfS9DdtpJIrDpgaTcpIkXNIcKHBFRi89RkGSsYxDUROVJpUlXe6otEEJSIo05GPCxgSsHcjlwCwcmEvPkCJJCVH0GNuMHrKBIU/YuadZWY4+kU00+aTCTxvmpwOoQF8FRIPxMFLBDd5CyqGsWvqYyLOAzxz93GMryEvoq8TmHE6qFnFpFLWzjraM2CyiMGO7V2SMeJYZBpBU0k48mXZY6TkUicMCLpYbmnlAz8EbkNLTVjDMFBMbsNHBEPAYdBSieHrdMZQBU0FeBLQP5GmM9c7SFu1e4bPxc+hecNZTLyMn0yPBRMIvQWb9UqFmODnhpFDUGczQ1AHOdeRUbdlT42zEfC8jvms4vKU4qimBNSt+zCZZDvIu592O7/l/xavqkp/IY36bH/KufsF/fbjHv7x7iDp7m/++/HfxlBRK8Vv7f8F7924IZskPZ/f5D//hmjIe6Zbv4KcNnXnAllP20aI44NKCK/c+bz5+TtI3xH/8iM1bGa/I+Txe8lP/u/zJX33Cf/Ktmmtb8klaE2zN+/E5p3JDe/ptalNSNzm7jyB/GZF1i30zMsw1ViIP5Uc85kO+Fr/kZb5h+u9bTn8bDpuPKN7+O+xdYkgd8ww2RJ7GC3qxPDI3zJJj6W9pJGPu7wg3nvrTBfY35mSFwmlBp8RDc8fPus/5px843udP+Syc8aH5OjLc8U3zU+KrlqrsOCkalD+iXYu9nPPfuH9O0874j+x/x/zCstucIxvDZQlqmsh/d4H+4QH/h08I979H+4Gnsu0oJHuhL044WEcmLW9wi4kQJPIFFZYzvhodQQae5Io33z1Bzf+Kxaxkqo6sD5Htv3b0v9PCWzuWtqbUgVuXsZOKpbnja3yGj8JP5AMGNN/Rdzx2G/4ynvLcPmArhnMaRCyXeeCB/xtyWp74B9RpwT15gaVGFR0bMSQMWqav4ywOHRaEO8XtwpKcQj0zVGVidimstcF4zd6c8Te8ybP0gD+9/haffz7lnftndFcLXr63JlOCwdAnyy0ZT7IZTr/PX8bv8mF4k90842l8zN0F/Bf/1T9g6J+wV5aCBxyT4tYP2OC41FsW+x3N/y308wuePT5hP0l8/fQM4pFKwYu04hN7yvnbL8jLin1UzFTGSdaySAPRtPgPNE+n9/jCXuJiYK5u6JXjOp2QS4YLOQdJPFdnVNP3uVvOeKVXwITZ1ee8dS/RiWe7fsBGGt5wz/62zgS/snXs55Rfzkl/uoXugLtNZElBlijqiHwE9APpnxWEi0B6sWWYezKvyNqIanLCfs/0TEGXiAewbULqPUYEdV5wq0sWJif+YEN5C+pbJZndM3x0xFaKziXoaibdyJjYxSOTkwvazMLphP15xT4vsZ8Z+OIl2coSvpJx5Mj8J5H4ccHztuPR2yV8zREKoFBkrzxxt2PdXXJTKFI3MHy2ZyJgzy2x9SgTKYdAK5qWnOkm4/Zf/ZzsO6dISGAs2zvgRDFJt1zqEqSkYU4ZdqyiZ0bPjCdcyDXfdDuGP36KvFuSnHD9ScP6995h197CwVAfPRMv6NbjXjj0KlG9UyPsyVCkfaR9ETFB6D7rIJsQfjYw/G9jQav7/SkNEelz7n7Ukz+I5OGWU55SZzOKcEdl73jFA57HczJVMGHLJR1ZchASogKejD2RMrWI0sTYch4d9fUdamtJHzvs1zz2gwhZRtZ2nDnP55LYd4FeIkkNqCtPc1agdIliw2IYG9jU24ZyWqN2a27+BL560pOUIv3PifhvPubF359h//MHYDQFc+r0Fl/IhIXUrNIdF+qGEAPuc8EEh/66IfybI/GPBfew42RlkRcB/6mjLxLzv/ceX/yXH3FvqZncK1g8VmAcaRrQZQ7e0PysY/JHR9THGTEmmmHKlW6wZ5bTP3a0P++Zfj9RfADRR6LLaV8OxK5Hf2bhh6DuXvDV/9jyvEjclHMe/v1z7CaS/qea7KlDfbUgvNUTfwpq49E7QWIibR2mhi5qJnnPTG/ovMMGz1scaG+fExYZzhRk4tFM8WUgOz3AXtG9qAnPoP+jgDl45LFDTwR51jH8pEYdIr/ur0dv/K+B/fOXnH5VKOae6iqn/2+h+HwgXnr234+sf6fEDw2miEhI5JsSZztO/2BB2rekH/eoZJi8b6h1D89K2LbIpUL3QvqzgJlE9IUgnSP7cULe0JiLgtmjhGwESk31FsRK05cLvpAZL9V9sjTQpZwXnNChMSrSsOB5yli8q4jtnk+6OSelIotP2RzfRodRhJVlIsVEyj0yDbxSDUrNcX91DVbz5PbA27+lWXtHGW+4XlzwV9VvcFt43tJHzjhQdVc84hNys8VxwRk9D+Q5N5RMaYlcU0lkkRRJ3XIuDTN6rphxUAue0VOTM7eevxv+hlu14+f5Cc9YjeLC4Hma52zVkoW/49Tc0if47PMFu2GCeSzovCEy0OUXfHbyJu9//Rz5H7bMPiwZNnfYdxcIE2waBfUkE3qpCMGjracXw0ZNMaLhNY3FkSMiTGKgD4pG5/iguZOxPEP7Ix2Gv9Yf8DwuuYgvEKN5ISv2aYIkT0Dok+agTng6e0T5TUMXLbeqxJy8g5pH6uQBYZ0iWm0oTc39d2fE4QaTBnLGWGhykaAsXVbhYoXRmlka8LEfW6e0I/kdSTU0lBzJqclpxbLTDkkFJ0GxUi+ZqD1v8YyShlu5x1GfsJUTnM5oSByYUJOxY4qJPScceJS+oDcVT9Q5HnjANeds6FjwOW9xg2Ip29E/E3pKqVHSMZOME+5ARqj/M9b0PMCEjCrUGB2wemCiE0LOI75gKUc8czop8TGCaA4EZvGOE56y1h12KmhtWKeeahjIbMQrRcCTpY4TdiQ90IrihjkF7le6j/xtraQLlHXYfct0M6CeNNBE+qUQ/I7inQrl96RvGmYflITNEX4+im8yD6g3E2lREK6PKNsQFx59v0BZTzyNnJ+eEH90R7ENhLOaiz+YkYJHFeNwS12UEDqKCwOzRFxBkoxjmPJ8NWX9jwP5b0f480j2iUO9qZCv5ZRHx/EHHfrtBcUfOPwXe5jMkYsWOU548PaB9aMM+WcX6NWnmG1C2yXpXY+aHDFZhRQ1U6vIv1ohVuFf9aga0jmYAnRR4CWnL3ZMbYbUBbfTU3bzjG8//hviJaRX45lFHmao9wKTR4E0QHSKNIlo33L63Yrge9I/naLe0uwKzSHOuWVCFDOKodWCxXuWR/+248IM8Hsls9/UuC0oe0DKjKNdsv/2kuuUcxChV4p3f1t4/z+N8OSW/j97i7951FGnMz5hxonMyfuGPT1Tai70lkffyfDTOSYG3kobdDoyiwcSAx+lSzwnrLhhpm+5/PcK5EGPvJtT3Iu0RKYjQn6Mp4smokjZgZMPLMcvIMQKc0+Nv/HshJ05ok9znqoVJhhqnXElJ/TqilW8JVcDOVuWumHqjzRR6DI7cs/EkvKOR9+tmFwrwjcy2n/wBhttmSXL0dQs2kj+GwIveoqsJNPALsAmQmopv1qSThwyM0xvHK4N5N91mN8oiecBlU+wi8SpqdHvROJRqEIgvZmYvSm0LeO5f1C4InJjz+hWU+bmln1YMF8vqXafIiFgLmD5u6DunbK+fyTdG1lJyUbyU8Xd2RlBbjG+QfcBazP2KWNfLGmT4943Jth1i1QapMPGGVs143RooOyQKrDyoP9wj8knnH33SHockUUkG37xPf5LhZoyLhjUDVGPVX+l8yPLSTry2DKULU+WA2+sNYP1WBkJ9LOwoVUrPAVVF3kwdMxyGIzByo55ekmRAirAPO3JUoOLZ8S4pPDCfNgjCHf5ghe24DRqgmi2Zc5WlzS9YZAZjSh8quiGGYdKEc7AL3J2ejUG0iSnl1NifErJLZY9UVqcJFxIGFpy9ZxAxoQW24P0CjrBVHqE38aWZGqqtGfdbTE43NTDNBE6DUkhKVHg8EEY9Dl3UqCpGdKOSEafLL0YnGQQc1QQQhIGxomDCaONts5LpnKgineU4ZTWnHHkEdmwQre3lM5DiigKJBh6Sq7sQ1woMHrKQGCfZSQ15tZ7lXM3KWhOX7dBiKFTBk8/XjnlCBaRFpUaMgZMMnjGQ9A+3WdIA8KUgTmoCUJL4IDGM+sV00GQmBPQI7QKzaANA4lEj+UWFUtEZ5AsZQqoUDMYSyNL8pSx9p+jVM0xBIrUEYEOTdAlSCJPW3LZ01LRMGcQiFliSDVR+lG1BZJKSAAJdgQ0EfBJ2Og1L3mRbrN3AAAgAElEQVTMrZzScwFuTYgKr2bszJy9rBiYkUTjVaRRikYqjimnoeRG1jTKItkrbk0Y8+hkGBxeFMEoEM/Bal5lS07djMyPv1GnM45iiSmQJaFhxs7O6ZIgMtBbwyFNGLwlqQUx3uCM8EIveFa8Rac0M65BchoxuCQMJieoSK0WtGbHPivYUFBGxaTJWPSKTBR70SPo2h8h+1s7F/xKVtCCcULZgUQ7xki8HuHOYTxwhRhJQaP0yA8yURGM0GeCFVBprBaQqDBek9JoRVUSESIOyz4bmCZNNAGZOvpBmB0LlNY41REzRfCGXmlu7ZQuXpJkyV32krmBFGtMXNDlQjcVVBHHEbgTTMqQ4CA5EgkVE14SLgOtQCfQEhGlsL3GqDDCovPEREMKiSg9EU+OkPuIFkMtoFNGPjhSr5gmT8sNxmtaUa/p++F1jXQgpQDJU0RF5icMfoKOPSq+Hl3ICCNHEjq1mBhRcXxgaSI2CeBJryGCJmhcVFhvMF7GBoSUERmv46KgdYnIDENi0IajzHCyYkhn7NNDjOTYlCDVeF3QkI2lqmLY0uNkT0Yg4TFi0V5BUBBGHnOX1eSmH1u96ImqgcziJSMSyR2QDI3kDCNSkMQ4LRkkUqDIwwg6jDbCkNA7eHi0dL3C6RxJFUgG0ZL1BcpElNoz6NcAcSfEGP4f7t6k17YkTdN6PmtWv7vT3HPPbbwNT/doM5KETChIGilBVJUAMWDGL2DCkF/FhBFQJUEppRSpUrZRnh6Nh3v47e+5p9vN6s3sY7Avw4oBSikVZT9hay9byz573+fBa06aEmZUJLgj4FAiSYBoKYNggkM9YEbIItGDMce4cPBK0uPvamOPYIkUDAZSirgjdRQFxAsyKflkkMlykAVjHsgkUKuj1EA0gYO0+HykLC1dlsiqSOcDWsGqt2iMDFY5lCNrA0FrMgyRdATgE8jSQJ+UQSzJKI6OEpjVEDOw/pidzKeSNB6fs+RnZq9HxvL7ENbv+jL9gRzBiKGawE2JyVm6LANN2JhQzTGhQ/6//2myJJdQd7RYiAiGGZWEmfRoZ8oSsmiZBdwuZzySE8mNYRYw1mFcZM5GMn987wqQTCQIRC1xdCySMJojQ8XQsU5XNNRU3KNmxLgB1YCjYBH2ZDpTpC2j6cm0I0tHFbLGHuxAsBNWBBty8ng4mrxMpDeG3i2YZMlIz71EMpRC7ynkmLdCMwwtuXYE01CnCSXQi8XhSAwYsyfjlibNJOOwbFCZMUmZ9JiyiDojpkFiAzKzM4ZWTkg2o5S3TOIYzQKVI1dRpcPanL0540X2iKtVTba8RsqW3gnqS7aypGfB3i64MadsWRPNjDKhLAhGSVhaOWHLCQMFuZlIVBxcwygwvmftDOJBhPD+WyKZilwshXj6uGY0OXvJMDIgCQ484pU8ps47NM0cZEmUDMzEhGIpmG1gkWYyM7DLthRhpJ7cEZ7vEmotwQqDE1ocvdYEVgzSEKQgQ9gbmM17oCgZQR1RjpjpWSpQeDI/56P5Wy7likeuRV3JSEPCkpgIOCY54kyDeqKBXiaMOgTHQSp22pAwNKkj58BklCAlDR1KR28CnTgCFUELjFgyIj05W5bMNOT2CCjOzEjGgHsvqyj1lpLIjhVJPCJH+HNHgWHN3pxzo/c0RUcQR64dB19wYy6YpGTQhySpCHGgMh1FmskZ8BLgd9+zgEv9MXUnxyRIFu3RsWDTkW8XwxGS6kdSOXGIkXrVoxMMBeQ1ZD6hRWL2iRihdAE1gZCBtx3BQZZgLMBqT5gCRSEMUSnchJaRVCpSgDo4GMehbNg5CxIolxPZMjIXkSxTfD6hURnKRFNvmf3EtoYTPzEbBT/Q15F9HPH1jqlQjFdKO6BOORSKrZXMJ4YUkfyAzyOCUszHl000wmxzRpMxeqHygg2QgmG2Dsz8HkRr6KuI8xM2t4TcISlhASdHzspcRbbrCdm03JcFHcf9piUnGajSQI2l9ZGbdcty01HlA1OWMWQWawVrLaNVds4QtWaiZDSJbfmWxfme0CVkccceAzLSyiU5DckEOlcRJGeXHyCHrPAEcVgmZhKtqRgouJFLDBWGPYO7Z1pukdWArYVJ1oRksZITxBOkYCI7yubcAbXjezkEqPQcSsiqHikDEcPBlKh4Rkp20nAwIwWBINURGk9iFsto8iNEGCEqTGLYZwOhVOYmclXOXFtheA8jV0msyg5fKOohmkTMExSCC4pxI+oTJPteoBFRE4n5RF/AMoskm5jyRO4jKoYpC+R+jySOzCA7k3xgdoboKoJ6NAqzSfQeCgul8aSkRBPA7Ql5T8yEwoI6pZeMaCwHX+B1ppSAsZHJJiIVwUwc8glXzyyqkZhbJnNktO0pqTVifctkwRtwLhC8kjlFZvDjb3nGf9sGcPirK/IPeyhqTpgZ4w1Z5kgkbKzY1fD4v3qKLVq8VTZsUe7YSMdOOhItbluy/dLS/7BmfpIRbMCLsq6Ujx4OfJY/Zym/4pWxELZkGwtRcNsB9oJS0O0H3Ok9SRSVcBywiHJgxVZyWPYsf1zg+nNebFZ0WmG0wsY9G/+Spw87rJ94Ijdc8obn7pxv4yMsA7l0nMg951NL/BLk18qw6Cn+YyGEyIns6RESjnVwyNDiTlZQtFSFUBCo5gNMI239hK/nj0gSeWT2FLQMbHiTnvKb9JSn8hK1NfY+YKOwF3jkI3EY2KWS1loOaYXlAUuZKPSGol3x6/9jz2drofooHa0GUhz70hLwckftJ87N14zhAYMK6aniynckSbxdbXj63/0e5XAPHxZ0U06XQa4l8SDE1/dsipFcAnsKoqww4ijijo6J23nHC/8RNy8LftRuKOec/P3gzutAN+bEYoVgqQkgyizgmWiIJHJ6WxPl2EcedGTyIGYi445zsfw4fkM/9lRlx6wNJXdkZmaht+R69CotGRh1AGmYdWJIllgUpLjFr5UsCWFw6GCggTY37JIh4oiSc8/xMO5SDwq7F4I1FTsaXsQLOtdQmZGlGamJLPWKjbxAzFN22pAzss6UV+FDriZL7zMq85YokdRX5Fni3s2UvuHBFDndPuODVLNPBQaHVY9yjIF77UjTwOwjQY6HmGCV53LCeljy4F5Acm6ams5kXLJnobesaBFyrCSMDqz0nvPh17T+93hmLCs3kuSGa/+AV+ES0SVeZwr/u388OriZdR2RpUEKS78TFhbizR4pA5w7zMOasE9Uu4CZQJ8pUoN5mHFoDOuqoLBbyMCtGu66PbnPGFOPHwLnsWUeE04r2v2eRd1Q7Fru/ypw8v0Z/SAwfO+S38RPeWFO2RUZjTsjxIErSjbqeLzbI/HA/M+fcpgazvOR4qtv4XkkLWfK/Kjj401gCoovDHycmD80dHmglJ6FsWgQpt7AnDCnlrQU/P3ISbqitxl97kle8GFCicwK9j6w0Vs+PL9jIY4H2nIvASsjnSlYxHuqZNjqU57bwEXlkcqROoX8SMyf95CqQHZukScV5DvwgHNHOCYNrUTsg5LyAwfPA84a5DYSzYhcKvowEexRri1uxK6EviyYqbGUDFrRczQ7JKmY1GOSoOqZTc5OMwY5ZdSGIt1w6W4ZVMk04mXmSmrqBwvkcQsfG+TjkuI0g/sOvKU1htmNVHamMmDFMGjC9TPZ3JGIBLXkXcIuLOOyIQzZUZVbCnExYz9Q5O8z6tcH5r95zcvPSm7ONrRieBS3MM9M7mjyM9MMecJ6g6YE2dG45W6Ewy7QXFrsh4lsUOS7OxpRiJExJszK4FYV/X6PNwEeW6qPhXEy+NpiCrCuZUNPZQ2hmjBLRXYj8xZM6Y/wPZT96QO+Wz7FPA5slm/Y2+y4d0rLchhYBstQd/g/fUj3+IzZtqx+0mP+5T3hL2dOf1pj/nBk/MJi8kBMnllyfOlIBbS5ICcrQuoopj2rAlCYco9/tMHmM1N3oM4jJhdkVOS0pvlgQu5y3JVDlr/7Slz58QEbhLR2zH3An1jsaYa0FrKCYt2Tlh2ur4jXI1jF/GhGgpDuAv5twfbZgfrjDFqHPusI2mH/SYZ8BmYu4deB4QcR82jJ1juGtdC4kTK1HNUZlvRuYr4Gf6YsTnseZ1esT1vuOSOzn6CcUDCSyRVLWta0HMhJHlpW9Fwwu6ec1wo6I1Iz2RwRxSwzvBjiXKPWIcuAzAljgT5gix2TF6pwxb+//9dMY6BbFkxljpdIuR85iSP31YQxMzOeJllOxgNLZ3jrGnpTsk0rXrOgpySTI18GybBaMJLzM/P5extchkTL9n4mzg1+htruKGxgQU+nBlnPmBip80ASz22Cu+i5MQvebBrO/rRh8e+BViXfXlzwN/oBYlZMNvDGFFxrhTFKk0Yq9kcrkBre6YaX9jE9llp2SDruU0kCFqXhAMANa3rJMTJyFx1DeEpuLQMzSQxZsszqj2DfeGDtHlGxY8ktMXbkLpKO3lQ8jmAEpwmnE0hHPlqG3yTKRQWrmcM8YZqcyQR6hJaKVo8q9qP5uyWajFYqsmQomeklHU2ac8YhdVz4lj+5/4onP/sbZNdSP42kz5doObGSmkMqeCtrjCYWKRDNwJ3kvNYHFDEySM5z2SAirNKOnMQv7Oe84pQTLZiY6XBcm45X8ZJZS96kc1p/RgRQJWfiVK5ouAMTMVJSMePZM2Ip6Yk4DsFgrHIqHQF4FZ/wK37Mc73gDZ7fd98Ro5DRc2crDkCMS651zWRznPR8IFdc8oIVLZbwj7aH/EOuQg9oEpI3qEmAYGpP4WeMSXAtSAfxzpN1NeVui10X6BCp1gE5PdqBXGYx/igfYDSYxiF5gsYSTyAOhmyZM18FdAJZ1mTtAZNHwmVOqBNuiqTgmZoVr11JFhWxC1IYcOsB+6MRW0TYKnOfKD5WGCf8m4xVYzHFRD4miAOXnxvkFlxS7AwBkDojyMCsOb2rmW2ByD1VEZGV0L+G2gh6NZHOLIMYkpupl0rKZ1jk1G5Hk1pAYKfIusb/IdgQ0caw9zkmzKwOMykl5lWJfwDNF8pQZExUWBw+JoLJCBiW5sCy3zEVM/Zpgf+kY75KlG88Ux9BFfWBFDuK+oQ5TagLRFVk4TB/vCH/yYFgladtoogvGZqSWVqsS0QtmMVzaywnp55RewRPxDJIRieeKXq2aUFjhPn9AFnrEtOMxNowYY7YiDhxMBUtDSMWIWNUR8oSxTmY2MONId+BayyKkCehjIFJDXurTJozREOK5jhFMJ5BPJk1TMljCBwx8ANbGorzNeYThy0si7li0gKTclobiRIxWY9ZJ1yzJLoBWxwtclIJmo1HqPBVonuu1AeFm6M1sUmKXCi2sGib4FAgQbE+YJ0Qb5SsA4IgiwJnI6v9O1adx287sjOLLxUbIbSBaq/YEeLrAbM4XkioAXks+GKiNNtjCCGzhOWR2zgYQVIkiacXz8qMhLseVzoymbgMr5ldwEwF3r1n6H6s6KiEV6D7o6Mxtf8/YcInXw8Mqwx7UrJ2kV26JdgFkGGCcsgnhu8bhnlE7URDi/KaU73mDWsiLb4vSd8aeAL+cU/NllXYsfRveOqX/EC/IslrOk4Zip7bVSLuhGyXEYZzDuUpZqecLyKdwI2d8WakTDOzNiRTof6a6cN72pDzLrNMkpG0IRApzBUPFltKEzjnhgu2vOaSF+aCNQM2veJE9iwnxbwx8K3BrAf0jwTjOja8w1DTREc2w7sisTiroRrIRyWTiUwnZIi09Tm/sR/QpD0beY7IiKZArxve6SX3skGCkPWCppwdDusSOh4j/lOKFDGxCnt2/gbDY9xhRfpVjf/4HisBw0zSEZUJq4ks9pz7d1zot7wLDdFm6Aay/BVC5GVTkP/xyHLc4kxADhmqgqXCdA3pTll+eFSIHfDMssGSM8ot99rxxj7kG/shu7Ei6gaThIY9C67J6LnB05Y10cDR8zDjdKSRmSbxXtMLPrVkJqCmR5KjCobK3LKyW34YfoFp3+HzkW/le9RsKbXlaXzBlfkILy2bdBwCjtR04umkIdhTTBxYZh2xH0lzDgPUKaKSM7yP1qoaAjm5JpzuARi2wnLpSdFzzxk9FZnsWZoRn0ocerRyiaVnwYoO7zOe85Tv7JreLCm5JUsH6gGWeQbOEI3FTRPL9g2nnNObgpQUIw4jEwWRMg0wRCT3WHu8IQsGrljyzm74/gRmMqRg6J2iMrJJWy7SlpkFb81EoqdJWy66LTf1wCwlom8p0w2RyM4scJREiZS2+4f5IvhHXNfmAU+zhHUJjCUBVhW6GWMg1DN+KZgpIcPRzyg3CkVAGmidZ503aLZFXUIWCZ0TqGEKDm8TxXQgG3NCyJh60AKKYebwTOCRZyby+vKC/8v/EV/Jp1gO/JBIE15SkqEY8jEyhpmrH9V8VVQ80sAX+8S6BMnBGgUxcK/MMWLPEuNjpT9PTNaz0JE6HjA6kwbBWEWcMBYFZdxzEmdavyS5nGQsNs7YEJhtSewPWLfncoDFLGw0sfY9LzOhVUudXnHCLa/ip2w5Z52VBBeJLh0TEklwU0KzRH+RkNOBrHDHKoR4ZpMxUnEwM/OyxJ4Y5leCtw53UAZRphWk1czoEhaDmBHvlckHjo3eERcmcjtT6RbchJqBJEKQIwzRWs+1Vuz0AacELtjRywZUWNGytUJ+ZohnE+Yc0mlCF4JuAXsczE4otfTUpgUnDCpUKWG1wxMxqUDjyIiwzxyL3GA8GCwZllRPUAWKLWTfeN4+/pyf6xec6IDTyEESSUrKlB9NEN6jOFw43mKKKmxz9HokrRycKG4AGQYEsDYxBIGywJYFsd1jiXCimLXiWoMxGSwtaiMNM5lNJJdwOTBZ0sEixkE2MZbCm82Sv6yeghW+kJFCRizg6SnnRD7UHExH96OGn328ZrQ7/vjxPe4XA/FnnuaBMD+G3Zkh2j2W4+XBOrM4PzEbw5SVlP3Aeu5wznFlSq6rnKJsuYjQt1DlAesdJs7IsiZeRvpqRj4dEYm/8/xOvYxH/asFrpW5jsyfToxJKTThU6QfEsUA0zZRjEq4HImtIm8mXJcTemV2Bp9Ar8EWAT6wtD+JZJKYXGK4sIzrkneuYV4lnrY9zdsZMwcQh9kpvo3YBNZMPDYdsbhlW9ww+8SB77HQiUW6pzAHcjkwJ0s0Gc94wgsyMInPzJaWxA1P2McHJGmR7BonntBagi2RGmgTmQEZEpWZjyyR4Z5idwct7OWM5/kJjgk/TSzMjnVZMdFiJbKZAotpB07Z6kxvlhykYtAVVjI8kKVAiVJLYpssL90jKu0xkogxcBgtUFGYPcv4gsfyLY/NS+6o2NSP6caK3O+wumAXMsYILTn35Yrb7y2ZdctOZl7KKa/D72MMZOaKKTmiCLN4dlJRUCDkqGRs9Yx7vaSVio57LBMOi2WmYCalnmgtEleoJIL0bKXgHefkBry+pCQeB+pYbmTJwZxxzjkbbhEGTuINpYVOZ6x0rICQcrIIubGU0ZK3hul+JC48sQx0B6iMRbTAYfFAJB0ThLHD24kSz6QLitmjEmhdYp8KQlqhXPNYvuZP9n/Lxc+/pHsr3OoF+99zFNyw1gNxfkSbbZi0pg49MXO8o6TlhOdmzdYYrsjwlDRGSALf8Bm3LCjlhjkdqIwS45J7HnGQFe/eA5YLGXEp4kPPo2xHoy8YKRh1xQMZKMI79raiNIF7XXNtHrLiwIP0migdz+UjXppP6blEiDwlcBLvODEtW91wL2taWXJHhjCyYs9j/Ybvp7/lxLa0qfl3AiZcBMUmwZgMYydwii5mQhFJExTOMEeQEUQdMoKpPGqFVA2YhWHuZ3xpOEJIAE0kr8RCiJmQahgj1HlkmmdsEERrfDqQLIRlRSgVc9+TYs5kNrS6Jo+CMz3EQGiEvEmYAHoHbi8UtaIzSDTkmaJ1OCYLYmR5FuknsBIhKgHQEiDhQ0aYS4LNjylNt8XkjpkO8Eg7IusMZyzzdEedQe8To4fK7DllJlqDnSLhQWLcTNT3AXE1UXIGI6xVkX5mXhtkNdH0E73LmHAUGLJ4tFV6MZzHmbK947bMSY3DLYCXYA4Frj8cg1sD2JiQCoIZjmlVyRkqz/wJLKSnNTnVoeRk6Lisb3hnApOsUPWAZcBiq4o5KVYHjgJypaPkxqzpqdlwoIgJVY/6AimOqeNgPGW4Zz2PvPNn9CYjEvAMeAKzCbj6vW36kOP6CaMeYcTB0V6kwqQlrSwY9Jp86lgqbPOCUUr6I6UVl2a8mSnpyNhDNSPnlqEUolGiwCANvQrR7NACwklgu4YghtU0k5cR3WTsgdp57D7AXYToiPfuqI3fT3CZ0NzADTAt0NSizh3bIocBeiVZQ8pLkmlZdS3m3qJvLU0xgovMI0gXcfN7PuMuku+Odmmyo4K+NIKRA6IWkURbZdxpDbJmTjAaAaecSEG272HKWWeRfNzxLpPj4N0H7ClgIxwy9K1g+gy8I409/zYP3W8d1DQvM8xna3osKe7p80u+5QtyjZzxa7xe0ZmeWAgHEnVSttpRaMdCFZWCmxx4OjNnNxx0xUv+I34pBT2Rp/NX/OH056hcsyGydq9JCUbxmCzjNs94kz8hi576ZeBskXG9Voz2dHi8MSzCPQ/MHVtj+a445Y6SJRMHbsFe4tTj73tON0KlBwwdSSHIOa8lUNjIBQN3heHmhzXGG0zXUmYB/EyV7vjOfsJvxPNZtWN/cY2uJ07yiPEFvQ7kJlA4IU8zTiJbt+aWhpGaqptZ6Z6TYk/FQCpndpeG29qDPkBucyZJvE0f8dZ9xMa9ZfseiDuIJxXCoYZ2kzEXQiZ71mZmtHDIHT9984ov3vyS75WBXb4kpgLE0MdHXOsltbulYcdDA3NIqFEGBKcNU6igWLKzAx2GTDw+RowcCAbeyiWNHyilx0Q45IlxNZK84lMJY4ktlaGBt35NzUPW6TnrdE80DXs5AYFJhb2cMCNcpSc8SQkzV4T8jC2WNs08diNjqvk35qfsecX39GdoMuzjitIB6Q3BRHYs2PCAEYtOHWtpaXjNZIVQlEh5jOLPcU0+OwqNdK5m4AMaFO1qvMnpN4HL5opL+5x+7rgnO0YRNaOTc270go4FMLPSKx7oPdl8x0Ys75IlJsPGJJ7G1yziHTs+4Y3/PvvK8uizr5GzW5IfEK7ZGaENBY2O9GIIWuLvGs47h3m84je55yP9ACMRdQOHhXAoBLUGL56EZ5KM0WYgARMPDJLx3HzKTw+/Ii/2zOuBIT5CX37Ao3Hg9x/+iruipjI981z9zlefRCtMaNEESCJkwBiQIPjpCNuFSApKsmBPE3SwP89JdY2PM1FGtktoNJGnHpMpag1YmIxBa0uYhKyB/gLig3eka3us2ZiG4UyZHFyaLS2/INJSBo9zM14HoIcI2aSsnwee5JEVlnTl0FGRMR0/DMsIgyE/ZNgXnrpvYbWg/GLDdWPY5QecS2SFMjdCXxic9+RFRkeO15xyuGUqJqbqhMEv6c2e4tyR16c8KxfsBKYxI2nPvVosFb1Wx3tEk7CpJTOROWto1WHXPUbeUGiL9AbjEta2IBnROZIHrx3nXLPRmVwD4yjkjaL1gClyojEkOR5gHQaDgdRjcgiZYycZLR6c4c5c8HP9A0aOasIdGzLglD0htBgnFPRYE+lYMKohk4iIo9ARpkjqj+87TM+2bCg2GdJYRuMgZcymYCo8rmjRAvqFJ7oaw4SJoIUw1IIwYUOHzWCUnFQ5pocz5ReCvHFU1yWf/iqH9I7Ty5ZH7UA1OeZMMXqLcxCymYTgJlBV0kYw9xNlVKTN0BRJmaB7SzEfD1TOZMzDETib26PNQJLSLyAsDfmrgDMG6woOlCgTJyGARrQBCRHrA9RKt0hYf0ttb9nbJTtdoOqxzCSj2ASiHbKC1HecP5uYBPKtgT34g0OX9vhh32Z0xSn3xrHKJ1bG4IeeeiFE8Ud4tnXMqjiUIJFWAmOdmFYGFUssFTkHLbYEC9YqtkzMDRT/iPvIP8SSrcf6GakEF8HuSrJOcCUYVcy+pZyB1pM/i5i+wRQRCQfMoDCCacHuLeINbg+mXcC1w1/dwZiT/wI2laG3ls50LFPB+bsCs6vRfgI3M2fKnMAXR/Xr5D2Tyzjomp2esJUNo1h6s+aGknvWqHGYNLPRd5zJa/y0x6eKaHe0csI+PqC3gVufM8uax+5rVDrmtTAVlttU49aWgy3ozAm2mDiZX2M9vCvX9LLkNo/slgX35Udc2QWn/IZT2RE9RCmJVhikPuoVFEo9Rsh7tUzi0PeV3WgSqkqIgnGWYAqSa9DgmdkzmzVX06eIOWVnE8OwxAco2DGzhJRjU46SE9TikpDPkdpFLswVH8ffsJcN11KxlwfkKjhmrMwIE1Fgryfccg6pYCkgUnHHyTFBrDtmclqT08Y1YVqSujNmI8RmYvI9Xgvi9hFzntH6F4jfETA0YiiBJSNrWkodkeSJJqfHE8mYTEbj96x4R9FadIaphFALWeYxdmKWnEBJPjsagWtrGSUn2gUz9lhl1ZJ7s2SSSKs5czrl0J+iNlBkkZTt8KcdpYP5QeQ7uWDLUzISb/0Fz/iCg9TU7u59Uicxpx4riUhDJKPUjgfxGQ17Vu6G7VRwKi0XvOSMLTEqo1tyIOLMnhzFpUhnFsx2xZacWRwdNRMZOdcMMnHHGaVO7DhhJw8x3LKVloOseCsbZklUCo6GgRUzO8o0MJtz3vGIe6nZS85ATiRwLWe8NQ8Z6WnNmp/+I+8l/xDLDAWmg+Qz3mVQPHL4OSIj5OqQVsgjRCKUd+w+CizjFnvniFGxMTI5CCuwbmIOkKeEWIPrBXeAeQJBIHjMFJCUUBOIDmyKZDEQBgOj4jThUyJIIIliOUDZMtwk3MuKNEW0mkmlkrY5dDPhwcTQJUo9VqcoW/rTxNgLJR1kMCeOh37jCMYweQOhYy0jKUIaPNkopCCEc5QyI2UAACAASURBVEdfJ1Q7FlaIAWJuMKLkIVKOEW2PVRs9zBSNYFtF8pksHHA2EnUkGgcWUhghJHw4MtY6hWgLxERq3dHMd5Q2chcDLmYwHcHokh0v4NAZqXIihiCOvWnocOQaKPd78userS3ThdCXI40YDqYgiidTMGoAh1eY5pnWnXMnS4TAoJYbecgdZxR0SAzcySmTOeVifknTv4Us561sGLMz3ojhG/Mp1zTUvGXFDevpGq/j8d2NIPXEfQPVcsT5iE6BqS65jzUzBRkzjczk4ViNNPlR+TDJAsSTyYGMnr0s6MUzhQM6RmKl9L7F0HE6tiyTpzT3yBjxUeHQoY2S3IQG4D7D+J5UJlyw+ElRb0nriUEUOYOsBLGKCQYJM1qMhC6ShgLjHLOfSWVkKmf6SojWU4yWcR0p855kPXd1Rm48WX5MoGelksqIesUKxJ3DecU5z7w03PuGPSWzWAw1rdSMJETe0vqJrBaMDYySc5Nt+M6uuMwGPirfwF2LjBnSrpFvB+LHJbacwfzbmw+/dVATXnXcP/ek318yOsNLfcRf8we4eM2fZlcsh69prDC6FWqX2D6yKys602BoQBvePMiQ//KCtrV8O33E/+Yu+dv5DFjwA/sLLv23nF6/5jF7fJ14t00MzRJ5nHNfD7ycc6rqnPZff0fx5IIq3XF60nMIwibvOJcXfDjds51XvCk/IXeeC77FSUsugXr2zC+2lB+d4khEq6RJGMOar5xQqmM1dbxYvKX5n37A7W9GPvyz7/D+jmShMIlf8YSv9DEn+Qv+88/vOUmvUB+wq6eMySFFxOeJTLecpHu+s6cMySJ6QdztWdfveIxnI1vCuqP+50v2NpJ1Ffu/6xlPct588oRX8ginjp+bTzAGHJaUP6f8kyW7hxn7XFjLzCJOXMUH/Hp6xH/63b/giy9/hpYzwx+VECN6gGfVR7yuHvOB/ZZF2sB8xiQw+8iNbZhY0KYElbDLc95RcKKRBUpFRy8TL/WUTTA8te/wKSGuJ3tSMBWObXvKob/n9BOPfZjzgjMiJWfxipVr2WnPL3lITAu8whvzIS0Lmuktj3TPYUpHPox9yC/qL5lx/KvuD/hfh3/Gj0+/wZg937kP+Hv9jFr3WNlypWe84pQVr2Dq8cNLLs9/yEoSh3xH/SRxOASuQ8HLuOF819OYO3RhecaaC5OYbyOrh5HtZuLD0y2f2q+4Hweeac49G25Y8oKCfj5h8ksqs+djecFluqWcbzm/O2CLkb+oPuDE1XzP39DkW55XNV/7TwhLz/l//5+wc3+PsQNLOXDgjENqqO2MAjE/Zfq6xu1eIf+05MvinEfpNSc60WQd8+OclHkqN6N4RnJ2cvz/lmZPbg4c9BFf8Qn/xcsG071gvbnhbvyCr//qkvD6L/hv/5eRL2kpabjrL3/nBzUb3QKKWo7d4loJCt4WzN18vKkYlNgL9sThHk/M54a7izPiIuNi/wJjJ7KHHM1JcSB6Q2iWpDiCK7ixp7C54eljZWmF6YOBanFJ+n9ekR6cweeG3L7lv57+nFkHbrKcG/OQrSw5lYEyKmkErifsv/olP+gec3h2xQQEs4L9DdUTYCGE57D7y5FVqjHZSPuzlvA/O559VpPczPnqDflipN9Y9KxGVbg9OeU7X7GSiDc3FA/h5nzJrV1QuHdkP6n4Kn7O/20/p3Mlj7nnodwwsKNWzxW/xwv9BFyOs7eU9ci8rAk4TqqJxfkB+XZE94K/A9MJkimhTkQfWZiWz2h5zMyZ9MyjUm0UvQzEBwW6cNj8qN/MMMdefC7oypKqJTuz5kY2HGzJN/FD3qYVP3R/R24tWz2hOzbMmWJN7oQNr7FyoGfB8Ugw4BWqaSK7A3ubIf1EbuB+dcK+WRF8y94JOhpau6RfrFluDmw+DhwuTvjOr4GJOL0ju8jINw6Ze7JuS3mivFjWyCPLg8sOfpCjf2WY/sUrHvzlS5afRc7+hxVmPhDuI5o1vDsbKb0g+QGcgLH0dsZ9buBW6L5MLAZBZ09aKlxZwiuLmIi4RL6fkT7hPMTkMCkxbpTsj2vGP1PkZsbFwJ4SnxoMPVRb5NKQG46DmkXG8nygMO/I0s95pU85yIotDSpHE5VVh5Ge5UcG+bPXfPgvt7RftcQPK+JdQ/ftnsZY0h3kv+y4//FD7laOx+aASQ49zJTrjDGLWJ+xyzydERbMFPFYm92vZpYLMK8N83JEfniCPr1Bp4jbO4oI+N9CzPsdWekl7KJQflIh48T89zvmd1B9ULDvAu4usrQF43cHeAXlLpHmmb6E/ELIkqDXwK8CLA3pORBa9M89eu2Yv+nwf20ZroTyP7zhwdCS7w3T63QcetZQPgL7OCMME+4UxrXjZrnhlT/jOn3GL+OHfGM3RKmQZOjIuLMndLqmTLf80HzJf2b+mg+zb3lpvkfDlowDQWYOuuRKP+Fd2nDZfEM138IHlr0rGO0n/Bx4ZQtu9AmlFy42zyhlYDQZhsBBHvLzxVN+Yb6PpoF/IrChZ7SWyZwTqOg5mqgGkfdJE2VnMnYUTOS0eDrjyHViFovgULUYNcSpxyNs9ZLn+0+wLkA+0O3XbOTAQiMv8UxByKdEngzeRFZzS3U1kDVCsf41i3zLr/kDXupPuGXFI71hLQMVHSepZ2nghiP/zdiR87hjiobvzBm9FpyQuGbNPp3QjQXtbcW4KwFL9fFA1dyxGM558aWD5Zr15R73oKfWgYt04ANzy2f6mguuOLjIaCtMypmSZ29XR126HPg4wfR8wI+QP/YcCsFpibfH++sgiSiJYIRWGq5ZMrKh05J9WnAnJ7yzJ7QkvFru95btFhbLFXdyzr95cEH5z55TxokxW/GME17wPboUabXitTxgZwoWkjhjpE6R5Foa9keuoFie8orfN3/DR/oWTQ5ceF/Nn8g5cGOW/Ex+iuJZMrHQxGwMB2nYmzUzYNOKA0smHJ1pyFhwKw+YkhIoOChMVNzKZ1zJJc/iisb1XOo1y7Slt5FtZnFqeSslz3TDIaxJNmOPZZANEyX3VJxoz0zGP/13gFFjWyG8HulPEocPzuis59OqZf6La9xNCW8T3IBpEywcmx+Bfa7MQ8LcAreKNEL5aU6QiTJETFswvzXosw62LTooOAO7iun5THU+kSql3UK1A3+/Q2fQrWCzQL26osojjYnUaYuj5/Cd4v53R7pXsv/Gw4eB7u9G6sKR/QcZh22Pvc+YZUQvjtyQYQB1jmDNsYYVlNRGtplh9MrJtOPksCXsIL1OlJ0y5CPZxzXmbIIsYvaJcYDoEmWKuCRIJ8RnxyRu304sNg3xxYQ5HSn9SLRQFIZWA2FO1KkivbvHrQKbhfJSHJ0rMTKy5I48O6DDwLJ1mJeR8ZVSboSQR/xFTbQ5V25AbIlTzxBWXDvPR+w4+3rP9H/eE84sy/9xwVzc0maevWnwydBwIJfjBV0dJkya2Rf/L3dv0qPZlqVpPWvvffqvtdab67eJNiO7yqYyRYlCQggEKUCqH8Ccf8WQOQOEhAAJKRFVlLKNzMiM7sa94dfN3K39utPuZtXgeA0zJiQkwbKRSWaTr9ln7bXe9322/ILXmARe4da8YVLHH6YbGhf5G7lGvGN9+57PboX8t0remy1/pt8j8Qn7KacqOj6Xns/Se866A+ngYcxR8biLkeZTMNJRHiPDUaCZ82ecBq7kPZfMNNleC0bcRzPhhk6XOAZqOeClpNOa9sMz+rNA85s5mxSxfs+L54GxSyQDZq/QZjTZiH4/J8sMdkxMPzpSnIFZj4QPUORrUjZhP8/J60i89mS1YTpCuk/w6UBqhP5OWd0H3KUyVEL9ssI3MLic57yirEqKT3qM7Bi14L45w8WK8+0DZ/Ye0yjTJpIWDu4N3Y8i4SUs9hP+ews+LK/pdMvCPhLx7LVEsWz1A2Zh0NIyZT0fZMlfut/hjhf8of6SL4qWad9i3yr2l54P/2PC/WcD9Vp/5QbrVw5quiWoGvKQ0bo1B7shaU3QmpNZkrTk0g90Y+S4cHN4Lxcc3CWDqZEkPJucD/WGw9gw2Q3vZUVe/IK1dgym4cvsJSYTuuSozUiXrzlaS7ATiqN3a05ZRgEUMbFpO15Vj2zdPevinsLcY23P6ljxJhRIPeGKEa81pILcAOqJ5AxSMVAyqmOUklbOGOSKe2O4MQfK7I7F5onLamJlQcRQp4nGjNyZhvtqy3F0nI8jokrSGo8wWOXJ5Dzpks5kOD1ifcJnNVPRQTZQyQkhcMwiIhOZtixCx3oUbD+xkne8Tj/hFTuq8EiWF1ynwCU3TO6JNq8x6tlwJNeWwa0hZHyv/xkv+yNtXdMVljjtZimXREoGrsMTF8ORTAUpBSMZR85ngb+MgCfogpgu8KZibwqCCIYTgSWn7BzDBrxBiAQTcCpYGdnXHeM60WYREUuKoLYAPWGkxxvodEExuw0JRAZT08cGR6JJLUeW3LgvULbc2i/ozDk7abkzrzjKikFLJinYm5dEVkBOJKeIjsIreYooI0kM6gJqPaMreLSvcfGMl8MTr4p37MslRRKsfsDXn0J+T25uWKUHgqnmMGRdMumGvVxRZp4ka6zqDA5OIB7qNLIMezbpmRVPLOMjWT8Hcr7IH3jmmba5p8dxlj5ujT6GjeY6kIkyAUkN6+hZ+hOOnCxBIcpOFtxWl3harv2PmdyGUnvqNHHte5ayJ+WJ8SP6zvjA2eB5pUceUkvmI9sxsZjmHarwCTG8/kdqCf4pa7YegYEpUSUwCojMAwEjqJl/JwZAZ7VNsNg0b5QIin68LCYS2b+3xRmLWjNvOxBQQxHA+Ixo4bAAU/dz8CyJjB1r3ZFR4r1Fs8RCI1EadiTOU87VoYVTwnUwWkELS8ogWcBnRI0M+RwWaYOSR1CEQI5JDXbMwYRZoSLz1quzMIphgjmc1IAhsSSQZGRwllZGogm0UnGTWypNTCIkLL1dESjJMDP1zSneTGgSRGbM96QBFJw3SHTgLGIgk0itIzMwckbijk7RJKhxJKegIFGADFWZpcpkJBSngYyRjBMrfaLVCybjcHQsVNnrCcuIMfMzxwE5J+r0hLWeKRpyM5ETqZLH6scLf4QsWhJClxd4A+DI00S0A8EBTokWjtbjraFKFofMgdNiyKNgpkgC1CaKsQYL7eaI2YKajKsjpIMifjen+CZw3rP1gcommhgw5LO3Pw5glWDSjPjUDEua82u8JY9z0HkmilGBYGaUKgLTvGVDhEQkWcWaCUcCdQgzlr6pBlIGLqb5tbbgUmAbD5xkh3cVo6kZyBm0wrsloYeMjGU7wD6x3kG4mkPzVSFLBbYdcR4aIm0CkfDxZbZMxhFEKSWCGEZxlAqNBhaaCE5oiRSZfszRmregYiDzhiiJseEjYP7XtwRHMSXKqSJNShY9cgyYYwV9T3ZUbL4gmwZGgVhNmCnDxpEEpKpjKKFSEJFZaRISLjmqAH4MmEmoR8FOhs0AphXk5NCxQINBSoOWCSMTEh12nOHHR2fZq6XXHC8LTrIlOseUhJ4VnbkgKIDjnHtehC855Z6V9ixlh9WeU7zCkiHmyJW/ZzNaZFDC2cCzbWnlnDadM4qjYETE48yEhJ6lJlxsuPVKVek8iJEV6Bl12iPIbGOSQK8eJRLNnLPgMWQ6stAjOT0ZjpUeeWSL0YkmHBhsw2hOvPLvWMSOX+gL9qxwMdDwRMmE00DCI6al1ANOPZ6czq5JRhFJKJ6cEcGTac9lumWhewoXyDiRacComTfZ4okEOlEmK0QzG4wCDQNrRrMkaIXGAlHAPFLRkmuJTTVzmrxB1OAYyZOw0D1NOpDTYo0H8QgDuRoWPrIgYxkt52lgOzoWxxKRAdGETZEsOaIRknpsikSjdB9DpSGQCASZ1ekdjkkLAoIXYcozMCN52qEIt+4TvnLPVJy41zO6KWegopWCAwt6syGKIVKRNBGxeDI8BUnBMduPexacGFhyZM17cgYiBqOeQgpEA0iBKsiMi8AmT04iSwOZnGilZGDBji21RLzkPMobVMy8mU8GJwUDK4IpSCmSM5HLE0pLFMFJJOcDOSuMEQw1OeWsmKZilBLVlpz+n+wM+ccskxIEwWHQjyoWmObAeZkbpWRBrcJH4AZWEAyEud/xzJbnlJQUIXMBkWzGVqdE56AwAPIxin+GH2QqmElhKln0YAZFGFkNI5+WA7UfWShU1BTHSLYr8ccJ6QxmzHDDiLEZGmZ1ovEN5WBgcIhPZFOPhByLx3oPocIUjspDPia2g2IHg/FpzgDzzPYtLKggMSExpxg8UmUcCUQmmmjI+hwyIRePrAtsPGEmaAZIuYDPMUbJVMmSYDxUPaymwN71TNKSy0CtRwrfYRGCh8rnWG/AQJKBPnME4zi5HI+SFIxaBMjTyMUpwaMgeYkfoF30nHRJwNBowIjHy9wfFDHSjEqWPBOQmYbBRyYtcdpxNd2Ty0DuLKJLlr5kMQh+LGY0tWnw9pJgOqwGFurZxkeaMUKfzyCI5JHgyIPBBKAXcpexCIFCOqaPGakuBZzG2bJNmJ+L6ok6EWWGNdSpxabEqo/YAcyYWIeJavQUx4liUNRZJM5Ko94YmljhIuBHnBeMdzAlelFS0YGNZDhMmEN4mXLyyeB8QoLBREM5gZkcRHAxIj4hySBiiMnijWNykconTAzkRULjnBGTPn58RGaRi/UFi86TBsVNSukTLnmMHck0EJiYbEemOZVX8qiQlDw4lpJj3MgqPJGlIzFLJAHnM2RcsRjuKXs7k1t/xdD4Vw5q8j/5bdK7n1A+bOk+WSISeZNuiNLxKJZjdsbxRz+mdhnF9ztOLsNqw04LHmUiSk/uFhyGDSnfkJsjTfqGz8wdPZa/12vG+C+5zBJnzci1/jmrSjn1A6sVhCIy2pJpWXD1XYMs4fjVe759/i1+v7xjowcKI+wtnN1/w+W7Hf5bW07fXvLzdMmJDcF5mtcLOhHu0oo9W46mwltFe+HLrxP12SvW29f8UfqaT7Rn+dsnjrsesyjYmp7f5ye8lw0ez4d6zZaci9CTpYgYaG3FW7nkp7zhRta8SDeU1nAwAbPO6GKG0tAjOCrMzcRl9cxwfUdVtCwy+Bfu33LNPd/lmc/Mv2XHhjvzis/SictkqD0YiRw4gjuwvVrwglvW1w2SBPnU0l0kzs8s2SS8WdzxBT/n8+6nNPtbynWGCSMu5ky6RkRZ2xF8wvdLHibIX5Qc2eJiwVYCmeR87bd09jP6wVE3S4bTwGoz8Kq5g8+ecNuGnS14xYnP7A2lDkwUZKKc6Y6SHlElk8AFcGnvMFNiIYY39oZbJu5CwUO4prAjf7D4axrT8pQaTPC8yG54IOfoc7Loqao9aCR0BUNXM5zNx8RxWDM8WVjkaKbct2c8v684cx/4w+ufkXFBJQv0Nyt+1ETUlqyDpXQNbVQUwY2e3d5zd32G9yeybEE0Wx4JHPQl0d9SXa85dpaXmeGMOzKOTN+A+auv+K//E8t08WOud4qUG0Y70Zl8zrsxExk9BYBMuC8i3dc7Pqnu+B3d8wU3vJXP+UW6JkpJA/xz+1MmWbFDuDrt+N4v/o5GW+wPfkBWJd6YPdsXE/sPifXdAxf5LaH+KZGOYBc8mUAhdsYd/5rXW70i65/ZtgYeR0wHYEk+UC0C8R5sEnIn9PcJZzL0//CUL+6p/4MMk0VSvySdAu7bGVwG8mOEXzwi3y4Y8kQTnxlPHj3m8CMl/wRktePiDzLsaiSLDW12xV9kKwr2LCWSuxKjARsMt+SUZ28wDzv07sTyjzPu/yBDfzyyuOnQIuPwHKj+biJ/ISz/0xzz3Qrdd4TJUVwYVrScjS32/YA0OWkRkcOJpqyxtFwYy0BBxwv65yOLD3vOjs88nmXE1PBpfMCZP+fv9A2PekVMe0aTCFGxPHNl3+PjCh9W9KGmiAOLyTA8WPx2Qbb1yGrk8XHi0taYJsffP5PHeWDZkvOUGjoZaLaQbgV9yNBTxD0Hwh7iIPRAwKI+Q1tlOR55pbfsOfAKZScdo/G84oZEgZV5nBvZ07gT4EhS0pjIJbecXEWgwOpAkQXSYoCNmYcmXSI+3lGfrRmdpUiJqzxwbo64tGeKisvnDVnDidr32E5RyfHHHhOU5HP8JHyqCfmzJ1Qj5R+BvC6QTwvG6Nhfn7i4WhPKJ9xLsNnI4m2HCYLcufkCoy3Dz2F5dKQuUS0CqR3x+0B2UMI39xRFQiL45zjbZfIGlQFrYbzxxL9VantCbnJs7Yh4Sk6UEhCJaAXyek12fCbeKUkNcVHPGF13ztEIudxTpC33+jnn9gMP+ZIwNYz/+i0XD0L5u/D8faXejsQfGsoN9F8NZB+UWCU+zb9iJQVNOpFsQp1l72AgYzkOLEOgrRoe3ZJohLP4SOZLPrhIUecUPdifdkxbxX6So0TslZD91q87nHumr4X3Ec06wr7HPEeme6j7E/vnyHKhlJ+M6Leg/OMcMwTivxnJrkviJwrXFefrkfRNh/1ORf17W8Kf7nBXidN1JCXFDQk2hrBSQgFlZ0jHSP/1wHgL3gkXfyKEa8iOkcEOrH/jkfVnFRNfshHDc2ropSbIQKZCk+Yw4JSOBHF0LBgzJWMiCUw0WNaMXLG3FScEM624/z8PvGoiix/0XG8DuzGRGPjM3XPBHZvphtJFRCrih4D5eqCKP+U7v/kVN+fnNIzYU+T8+QPkSlxaTk1FySUiBePHRU6uiVqfKQWOWlIzsWGH2gpDxOQJl6/Q5sh/mP+Q35Uf8i675kt5g5eCviw5UjIZoSZyuQmcyYnSThx0yU/TC87PtuR5z5giBy7pzZbX5oHvyj17CgbJMUxMdh4wVzKxZcc7WfC1XCJiqfHkBEKqcBoozZEsE7KmIFv2FIv32Nyyezzn6ZBYfq9AsiOb7MiGAbUOx8hE4p4Kw4pCTnMWhBEubKDZfUPWKbY/kX6xp/9RoLhOVBtLsh3EFlsE8tiz0JGDm1WHSz3wAqFnYk/PiMFqw0oXVMmxMzmhCmxeDXxibtjyCFPBV8OnOGAszxBn2fCBRlZEWXObLE4Ca50wojyZiue4IsiCk0AQ4W16SQx/xNKeuLYPfFd/wZYHnHicBrp0DtqQzJLn0OBdS21ach24lj0XckfNHd/IkZ8lh5HEQlsWOnBMS3pb0yEUOtDQcS3vadMlQVYkk7OQng0tDSAh8APzJUsRvjYtHRWRFX1asJAdn/GWz+Vriv+f4LljO2GNIN5z4Ufq4KA7UXzLwdLAPdge9PsZrHP8rcccQSbBR8We55il59hDYzPCOEIlxKKfL4+vG+qFoXw4wf2O6k2kj9DsPHKYBw/2K09/UopacUuDHAL1zQOLs4w4TEzHxPg3ULzzTJOS/Qz0Tsi/SnQ2EHMoF4bux3uSU4qLif3XsPaK5i2eCf+ksO+xrwqqybMQQ/fLFiERA5SToIVgQkK+bLFDYtooDDWnH43Y3zD0V4LJDLiMafJkuwkplYkjUoLtwHQwnnReKFSJTCdEDcdbcPkALyMXWyWjwCIsQ8DueiQmdANtdyJ/8LgXlp5ANAlLxhAMgytwxpHJyEe2Le1oGL5RrO9Z7u5hm/EkWwIeaxOnWPAgDaUKC7di/9cj51dPvNgsOPGMY0kMOyrd0Tx+oNE7/vDNQDRnrPt7xrtE8djx4vLEb+s39NNEWygFLZ/ywFm4wzwOtF8Kep+omoS+VdJTIp1m2/SxjCi3vPj0nFu54MiaXg9cxzvOso73TAQM59xh5J6TFAieTXjG4agOd4yPibyPxP2Ow9OIvVX6HtxZpH7p2J9vuDEZ523L+YeRQhVzKQSE9piofuuSjP2cC/e3iekUqLcFfhiRW5ieE9XdgMmUUiHsPFkWZpqUDkRT4DJhNQwsyDmowT4bzNBxtb3FHDKqSTFbhfWSbDjix4C2HXJIJAfxNazFcC3vKM2OAjvHEdBTi6fsB9yxJReh/yZwtnngjz5twUKZwVRMpAA89+jDM48nuHjo6X8B5jvmHxzI/MpBzbtPR7I7IesMMVrUDpT+iZNTjjjytOLqAewiohrY5xHiwCgNkRqHp88S96wZ4xm5yWcsqioxFTzbb3FwLzA1/CD7JRq/YWETgyiDbXGupA89Po9Ik7BmJOsnNtOOlX7DVeqodcSQsdqP2PeedFHyE33No7nkGAy5tGjWMXFOKxf0bDmYOYhT2hX+6Hi/GblxFwypImUezgdOEVaZoZID1/E9vc05mZKDLUlOkAhTnINNg6448YZWXqKaca4tNYGRhDM1ydc4rRApiVqTjS3n+YnBvCeYniLL+Iyv2MQjn5sTS70ll1lItnUGYwYsSpkGMk4EewKZKPsOV3SwhHYr+CKRxRNiT1i35yw88Lp9pjp0FPUWNBFN4CgVF0Qy68FEDhiSL7lEOUqNSE5tRiKOKYdOPkdTNmOwtSQbBpq6Yyx6/EXJLpWU9Kx5h5MTozZYVVYyfIzcczidsDLi4sRgMjIiK7NjR8OD/YSTWRNTzQt9x5GMG3OBSEGuR5JccjBbmuRRgUiGhBodBE0QydG4RYNHrIHC8qRrNCw5S0+85ue8ZUeu59RNg8n2LPEshhPGdMQ8I8mISYk2WHayIbk9K1MwYekkI5kFnSYme6JILbUMlNIi5oDpYHWjvDreYBfv4LDgaA1PxoDUMyXNjtg0UFCACegyEuuJ2n7gLOY0dFjmPI4TOVfplhf+a8Z8A7rmfHzgs+d7irDnOX3OswQ+9e+o3IGjj5yNTxTmnn3sMF4w2lBxJKkS7D8UUfXrUydKDtqw1AqmEUYh5Q0YxeTTHMIVLAaLjCN0BvvkWLmJoouElYI3MClSeqgV+wRZp6g4WumoDCymRAoJcwL2OdL0uPMIleJiQ6TiKGtQx5JHlCeieUFORW9yOrfgfBqpezD5RLfxVHnCjBOZZORDgifgZWK6nCi+3SE3ke5ZoZjIMWHWkgAAIABJREFUjFCYiTBOaJ0Rk5lpK9mAOIvoxCQ5g82x0VG2HdU48UEdAwXb6HnhnriVK25TTqIgYkHnIPKcnjHVeLvAx4LMz1SFMcGYIjYPSBGJAyR1mNxggmK9RdJEIMOzIEg1N3MJnLckInlQZAL1BT5ZJpQgOVOARYCt9lxpz4SlSkcGm7iKTzgpGZPlZNc4oDEtCYPisEDDM4aWI4uZ+mcDwYNBIIKNSj6Fue3OBMNAFYSGPZl4FIOYhMSR2uTI2M9bXR8onZI+It+jQp462E8kTXQUmFWB3URMHbDGo0boG6CILIaRxXEkpQwZZ3pC8dTCDqzPmYJHTaBiwgaD9iB9BOewmjBRiXG283nLvPnsoDkI5V5IPmBljjVaaCJLPSTLIMJyMTMeY4pM+lEN5DM0c6h0NAiTnrPXSwa+ps9zRErc/S2m80zricMS8lJxLmGtI4UAvcWLYa1PgMNJIBhDkHnMHNVTTYlmnNiVDY8UFBJwaoimZJDAKI5GI6afXYpzeSQHtv/vnhv/j1TMMf2ADIL0YINie4tMNeVzh7WBlM/o2+oqEENCFhCawLRRsutAvhwYCpB1wCwOPG6VskqIgl0ATcRXMsMGPKAJTWD3yuKXkDRi3i/J64QpHVU+QRsoUsIKZKJYlEkdHSVOIzE5kq2YxBOwRBwpFRipQSBJi9WJpBFPwksgD5bsg8OcT+Sxx3Ig6JLoNmz1js/COzJ/JDnQ5ClOFnczciEDV5//kGz7giMrBDjrWswIlA6LYWBgkTqsGYiAVUelHuRIIw8YlHN5Zi9X+NDPJ49bYvWON+av+T3zv/FCrsj1n7HjnN7lPLDmhk8BJXcJZx7J5Bmvgae8wkhJqYmYApMp8Hg2uuNFeuLn9hOUJZl6rDgiIzn3nEnFe94wyIqCRMXEgGVnyllZkgbE5Ux5iS0jZXnCacYuvGSMDbYcyaojEvdkDEBGrj1GRgJCJCNXg0qHoeNCJtbdB4pWoTNwF+egzPWMlK2ngIuJmMMoI3k6UbJFEEoiFxpptUMk4x4hJSHahGoC78gzpczu2HBDBnywn/B1+ZqMwNIOqHgK9kgaMHJOJOJkwkqPkjHpmlGXOAlEnc/EZ7kkuoylHDHkXMgzJQOFDnhJ7KVmiA2CYZSCIDmOgNOBUvac6R3n3NBRYyTiAac9jRo+yIaBEqOQ8CSd1cclkSMBKxNnsedCDyRbcTAF12mHs/d4ap50ZJJImDVFZAS2tCzDaSYa/pqXneYexhdCci1VcJiYiFUilB1FURBKkG1Ey4A+z+G9EswsPikNsZgVyjYaxINUYEzCZzDViXaVqPYRzSI0EHtgcmSDhSxBa8knjy0BSeRT4uIYsfWAOYDZOXgOZCdLmhyyK8n1hJkUDUL5BCI58amnUEuWLMXbCVdncwjvqNQdmEdIa6HuA/nQ0e0CRVWT/DgvP5iheGYfyVfKtAJchTztqcZ5qTuKJUmB0RYCSAAbBasCUYlBUJ1JeEoiGiDW1AfmwVScEHskaYEno0kTMs1Le6eBFBXjFZIlqDDYBktOJzk9JZtoKPCoNUxkyAR5C3rMMKODZDnkGxKBGmVEGLQCzXF9xvqYMG1PE3talzNhUSJb7bjqI1U/Urx64KQti9hBUOwwUKUn1maN0xoUCtlR8kQuHpIgQwajxYSZ8Od2Smqr+W+153zoCf6RQ2HotIFk5uBgOxOYDJ6VHsk0kGSJqmUz7lgpxL7DeTCjsDkmdK/kxwofAzJ5UmZ5X1k+SM3qFDAhYzQeNZEiZRQJbN3SLTzu5Mj6HH0ISDDEmChH5kybYCHMSnOm+b2lh1QrNhksoClhTSJXhx1zpPc0q4nURlyAMQfXzLh7CcwSmxGyOCvTRHuWySP0kCoGs8UhLFOkGUbcMAct5e18Nn3aD/Ql9NagVOSTwQ4ZOirJQ+oL3Ckh/T/M5/6Vg5r7dU7z+pJhecaTaVDJEZcRLBQ4msFjOoEsw6XIlve8sv8XSz0xcMlCOxIjjybjpEIZJypnCCxJskBYMMWE5COPnNOGM2rzyEJ7QujJVVm5wGES3i8uKGNG9TSw/PNHfve/+DOWSSh1R9CcPC7QhxaOad66ykgp3cfMAyWR0UmOiLCIHYV4cA031RnBFHgsg9lxrJ6YUk9eK4SJ3s2SrFHXPFNyr8dZlmkmpml+sEUi3izwwbA24/zFjYmFgXX3gJWMd+qI4jiaLcYeWMYPbAZlEsUCq9Rj0o58bGfJIYZI5KiCWIstLMpERiRjojEDRYzcuDVmXTMU0JIzDI6kjn2+oDUFmS9Yfu1Ib4XsZUb7JnJMDTsinTpI8yXe5czWgxBJWjBIhQhILJk0Rzugi4hVksuJaUlIBYV/onEdziQmydGPPxMZCWGipNc1URMXdqS2wigOYeLcPHCUmi/lB3zFd6nUs/APkGVEDZzJwOfmgUSkMkrhIkcKVAKmUIpVRHNhYE10BXXZYWOPrT1kE4tdZPXujm+9ueV9eQ9yRStn7OTnmBSo3MizZHRmPuDbtET1jJaOwuT0WoBOVLbjOSy599fstCWzP+eF3FLqkRMLpmYgk4n85xCfDPEA8vlI/SJjtAM5IxmBOhzYWqjkyG295vHlFb+0G4KZmJIjY6T6GBrmPxrGVjzzWRy4GI4UpxGMUJqJJnZU04mwgPiqJtQWYwfk2wv65Rl/ZTYc48ilncjd3f+tRuD/C5VLT2FlfqM6g99D7hK2E+I4258yyUmjRYYRVJGgmCchvE+YjcH3A2YH+l5JK6FzQr6oEZlQMZzSGrEnVlGIJ0AzvGux5YzpI3l6Mr5M38H3NZt4w6fLXxKA8ulE+psD1hdUX43k5wWP1xumTc7Vdx/gg0feGcRX3H3Tsy4N5VKwd4lUCItPEyc3MaaKISvwV4KvI6nJMWUkyUSXZQymBhWy1NE9d4TNGcko0XuwidYseZCCey4YzDlBT2RMLAWy2LPliVygCR0cgd6CjaTYUoiDqUMkzdavU4QuziboiY8y9W6+zHnw4/yQjhKIMVIOEY7z/zXqqfBgIEawIWHUsmfFjhfs5Ryv0EShMMKUKrCOBEQKohoyRgw9EUUxxASThWRkZnUGC6PH9FCPyjj2DLlhcs1sc5V6HlIlUGdRsYRosMnAEEGFaAWtBTpLPEHqIISERMF/LbS1sv4isHr2NJ3Cw0BxVNJJ6BYZT5srsjCxlgGizk3lUT4GRyeSAiZH2wk3KeIcoRXkJOg4k8uURBoAMfM2MDpUZvsTXWQct+wkZ20GliqkwaNmRJcVoiPZPnCM5/ydfcOgW4LueZKSB3mDkci2P5L9xZ7mZxbzVkivMto3DSpCtA4pR6z0xJhQdUiR89a8ZsTi0j1ZpkS7RFJFGScmW9BmCzq7nq1oOs40iBgorGAwdM7N30/AE4gbiz053Lvx135Y489Kpi9GhkuHKS3agMlBJ6F8VrIr8KtI7sFkJYdNj/0NS1XnkE+IRNLnDsuENAlWifR90M8N1VoIXaR1Jf4TQ7ON5CVMGEYXcVtLbgzh7QSLAtm2yMVMG2RV0JsVnV4TWCMGAjUdVxR2wNGj6ujNlne84If8BqeU8w3fgSCsizsW5i2t2fKVfsGkExPf4JaRdGY5lcLESOVOWNuyY8WtCoW7J9mRpBX1Iqd50VLqiZAbcnS+lORwWBbkRHq35j1X9FpT6GyBNibRUX3Mr8kYdCaSKBONHBj1gBjB0VHQUnPPmg+0klPrSDCRQo8gwi6NnEtL6waKqqPQHVvZs6DDqlCFI4UemeRAzhqb5p42fexbTBIWZiJqYDQZqg6LghpaCiYpSCokMUxYRirClBFsTjQTkRwwSO4p6wO19NR2oGEiKiTJcdrxQt7zSbqnImEk4XTEaUBQhrpicorJLWwG6k88vBB8BTafySx2EsiE5BTDnK2TYgnk+OAgrygZWZkDDyyIpmJj9pR6nK2LY8YuXzPZnJ2NXHPHC32PiUqQGmOOVOkvqOVIqzUle1Ry1iyYEFbSUeLpk2VlewptiUAvhlEDIzDJhj7BYArUTBjpGTQncUapEwXPGGCKlomSYDOCyeYLrqlxmtiZDYNsaHSgSAODKWdLpziSCJ2WnGKNasJYz9FsyZKnjJFVmpiM42QipUwUJAapuUlfIMDv/lMeJP9YdWtQa9ALgRCYnj3lyUGXkHcJeodJICj6pPAzkJ1gbyJiDHLjsMuZeiiFzIuLKmIag0wKPpAdIDjBlRkaPXJSmCbMBOoVjoo7WWSCOd1Zye8ixgC9gT6nWCvyLUc+GWRrGa6F4tIgT2YmPw2KXUKeFHBM94FYKu4Lh+0n5EnhuYIHmTOyi0AeFNKEtTMmWoNiJoeSoAGpFLoAmeDGSLVX/Bp8itQ9sLS42uC9wYkjiWe0gqksqQskJ3gHvjLw0jC9qjjlloF/bz1MBBy+akjZETVCNhjskXlhc0q4pwk3BRZFJFsIK5QswcpEaolkeSRbWuRsieaW6aOt2Kae9fFA1Ue+fyZEtyBXZXhSXAtQ8ixLUrYCjSyCp3g/Un0QUmWh6HGDoidIX06sXx05XzyxlIZNO1DJM0114smsKBaRfNXBKUE1hywnAfWQSoOeC0PdMFIRVWcgQ1B0yEnOEUoh4UjMd7ekCYtQMpMQFcEpyEnIjkAnSDA0JhGZrUCrCUI+UMcAPuFGhaPBFIKzIDGgk5DibBe33sHRoF6Qo8M+BOQgxDohO8VVAS4Elh+VY0OkHJV2UlId0Y9W0dwadG+Jf6NkXzvssxAY0MpgxKGtziPwMCBGiJKIMkfAOALL2PGSjKVXlnHCDoAKrIS4hd5V9FnCGENyBcNrJYyWaulYPQjZbxakL0ZY/cNf8V85qGnLM4bff8WNWfJWznG6IMUJZ3MWCAVHQjZB2ZDbwLe55Q/513zDp9zqKyoZyZMSWBLtxGv5mk/klm265YbXFLJkkZ5QG7jjFTfmFcrPudIDZh8p18J5bnjyBdPV96iPHfn7HdX/fORf/ef/00yRchu+CY7vbi5JuwO2VerUc+YeGM0rnlmyH1YMFAgTKz3x7TiwNO+IxYFvVt/Bmhds8LzWI2fDHjfCagmtS9xzzWAMMQqn9Cl/5+CsvsOdAvHDjlefPHEngd5t6BCMBDAeL5a1Br77/Fd87/yX/Kk5cc81x3DOWfiSN/mOoovcdRG7Hqg5ElyG29+xrkceWXKfzhjjirxv2YiBJOTklNGztPfU8Z6/Wv0x40XOsnnifdpws78kaEWXVeyrCk+F/GWCHz1S/kcwbiv64oKnDI7jGZxKyhbOrztWHDgOW551yWFR02iPYHnWnNjDcJjIy4ivEp1ZwqnhYv8TPl9+yc3iC7y7ZGKHl4xjLIk24lUZzJIQD6zZ8cLeYVOgzEauuaFgzw/1j7nlJVsObLlhgcekD/yWeUeB4e+5IkmON46M35o9gduRkOUMtWMicsw91UZx44nF4omLcMv5N571/3LPm3+2pb++I/KChWzJ6WjFoa7mS77gwQz0umTnz8iGPSHdkmzDLhY02lJZw83U8NenK17ml3yb93xuf4yy4dZ8h8uXwvlP7+BPB2JT0N1H3L8YuPiXBr+4pybnlC6o/Y7Pi2dKs+dn52/4yeU/51E2vA5/D7bHiKUwEWXgaAqyrORS3vJZOLI4ZsSngWFTUKWJq/hIMz4QzyLjJ6/Y8wkMezZ/kvGu+B3+h+F7nOvv8F/xb3jpfviP0xD8E1YSZaMj2W6EsMC/HZD8hLiCsDdYp5AJvpuHBdI76CfsLuf4U6X5fsn02CM/BTOAnAvHJaxfZmRywLLiIZ0TmozXxwH7FhgLhhxqCwZHapWvli/4781/w1/3f8zm4S/5b7/3v/N9/V8pv37L5r97S3Pfk/J7Tv/lOX/7H7/g3UXGi9+bSLfv4YdLgq/58c9bfvtOWFWG8Kpn/INE/TtA0ZHHDT0gn2cEIumsJhlHnJ5ALR6hVKUZhP4G/EWJNZ6i7Vk2A+/lgrdUPJNTBkduWxwtL0xiHN8jxT2tnvHtaUt7E0hnlnKpFM89F+GC/XECI1gLsmsx7x2utVCB8UpNwOlIdwoUB1jnMBFIkkEb0ZuAue1ZJmHFSO6V5pSoxiPJBL7kNV/r7xPkAsuRPquxJFqTsaFD1dCxZZCKpdwiuseSmPSCLlU4cyKmEXGAD3AAeYTtFJHje5qy5t59i7f6iiSe6/SBzJ4QJ7RRCTSY1qHPkArLv+PuzXZt27Izra/1YpSzXPUuTxGnisLpCCd2ptOWwSYxaWVeIIoU14h34I634AoJiQdAICGUgpTSSnAq5ZIoHREnIs45+5xdrb3KWY2y9964GFtwg32DpVDQHmBprTXm7L2P3v72ffeFISwNRZ8TXwvyhWHIDdnBsrru2X87IL+zIrkt2389sH6Z4e4F+Sxx9a0ln/3jj6jG5+T6kiwIapT+0uI3kB0HDoHpzWrTYHZgqgX9Zgv3Ml1qeWDwhKvJqCEyaXyHJMiYwxauw7v8mJb33SXrYcTdtUg4wMUZ8n0h+8WO3W98wH+//iNGlnwrfMbeeK7NER/JT/ng9orw37zmwQ9gv04M/9WKn/yTNVdmzSe7Pac/foWpLSJKj+IWhn+Tf5sax4y/YKwd6ufMQovtd1zXR7yqzhFjeaiXLHVLsErZHrAOfMgJcUo7egx3WaJ4VOO+NPTfG6i+9UtcSP4O6v5jR/vrM+IYKe8Vt8iIEpA3LfY+wJmj/aKhegNpVXL1NUP6JOfxG6H4qkfLgfDbnvB1wd4oxlry/yAyfKgMbuBgSrZyQpl6JN1S9J5dVnHnG44bjz0F/d+ABwJfU3g8EoOSjj0HLRgZcLKn0HvKtGPPCmcmhktOQy8P+Cnf4Od8TOYiL/R9tq3hsbvnqfkB0VouecLp+IZvuf+Fdx51LD/IaeuKSi3v9DfU5cBnPOV7/iHH7oQiXBFNiT7ynJxccb4ZoMrJSKz0QO2FN49WzHXgFWs+4yEFwlq2LLSjQLl523FudcVBj0CEQRqWNCjX7GWBkpGp44iWi3iLIedKnjOnQWNkJg+5SscsreHKZtTLgUy3PNINS3PApMTpYUsdb9FKEWvY6DE/sh8zkfmWNEaZySVGw2Sc4wifHCoVX6U1xg6cyO7/pp4M8Zj7rWdRJkq3YcAwygmpMizrl1xkl8zUsUwjMRXc2QrlwBP5gvfkOdu4YHQFFsWJsHUF6WiBKuQxQ+JLivdHZD4wLDIQRzVGzC4i6hkWOZaRc+6oZSBIy504OvGs5CsKbkipZKuWJ/4FHZFn+glXPOABHaVuWKSed81rvsWn3EdH7x9wKpdU4Uvu3Yc85wPu1bGzdkrX2IaF7OllpKfmnIZWA2/kCc/5kBm3RJnTcMZVdJyYHWu5oWNOqwUtRwiRBZGEYWPWgOVAiSHRUbExJ3TacM+aO05Y0XEqDVEaBsLE22HBS2o+NW94ar/kSLZEtTSmAEbytKF+y66bxy0L29IQ+KF8zGt5yH/6y11K/k4qfmbQo8D8RFjuLf3PAvImZ3wRCJ8FfMjATXQZ84VF/iXYFzC2A+aJhdOMam4ZiwSnQqtQnCnmIsNsO7J2JLtJxBNHWjpiGPAvAG1gIZN9EYdeRWKdsGoQa0iXaXrJzQTjI+YT4Js9PgKF0h8n3Ndz4qfAvxmoesOwAlslzI1n82mgqkf8t4BSiZdgL98yozqId4bCJ6gCaWGnxlJQYm9Qn2BtsEVEh8hQKexbjp4rY+aJNOjBw1HEnMOu6yiqKVESMqhOc8KrgLMOMY7DyQC/57l9esyN1Dj1WGBDAmdZLYWx2BOSsB4M2WtBloHyQ5j1V/ibxMWZcPV+TSrWZIPlY98zB4b5gHwjUr27Zzias3M5NgUyGZm/vuH07prz4udcrmZYfULYg9tExpSx1TWlCiu5YSX3NF81VH+lzG5bykd7vNaM10r/xy2P15Gj966ZLX6ENImUBq7Pj/lu+Qh9x/Ph+z+HfosuDBQgLWitxPMO/brwuj5lp6ds1XKQii7sYQOFBvJ54M6WtFJR01NqQy2GOvRYbZF8ArDENjJGg1jIjiapgq2AceRk+4rVcuLoJY24G+CFwvsRVkAnVI0hdAnVdvLFv3LETtBby/h8JDtT7D5gDiAnEXlfsDMDm2k0v8wTvktkPueQK53vmFkhfV4T/qd70rXHnWS0bxpklpOd5Az3OyTPGVXI5gYNiZAW3MiSkg3n45bzdCAM7cQz3UEKEfnAcTg33MgKL7esFboycf07huIfJR69SKwfKe4bLeNaGd/8zc6Xv/WiRkKHyS2OCeIYKBh9Rk+D0tF7w7aEvAzTVAFLdsyI6qlloGaHSVsq2XPRvsIx54FcspAXk7a4uEbTltP+nsY+4rz/HO8CnRRM93FpkrxGhyNjNCObUjhZjWw5pzEFkZrRWK7LDj2CulBctERX01ARdY6aBQ0FF8ky444bU9Mww8gRikdlikot48jR3uGakrZuGBwM4mlRoo1YHTAykKXIcWPxg+WlFSIyxbPGSHQNOzOBHVsVBl+TqCagp24pwoFiEIbFEa/qR7xcbShKx5055iue0laOwlyx1WN28oB5cCyHjCwKOwMtNb3mOHKSCCo93giWgsicaDNIsAwNR6EnoydmSlNCtD3zYaQYInlh8QawSuvhkMEBIVhLJBBlYGRC91rbIVZBFRMdnShbk+PiMbPDjFlhMfSApWPFoBOJyWLJ0oAzOyQdqEzDKm1AO5J6gtRY9RzpCx7G/5NSGir/jLXCqrviob5Gq5qjZKab2hgZTEYMPbm5pLGOTCIP9VNaeUwyNb2BmgGH5T4z6HLEzC13rFGZMYjlwJwrKXlsblinS8aY45LHjRE/Nnh19JQkI+SxY2RARMhDhlch6pwJd/iYYEcuilekecD2MC89402LqKdznh2Jg2a0pqT3npED86CsQs/C7jjYGhFPIKOVEsueSq+JMmNvzrkjMHfCWPZsK+iKjK1Zce0L5rOCh/0LDDUtx7QGnFEMgpDRSM5eKtbmV12IC6ukFH3E9Q7EU0fBoohafEqojiTpUDETsPft+MGAEkUhzChHyzDs0TaDmEjjgNpxgvIqGEZK9gQrDBn4JHgg2olPlw3Ceux5Gj+l7eCo/3POx19Q++tJl6sTnLgv4FBE1sOWsilZHcwEMVahiIEMJYlibMKNIH2NGUpmWUupOzRCNIIhUKSRIU0Q5ar3zFyLokA+dSLUwGA5NuDHgUo6Km9ZsiEOl8zyFjUtTltyWjoNWFUKhFEGbLAEVQZ/INgRDXaK7NqAumlMR1FU5C2EdFoTXOwxmsAkkgtEZrQ+0RUDzgmj5HR40AIbp0ueMg6c8Yah/xmJK9TtmNkNueQsO8eSjlO5x4eBaGoy2XLqR2aupWNHRqTSSDUIKerUVnZT58dGUAUkItpR0TELA8WYY8YcTIPYPUYHSGkCcTee2eDZKqg1jF6RkNBCSbmAOlww+GaJ7D3L9g12a2D00BuODyvO9pGmHBmtgpn+RyoG1QxNLaMD/Dgp4yfq9VvF/JSkjIBMNk80OVSF3kQki6iFwUOf9SQO1NGTJcVFgSQk2dOVLeUIi67jQf8lnSu56K8g1MwzZelajOEtVLkl+AAxct7D3AhHW4dLUzd1J4qWkZKORdqBqWiMkvmRMr1hGRtkaFEfKbOBSMDKfgI7a8CnRCMlKcswNkyQ71TQp5ESi0hP7/RXHibsbKIeE2WMqIxocvgxw7UDjCDDnKrfvIUdWnI9egtO7Qgm4ZPBjw7bO5IKYnIIB8yYk2yOakkWLeoGxqSU1NT9jOQFZw50Nexr5UG5JXjF2YAoqO2p08hWBiwjGZGcPbm5BQxRcgYpEVUKBsQoEUXYYozBykAeFCMH9uYOy8AoExSTpKAFIgVip72+0nvQgZxIkTKMGGDADxuS9PRZzt4IGQk7dlQqOK2wklPYlpoRKwO98fRYMhJBe7YmcpApGTLohqTHJEraVBH1gjEZbvh7/EJes+WCa95hT0Z0wjVLWlPQyZwDC2rdIPjJisS07hmdEnOqjlGU1hgacqwqFT0heXrrsMZiGPHakYeGWu6ZizDiMFiUxEDGqAWCkkxPMAlHiWjJqEpmZiSglYizB3LaCXicKnpzQSv3YHs8gk0OG5VSYGAk2Qw3jrgYJzYqEKylNRnOeqTdgHkL80URpjb44AyjFxIB1ZqRBUJO9vbvNnZSVeNGirTnne6aeZN4zwmrsmJrlc4k9lrR+gfcyQP2zIkaSeT0bxXarc7Zo0SzYKOBKB0iGYrQyJyWdsKMiuPAjIoDIxYjkYwOg3k7mhsZjGevOYilZCCRE/Bvn8GOOlmqlAAh4fEIPkXGtzDXWbxFTWAUpY4HBlPQSU3rKzo8kYCTBgjYqBQcmOn1L2sJ+TutPg+oF0oPYLARECW6SJdDZRJdhNIo0Q7cF8rRYuTWQlZGlsWewQViCWM2jZ1ZY6mCm0ZXk9IYyJyAmUZ8som8D2bSF2MHDlUkVlBlYHLhaq6kBRSZgAvELFKoUO8tNk0/w0vBoAlMBPG4Ee5zWBSG3gljpiTfMljoLCyqhpQnxgxcFhGv+BKGLFG4CVwfdMAjmKD/j/HYCERDlgI6gKaCRIuxEYjTuT4pA4mQwCZHSlOya7pXcsgIJmWIiThKJmpfRzId0JLIGWRF7zsyqwiQqLGyZyihy5UkA0YC0QmDCSS1YOykJM8CxpophcIwTXk49/aMkzPqGnU597OJt7e3npGSmTpMKug1pymgLRNF1tIXYLWlryZ7VmYdnVV8EQk4+jGnMSsCJcEnDhnkCtmYQ2xJViEJPgpGMzIMhgBiCDh2RcFt5bAZU1oZyw05g5vj36agd64nSEZR9xS2wWaGpvK0KXAuDQPTM8tDIuscVBkuKiIjaidMigjTmQlFNaKiqIFowJiWvlSyMrLPYCURa6dxcnmbkhSjZIDVkWCFQwZ4vikRAAAgAElEQVTJe1Q6RHSCPnvY5qBZR1UakpnGh5Md6DPF+mltKUwiC4Z87PC5YRDH1p3Qpw5PixkSobCkoSDHM6jQmBzPmiKBwVDQsB4Uuy2Y9YKGApdG7Pg3GzH/9kRNbljpDScM3HDKp8HjxXBhe3JteVadU3/nQx7zgpVecZIqZnHAuTd8R/6Mj/ghR3LPYnvN7+4WtF/9K3T3mvNfr7g/XfNlyMn8ghNe8sJ8yDz7ivN4S24sKRfENFgaDr7mDUdkmfB7//QhiwfP+XTwvDJHXLkLJHW8/47jvT+6wtk77Lhk8CV3qSIlQ0o5h1RhovIou6VnYDAVu/QTTi8cz/0pbwY4azraP73jze6Y8h8/4MAbiA7jWs71mrm0eF5xFDrsv73m5R+PHP/XH/ByFnHSccqWkQW9zhHAmMi8iuzdgODJ8RwzElPOT2Yf8C/yf8Dy3/8Dht13kfElf+4f8ViOCOOP+Wm24Jkcc95nbP7sNSfFit2jGc8pOLgVRR85MoFbDxQ1Lr0mxBEta2QrVIcbjvIbkl5jv2UpNsJ6taZ+/RnfnF9TmhnfLY9wj8+oHuTsXcFzHMl5atMyowU8tUmoRuQeDj/ZU/9BwU1qeUbN4fqYz/6HO+5+e6D5nZzGHVirw0UhGUeHpzADhdyjtuFdec23xu/RmAU/5WOuKcnHe37Nf8m52/OYz3jI56z2FvvpnmW8JT7N+drpQ4waanakuKALhndWL9iKJ6fhI9nStz1fbC1y/JjN/pKQF8ze7Xg0r3njt2x5wr0+5Sod80Jm3BnP32fLb+t3ecacH9v/iKGNXIQtT7nkBbBjxWM7UBAZWs+XX8HPvv0Bt/4TtBee5/+QuD+hPr3n4/9wxzAIh5dHFD/+CeE6chWWfE5GzzEx2enlHOXY7JBimtG/Z46Mc7Z2xj0ZZ7blidzyAznmh8MHPGnP+MPhzynsTzj+rYzb1fv863DBy3TGz/QNv1ttuGfFX+ljbDil9F8yEum1Z2YdJ/oFH3RfMVGMf3Xr13afkrUZaUjwZoPeKG0N+ann5iZyegHmcY496Ukz4DBg1gX5nWX/vEV/NqA/29F+P+E/b9kVyvqfC2OhbNRTqDJrv8R1HT54hhno5hrnBGMd7eXI6rzit67/hG+eb2guHmDLaxZvPmO22rGshXZvkJ8lqn9Wk/2WYL//Q27+18j2yJClGpqGdOk59pajiznpqxbpB/rXDeUy4d/vOK5OCGrQkzX95Rv6796yXFqST1i/Zelm7AtPqgP1ewXZ1Wv6f5tITxXjtpweK+nJjKX02HLHTF9SpTvKdkshOec7YWgsT9xjflRsKY8s45nlaOEYXaLbeSiV5UXCnBnUWdwqYk6UVBVk9JybO87GW0Ib4FwwF4rzjvRwRvxmi/vQk6yfJqsqyDKofr5h8f2f8g9+85LfdZ/jDpaxMPT0VJ2gP9rj7g4UvqG0IAeHqQZWH3UMJxHjFG+XrPWOch/YRYX3LPrAEcyASYkxQa+JOTd8rI6H119QfnHJeKm4OZxVA316iV1E9FrQL/akwdB9pyQWFncmSC1kpWLLAulh+XzD+Fefk/0xtD9K9N+7wg9CeKF8+tEPefIHX2drbzGpQQ6JZBTuR9LnO8JWwQnyyZzsaxGqDmJOdiFTx6oQtEhILhy/bwk/b7BzyzgIRZ7oVgFfLxj8gTMGVs1rTCZkXeLmX8L6nxXYvz/Ci8ji5Wf8Z8UdJss4/bJj86Kj/eQc88hSLxNjJ5Pp6fePuMnuefLdgh//tz8nPcpgLzCPHH0zo383gIGP5CWBklI6apc4OmxY3Dbstnb6rOUdBTtqDtgYkFEwB0VmjpvM8fgbBeVfvkFeJdbnkM97ZA8r+8teSf6/1/zNhsPrFjMIGmF3e6DeC+kOYg7loy3hLxPuK8E+v+UsJPpe0TfT4c/EhF7u4HrCO5AP1ArmdoNRWJ9vsA8sl8cVja3wfUbxxS3LqkUXSvHvFcx/Y0nq7iiOLGwigwIXjhM58EYmBbbD4oygeGCgMB2BOb1UCIkTvWbOjhk9xWwC6kswPLWRM37O6AbatOP0a6do3DCYnIMKlTSMUnIs1zzB4sjYeMc4Wo5ud5Sf3bP4uvC5eC615LEEcvsGjRk7d8peStZhxzvxChXPdfYALwPnekOP0Oua1kxOpvM0chdn/PVLQ7V6h+d/PZCHJzw//k/4745/HZvVXL7JKJcVR2cdo2zZpxN2/Tm7LmOQN+xXJZ04SkoG8YSFw2tJYSMn/R0uWg5V9TZ1Hd8maRyNnHHLmkDG2u0Q84aI5QXHJAyBkR05zRBZ2pJ2N3I9lhRZZF4M0Fr2uqAJAycnSjQ9NpWMFNyZc57pBVbvqc2emgPzrqFsRlwOjXRI5knXyuwQUcCcZTTVgjd2zXXf8+AiUWhL7oRRIj0jo63pyCjoWHDHlzziJq5Zs+WRfIWTkat4xLFNNOaKT+Jn/OEv/gXm/3jN+HJL8e7It//5U767aLmKS2I6Y/CRLm0Z4oqDm9GLIaSMN7LkboSULbhPLVU6gBhaMfh0ijNChuWgU+IncPW2GdKSscORUBFGhb3UdAAIp3rDWg94CVg9cGDBKJHMxIkvoQ4nSm0C6/iS33K/4OvZD/EMRDwfyJf8hE+4jjlb59lpBjjm0rFix9JsgVs2pv6lrSF/l1V900LhIIs0nwbyGwGx6BPD/N89xryucH96j20TPMk5/c8LzF8pZ6Og5yPmH+W48YDfgFtnrI8jTZnQcUA8BAzy4ITL1LM+7CgbGHPIjmvMWwsPJxWzdwOseuTcoEXFUbnmRV5SxJznBm6zmg+ejfg/+SnZekv9zRmbn26ZXRnkeAL8FreW/EOP3JS893sdeV8i7+dk7xzwJ4LcrrDVgfo9cI+PoLvH2AP+3hGbgI9Tsjr1nvHZgDdgdKTIDZQOqQKaBbTPsKtpXIrW4seEWUMx9zADSZYYlc5bohrMi4h8HjBf6zBMBr1WaxI9pWkYM8sveIcNR/y9x7esfncLKLby2HccKo68HMBPFs7GZfTS4dOI8cp4AvrJHPF7ZKzpM0dOZPbIoceOUNY0esTLAt77Lx5xs/Co9OQESA1BhKs048P3z5ntniHvzjDvt0jhmEVPfWeJDzz+YcutgVjMOZDxmjP26Zxr/5qnv13hdaC/bclPc2Q1YDshJTh0ia6OYAYyhIGMz7IPeX16Quk6kjEEPC/JiZxSacdaArFa81pHfuOjyDd//1NUWvTxCu8zYgzTJUUQJAT2Vz1ZZdHWsbmMLGczzLJn18L8azNUG0ylmBb0kce9n5M+CuSuwMc1y8Nr7D88g/MB+fktZBmSjTRjpLoAqoy7+YIvWVGanKPhBZkYGl9SHJWsPtxTritYG0x3D2FEKodfCKYqJ7vqITAk8NWBGYZXPOB1WFKaHU+LRLIHXLUgT5HcbTgMhm2x4BCPObiRKl2zvFQO//Me96db/EI5FHsqVeJ+6on8v9XfelFTjcrKDARuKcyGzuWMZDzQNxRx5NYVvFxWnIwJpwGGnIM9w+oNF/IlMlrmMVI3rzm6VfRyJB7AbuFkIZyOSqELCtdyYu8RPaBvPwTi5ogcGBGCESQ5grPcVj3pouaL7COuzTl71pTximv/iuVpZBUHghEaXXKwNUaEZHJ6meHMwDHPuYzHtPZDMjIqphnDwVQsG0N1CWGoaTlly4ZeZ3QoFZFl2qJsqceexXXi6RsIcc1Orsn1wDzmeAIHFhRpYLAZ+2rOXhwZkPqO3A5snOHL+oLvZ5+wNiML/5yFyfhcvk5fWOaD57U17FgRQ0sWIkYMG5tzx4zB5rjQI2IhClan7vIglmg8INQhsBh6MkmQRfb5ZNHy48B5fAUcY7OIZAmrLTEu2LoZlS2p9StmbIi6wKWeLAVkBHeAlHLuJOOGh8RBWe8sdTujZzGBdzlQpB7jLDuZsZCWLDSkFLEmUWmLaEancxqpeCgbHuqnPA4j79jPWJgt59uIuRxIFoYHOWa8pRLL4jDRtIeYsJWntY9Zxg0P9Quu9ZQXaUETHSkZBuv4aunJZMb18j2u+Zg3csrGnnFnTtgzstIdT8fPuZNj+lzoVSnkQMY9ygmJGhMbvAGbClRL9uREKRjsEc/4d2h8zgvzF7wuI5e2JvbHfGhqXBpoWHLHKY45KRX0FhruEe2oY8Rzj9g4qZEx9FjK1HDEHWoLXvn3yPoF4/gzxAiH+cjlXHgVz3hhPyGzZ3x7+DOirTnIY4IZOLDB0WFMYJCMXmf0pv6VZ+YdDRuaVExiSLW4EbKQQSqIpic5MLYn5jol5EbHWAbcnVIOiu0TEtLUCWrm5LsG6SMMgs0X+DhQxT2uFUwwOAPEgHWOlIQUQPqO94cNfvMn04q6Bw5M3U51bF2k8z2yashnLRfXyvnPIX1gua9LkI4MJWbKmO0Rb0ljTtb2uHZkppH7eMNg57TimIeCej/gNIdlIGVKoYENhk0+INlAufX4xpHGDp8svm85Si1qtti4wdmGk3hH3kVEeqoe9BDJHOQSUWlJ6kixn7SbUVA/oItI8hGr5aTyNB0qNZaRWg6UY0PTK1SeMBuJEsCPjHWCskNxBAxN0U3fny2cbAKk19TxGYt9YlcZNl447R3V6x6umOZ0PRNweWHQd2CXEiX39Am8eduJTRBrQyoNo0I2ROxoyEfDMj9g41dctPe4rcLBoLnFjyMS90AGnSD3SrkXbLRgHOogFQMpV6wJKAE7gr8H2Rjm+wRXFhNz0jZyuu846ntMecATkSGBVTJrsKNQDrBHoWgJxUg0kOeJnVHqZPBOaHPIXIPmiSgjYiskQCZKK5MxImNkxo5MenAJHxPlzhKN4XYVOb505M2eb+3vwIO5qzi/aklPLrnVDMcaUSH6lrAcSGbEXOV8+xcRjLKbGSTrJm1rZjDJcKYv6aTExWnPquOI6cD306x4oTsqBowmNAl5EJwKSS3B5LQ+kolieih6MBOvlhT/Vgvlr0RlIWF34IYMkqG87XD3FbqNxGU36WSbHmk8ukv4Xin2hrCPSGmJqqgmJECMhmgVUZBdRqkjumRK/OHZSkkuyjJFpDP0MyWsB8iuOdzDWjKIBquBGEpq2SImopooaag44KTD0TBL9wQtiXJBFIPVhpXesNOSc7mjQwiiVFyz0A234mm9pR9y6j6QYqCza26zDiEy0z3rMRCkYO9qxFjWnVBvwPQWm3tyKjLuyWIHmrgjYDSwDgeOxjtaV1Jqw1y2WASbZngDohMgs5ecNzLjJj+lk3eJQ6KLjlcy59K9h3MZrYAVYSN7vFwjdsWeY7qgtPYFrRzRsKKmQ1WI1jLKBC6ey0hInkbKt4ycHJX+LXLW4tVgtCFoh0+JuZlRpAViMgLKGC2EHq+WdnCk4oggLU5HzJjTypxkI73u6CVDjSEDosm5ZsZKVmQYfDqwCBHftThJ5JlCCGgDdizABiJKK447FlD0zNkiOhJxWOlBHQNrBs0oY6A2HZ2p2ciaM/ZU5jW9OpweM9cDnpdc6Of82v0l9qtL9EVCM8Ou2+Frx858k04eENjTGCGyppOCESYuR1rSiaWTJY3pWZoCLwNCYmeOOEgHBDqTAwVzagyeTJSRFi8JULpUECRHkqWwPZYOrz2FjmTSstKWQSIqkY6cqGDf4ksX3PGUH3ERf8wNJyQ7ZzUeyK1BjCdhiVIwMgkuDDesw2tKEylT/f8LmLDWkeTBmQzfRmyXQ1kxFD325JqYLPs6USSLZCO7umW5yqCLtLOEXwqHVpg3gmhirJXgIzEJJoMG6IzSU0HoMJqIXknV9PyMFXAJXSS6IlHME83ywF3meGGWBIUvTc5XnLGsOk7xiAHxhioqvg+MHtS3pGxKBvrFjv18xOYJVxi6YmRYwELvCVlie+Qxxy3m0LBSRe4EcIgGuh7ysYQuYfoELmJMAjMQMgUbsQT6skdycDiQHvWBUChjJhT9CJJQDDbVmB5CvGM0LaozWmoOOCodmacOsTXX6ZidHLOtt8QlSDuljZsikfyI+IANDsGQJAcMTgcwhi6Duook1+GlxOtkwttnPYUL7ExOK8fcVltm+YGd8xOrU5TglEYLonXcLhzzeaKoWg7zgJQDs2NIwdLlC3Zmxs4qjooNOZu0ZtAFnX9DM+vIbAdiUCuELCFhxKeEeIc3I4ERpzkWx7055na2opQdS91gsLRpycF4otxhpWGnc75kxUV9zcfH32M/Kts8Y8gsQ7wjEyVre+btiHWQjQnilOwxIbxVnAsYyyBCzpSc1jygZeBQKJrnhGKknSVm83vivKFdQq2KTYoYJvGCs+xtxb05QaPyeLBIMhzKmqaamp++2WJKT68TakkkEgrFV1tSikRrUKuY1GHHkuiW3JlzdrZiJpEuu8FmIwu9oxpG7DgnaUZjznHc4+WSeW8prg3mVoi5w6SA8BaG/DfU33pR842/vKL5aM7VHCqzp2bgcx6Q0si3uOURzziLXzGawAu54Ef8Ot/zhk/4MUsO3LKicg0fLoRc7/EuY9tH4insC0uuA+t2z+Cgvr7H1APPVjU3UmJtxRhG7qzlTHpO7n7C1ik/Lb/Ozx5/xA/065Rp4EhvObYdjpEgwkDFXk64DUt6vyBTx+gytniy4cBizOgM/DB9QPPsCZsvHeW3LXXRcfjLgfW/gnjRENRzxQlfymM+o6JKC+q4Z8mBMHyBbhONMzy3p1wb5SLuCeS84IhAhtd7Xuopg/sOIR6IapmZHQc7Ei5KyAKP9DO+zgs+SD/k+/Y32bHmEscX+pRbIkmhqHa4Bz3tUaSx+WTTii3kI7dZwQftFqN7biVnFy9ItxmMymKdYV8UjJ8rFGC/Y+i+5tjenbD319jhlM3LGYmSFIXM1LTtHFGPMQNHdkdHBjqSuYB5oshZYJgveONLXnJE7zZYiRzVewTDvZ5yKx0X9hWZRm7TnFZKlqbjYAr+Iim1+5xzcyCpchuX5PYBT3jGKrUMcs4z8xitrzl7dMmQZ3yxOuUuy1kluM8jwVZ0jOSmp3NzltLxMH3BM/eIvH7ELnWcZi2D6fjBg4/4weI3+Xn8GjecksuORjIOrBhSS1TYuDnPeMo1C/IFDC7jK3POngVZGgkpY8DgjfKovOJb7NHwOS8k44gN5/EVpYk8yz/k07jgvMj58CxjkIEQEwlllwqacMRdniFseIcVxy82fGN8hX34nGA31HG6XMmBsuk5rfc8lOc8tK+o7R1QMKQRowMzu2XGLTN2PPlp4r6+5OSdHV+ZQG+UkhEb4Rkf8D+m/5gf+a/xX/4dHQp+WXU3s+S+ZOy36ElJSgNpNNiuZ76G4MGqTBt9bVBT0H+jw64z7GFAznqGdc7rbuSpjziTMI0Sng3M1wNhCX1VEXQg8xmm67EtSJ8m5aMDYqBfZ7S1wwRH6g7MomF0hhiU+Idrwu9l9N8ZMENH3hW064FuAUVQCCN7O7L6/Tnx4wFOlHhm4bikKBuKIJTsCMaQ3wXM8xHbC6NNmNKgWDpTEPEUAcI2If1E3h8M5KUwOE8jjkBJJCNKYm8c3gp2LIhuIBSCH5ek5oa0KxAv2HtLtlZc2aFHFllEglWsOsx+RHaQRYCRRCSJIhZ0FII4osCiS5h74M7gU6CmpYoLUg/U0BvLnprBDrhK2BrDBqGyijN3mGmYCzUGLQRqT3JK63oSJUFq9jiSHjCdIDeCXRuyUkgHQRpDMULxTqAznmByXNMzbA3pOJLPcmKEcSvkDYCQMplywaKEFsbaIO8USNOQxDHIjGFzYH6S4CGki4BfZNwEw/3nsPzfG4o/KlEG4jZgPp7RFw157WCWyHyCQ4Bep902N7gEKQixEDieTGRjVHQEG0fGBLa1uBcGO0+s48CBHY2z1MES2h4TE9IO0MC4V7KjgoNPpFnOrKpIY0vYC8QM/SqQfaDEX19ivn3AMoElN0socyW1SmiARsj3BtMlshRpxKAmR9mRXIQqxw7Kum/wTjFV/vZ5BXCBVDo6kyjCSH0bML2hqyLjusK1kWE3kD1d/I1do1+VOsw8sgzIPqAHYUhKGgMMkTgwcZaeKOVTYXg3o3004+S1IZgNelayWXr8kCgXyuBz9nliEXrKJtGWR+zWgl30RHKSFoy2Q4+VlCXaeUE5GNybhtoKYZdgLxgPTiFJw0zuWKUMpaclozUWEVhLQ+KWhdzTyGKSvsuMgZrEjoXeksuWGR17mbHVNU2f2P7csgpQDTvuH5xwa484DjvKPmAPIynAOhtB96T7SNdDfWmZNRsenjiOpKMeYMgCi+GazI+IiWyLGXspsdJTacsVR2ztEUEMK20xeLZyxsGVFLMMTERPPJW2fPPkr3mc/4yRc75YLWgrIUcYo2FHgTQ9DJaxrHgdL3jGO2Be81jvWR/u6Olpi5rn2RkbM6NjeoGCjE5yarY80K+oGLmXc7407xLIMUQq3dOkFYdUUktiliu57VDjGYsWY3tSLAmDkvKErxwqiaRCqRvek0s+4hlnbDmSe06Gl6x3O3yfUIXQg8OSHKQ+IWroM/AxMh9aZtmB3jiCZtP4iSQUT0olIg6M0GrOVmYECnopeGkK7ulYqWLU4bRnJQOFRoItkEKIFYRK6PMVV+6Um3jCRj/iVYLe9KzMNB484IhGKbVlpo6GBYNUdKrEtGdmBmo6ZtzjCfSSseeEqO8wk4HWgEkBbGQgY8eKEk9lOloMe2YkHGvZsjLTS9HklPFv96RptN1INllAxSMmI9OEiYG0URbzO46L6RbGUHKtFXuppt+VOQnhxv6KU83fliaIRrHe0B8M5i7hhn4CsF4p2kfytRDmBj8vUb+fEtYhYntwL4Sit/T7BHNLDJHYC0YKxnEkJmUeAlnb4k2CmcHdRuTQT8DZyjDueuzJBPANlaExhmg9cx3J0p4HkpPEE6qW7nxAbiD9pMevlbAAuQK6RJeUQpUQW7Kvl4Q3e5yN0FucRKgiYiPlaNGrlqFPxIWgJkGmmLUjbQJ6FSfG1YXgjux0LhTBWGG4VRZtYjgV0rmQjhTbChQZXeHYOSWPEVckcoSWitcnBs2EOzLGdARSYGUkZ6ToErK753w5o86hijtEEuITg3aEoiDhMBzwJiEEcgIjQiLHZEpdCGaEjpqYOk66G16UT7nmjFx27KXiVkqQhpTnk4WYil7X3CalNJYj94a74zmLbxwxX4405Z7Sgh57wkHJvOP/4u49fm3bsvu8b8ywws4n33xfuBVeFV8FiaRYkkiBEiEbBgwIcENwxx3/Ff4n3DDglmE33HSHhgHDHdqkbKpoFsVQZKVXL998T95ppRmGG+uWWma1aArl2TkXB+fgYu+z9lxzjTF+3ydqiIz3f5cTNT3RXDNLG6qXCfmZYBqDvAduI2OTa5pxpwOzsAZbsRVhIR1T/ZKCFpEeUFpmFDLBEDllw1HeU2fYyRybMzo1pFbZsOA6V6xywYnZYIuejOCA9GrUgY+3k4zpE3VlSbcd5jjDVMjXiu0t4VnC3oA5S2hqyUtALKYBPwMl07WQX0GKjngMMmw58ZdM95Ei98Qi0dvxvlr9xinp9R7pWiZbj1m/BVJPBX1giaUnH0Vcn8bGShAKN1CYPdk4LvOKhSQOzJsxltkIVkqWesWtzPEpssoZ2BIfRfy1JfkEM0N2/CLf9f+6fmmh5uivXrJ+8C3eHMwxIkxT5pV9xBtzwFf0KY+bpzySG/Z+ymv7gJ+mO7zQ+1R6wwOz5WO5z9RuuDd5xta+ojr27NRyXhasZcV7dYKbj9nXE/KmZCFCXhVs7B6dJ4JkepQjt+Hh7mMuilP+zcl/wn9/8l8Cax5xjpEf8kB6ypxZ9I4yOZrJnMu4IPkVVpVslQ0W7Q1Ga25nMz7Kj7j95KvoX/Q8eH/Pym/JfxLRP4Ld9zoG67jkiE/0PT7iBM+Mu3rOE3bkXmCX2RSeL+wxN3SQGloz4Sl3SBR4u6OSkgu9T8qXnNiOyvTcWoO7W2HMnifxC/5R/Cvuhtf8dfFdSva0acZrXdKSmOiWRdGwXClFNabOBxxOW4zLNGq5558y2MBn+QlNuoOsJzABU0I6F4ZPAvwDoXiitKeW/qczds7ihprdxYI8nZBDpCg8V1sDpQOvzFkjUpKkBdkiDzPrVeJ2seKNnXGuJ7TFJeqU5XyHNZFGDmn0lkI/RSnZcMxWIplLrjjjBfdY2gv+sflzbGjebjwPeVc+Y1rueaZztqyYljtmD6GfVFyUC/ZSY2SgKQ0X9j5RptThFQtJOF/jCHi3p/CGtGs5rnqMNHx28AE/XfwOf7G9wyktD/k5FugpSUOPFsrOHvE8PGYjBbNlTy4Mr+QMz4RpfEmTKvaloG7gbLrmiSjbeM6t3Oeufc5Sf8wBHZd8jU/lCO8u8Uc166EnRCEiXDNlzRE7LMKcfTri3rMbHnVvWC/OeXNsCSiFdMy1Y9ruOZvc8K75kvs8Za4XlDLecE/SFY/L16RsOM5bZh/v6f2a1TsbPsOO1wdAFr7kMZ/Ke/yJ/eBXv1BTGu5KReqvyI8tISn+JkPYM7+j9FHIyaBFxixBQ4Z3hbRwhCuluJPoDkteaODEKJOsuFbJn22ZPhKyg+ZwQVtFppUb4SG9hTYi1VgMkQxxmugKwyQvia1BgzL4xJAT9e+VbB+ORYvpz4BGaKaKlELROAiRZqo8/m3giRIfZ+yjjBGFNRANaKSiZ7VOyLOAeAemQ1plMFMu3SENlrpPDGsgjmj+SiA7Q2cqGpmwpaI0SxbajAd5m0fLkTCakMIEOiFsLFoVtFe3mPccvlI4EWQxjqSX4tGmQ7dKlZRRui2IQGFBm0Rpa2KIuCbgN8AmME1rHrJmGg7ZdkBSRAXwBGdpKs/GODqgk8TEbil0hGMaEZLpwSnWKJ7MKGat6NST2VEGMNcGSW+LLZuIbMCRKe5G9qUQcTAY0i7T5Ex5aKHtsZcFspWRo+VgtRsoTK89NxIAACAASURBVEvfKe1EmD90xHVPbw0zYwg/UpwHc2QJi4Q88qxfCBcfNbzzgz3F79pxMmIbKY6X6LyBlYHF2AFil2AwiMsjZDEyAicKwa1AN4bQg/RAMOROkK5GXgyYg8Sya7mmRV1N6itSE0CVqnPUa4+9btCFpRFB68RkFugShNsal6aYNzvkPcX8y0wqMvYvQbSnK8Crxewdcgs0irQOzZmSYXxoNOWYSa8VciL3mXnbUKjSl0IyES+R4BNdMaWRnqmuKTaCGQzbMlMtSnjTkzcWfbL8e987/q7XzbSingfsECmuE77zyHrAbBQjIFtLeaZUjyL7s8z1ySEnuz2+zLSPIhf1jFkuSEc3NBNopGAVOuJFIJ4Jl67GimfBjlJHwDTHSu8V5wL+YkJ+YfAPKuKuR24j1aGQdAeSWZgdbSwIKizswDw3GOOZ6UDQaw7kDYUmprmhMj1z2TDTLSu5Ysoap47e3GGX59Dt6T9VSJl5t2d+3HNjLFVvWKwDxVVL1XfMShBn0RuhuVRsbZlvtixnYFwHvVKgLDYNq0PlclpwaQ7pcomjx6Jc6gmtOaRgzSEviXpGl+dk07OathBhc3TAxF3yG4d/yO/Iv+FFfMSfTX+Dz2UJHHKjc4IIhIx2wqZc8Lk8QdjQC9yj4XCzxqQdL089X9gHXPqTUZM7Su4JFBjglCvuccVTlOfygIHRalmawEY8m8FzWnSYsqf3JbNZTZY1MND3S4bgybZjUragDUaVA73lA/mID/Vj7qTPece85HR7jr9MgEfnFe3QM3U1ahUNDaIZMWCGzGGzJmJ5Ux3RyyjvLXNgkAl9Wo1RSzo2csi1nBC1ImS4NAdMgCnneAlEGVhKzzI3Y1SkLklFj60hOejIOAlsY8VH9hEDkfe5YK6bUa0tA9N8idqaPSVhJDJQ0DJhxwm3HOoNg4zfveGIjooDbkEGaprRbsWUCzlkRaQiM1Dykge0MifJMyoaSrNBVIk6o5DIRK6ZipC0ZSo7HD2FDhzla4o+UV1FTqvnUI1sodI4drlkiydZGRu6UrHhV38vAjCNw04iOEXWIFcJco8vM/bC4sRRLXuGueBnBWYwMM2YCLYDeRooELpuhAkXURiajK2EIXvYt1R1Q7nrwAppJXAOBCX3GVsVhOse99BivRDKGooaQsGp9kzTDVWuMb4C32MODf7nhrzusb9TECYDtgHZGQpVTG8ZQmT2dUcDaIiUa4OoQbzB2MQkJPR1xEbFlFMC43neHTjcTcC8bAGl2SmcegarqCrWlgwXPeIzxULh0CDTiBMlF462XrI2iSpvOJwCpbJ1npcnFeZ4/u8Nww6Y+JapRupOyK+2PJmvOddbFmzGSfkAOUb2fobkilIVxw4jPU4GEoZWJgx1S7k0iGZaM0dDx6pv+LSacCMrjvOcXqbspWSmHjU1vZnQMqdnTCYE3XEkkW4543p+Sis7ihyY0cORY3szcFAmrAwINUmFOieW9gojcBSumD0b4Cc6FqxfygjVax2cZKqvJ1ZDR6wHhMQRFyzzFXOzp1HDlc5I4vEmYVNmJi0HuoUszMwMYYctSoousk0nfO6PWZkZM/OUKftxctg72quAswYbBb0akAyFCuE2YE8EakOyGd9U2L+JiA2YrylpMWDnQHTYNVQTUCukW/CXoAhprhRhx4MYKTc9RR2ReiQJ7acO+fYh8XSgeN5g9pl+mpHaY0Ux9xwcjXIEe54Aiw2JabllIZYdR5zrDCVxmjfMeo+9CdQHyh19zWt7ih0CiwzkAXMP0hXkJmPqErEjU+xvW7+0UOPzOOEf35LMrFoiU1QSKTmqaClEaLwhoKhNRFkw4tZucDKnkoZp2rAKAetuidmwK5a0ZIqcMUGwWRicQBQOk1C5hlzsUY2U2aMpYkzAAUEc1+WEhUZaZnTMMFpTJEMRFeuUaKBPjqwWowFVHbvL6seLNHswJZILMoEke0ppmHWCjaDi6YwjiZBNQdYJSQoi4LJQ9R7pDFUUUpqQcKh0FHZAMCOTRF+Q2WEQBlMxUJFzi4hB6QmmpGXFYBZ484JJGnAm0FtHozN6OibssIwKumn02DzKubMIZVZmmik00mqLSsSqgBqwiWAbnAbsWwhTW2ZaF3CmwcoOTD+CBBWc6RBpSXbGYIRxsLXDakQ0AANGIUlBMn58vbwlsAokMQRjR41uUgpReiJJRqbFWIawtDJ2+Z2mEbBnJvSA10DNBWWOGJuZxx1l6hEiRepJ1uLY402ikClgGaW2hl4NQUqCKolRLzsS2ZXWFFybJTu75IAMVIg2lJLZB8WWgiPhNWIYEEYIo1fG12UEmDAQGEwgm4GIp8eDndGJxRcQjeIwdOLYF44EkAqSztixpDVzBjPqylUMolDGEf6avLIzQkg1JZFpbscYofZkPJ3WDHZOyB1CxpmWHBNIidE1go7qaBFcrvBmSaIniiUxvjdb+0s/5r8Sq1BBsIgC0iA2g4RRYSzjuCRJUJTkFFIauz3oaKB3jF0XNRhKbByQbPEpjNC5CFMd6FQxOZEsqFNCKYgIWQUEalGKECiHS3IImOSoU6LDoDYxFMqQIYpBs7BMEAYgghpLLxn1PdGH8aMqmZHTbcjGk8QijDGSlAXVEcSrAr1xtGZKhyfndnzYRyGD7QWpC4posTp2bYSeQnsmKWKzgtlhkyLqEelGhaUMJDEMboQ0Kp7k9jjJ5Czj+2pGaLCThBCxarFpjKYnzRgJWB3hI4MH7zNeehZEDEoQ0ChMB2WVd4TYUeqESj1GlFmCMgdMUhg6KATbJyQlSOOkgKHBmDgWmaTHoGAjWBCTsWpHEDCK1Z4id9g8oG+B0DYBDG+hrgkXHajH5sAkRKxJoz7ybTAniYJRpA/43dvOUnDYmJBoscEgGcwvxmtTHot5qUOK8W+MGQ/DmEyWSLBQ5MggUFqLqqA5YETGv2Uas/FFBKJBVVGTsSlSAxYzjgUnGLKglFh67AAmZhYpkWLC5DAqTlPAaoPVDjUZKbf0ZSQ5YFdSD0IRHaH3uH5Ee+ID0VisDpRmBGSPtG0h2lG3LprxKvQaKYxgghCsI4onM6ASiMaP17ZVXB6wCSSPCNZf9VUnqIeMHzymTUg/g3aAISBdRoKjMqBDpM7CLPSgG4xmTFKcGIL0RBNQUyIS8XmExobc43EIYcTVihIljXHOLJisiA00VpkbGa/hpEQdeQrZJCwJI4HBRHqpGfLi30/bqILVRMGeWbzmRMbx8xXnzNwGT0+RE7XZU1C9PdhHiFBEodKMJeDIuDDC0HVgjJDkDAMsemAwlAXYMG4UScBlxWbBaUZkADI2QWESxiQ0W5yCZYc3HjjAayBpi0jGiMcwJ4ljkJJOlNbx9hx4AkzYOUPUCVlLQBioaM2clgVbJjQyToN4DRgNGKbkNAUzChAy3duJMxjLrJaR0jEwStITIpb4NhplcoN1HVEFQ4XHkhBEPZo9ThMFCbKitiRnj6AUtDjdUGgetzFVch4Q/cU5QbExQM4j1HPkyWOiUuWAo6MXoUeoMnhJKAEjo/zDacK8FXI4IqUmKgKWNaIFngKnLbXe4FlDUnzWMUqfMhMdfy+KEmzBL2S7aAdSUCSDkMZzJw1KRiRC7infRpe8CtFU5DwnmSlKh+Vt9lHdCAbFE9WAGAyjmShRELGIjt8rSaTcUpuCkDMFI3xWtKHMGyZ2i9MeUcskRvyQqdIYTplozYaASRZvChwJY3aIREpt/v43j/8vVo5YAySDT4Lk8VoWO8ZHxHk0C1kiFD05ZoLLWCNvd+OIGR8bsHlUUWQBlQHk7c+YsViYGeHBgwouFUhukWwwSZBYImlsqASxqIznLXJGvaOTCp8Um/z48+qRUOFSHPMsWfBhfKhWBbXKkIUZAuntDdJasgcjI0DdC0j2aE6gCTI4ESRnyIoVQ04W+/a+RVYkM34VQBXJGc2QXSRaBTUohuggOMbPgDigwKtFtMe6CHQUGjE5o4CmTCFjfIyxD4Qba79kDVgzFiCCBNR24+sUw+DG+JLzY69OREfxgA5kSagrgALFMRiI1pCzYBSsVSwRp6NYYzCG3golBSZXJE1Ea+h9JroO0RLDlEFKjIQRqIvDq2CzGZ8J32aTs4FsBScGiRkxmWQSjsxMO6rckBiItsCKUqjidJTNDGJoRFmL0osyhHGOx2YhU7CRCmMmKAUmW4xYso6iDQlv/38FKEZbhIwboCIEC94IRj0mWiSmUcsOYBLBg3Hj/bIOHukGYgYQTLSU1mHjDsmKao0RTyLQ+UBfDNRGwWW6Ymw+IAkkIEZGWQAGCUJtlTINuLfP/cGURKkwb69vIxl0TZVHZP/ghDAk5gHYWxgskgYkAnF8XX+b9uWXW58uMsc/WfO1cuD1ssbbDXf1C6r8hhO9pdkwApp8g3GBPp6g3jLIAS8xfGmfcKQtT7nkjT9l679CVAtxTbYlz7SFWSDYLbbeEyY9jaxw/QP85RFD0bKbnSHru5Q/fsWhybz7Dy945+BP2MczTt3P+bD7nA/O37AoNmymkVtTsS8nlMMtvb0g6wmRA1QtJ+GaisQs3cG7gjCJmMWWRW5xZsvumzsOv2moFw15d0ulyj39iKQDOR3g7BqXE+kG0qVSMOYCO3FkgWnecswrFnng/f4zDv0N1+6Ikf2954TXnK6v0b6mWFVsZzMu7YrjsOAV73LBuwzimdjxYF4koXEd88ee22l6S8QvkLZkb2dc9Xd48oPAQlvefNUxn7XgtyA13nr83qIvgevJSLzWnl5qXuZ3qM0jsveYIiPSU5lL5mUku/skKQgsCJQkY0ha4TzM6y3SbDmZwPvmC1bxE8Kp5fVqwd4KBXuCm/JS7+DEcBBeMzMNtQl4Oo4kMo1jl6WXFVnH98XknnLw3PJ1/i/9bT4sP+d7+Y85lxV/br9Hn0+ZNWt21rCvayacc2Y75hJY6TVDapnZx0RkrKJqomOKEDmOT3nHbnkQz/k1/SFeBxpf004f8Does7NH40MZSs5LhnZBnrRkA3vx7FLNEQ027ZlhOQp7ZsM5l5zzyhkaNyHknhM95yx1HLg99k6L30ZKySw0s+MaoxXKKb0WRBkwfWJ6mbnTXNPXgcc3gauDGWs3o50UdGZCz4xze8pPZiWTeMtUX4KpeG7f5xnv01HTu5KiiTx4fU6YlNT0tPaQnCfMadkNNbm9O+rtfoXXFQcs8wQDGDzWQZoLYuwI9FfFVxXiWmJM+GJUTHtkzD9rovYF9yYRO92TDhXpI6YUdkvHzaoi2gVqbjixU0hb0pFwfVRSTCzFfk+uBn4o3+SlfcDd6Zajo59ymna0xQKXetyt52Q74810w3pm6B/f5wu7oz4LPPl5CdEzlwSSyYViyoKbvCIUc6bTcyq7o8SwpmY9ydQrRXPm9mTK9giOypa5XmJkNN0FB2IEM1XaA6WeZPrC0TMe9gexfGK+SWk/476sWfTCZWHZxiUP2ztk/zmokNXT94YklqgZsxXECK4fLQ8UwkjcVxJuZB/ZsfuqpbKvYTefcr9OY2FfC27lhC9Zcodj0hz6E0t3UvHSzbk0KyZ2CtIQ1bL2gj9dsPI7JpXSesv6qEIWU+xkzJYnhI3ORo6GqyCDDgm8ECOwN9hgSD6yLzzbaoWbbjg4DJjLSG2gHVa8nFqK446z+Y5iI/R1xZvjxP12gd8JszcObmQswhO4XT7g829MWfYth5cvGe5aioNM+UI5+BiERFNMCN6w8BnqPA4rrQS7FPJGoYmIGyNC6kaqdxSlMGCMIfcJCUJpLCIdzDPIjlxbBokYv+CKI4K5j6031OUNunI0k8imh/JAyE546u/xsjjknXlidv857qxn7UoWMWJTwjZLinjNZTVj8+i3+Lf/ZMZ3Jlve/fGO7CFPBa0Vlxyv9X2utWbOhmRqmrSgyoHC7SEpyVl6M6VNUyptmeRbZjGjdUUvS8SPPJ1QT7l0DygWA5PTN9RVw+I/4D7yd7EWoUfWitVEephYz25xS4c0hrgw2HsDpijxB8LNZMVrecx6dYequyGZQy5zwYE0bM0hr/NjdqbAuS+x8x0vzAe8pGLJBSd6RSsVWlzRxcS1VKztIaeTDXb+HHEdUkF3krk59Gz8KQVbjBqcDAySCCS8RKJaruSY17LkmjOyeNTDIKdsdMGGFY94xqP8N9Ta85oTerG0LtHMe1jB/tBybQ9YZ88d+zN2s4KoM27jHDNR5rLG6J7BFiwOaxqXOTAF5qLDWdC5ItmhvcX4lqpqyaYgSElNx4pbLmTFhZ6x5ojMglbm9LJEU024naKyxNsLTnXP2eueWdhRzX7M16u/ZjOpeZ6OOE/nXPh7XMwWnJSv+Er4c75t/pxH9jMO0hu8ZvIAZZbx2mWgEQeMzaseh6ggClZAREhYFDtKIjTiaXEyoTFzJM4ZevB1QVRIoUZDgZeAZk/fHiO5ZhPBu8BTc8mhrAl+oMDBtGXRr6kMZBmLycQImpCCEVq8cpjaMhQLbosFCqz0Bq8tgzV4veWMQJeO2TnPhDUn+Q298ezFQi6JGfbukMJFsiRaTvjCPeHT1Q2z9665mme2Xznhwv06P+eUz3nEK/+QIcNKbpnKBbX2tPmAvUwJb1tbwiHbOGUnhqmdMeUpSQyOTKWBmoGaHXM9ZyU3DNmOcVmUWjfczZGZKSAJYpWF3FLRYCWz4WCMy5rR/BmlRHgAatmI49JdM0fZWs/EKosqcOek46I+4lKOeW2PeCX3uDanRGN5zTFB3kEp2cvJf9iN5O9o7e4KhZY4hO0jqEJiMjjC1mAXDt0Y8nWBLDKQKKPiW0PqBB8zsjekKhFPHbIcaCvLhfGoM9ShhwRbv4LpDcU8IX1B+aUldTNk2ZMPAxQKkvAkqqYbi23OsXZzvKkJ9HiumVWRON/SPBaGQllOO2SXiaUgriOIYspIuRHyJ5bl1sExxCpigmKcI2lExWOM0vaRuWnGKZCgYOGmzsyWDo4zfaVUWpJbg5YCXaIWhcGSfURLHaPtGMIuMWVPaQyzfoxDTQ3crQJl3kJlaGeJfTE2cD0QjGFTO/LMkkrQ5DFXM9KXCZk0+OA4fGZgHsl1QxbBqmM2JJL2TExiMiTMFrgx2CSkkCjTQC07Mg2DGoIarI1UOcKmo6xqnIsYWnzuqUVR40ko1dCxkHYcHhgs/jPPyRcWsyqx04GaPZGCwfi39LuaoXfEdUPUBpn1lPXIgsplxswzUmQGZ2goaKTCZqipMEOmcB7vdAwx5jeIwDyeM3OBmC1x/5L724a0hmgiS3nF+/GW43bLSdhQ5YyNBrNJ5Aa0VnKlmAlwYWDqyGHAZINgyRKJy47mfsZWifrUYa8tpjMwERpncTExHYTUZEzhMCbjgqEKHjVCqD1qE8k5UlYmRinTDhcHZFMy/M0B092AvNvTHUB5aykUhlVCu4zkiuRBbKCWDuUWTYmZvcWZPY2z3Mxn6KzC+Q5loMgBPwghgVtaZDJAP847qFWY/+2f8V9aqMnfh/zlx9yPU+xv3+dn83Mey+ccyivupje8+Ysv+OpXMvYoEQrPeXyXG+d4I+/QZsP3+XUWdGxY8YaSn+uvM5WWd8IPOZPxEjmdnfLQ/Zxv3d9xnT/hmS0JuzvoD2tuV4bXXz/j4k/3fPV/2jCkDV99b+Do8BnR3+Oe/JR/wY9Y/dH3MYc9+T8+4JmcsJFTCtdQmwsIS2KsAOF+esneRLxY1lKSDkuKs5LHbeJu0WP/VYWbGU6fBi7Ov+TsvQPu2S8pmHKryo3Z43JJ80lD++Oe2T//gGdE1mZO1APKnDjjNZW54EP/GdkFXnJEK1OmvOFR/IT5y2tuf77Af71l8s0rpmbDMJT8tHjECx5g8w2P6JkQKXKmn/RU3zvgsjA0GplR0FwMvJwe8ln7gPl//afYP/sU/qsnzP4LhXkANyF1JcPrCd0PBL3nKX/T0EliU93jr7nLsj1mYEGMDm/gvr1iNtvxRufkXJKY0qoZ1W1kpvcaTu825MtrzgrDV2Zf8GD5jPpbNa/PVgwSOc4dnVqemzPusOO+vWFhWjZaMWgNJAYp2ciShhnkntq2aFb222P+tP/n/DfVf863Vh/RTFs+zXP+F/3PkPCI4eMv2c9X2PcPeMz/we+lH3BsXrPIT5mYknluSVnB9GSbuNE5NcrE9DyaXfN1+Yj/iP8Tr5ZbTjmXB3yWzvjMfoVLKjLjw+qLyylp4Zn7jlKVi77gvWnmoBrYhy3v37yijB+xq8845yWTtGcWt8zNwPsKJ/aG8rcG8q3FlxsO1TPYzI25yzUH9Kzoc4lvhPCDc77xnXMmzQX+x4Hmt7/Ni4MjTutTAgVOe9bO8kP7hLaHx2Y0RHyqhzyTGZkZwVumH++4/8Pvc/pPTniWhL3+M5pbS7nIPN+VXJ4/+JUv1HzEExYMLLBIVeLvCeIdjin59Z79TWRSF3jXIkVC5iVuGTC3PbYSYpkojqa8+84OdwrydUvXRerS057N+HS2otWHnLif8aA+JvGG4qs1+v6MrfY8tC1tXfI/l/+a34+/w3fdj/hX7/4+T/RTdrri3e0r/OUavWcIc8Pm4IiLf/abfDwvuZN/wv3/8QqvjoOJIJOMWyrDWc0n8yNu3QPeqyzvps+oyFwBaW7x92BInu1XvsKnVc+9+DFLsxmnwJixS2DmJXqWCV+LJFNyMSx4hidjKDjgz9J3ae0Tft295GvxSz6bzPgoP+Frt8fM3c8JbUDakrR29ENEJwaeJ/Ie7IcFur/FL2uMtewzDHi2OmNXJOZzS5BMszzi5cljjsrPKfZ7Qr/kc3nIR/R8IxySZkL/3Rlvvn2Xf+cf8qP0HSxT7uvTkTtQlZhfa7jHjpWsuVHDC/M+t3rAhFvek0uW+opNmjBoR2NWFMMrpDEQlbAX8hZKHOosQ33C83xKfzLHfeA54gaRyKvdAX91+B53Hl5ydv/H8CownK54cbfk4MWKvBPk44H2OOEfCXYGf3Fyl//9d7/Hd//pLb/d/G8Ui0sGtRyv4M7GEE3FhTskmC3LRYscD8y+Jkg9I0960ictbCzWV6S0RadTbBBSLag3pA7wc7qbPUfJkItI+QCiVZyzpBDppeQVUy7lEavlS46PnjL/tuPVgSMXE9xVQ6wL/u3s9/hD/YBvrV7wr//F/w3pU77kHl9rL+ljRyoXDNcXdHe/zf9w8Ot8/g9+l7r5A+7+d38M3xfqQ8EeZdKm5WfDE25twVfNT5mJpdEFM29Z2deUsQGUvSx4rvdYmg338o7JEMjVIZ0eEHcXuMrAu+/zp3LK3TuZb92zrO3rX/lCTR4C7XlkcQDxQxhmlthMmFqP2oE1UyQb+jLyBXf5JH+D/fGKg/AGUwi9tDzSK5It+Ws+5FInvJSSYqH8O/MdLvKcr9tnfDX9hMIJ4gIvreVnvMMLucO3yld8rTgH6TBnQj5ybIpDnskJh1rjJeB0IIsBEpVu2eYZr80jPtI7dLlm5oSNmfEZNS2eSTpmYXt+U7ZYtmQKenNEk15jT6sR8n33gMviiNu4xFY/5aK6w0ezB1zwkDt+yz3+hvLMM8gpr4PjKO84aLd0X8JMLfH9jN5GXIbSKpsqMBghi2GmLUvZ8MxYXnCXoAtUSy45JEiF2VVsXpZwJ3I0+5x/mv+Eb//xH2Hzku99OEOf9Jzrgk/lH/Jj/4SfHH/A5/KQO7zgu/pD/rH8JXfyK6bbDdMOwjZTHwqUO4zdMOUXsU7HzoxzX5VEbN6RNNGakl6nqBgq07HQhn0xZZNK4n5OWN8yO41QWG4uhYW3VGVPMwg3LytstQTpyYszns7uM9XXTGzDkguOp5a5A5Il3io+ZuKug2lNrBNDJYR7C/bOsueAmzxjnlsOUsKnQCxKQrfhqBjYuwNaMoM0IBcohkFgMHOuOGbDiom+xpK4kVN+IB9i3/G8/+CG56HiR+WH/LW5y06P2emMvdwBGTiQ56zkFc7COs3p386/kEHxXK4nhGLBybRiJa8xJlCzoaZjabZ0LJjYlikdJntaW5DEMdE1h3aLY8reCEaEA27ZUrBjyq3e4QV3yGLw2hMoiTpnSDPWtkLsjjcc8ox7PNTnnJav2N0JbMySKw55xTEXcvg2Zm/5lHs8kwWJkqT//7A+7R5aTt/M6MKG8ndg+sCR/gCaLxNutsJ/1tE+74j350zLAi5b8oXQXycKLO42Ee9aJv9oSnuyY+OmrMsHpG7De9VrSvG8tscsDno8AbntyX8yMLzYw2+BPzWYuRJih49gXgX8zDK9e0zjI0Eji3bHyu/G6PVjYXq/pERJNJgK7H4ExsZphKkw+XxC/wdrpEpMPpgSXMLuEsWkxtChUhCaPAJnNYAUaBtg6kinQvXNiraKpImCevprJdYGN8+jaW8AncGwgGYCi/WM8NmW0u6ZzCDvEwxgu56yfcpKlfpBRfdBzQtX4LAU6tkauJ2UnJ4t6blmmpf0P+oxv98y/70C+Xoi/OEV9Qce8ySyrYVaYb5eMy0SgRa3m5A+yeTrLf6bB6QoFGGgYjtOkKQpnXiUnsM+k35yxeQrK+xRBGmY24pKEyoNhsDcKmVsCOWAu3bIH+/gU7BHUJ1E1O1oZUafC6I49maC7pTux5F6B8MBMK+wVz1iDH5ux+nP7MmpIriSzoBvAvMXF5hJhbuzBB85sNcsc0bbK1aVcLq/5sFHA8WzniFm5NsTzvwrljdbjq4y5XogiZKjYG4Luoueyb0OORyZg/ppj7EZmSgMY6Q1Z3AnnsmvJexpwhaW8L8GUpNhNmc2iew+2yNdRFvocqIKBWaX0HLAnHnSTNhLBT5jcqKOPVMCPmb4tGT3355TCLj/VEm/qeiXmTyH9huKXIH3icaCNy2HEphrZGkMB3JDHddszIo3x49oZOCEl0z1lgeD4N8M3HSweNfi9sL+L5W5M5gDoT762z/jv7RQo0VmtS4orkq2MeLZdE+h0AAAIABJREFUs4g7luaGGVsWGwtv7jORa1YrocrnVPmQwrSYlFnqFusnbOWItS/Y2Qolc1MuECNcyZyN86NrnsihgZrATF+S/TVqawo1FFmwHSwbw91bWMSWS01IoUzSjnubHpKn2Zxg6xlD7ck4ptpQp+cspCDLilgGbqXilgpHIvue6LeU3HCQ1xi3JRwp6y3YFHlnP/BXC8XogJUbJm7Nsk2cbudUveMiFzS2ZouhMdfMwgsOeY3Fk6RjSuKAPdcsWXPEtC0obqAYHNFUxJTp3JIbn6jYMKOlihuW5gpndTSpBEutBTZBMJkey95YltZyMkQe3lr0FvZDwjHGxdCCIBN6zJjh3M8gCx0FvVXawuBySS8F3gqzeMEx56gec5k6nOkx7PDG4KISEaIVcs4sB8OqEybTQLBrbivL1i0xCIfhAiGT/ICRTFJwdIhY9nLEjprOzMmSSTLGtCxKMiV7ZwjREIzjyh3zVN7jItW0coDYCa2r2dsjopxxyBkTLVhpz1bmvJYP2eT3qILFU9LIilamWA30UiLScspT3omf4AblPfuYj4t3yCawt3NMl5mnLYMkOknYnCl0wKJv0/MF1nTgdwzGYLRgRBZeMh8GDjvlju24zlvUJVJp2dSGy8IRzQxVR28cOzlgygGToYQkdBamyXHQGFLMCMpgxvx0UE/HhBt7CJygvuWObihEGXKBFU+lDaaKDFZZ6i1hMLxxU/bqUXUUumFBy5Bvge/8XZ4N/t7XhEiShn2VOZh1XBrFFQMH4RcU/wyyJ9aBnYdlFcZojwuEOI7rZpvYlzAvLJYJKQxgLEYM02SYtYa59eQ00JSZciJsvWBDQpLSyYJLe4dbc4BQ4XW0h8RsmUZPOWTU9ESFW1vxvDY8LRQTDW3hMEWH+IzEAjMkJAUktxgC0Vv21AQWmDzH6M04gjoYXITSJAap2bCgx4L2iINcKINTxAmdJvbe08oMh5ByQbKeXg5IaYPPo0lga2p2vsD6nlgqYnrUKNlZOjGIWpJNiM90hTLUCauBwViEkiILRYyYqNhKcabH546hVJoajO8w0lAAYjNtoVQ+E2xkEEdnVygzOnkDeDosXWVxo3eKPu1RsyEmT0w9EWEnS/auwA0tRmuMgUTGGEuZFNtNEDPCJAv1+AQ4pS0bYtFT7IVyKCDx1irDmO2VlooCSzsaIYJiO4doAjJeIwvtsWbNUO6pRKl7j0kN2zqznXZE51Fj6FymKpVdrZRFi3MJNWPkLpWJvoCpHRi8UtrR1GfEo4PDR8FkQVXGf8exQ2lNTxUix2rp8hprL2nrhJl4npf3sd2G0/Ia6oFbt2BjjmjLS65koHQVOs6Jv81y9Ow9fDk55Kere3xhlael0DiYZodIJttE8pCMI1hLHIXFtLaisRNstWPRN1hVkiiNEbyW7KSi9JFBLFYMXQn/D3dv1it5l91pPWsP/yHmcyLOOZkn883Md6p3qnK5XbaMm8YSblpymwYuESDxHbjj23DREhISF0h90xItNVh0Y8pDlctV5XrnIYeTZ4o5/uPee3ERKe7sC7BUKtYHiEkRO/Zee/2ep/ARzRKdtDRZoNMG0zdHkOVvcPl6BFWPLXKohLFYtHPYvMNlLb7LydohuXqGVhnJgY0b0tuIoASUVgwpSyQOoEpnwhH4nQ4UHM2NjR2SpKe1nkqPv5ODWFaUbAY5o0yoB5Fd5lmZMZWeMRBHlEBlhB1n3POYjRlRibDllI08o6dnId+xCNdoH+lshK7hpHxJIQdcrDixa+ZyT6lrJEvEzFB7S88OT4umSG8TjY3UVjkY6JNj2g9wxrO2DcE1UMUjk8lnuE6RECB48hamPahtOBjBJUupDWXcUMgdmVQoQ5xMOYkVvhPaMCCkGcOwZWg7ypjIzZIgK3qBLCVmsmLENZaHGM5QAr3IMXSj9miE9LBzlg6L04pSV4ge49vHAGgLJDYyBfOMFZdEKY57FSKiEasdGYGkgegsSXI6tWRY1DT0KA6LTQ5JHmxP1IaWISt5lzZ8wWmquAwNpTeodaQe8uCRVkADiYQ6xWhk1AaSWGoLiKU1A7Y6Zq6JcQ91L4TMUqYDM7UoQjJ7hqostOMQDSnWFFYYmB1RhaAeYw1rB8+zju/wPCdnwwiTLHmqEO7JYs3C3DA2a1oZoiaQs8WIkgKkJExSQ516Cu0oOJBzjN7H5N8E5ePRLihHLLCkYyxKWTOUDWhNo0MkBSamJuqYjZkQsDSMOGbr1mBAtacj0hlHphlODUUKDLRmQMWAHu0a2qyjkJZCDwxTQTBDUjKIOHICieo3X0EHZOl4K49NtASGJCQNUOWYvekdRWdokoL2mGMyB4OHKFAmuizhCeTBYZWjkdI4RD1R4OBzMmMZEjHRkDQegc4t2OY4cRt7ML0n1w714IPSmC0u9ZRdIq8zouZ0qYeiQ0LEdAWxM6itcLbDxwzZ50gsSLGjiIA63gwiQ4SUHSc9sIn8ILArMG+i7zRCHoAALhnKGMn6RNkanDWYeJQgRMBGTxYs2iRsUzA6tFhn0Exp+hqixSZh3CijWpDREN82nBQR31tyLUh5g0tC3kGWQUtD1kHZWAgF6J5xq0iXYZpE4Y5RSBsSagMV2TFKk44hywyltzlrN2BIgwsthpLWGgyBWWeYroVYwcl0w9aUSLKUYvFpxcysGfaBJBmt5PSAk0h0CSfHdS0yIDHGJ2EsDdYYipAYtscI20GUUo7GJdwxcmQ7ix94itQxjhsGsee0FYaVBYEQezrfMkhCERw7a+lEOe1qZlWH7CHVhtgOsLFl1DcMKkWCxyVBwrHp2+fQC3h1qEn0pZITsVGO6SdncIAJR214MkeUjkuelFqECBKwUaAqcY1ifIWoYtIRg6DOglE0BkgWrz25KkXXkrcGaSyjRimwsM3xh4CUCZsro9ZhQo8YwzgYqBs6n9jagGjBuE+M26NkIZeAc8pEAbNmnDKyVpjsPH44h36NS3tEEiFX9hn8XXjzv79R8y+mLP/liuzP1nR/NKWbgU0ZmfVHGFl9zmf/3UtGP4qc/vc3/OidP+c5P+Aj/papvWaRbql4zFSv+ZCWH+mnIJYm7WjsCU2wJJTPumf8u5DzxzT8zot/xYfv/Q3jf/KfkEvJxeEKd97Q/9DT/Tjx5b/+MQ/ee8rtuOeOU67cI4Yv/5Li5w372y8Z/PHbjH5QY80pkyQ8zl5wPx+zlve5mp5xbd5ll2Ys7CsePxnS5zX96Y5R6hl+tqftZuR/9Amf/fwnvP/LNen3J0djk6uZ8or5Xc3N/3rF+OeB0X82I6aSLZa9zThzLY85cKMP+TIt+B1zzyfyii+Z8Vl8xs0XZ4z+zUu6f5ZxNc3Z+Cf8UsYs/Av+A/MpGWOcOfCYezZAbQXfTVj925f4JwvyH+S8chEezljIhmef/lu8CZhPDPqgxfs9bt/DDHba4c8jqpb9n11j/tuPaSTj6UPDefYpIfbEyYeMhg3fG9zwgAO38SlJc4Zmz5z7N9q6AwlHvcoo0iN23/wb8pM5jQzYj3Mev7ugNRNIhku/5JQ9VWrYm3Ouw5C53TBJHbe1sLMzYu4YsSKXGmctEKkoccOGj9y/578pB1hKUhtYpJY/zP+KzlqKt3c07jnXnHHWf8eT9XPG5jt+XHzC/8Qfc7AfEu4TyTi+kyG/8yCn1CU3Zg6aONeK4T5QsOO3Jq95Jd/SyD0DrnlLDK/MLQwuefis50m+JNKyNY5iAAcM1gnTTy756bChaH6XezOnRXF+Rv9lRQyfU370MUt1LNcQTx/ztZyxSmcUZkKrBUsC7zWe8ZcNh1Lp/+SCL6en2Krl9KxmbPcUYU+Ujo3xvIxn3MoFI2N4lF7wlv2UHCHr32WcwRNWlJ9klG894YttxKQF9bLFlj2+jCz0mo9nV5hB5De9UfNb+hWnTkjvKeYP5oy7LQ6D0zNun684mTZwYnEPlPwxR5r2fU/YRkYLT8gEmTnczKAry/6nNfpESW2Pu9vw3raHr17TnCeypiFOIc0XNNQskoWDQ8ZTHsa/5L80z/mT8De89cWf8/hJyU2oiN9t0OUef9NSnA/JB0POY4NT5VEscDGjPzOMTz39TYd/rRhT8aj8jrPzDje33GbnrLoFXsH0d9gezHXgNH0LDw5cDef87ckTMg8fDl9y8qEgVc/+kJgeDJ2xbIgUvsPjGEnDB/IrepS3witOzZKndHQ859TecfHbOYNiix1HzvyQ4AJOS2RawYMI55bi6YDeV5gMmszg8IxNRVHXxLtEfpkxvl/y6Osd5WKM/PMM81uJd923lFpSuIzzj2YMxmD6iu/lV9TdX1O4KWf2U4juaCpROKHlYXzFQpZU4StW5ozOGWoiLzjFccKFVORdRxWVyTPgckCkIr7eUcyg3ijN1QuezQ1Ze8C/gSnznaL6Lb/zrtB9/pK0bGEBRVXz5PbAkAGLHyZUEuF1RB5aGCgfbH7F4levmR9aNO4ZTDPW//sd5c5SfCgU//Uj7rs7CtOTn4A5HTJ5v0Vihiwju3VgeFlgHgVmHwp+1zJ4B7IyEg6CFiCVUG8S6gxaO9Y/D5yPLf5MMKXil/e81bY8XLzmZNSSva+sH/yAv2jOeWwmfKLPcfuat8OXdK7nH3Vfctrf0heJub0jGzaMvGK+uSF9/zHfmDnzzjDP11z2DtoCWkEmQ/rpjvhezoPiNUSHsx0OOTYfjWU6mJEOK6z25BwYyh1eDAeZQHY8oIq06LMeP7HM7IqP2FMmGNQV5S7Bw1/zYvL/sfo/XdH+LGJiQ/encLMRLs7BPlL8I8Xsl0zaLV1IPHr4ime/u+P55ILijUlxTUlBQyYteaxIMuPCrMjjnnf0ntrOCbqlkYxAwKKMaXjGNWhApWf9+IwsE/ahIsScVk+pUmKnicYtuEoZX5lH/CJ9yE0/YehXx1hPl9F1kYf2Bf/C/inv1q/opEdLx8FYFEfhCj6Wb/F0nJT3LB6XxOhIYpnxirnryDGc6orvA69F6bThtFfG/+cSDjc8/I/n7IodZAVtIaRhJL7uOeLDerbbyNw35HbPbnJKZUaUJN7ijpyKhoLazOlwiCizU3hajllmnlwv+Zl+wnt//A2tNnw5uuBrf8qOOU2a8VLOecUj7vSMmOBv3fdA9zR2yKPpLYXp2J9GXD7k1ERy3XMvE67JCUDJDnB8yyWNvs2eMUGF0uzoGRF0RFCD0DB2no3sqIc5VANC1zKYGkyIdNuc5uCw08Bkscebin1j+Xwz5vcKy/fqb1h8+ynl2QR7mhNXB7JraDcJmSrZpEVUcHvFbrbI3BPOc1pbckdJY8fk7QH/zQozzNkMS4weuGwDGj378Rm59LzFijlfUdkrINFIwY4F0PEOv+Ij/YwTPXCSFgzsd1yyBQRxBfc6xHrhgd5SJKG2Q0Qip7rEklAnnGvD5HTNTtYYY1jo9s3FyoADM4IZAkLUghaPMeE4iaMZSTyWHisJbzNEA0YTtRQcUkOOcp5WBDvAyJYhPUpkZSYUnHLBHX8of8Hv2r/gor8jXy1Z7FZ0fsTiYcD5jhGJoQms5QEurXlqXjJhQ0v2a1xF/uFqehWQakM+P/LLZFXSf1ORn4M7qyDrMQJZ08EhEURhKJiupF7vGX5kKC8j7aZj0AizacN2dsXUObp9okewpqKOCXedWL8MjD6Ysq1arn9W89bTIZOzhngV6Q497iEkl6hf3jM+MfQx0rSe6vMe/Trg5oL9WLFlweH/qslGJXKRw7al+XFPPCSmjxr8o572BvyrHXGRMAnYbdFHEE4CBTnLn/acv+qIFx69E1zbUIoS9xWcKPm7YPWeYh8xbSRdQLoAP86Jr2r0sxY6SKs70k2iK46a5JCD+ESeHS+Uq88S9vUG94Fw4hNxX5O5MQsJmH2Hu1J24440coTe0MTAUFqiJmwJRluqm0ChivYHklMagUwjYVMTppB9OKL3NQc/52X+mEfyHZPNK5g8JhLINDCNBVc/a3n28J6nlyURgxqHokzlwMPNHbra0T84Z6Mwnlr0yTXFAyFedrSu5C7MWTJgbipGcsuCEWNj6foDrsiwo56QKvzbR8ZjV/eYVcKeGoZ2T95V5JsGf584rJVkLUYtedcj3Yh7HXM9MAxswJVrhpMtNvaErxPytCa9nxMKQznybF605GoY5Jba9Iw+thBLDp8dyKLgPxgSb2pSpziUpm5xHVTfJFKtuBNIUahethRT0OqGuIiIZNRfBXTZUn4CYdXTzT1+MabNHbJr8H1H4Qp29YGicOiqxawM/es9/i1l9U2g+rNEHpWT/7Sg29XwNxE5KPl5x6gxpKuIX+RUkyNHLt1all/tOH3Uc5nd4JzHNz1hmJByRrt3fPcvA4+yLQPbgDsicOLA083+X8KE5aKh6GBwB6vuCIDLJGFTpKdn0IwYf9YznAKbhrl8yyZdMNeXLOQV92bMUmAiSzR1R6igGbBUOJDTSk6B51f+e3xhLvh+3/OHm3/FIr7GlQdUa0bVklgeOJSBEUJ+c+CkGxxfg83ppWBYC8Pnnu7rksEmYrXGpkguLaW/YZjDShJ7d8qSc6poGaQ7xtmE2+mSNtsxiInB1rF2jubckjQiS8Vriwk93ndM2XNRtUyXHa6DrU+sXcGeDLAUYcuMyIo5KxnjZMuJ3iD6hK1+APsz3C0kF6lz6DEcmFG4HW+n7/jGzrACp92G5IqjYlYdbm0ZTiyDMKDNPSa3TNKGh5sb8mZAMhCdkovi+njkcmlNKT3DRsk2kc4WbxoLPd7sCaE9Ggz8hpF7yYwdEpXgwBEYxga1LS07OmYgihGP1A1l6MlMz950bKeOtTt2M0dmyVQrUojU3rHV4+j1QAOp96yyBQcZIaljqMcx2ER/1LeZIWPd8b7+lCXvcDBjlI6ZXB83K2ZHbQ9U1ORSUXYdJYH7/Ak/Kf4Qy5hS70gJlAFOG7K4AvsY1cgkNUybQJ72PB6/4ExvuNUOqMkkUso9Q3NF6b5hYjZUnKDMSLIn4nE0ZIVyZzw+v6CRMQVKqS3F4dhdPjTKruyIUtP4gjUjakoKcTTGsMbhtKCoeoxrqB4M2JTK9NBB3lGao/lhr0NqhlRk1HICJARhqDsKcbhkGWhirq9pJkviYMI2OKY2x7UNhoTIngm3vMc32HD/D7cr+DVVkXbkfUbvgJPIoYNRp0iK9A5aJ9gyoxtAXUIeM/IOaI/5z9r3DPMerOJiy6BS6gakV4YhYMIOuVd2I4+JHVFAyzE9HYohKbQSKe2SSdzybvM10/2BLBiGXWRYK+4gSOhR6ejlCPSzUpFrjxFFTI8UieCPtqJ8DQ/bQD3dsLRTbsyIWzdmoRWm6RGg7wLFoeZio/wqP2OV3sZQsSu+ZTFStPNHcLDtsfFASWAAdKnD4plzS0fEuh1ViG/uJ1eI7+jHhmgsLg8w2JO7GpM6kk/EEigSPlPEJMQoEUsgIEBMkZAgMzlZ1bFYdUjRsp52TAaREZaZVhg5ZT9skYGibo8wozQdA9lwqnuUAVETfVJ8qjkNKy7SK4JCae/YZSM2ZoTjkqQ5TntwBvUgDhgIbXkEt5XJY/Y9RdUhp83/c3touhzqlqxpmYQdm12HhAJcj2t7ZpXBSQ0TJeyE/CCYtqBrD5z1G85eb5Cto5aI6wbMXrS4IGzfAXtZYVJN1iZ6C1L29LMeO3D4bSJFwLrj9ESpaF3jBmBNQlUgOYiW1AFEJCVMIxAKQqHYIdAFzlMHWYcY2I+E63LOVfYOk3j7BlDaUmrPNN0zTbe46KilRGVLjDVFBO0S99mE79yIyICCDY1YamtQE1EX2ZWWNC7IzJ4ylFg9HpSNeGodEr1D7QtM7BmEjrHfgzh68dRGSTgSHLlQBYi0TIjk6vHJIc2vZ/34h6x8lSO7CncoyHpLutkzbHKgx3vB7COuPebkNVOK5oYw7CjMkp0p0fiQXCwjarLY0ZqOE7tlpDdMuaPihEoj1/qUyghOhEFqSEm4cxMacWzLMWIivRsS8TQ6wDtPpWM2csLGjtnxhHve41pK5jhc6onkaDpwypKP4s/57e1PiQNL5Tyf6xNW8hRky4RvOJdEZiN92RDiEWw918BC7vDJHrWsWlClnp1pGXQN81UPm5Y+7EEOqHVYqxjTY94o6l0v5I3gGmFStmja4SSQpMHJhoX2hFBRu8hKR6gIc7umGDgOklGr4dY4dlmNTQ33ZsAdl2yYoZLTUHCQgoAHxuz1EUvW7Gk4yD0Hl7OxwkgcF7SggZVJWFUyAUtPD+wYsJUZHSOMWjJNiCTe4JopxJE0HidEpEB6h7GR6CqsRCRkqArR1gyyPV637NOATk4gwbxbc37o0UFET3NSPCCN4CugBIx/A39OSBPJm0iWAtYKUKB0mCD4RtH8yNAppGHQt6z0eMHoJDDSHVNdEkxGr4ktcxJHoPK5rhnQkKeacTowZsm5abFvJqF9GmBkwoCOJEdQspfAgI6MhoTiZEdmhR1zDjqlJGGJdAIbA50c9dpRlUo8mRhC0jfA+4ZMaqx6vEaiCpjj1FlA8PijDp2E0jFgh08BNQUhtUxYcWJecJG+5O1wh1QN2V5Q16DnOZMskghUxnPPCYbASdwyNzfcy2++ZAGOGDl66I3SeZiIx+5q/BiMcSQ6bFJSNNBDEeT4+ZtIIkFRYEb+aBNshHIYmZkem/qjTjoJk25Hsg5bZceJAqlwAr4FaT0QcXXEqcDMYOpIgSIu0UaQKNi9QW6UIMrRcSLk1fFM0ngwJmN01xO3gjywJNsjXiCVpNCTUoAox/OONxg7xu0bqEDGQHc0a0oU1BZoHnB9QEJ9BPQLxCOdGlLAxAB7oTgkzDZCI8QEVIpzcHyDArkn1C1FABN7ygixjWR9T1ZGpGuwByCD1iVcJUe9tIFOocAeDbqhx7RALyRvwfX4DugMKQHDjDazrN2IV/6ES77l5JCImSC2w5hE2eZk90pWt4xkywyhY4ASmYc7LvZbUge3YmjwRI6sRsojF7GWIXs7J5BjuCNjyYiKQqfHiSUcksIRHOzBGI7GTo6JDqXnJAay7R5fH1MWR9i9kmOO0go1NIwJRA5vlOSFCv6gaJUgdagFkiVrjjp2FPoM7BBckyg6JfSKnEfEpePnpgpeyaMj7YUQBNdzVHiHI1xZ34hqjBjiwWDrBGmAhoZeBZcrmdb4kOg7wdcNoybgveUQlBgVowkhx7aO4aoiXyrSKcaBWwqIkkzCWYvuI3nZsh/2WAPDgyOuFXdaM/WKawNmb0gukPsKmhmnXytZXmLmLZwFkhjUeRrb/p2/8b93perPM8rvB7Knhm6YqMjoNaMn0ktLthhz3xlcZxj2kQW3vLI1MfVcm7f4Uj8hJouzHS/sQ16kRzySHZWBT9vfRq0hsz+msxn75pxVPKP1hjwdWOiOKl4xLLZkpx7/tsOte4aPMjqZoGRs0jkrM6JYZJhDR/4qZ9rlOHY4DoxRhtxibUnAM4oN0To8kbfNa9CMKxlzzzm7fowOLGoi0SochFh6trFA4gDve2y65WLvOG16/DvQ/6Cnz5ac6JChdGh/YNI6HlcvWY8XrNVzFlq+575kmwzjSU0AMjEsig0rbgmccq9TplqxpcTT0ZgpFUM6ETpdEpxSuALXKyNV6D3tPkfvM/QHljQ7ZfvsEa9NBhOPIVL4Lf6iY3SZ41/VpNs1o4uGViHqm+jHVohEsoeBotgxSPfM5MBAO8baMNIremn5ireIDpLpyIMAOWsdsMIx14qcHQOmFCoows6WLGWME4tHqdXR2wlNM6JzIwRlSMU4HcDC2pzQpDF34rgKl0T/lL29Y28TBz6hXg4ouzUyi7zwjyh2Z/x+8xlPR0syqXhsb6k6x6GBbAyZrzDSUxiloGVNyVe8zV/5d5hwwh0nHNnyGbWOqOVA1BalR40cTRtAlKOVJqJ0WiMcOAXG8TXJHu/fcj0CHbMYefT8Fsk7iu5AvegYuzUjEgOdU8gJXloyDHLwxCaRj2rqQthOLllnE5IPZPSkWDBKBz7mU7ZyRyUzJnKgCEqWeXo5WnDO6yVjadl45TCZoWqxMZLrlgtTc9LueKIr6uMp8De6erGYPoc7UPWEo5YDbEKHitSgjVClnJusYBcfMB63nMSW/TDjW3vCZTmGfIWrAu3SMfywJGlDxKMBnIU4NkSf4Vsw2zUFNZUVupHH6YYH3INO8RV0GyUEA1bQi47D6ZyrswmviIxTS7l6idgdOjM0o5bhzMIkEk4DOjfYudAVhv00JxmhYE/hc1LXYTpLXEKMFtODVonZruWtxZKWO7LYEA+QjCOVhuChF0PoIx2eK7ngdXyAYcvaBfb2jDs95xUj7ljwTlSK+6/pM4/TnHR3wDyKpGGLjCx2aGhdRPJE6jwccnwHOhB2DDkMR6T5muFU0ZmnO/FkS4e5F8J6zE0ac2UyHsQJbHualHFjZ/yKD/iZ+326dsLJ7QfY8YQ4sWT+JR+mL5jYPfvg2NqcvfF4K0QdIOrodciVechykMhPj3ll8ga5hPZLgT4jW/e41rPTjOhhnBdQ9jASDuMTXusj3LRlcb4CScTp8c+fNqffCXYA5uyoefcB4pUhfaHkt0e19t5U8G3CFZFdJeSmQN2BLFP6DiQaglpSBu5EYCBH363paDPIiiHNeoVpBJOBFg7pBLsAlp5N3fF6BYthSbzdoSGDLiPYFg2eLDkaarzsmOsK9ZGbxYRHWrGIK3YpIQ6aFx1549kvxsR5g4TI9YNzvnQPOLE9J9v/DbtbMTm5p1oY0kUC2yBqqTRjKwNaM6Ml0nNPKcowBoKJ9F6wq6Otb/hAiHSUty2uadHHAxDImgQhZ2Mm3DBkgSFwhYx+zQvJP0RNDXoCUkfY+SMI8qCw8sfNZKdQmqOpKYC0iokBu+3IbMKPN0fYf2xxTFmZnHspCWZAUkukwUlHoQ1ddCQLG400xtBJTkSYJuVuyj0QAAAgAElEQVR0uSLkhn2ZUTnF4XD0qEYGdDxKN9zrSzpzykB2CJGxq8HvOUtr5rsK+zxiskQxF85PtwzLl+RxTW8TwVrQjjzW9K1SZIlsnZgeBM1bNI8EbRmNd+RaM1oekOeJrhU0CGYXMbuagbyBQ/ImcuAsgz4RbgNmYsn3gcXmnmQS54M7+uEAGedsZUDOitoUDGXHjowDU3ZmzEFaPtNLKp3wLc9YMSOknEamvOARy27BrikZmsigSFxwzwPzmot2id7DeOWwKowvFSlbxvkNRu9p5Jx1KKnNMV6JwgFH0hLe2FgcPV4aEhlZspRiiHlDYTqcbehtD5ITBgk0keWQ6YGJbiFzRL3jjCtyFyAHaxtSZUkRep9wwzcunszTGEXE0A9LunFN45WKAbtYsjBbfG/QgyOFnslJhQ89ug+U056SGkUZsT1aWuqeKMJVvqcTwZKRoRxMibUVFY49JUYjQz0AwkRrSq0RMlYyxOmBcxpyOjI6drFkY8/ZakklE7rkCcZS47lmyNJMaNRhtMeKYULFQHrWtifgSVjWenpcdygJAkmFICUOQYmUdk/P8TEbzWh0zG16ylo9j80XLPodw2WL23fElSO1gtFIfnvgfJqYZnvEJyo7RaVilpZM2VLJ/z8YNeHK4BoHuRK2ETYNbmwZNIo8d5gqR1OLqQxpI6iPZCeJ1HSUezC/EKIKeqGkoRy/s4eerHXoN4qEjvlHFV0esOUEfWogF0YrT9t3uLGQcsVugb1FCoE+HuGoiWMEuu5hk46BwKCk6wTDQHJCSorcglSeVtPRqLjyxKsW2wOdw1YtxrtjY7CBtBZs79BWIMvQSom7BNGgNie8VihyUgex77BY6DL0tiHlb8Ct7s0m0hxVzgwdxnA0zNURcY6uV2wUfAFKPHK/+oQ3AiGh9xGzNbA+vlFTKC4ljBUYDkl5C+Ubn1mAVAkmgskUyYGUYxtDwpCKkoOxeOk5ibc4OlKy9MlhiFg5GvSmMxDXU+qWGYlaWzKNnId7fB9oWkPRH5iZlkFnsAZcZki94PqGgbnHS3EEdtMw0468dljvkJHBDgUCmIPHSEa36ynOckx3fN7WeXQ8QdweCqGeerZ2wkET1hdUWpJJYkyFWNjNJqRHkdHLLam0bOyMezuFPGMcn0MM6EDIkiDXx++fqTPM6x69N/SfQz43cO1oUqRsLSZZdJ1IL8FmHmkiUhpiG7H3xwZNPCbyQC3qMkxK5OsG0UDcJlzymK1SdEo6JMwGBCW9BemTnNIX9KuWbCxIdKQ9pNriB4a+jqgmjDWkQyS7i+SLBhcNWSPIc+CZISYDV4LJBZ9DqpU8BzNL6FyoR5APjqiVso1/p/bp723UrH4w5Py/6ggLw+t5wb3OIViMiwTTYT94wk9t4reM57Jf83Ha8drcYdOBe/uEq/SUU32JWMM3+g6fh3eZ+p9RY/jl4V3OhpF/wv9MScn9coHF0uUWtRWXckenS87Le+SJoRs4hu/1ZJOcetBgTM8rnvEL/zX//EdD+B8O8G3DtIk4tnSS45Nyxg0zNySpZ5T2RJuRx4Zn/itUd/x1/H2e8xFX8lfEcSTPMlahJERDF5SXPGCSPANWTGTDw12B2+0ofh/sHyin9isudcbc3NKFlunB8uz1Fb8Yn3IvQ34UGv6x+Wvu3CvGDzzNAEqfeDTe8tquuJILarmglMSOc2y/ZOUzXsjseN8QX6De0GU5nQUvStcUHF6c0j7PcP+FZ/uPL/nq9H0+lxJ35ihv1iyGK/qP95j/cED9P9YUX93z8EPlEAxeOkzbkq4hrB3+6RBTKDO74olZsdAtU7thkW4wKnwnH6KFktThk2EvOS9kwSpOmTeRJ3LHNTO8hZyOxuSsJeeEloyWpRmR7BB7u2FaVgxMQ8uecTpgRVmbIa2bsyws60PORTmiiVfU4vnO/JBfPH/IibzgorhiX37C5uoV31YP+OHJC3Lzmo/lL3kV/oAvNspw3jM5XQMHSquM2XAtT/l37j/i1WzGpfkOL0cdcq4tMRUEY2j0yLY5WjM2qPRECQylwZJxwFC6jpG2PNIrcnNAELYyp5sUoB3nX3/ONA3ovNI+angwfoUxGyBjzJ4TXrDo7ymuj9rV0WXJi3zO5/lDiszxzH7OZXvLrBKwt5wU1wg9e84xqWYW1nR+ws46Bqblsq4Y28C3w5yXDz8g2xhOqRjIlrezjNPtnrftc5ZF+gfaEvz6ykhL7IfsriELJTEIau2xw36ScHeK7jvafsxr94DOPeHJ+ZqTwS2HfMiX8pQsGzAtn7NaCqut4aN/OiK2NdQFKSY0O6DnGf3AY3qQ9ZoJDSszoi8KZv2K3+PndHrCZLtj/yqSvwPpJNG/v2czf8aPH33CTm75z/sveeubX3DlIuuTj+kXin2k6ADKR0J7LvAONAOOprfQk8kOY3s6LbH1hPb5HQPNibHGauLSXOHfuaZiw7TKaVcQTgV3Cq2FEDwpCVUa8Jn9Aa/jO7zH51wTqTWQhchP/BkVc86q13Rf/2vihdKHnOY7pf1tx+6RZ37W4+aOzaQhDqDpSuy9oagaZOa4SRPOh4HB5Wt4FqieWbbvThjvlPAcupOM79J7/C2eD8IQ/11A3zrlOr7Lr/zH/ER/j2/377P5+YGz9wfYMvDU/TkLXbE0G37qnrK0cy70msfxFcYYhhxYEnjlHvI6u+H9DywpJmTcMnlnwN3nAX0O5g5sldNGd1SKZgamDXqe2Jyc84Vc8mR+A/EG1US4EIwmtM6oV4J5ZOAD0FGL3SvhVxn1v+9w34AT5cV9xbARsifK4SPQbkgx3WALpbuD7JBhqo6+8KQyYmcKbUeygTqHYTmlWr4kqyw+F8LM41IgewdYF7z+MvH5d5HvD8bo6xtMOYAPMlqT6FOGiwV9VXPRv+KHtucqH/DivRmPm9d8v/oJE3uOcXPcF3dcTHcsx9/j8NY987cHvJi8xy/1lN+Sl/yz5f9B/HzP5nffZ3khyLvHabCi9dyHguQDghDUYYnM45ZCHK0oVW7Id5DfHZifTQhdxdmnNbpuODmbsSwUXyVSO2BnJlxTUJJw2lMMfvPXInnY4w8gNz0sLbpUwrI/5uS/Tschqbc8fQ7aRDgEisZQfGdwPlG9V9O7FhVBxbKjYKMlbRqTaUVuGnISKkJMwoEJr5gjZkiHRzQyTD2LmxeU05xrO6Z2OcqegVSs9JQTei54jTURz/dobUNUS8srBsWKS73hbLVDfg4YZfCw5dkPbqjMK7JeSYWnsFvUGSa7RFUZynGF2VRkX7WECWSnkOX3+NGWWpXiuiB+Ch2QHxyDAP4mYr0QFwJXSodSTD2urqm+CgwelpT7jotfPudtucVcdjRPIs33T7k2J7ynllvmRClYygkpfcWNfYuVPuB/4U/42j6j5jGTuGNqVqwZ8mX6Ia93p+zWOW5s8YPIgldM5RWnYcXom5b4M6VVofyjKfayp8nXTOVAR0DMGVYSBREfE5lY1ARqTZQyJHJApcJJjwlK7xx2EBnRUqSe2mRsTI6ZKtJVDDMYaMXCrJkVGzwNl/2XOH9AF4BGzEYxLQSvuFMIndCNMvZ5gvGAjX0C9hsMDW3KuJEpXjaoZMidI48Ng4miu8S2EsaDwAPuucMxpOJtc8fF4VtcBp+7twgOGh0z4YDVmkIqWm0Yas2AHSOWb9D9cMKOLafcyRybApdyxZiKiOWQHnNln3ArC4JGCtkyEcO9ntLqnJ1cEhmQxyXOdDzQa4amIdkTNgypZcpeH9AcgYYgiiZljOdUa6Icm5bHY/KUnoKdTPnKLICek3jDP2q+5slX9+gtpL4AU0OvDPuG9x/vkUngdHLAlSVdanmaPmfGHQUnRw7Hb3i5L4VeA0VvsQfQdYJHjn7VY7/YImFEMh2ySsSbCI8iDB2yVdwG+PMGvGIvHbF0WDEUqwOjTUn4q0SHUj7e4TJB5hZ+L8JWMX7IRKF4mqiGkWIN7vb4v5wqsCcQi4g1oJuMZhMpiwzXt/CpIuNAkVniIeLuFZOP6EOFRIF7S/PcMp4kqMDTYyYZJIdbhuM02aimNwpB0U2iu4/kaskmQ3a/vKEcjqESqgiFGOwhgxcdQSO8l5BcoD5GnSgUnSVQECeESvDe0fSBPCrlUEidHqcf+uN0RYyRcNeR3Rv0xtH5gE6UtlTkQrELf5wImgegQlqlE6GIESneGMdNTggt3amhfqBsTWSuDbN0hRPDncnI7HF+ziCYvIZHYAaJiTZATSuWPETOYodtodv1nPY1E2rKAPuRks89NvTMdxtG0lFLiUXxVpjHSLbd0J4ALuIXbxTm+2Mz6nCnDJ4Z8g5c6tiPBpgHA8Z9hUW48ydc8Rb75NC8JGjHQ14z1Tu6ouT+rQUP8i3D7Y44NbyyT/lFmeNOIqW9wXYBUyZ8A/JtwJQKlYVfgG4zqq8r3A8s9kFGd92SHiomE+K1knaCuxyiXYVMDP0Oys6hm4ToUbsOAkPF9D3+pUGjEBo9KtuX0LdgzpVsa7AzR//Dnv+buzd5ujXLzrt+azdvf/qvv/292VVWSpWSXSo1YBM2BhubGRHGEAz5bxgyYMQMRXjCgAkKQ4SFAVEKqURZZWVVprK7/Xe/7vRvuxsGJ4dIRCAFhbxGJ+Kc2TnvPmuv9Ty/Rz/aIz9XuP/FkZyPIAqygXAjcK7QG4ebO8g1cR3R+0g6jfRxwNYG/dyRVIo+1fQvPP5UYKwJZmA4hnhuYJYRTIspHAw9VR3/3w1qVkmkrTLMaMFGPcFxRggP2YaWG/2Od1Ph1RmcTXsmuaeWOR5NGhRlaCm4w8o1SVxS+FvmfsKpfUnjO0bxPapYMhs8g94wdV9R6kt2KueNfcqGI+b9DQu3RGcb9rlGFZqbtGCXtOwUDHR00lNn0M8C3XiLKnbUUrBTF9wC63gMMSMNHZ1kbCSnT7KDFBMOzRIwmMg2Ba8CzvRsq0AYCa2qwCwI7JjKEXfFiu35QH4K63SBChUhjFiqEwo1Y+Y0gyroOKNmyWA0rcxpOSPqW5REcCBDjtVg/R5CRpQtQmDkd5yaS1bxjDdyxk064c2oJBmlXKUVGwqUEsT1tFZw+ZSX5VOueUgTxyTRMuo1liOWdsGruWWYwlPbYtCIz3AkVK5kss4wY8OWKWsMhdowiS/JuEPoSdQW7RqKuMJET6cC12XOKkvQCvKmpnINNgy0JmUlCaO4oQo9lWw5mHAaMlqqeIuSnlbNWHJEhxC0w6mcSPhO6NqRSM2IBYPfcyeaUr0lUQ7LNVEOaEur1gSzxmmhp6Qnx6uekMh3sN+OsdxgaQhxhPgEq2rqsGCpDxsxLwmpvCNjwEvJXi3wMcHGFhN3WEkwEUwY0ceMvi8P/szkF/TKs+MZ+/gReXNL2h2kPKuiQ5oB2yXghEYVCGdYMgKeHsEZ0OJQXtGFMR05XjqSGIgUyOAo8LzVJ1ybGVrWGAIFB5jsmimdmmHxh4lxD1k8UOh75RlsQoellTE1Bzhpxe6vqSX45ZUn4mygzmFm5QCA9xFUINhIn0fSckdnKnTsKXxPoVqcz/EhJ/U1E2dIorAzQpQWulPMXYpuLHXVEvRhmxeV0GgY2wk14wP02m/QWPYcc2keoEcrhvSWEw2t1fhEs5WMngU6btHiiDqwy1PWUmH1DhKPqAgIfaLBZNypjBVTJsbgpWVDAtZSS49NwdiObWrxuSGOYNCGnpzAFKfeguohegDS2KPCwECBQ6PVCq32pAgmCDoEstCC1JjoEEnBt9gQ0WEgiiaQIHZHazx9jOQ6w2sNqjswgahwaAat+E5jCkowUSFxizIBoSGNewo0iSg6DYPS9JIeIJtxTxlr2t6ThJoZKxb9ktxonAhRthSUFIMhjyDpllrSw041OIJSNGmgTMCIRgeNSyLR1EQl4DRJsAemTAzfbWbBxpaxX2JVC8lB/ODjYdOP8XTfff/ay+FNIGrHYCIhjXix9CqgdKSxjsxC6hx7k5FLjmUPtiUaT1CRNnj6HKAmGBg0QE/QkSDQi9CKJhPBWfDFHpcMmF5gtUBvL1FpB1YRFPRa41VE+4ihI6iONFoWYcAMmmU65zJ5n8plTM0XdNrSy5guSdhoRYswig1V2COpYZkIUSm0uAPJMB7+D7VPMSQQD8hJUCgJWDwtmtooqgS8BxfAieBVQA8cwO94xtKiaMliC2QMklDbg0LK/n98dvx11z4LhASSPKAmDXcNVKNAOu4YAjTAeNLR5EJXRUZZwY1eMK4aBpNwpx9Sk2GV41bNecs9NCl7vUcxUOCpZMcVJ1xpyxjFRGZocm7iMYqeK9thJ5ZRVrDV93CMAM+GCY0YBjRK59TBY6RlCIKXAsOAqBUNwut0Qjq5ptVzkolFZzO8DeSywRu4o0LIyPUdLnmLUR5JBrISmtLQWkOTJaz1mL1o+nyHTPYMRnGa52wbS6kdTkWGpCIUPT0dqa2JFgYlRGPwasClkcE7bAyIQB8UTsbf6TZyQqxomNJQECSSBY/CM44tGZdM/Q1J6GiVYxZesvcDO1Wi1DVRWgYsHYYmCWQ2HuyyRDLb4lWGY/pdAENFpwwOT6QhjQdYttUWHQ2GHWlsEAw6JvSyIXOBSqd453BGiESQnmAGoorEYHCxZBtLPIZMCtZ6yl4SRgjOFngR9BBQIRxsBhr6eFBCRNF0SmMpSEnQyuCipwcGG2nzgOtgrOLB9mxTnGqxLClChYnCVoOUmlJPqNWUAUOnEvYIOXv6mGDjwDzeMKjInhGeOdDTinDDjBsWOBXYEVHU7BlzYy9YU9HEDB0DXgWWdGgUB0HSHMchCtewpWNMoGTLGTUFxHj4rFL06O/cDR0q9GgVcCQINZYeHQARotSMwltKiVgfuTELssxS2Z4sdIj39Cl0NhBVjjIer7PvBs8ztuqEkTSMffdvxaBmPfMMQ6QqA1sPReJIs8hdAWkeKcMeMoiiSYaIanJwwhAB5Um0QrxHwsHmTIgHy64EfHKw78YQ2SeRxNTfTTZgqHZcjeG06OmSQFuAmXcME/Djg1Ig5oLSiiEodnNHVXekiadTUOUBAvRZJGoYSQ8KXDZA3jMQUTHBW0udQE4kaSN+ZJHoiD4Qk4jXLdFq+izSREdeNuxzMLYnM44YDkq1LHGEQ4I3KOhTj/jv7D2dOvh19XCA/BMhRkzg8NoGvAflPUMWQQwuBJosoq3HqAQbBRmg9wfVt4jFRhBviToFdocBiNPEHmLvwQwE66ijwycdmoKApdc5G2lJ1ZYqBsa+IyiNx7NPIMsMW1PQ40mwaF+jektE6NJINBmDEtDCPltTJaCCkAaFiRrlBhIx4A1OAlhNlB4wBxZQAMQTjSPoeBh+qoOd1KFJaUBFeixdLHGSoeJwQBTEHVPZYHxkIMF6IccTbUQrz0h2TGJDHjioaSJIDIiyONXRp55YeAYLhTpgB6KKROUJCpwEVNLTJAGxkUwPeAy6rsjaBlSODB1D9PQJjKVH9f6gPhQDrsdGcMbjTcRFcKmwLizTrMS3axIViVXPpoTUtGh/GM45PUBMkAAmfgfHjxyCP7xGQiTKQFtEKFL2CchoOFi9EoXXDesR5NWeaBuSwUA9Q/oGb/7ie9pfOqjZJiXLj3/EG5XxpyR8efdD/sfffY/J5AP+3j+aUz36n/kv/uDXKNs1rxZzfu4ecJ08Zm2+YC8TvBmTRsOUHff1klw957f415ik42r+CTfcY0nkCnjwdMVx+HPy8Ig/bj7mp2HOP83fMf/sjzh7z/Ay+5ivzixfZD/gm/gev1hfkE40X3PC9fvPeO8/u0HKOc8/TLnGcuU9wVWI+ZCXqiDqHS4MvMJy7Ud8rATFHWqkuGsPfr5iCsl44J1a8vQ/+hi/2/Pz61/h/7z9hLOHa/5p9YrdJ/+G8X/zD/lFMuKL5IL16hmfded8c/GIH7lrfrB7zXWf8joe0TDiG/8179ix0uD6nlEKb3+aE//WhCEGoqqowwEMt+EpF+aSX5U/oeAZb+MFP5YT7v/D/4SbbssLB13SktsU1YLNa35x+ojfG57x8/RXeX77AS++KvF9yU+uznlw8oBP//EHPK4c8WiL9kK8uUFONkzXwup/+BY+OOb5Dy1Hc8s9s+EeX6K5RbNHYZmbJR9yxYPguEkbtr/56/xk+jGf8ZjSnhG+XdIuaj5bfMSaU/5jecH7Zs8K4c/UI45IyFihsx5//ym/e/PrTKafc5K84VbNGJiQ+ztKHchszqgMlFzhjSPjjFxq/v3vb+nblq+yU96S8+DxjLQv6EqDcjMGsVjTYccn3K1zHqeG7+Xv2ISUb9tHfPHG8+xp5BrFz8P71DJjFBt+xzRUfIXvhF4MVrWMpCVRBk2Cj5E7Z5nEY37v937B9881/+S3Ggb/kp+rX+GNHPG3h59yXF5xa0uShzNmL7e0//0Vm++lfDa7wMkjPgwDqURuOOendk7/+CHVFz9D1QXLfIzXhrlqiXFMpwOh2HAXcr4Jx8zcho9T/91mdc61jOmjULpA0gXqP4b5b6w5O19xRU4yn7LVipcIfnaMUl9z3l3+FVuBX34FJahKYycgSpENoDYBTg36viafO/RvjJk86Ehkg9EeHTte21NuzZip3jIb3hALITtTlK8h/os3vDQDYbzg7J+MMbMX+C0oNDpThHzKNziKCKyXrL7asvz7v8K/UM/4cHzHP/jHA63+c270CPXBM/6ke58/6Z7waVLDfg1VID2/z+uYoc2exdjANKImEXcx4U/lIX8Wv8dGJhy1G6pkx8bUzH2LTCP6bxt290q+TD7ksjnmOH/LSgrexBF3acJH8z9g1N/ha8XdEk5ty1gPDAoUay6sZxPHNLTUOnKUDDyQtwh3nBmNUoYYPLptiRuh6yuaWKNJMJOe2QmYYU8SclRisKZjTgSdUT20jJ8ZwpcNRZqQZjekrwPNFkwYeKxefXfhvM9gwWuDk4JAxUQN7F/sef7lR/B9xwP7godyzQ7LJSUP1QssilUyo6bkghsCitdygZUx7ZCTzsckD5fI04SdcYzuKSRL6eqO7buG7D1HUjb4fotvob6G+VFLzNeHbViSY4MQY0+78ZRByO0hJrT71qF+O2c7r5lcVsz3e1RcsL694yi1HP/wHvIp1OUrjkLPN8GxTS2J1yR5JB6V+HeRagH+mcDZOTy5IZYpcV3ijTBMEtyDEZssZWYy7DPQs5wnOZx+3bD6r37KJS3n/3lK/khBBnfbnLFNGIc7hq9ek380Z5kGch9ZvXBcPr3gJ/4eF73wHz58TNte827IMaMTbgf4jBNyFRh1ryFT8FjRmwyGFu8MUR/iLIMz/FH8mK0b8QPznFPekqglg3f0pCyTlOLZnE495A9NxixpKYvnyNue9bDgeRrJ/Q2Lu3foraPJKi4lJ7MXlCbyyS/7MPkr1tUP32P27Bbzwxr9UvHwZYHsHEw7eJYzVR6xCZXaER4m3E0CLglsL1KWZsZnHLP2p7R9ZJWc88pN0ESKJH4XJbrhsdmjCfzc30cnKSe9EKj4hmdksqWSr3hyktOHwF47YugolOfKHxFUxlpmrBhxI+d0MiNyaNRP1ZqchlsW/Kv571D83X+PpalwcUebGsQNHMdrEufZypjY7/ikyCmTAgZ4sLghKW8YwpQbs+AmnfNtGNHFhB+dv+bpf7rHjXN+Wp6TVxNORl9hdMdXxYLR3zKkckuIPSZNGNkWui06V0yeZvjbFnWU4KMjqgkrH1lJzi5oMDkrChoiIUa6OAKlsZJS0lMlmh0TeiZMdKQ7MvRHJZN4w/14xUfuS+7xgqob0MqgJ5pEHKKEsqmZpze8iwlB14xDIJWMXkr21pMACZpEADoKyVDBs5MdmJRFvGQmwqW23HIPxYSuc3Q2Ys6m5CHw/POGBx8/I4RbjuJbWnXK59kjhnuavTps/Z8cjTm5vKW/2ZM+u6AxPXpTU9ucmzH08YjEabZmxFgJEzpcEdD/bkYWLe1qT5ZoJC+IR5DLnl4UHSXvmLDODYQJX8XHfBEfM0jOyr9jIY5OMko8pep4TcVLzjCUnA5vGGLgha74UznGeM1yyAnK8iI84eUmkM8neH3Mdu2wMWMym/Iyrpm4mrEInTqkrg4MPOeEwQtLdcSARbwj15Ek1pTxEC8MkSh8Z10QcoROBjoiTuYIjifhkgdqwJiCfxk/5cOPRvzO+5+Rv3pNfAXme9AsZlwyweuBziQ0JLw1ZzQDWNNwLG9+mcfIX1vF/+CIyiWoOLBwDXpXwr/Zcj4k0DlikbC62VL5HrWCzW1H0oHShqRQyJuIeq1IB01f96RaMCESjyLJb2ckXSAqj5IB54UsVcg0I808D78/QnJNVmjiE4/McuI40hcpvaSgWtYqRwKMvu9RKkEHYbFdIv2aYXGEqgPZ9R71tqH8OznYgRguePs/XbL8suZ7/0yongjyZwN8O8C5plEete04/9TCXJO/68nuzeDVHtGes/cLYhERY5iMoWsDvPWkby2xCuA6kvsagOaFJ70u6a4adCGAhy3EXYc+itj7Bax6bKcZioz9OB7ECEmPHRvM0Yzer0ifFfi6x1wLfD0QfnJNO4movqe/60mPNWZqaZYt2QrSPazrPZMkIVuM6NsBX9bcqhEbySnjkotzTeFXTPwh7bUpDOY3S+4uptyoAhcTbPCcGM2uv8SfHdGnmrvE0Ms5125g/j1F6zYYNK12kG7xNQy1oZtY3iWRh7MM1XTE6xq3iOg+o37Tkp5qzn9ths/WqLZBT09o/Zhcb4hScBePeBMecatmVHrJjD0THCPpQMWDhdpn7JVjegFK90xefctHFyVnKqfddeSZJdQQ1x2mT1F+IHwAmZ3g/zuHX6pDSueRcHwM9B7zJGPxUYa72sDa8vo2cP3frnj0Q2H2fo9rIsksJf3AEsoWOkgw7C8HZB8pJpDMPDjI5lOWj+dsosHuBxaDQ+sd4SASjE0AACAASURBVDcKzn8wQX1+C+OIeViii4T4i55V6MlnKamJUClkMWGTCPNqi/l+Qmssm8cnON0zf9Bxm8P+bkN13/Don1k4HiEvpmz+6xeMl0t0KugHEY7+75/xv3RQs5YF1+URr2TESnL6/gzfnbIfNO/Y8K3+fV6dv2XW7HmT3ONGPWRDRScKaLG0jKJjLFvmvIXhjsfJl9i44kH8GUp6FsMK7edo9YLH6ie02vI6eUrbH2GGPcddT9nBKjviF/mEV/oe3h/hwhGawJILfjF+iNz/jCrr2JQ9vZyw4xyjxixlw1YiLYpa5WyYEcwJ0eeIlESXY4JFG03UGj00FNmOOjVsbEp8c8wQC1axpJET3pU9X5UNf8wpXXxMNxzR+Ak3ZNzaBXu5odaKXnoERceEpR6zxOLtAerqgqGPFkNHEVZEH1E6okjJ4p4T/xWtGiOx4JUp+MYctid3Yc6GhyAWG9d0JuGr0VM+qz5hFZ8Rh2OiV0Qce7PgnZzwzbRAnTVURcBJiYgiSkrSZ6hNSmhmbPxDdlQ8js8pwhpv2gNsSzwZe6a8owp7lpnl29mUK52ioiHvIakVk7TETY9Z6hQfDZO4p1RbcJHO5uRxSRmvyeOanS64Vg+ocHgM4NCqwxBJY0LhI6W5ROip4oRxvGYk77hVnl7dOwgA0wNUuFU5xBIbwcSWqCIExajrOcve0ocjGqZ4JShalmHEpX1GzTFDeANoLANOZ+z1DAmWxPdY1RNVT5QBpxxdyHHdQ9IucOz/V67UwNfyAXc8Y9b9c+b1K96c/4CrUcX3iy1ZsFiXEfSMpZrTsUENFq/GLE3PqoCphjhkNIzwMlD5HTt9jA2GkdsiOuOWJ6TSkPIFgzRs1Ig7Jvh4YP8QoNhAutFU544bDhDcreS8tcc4XXKtZlTmb/7aqGOKk5Q++W4zFEH3QAz0SaQ1kbJo8NqhZE/l16Q41jqhF8U0bkhCe4izlsAkgNoWFHbNdlB4C05HdHeIRa6TSJpnbDCk9BCFGKDxI27SU8pE85aUPPS0KBptuQoWEcM89BSuw2nFVXbGrZpzbneELEASCSJ45VnrBVfykE0s0XZMUGs8S2y8IoQ9PnM0NrBSFbfpGZXUrNQRr+OEmY48NhlVDyIJvvMoC14OUuWEmmkcWFKSUKPjwXpSsSQLUISUQdUEe2jCXLQMMqWXhqivkRBJFdAP6KhRJASToBmwsgfjaZNABegOdDcgbYHpPNFpMlombFEyxQgkIWJDR8qKWXiF64Sym1B1Wx7yM+7FPyURUPSc9nekekmrt9i4YRHWRK2xbMlihY6RwUb6BDKBQKAvPFVbwwA6BAo3kLYNiTdIbyiHhrRvCOGaNUvaNGKCwrcBHTWESEpEBkGGEpoKJ+Azzb6I5LtAsIrG9Awnd5iZI1gHDAwyplVb0Gs6rQ9A2TgQfaRNoaq29EVHazJCsDgLzjhaCayjYSQjfCr4cs9QONJOyHcVVdYySCQUPYMFsz1EqqpOMI1QBIUWjxkadIS1OeKdOSONe9YZ9Di8PSiBdjZhg2YhNTkDvS25zgLGarSJNEAlB3sXQdhzQq0VhJYk9iRRiCGQasDAWsMKw3WYUPiMGN9ie7D+APlUUaOCIQ0asHRS4mVM9Hf/D13H///rMs2wlSbtG/S5RzUNEhSMPPGspVaOEYYhOLbjMd+WY3ahwFWGGy54wXuseczOjFipE+6sxqoaJYFelaSmZohXjEPLC/UBXixtbgkkvI5PqeKem/gL7tQ39L7jTucYPCU1jgTrPUZBkBQJA6msiZKCd+T+mlJt8Srhm/QYsowXLAiAl4SSni03ZL5nkAKd3vEtgXMpqXzPRraUo4RbX3GjM651wW08IYYRd+kdx1Ng3rE1GUikzyFoGCRhlQQqJeSDp0wigwokCoIE+qJj18I4CdSJYc0BermhoibBMWclc/YyIcYcL80BjB57JrQUsWGQGSkFOZfsVE8ZawrW2NhgiGgpgB4nPcr2BImoACb2pOwJjAkUFASSsKdRPSbuiXg6oFYjEixpzNA+onXOUjQ69FSmoySlpiSJmm5oUbrBsKZ0GUuv2LuSxOyxDnaq4NIcMY13BPEstWU+CMcaGgOSeYT2AEYvI5lvaGVCY1K8NFTU5DFjLSmhWjIberQDr4WhaEE5EjLA0sqUIRQMjOnVmBtO2HEPR/5djxdIyEjlmoqaQY644f4h2Uvf0UrFW3mf13xIrlNaWdPolOfxEeu64SQavJvQhgFlSrYiNLIgmityucWrSBMTqliwUid06sA5A0Mg4JVnyoCKNYIwKI2WHonu8BuOOTY4LC2DGHCOo+ElT/SSLYHn9hRUy3vhp0wLh1cWpzy7ZOBaWYJkGDzg2aGJyZxWRuT+L05Z+ZtUTTrQWxB6rKuZ+oGhGvC9QPRkWpMp0D4iQ8qoPcCpQ+VwCWAVEjTaaSwgThAXiWogFB1KQzD6oDR1FoID8biqQ2wPSqPSSC8es2ipx5FlktMwIwsJb/UU8FTcQBwovHBv2GHFsTYtQ6awWYe2gS4OxNSTyv6g4mwOqs+YRbQHaQyEg8pKQoC8x03DgRMzNPSFIzWRIXeQg1EZohOcaghmIIQEEYOIO6izUkefQRprJCjEHQba0iqiLVBxC8lAn0RMDLRi2ZqCThyKFoVHkpo680zSPVIblDvER+s2Ydx2KAfJHnSV44uAGkC1mqRRtI3HWwHVobTGSkAxoGLESU6n95ThikkvOD0j6Mgqd7gkYSUzPJaSGh9beqBOI+vMspEc78fkuseZW4bag1Moc+Cm2d6gB0MTIxsCQ5GQRFBBIUNAXEbStkg0RCvsM01iPD2GXnJi3BMRWsnYMaGJ2Xdj8iWTsMXS0GhhHzWiD9HdQw5JFxnXe/K+JXcVbYAY1CE+WwHBEwIMJqCK5qCSstBbSFSHmMjgQOcNQxXZNQd2I9pSrDrmdyW2HYiuO6hwdMAJCBpCivHtASzda4I6JDVJKrwtDK+UIYQts7hHmUBd1cS5kBU9OoNuCkO5p8o0QwCjFEl0BBuos4NCNMRAtD11BftM4SRg9I49JSOdEWzD6mRALZZM3uYkraCbhBDByfAXPuN/acvUuAlK91j2ZNFyMf6Kf+fv7NG553H1GWNgF3MSo+mVRceOES+579+Q6jcsxTKLO3JXM1UrQrSoAN0QmdlbTruv+PT/+GPk5Qu0veHe0yuWv/YB3tZMwoDvIZxU9OkVom5xoSSRmofha16mU/QQGNuO1/qM7ff+AbPiDqWv8PGMl+/ex1WKT5I/5Dh6drHkmnMIx+zXjtV4wXp3zt27CdNJxIx2vLru+aBSTHdLhpOSVxieTX5KVbzBpj1J6KjVhFHY8VBq/lwEKdb8Gq84ZUlSWn7fvM/J8I5H6opf5S0n8ZKfhY+51Eds8hfMfwhJNtBpTyI1n8TPSWPOdYC1/oLCv6FVBStmtH5E3G4ZF3fs1YZvwsc8jz8i1T0Xk6+wi5wmKWk6OE62qKyBRz1CxUn6NdM4sLVjlo+fsrFrbvWEbT7nUt3jVFLKoNi+U2yWp6zDhL3yeHJUyJnJlmM2VHGLUz1BNbjiGB/XvMfnTOMdD5d7lr8vTD4OfHr8ki55R0bHEBQz9Y5PdSBD8AIfhK+5r1vG+Z9zoq8gCuf+HcfmlhhbejVGxYO8dcaGM9miY0smcC1HNGlCJTecYjiNLxnJQKsMnY0UMtCYlmIW2XQ1s/SKiexYqIRF8prV7JhV0Gy6E8ZJx4V8yYm8Y+pucCbjVi542Z1gVcHjzrEwG3o0Syqi2hNMyeMPH9L/+Mf4ky1Xp/f4SfYrdO1j5ssFxeeBvjzjTyfPSMY1P/pgxaK/4Ul9RV+l1KqgoWAa99yT52TFG2IGLqREAkYNELbs5IT5LqP4M0/2K5pv5o+42ez50dEdon5Gg6ZmjNMz1rHnpsp40Gi6JmEjCcH2pF7h4ow3m1Pi/Jgv49c06hmP/vr6gl9Kfc2nTKtLkicpMXNQJNi8hcohGnQLwyaw21cUNue0vqYMB15VoTekagUuJeoBdZqgnIJ1TzUy5HFDvhaG+gCSM3pH/iSymwuewyHpRho+HmGTL/gBMIlb8rAndSVl4smHjo/iC45Vw7P4BbkVrqbn/Nw+5M6VqHiFSgZiDf1rKB7UPD55iTLCBk0hCURLjHuO5ZpMr9GXUH3meLj7ignvmD7qSX5thTaK77uSzO/ppil2GmAI+GhplMUSqDCkAT7Uf0ZDpAgVPky49Ed4pej0imTi0COFJ2GoNLUOeKvx4wbZRtQd+ASiOSRnrcm4JWMcK/ztDWHtiU8iw4eB9jSjWmj6TIhxxFWY8IqMc1WhHFRuYM4tF7yiFM+TRxs+/fvfMjn5lvfkc47DJZqBQhoqU6Ml8HRYMm33TDtHM1tQ6jUQmZkb9MjTiyK7ihjlGO5pmBfInzdY40i0R5YReeOR1wl3L4TZw5pR41GqJRkMEjSpgNY9dFvQkbbztPuB63/eklwJ7tOA+S+PSb7QpJ8X8H6Of7ZDl5pUF7wrU56rUx4lKaPxFaCQbkD5AEojCUjvDk1ycATd4ioIeYaiAElwbYrcCNr1mKcRaTPcv2o4Gln6xsFOMUrBmh2qDew7KMscbwJjakZuhR1bdsqSqIFRsmGYgxtGjO2OSdyTxZoP5BUJkYaM17ZgOynIpGNUrtFPBLQmVAO2q/lR/AmDDHzEcx7V12RNz5qadBEIdKReMQ0rfn1Yc7pxVJd7lIDZvePjdGA01MgQ0MqRssMGzel6xfGrO/jBL/Uo+SvX4vkb5l806KWCC01T9mTnQnis2d9zECJ+CLzjiC+yx7ySMxp1zp7IhmOuw31uOGKbTNjFikhAI/ShZ5AxezliFRZ4V/GOKZnusKqmlAahYwgJL9T7/Jg1GEck5yK+Yc4tTkdG8ZYxW+7zlloMa5mw5JRWpxR0aKlBdpxwyxFbUn6dqzhhL5Ei1iSxplA1jj0DhnU4YybPWejXNEr4TB6w1yUFa3LpqURB7FnlCZ/bC0rfc3b7EpO13E0U3CpOV9fIRKBvSatI6DymyECEMIG1SlifjmlyqPOeF7bkMtxjGzNuGLGOR7wIF7x290ik4wP7hgc8p/IdR7KniEseqBOuOaMGLDMm3FBEwzu14F/q3+GbcM4Ho+ecPXzBxDbIDoYssK0st+qIV/4xaz9jqteM4zXzsCHtO07sHZlak3CPLhakeLx2BHVKwYi1WnATR4jqOeItNr7hQQJBNC4ohnyKPp/i1ZYhau70CXf8grmveXB5S9c1zC6OMZd3DDcOAwS3InWR2MMkrXn29i23qoajhH3Ssuaca3fBQGQaVhQboX3e4cdCOgrE4OhV4CpYBlUQg8WHgWgVlV9xJi/ZMUeHiBZPojfM/CVzGl7EYxJlGILluTrhtTzg581H3NbnjCtNnUZM7Mi5ozfCbj0ltYpKdpT5mjbmMFT0PsFnYGhJUSAWiRqBA+uDhCBCT0eH5U6m7GWC0PGEF6TSsGSKJyONNQu/JdVLatWTm1sWvGMkAyNK7oVrRlc18hbUjSb9KlL1HZN7jq0RMiLHrFjHNxg5MIPSZoB/C+Dm6Rc9xSzQlI6hD9A4WMDVPMW3GY9+nBOWNXFxkCn560MSUJwYwmMNE4Enij45WEJiOPTsqtPEZWRQkXAOwQoYizEBGXpiNHS1Jxk7mCmaLEWPCmrjGMQecsFUwp2b0mvDMZ57/TXlZoevHUmtKd70+DxA6omA/kJwHZj3d4ymA7ObFPnWMpQwbCBvRsTPe/Qj0ItInBpC0R+sJ8Gjc8Ww9oQduELgtCPRgqkVMdX49wfiwmGCoHqgF4ptRLYeGyMSBNUofBORvMU3YFYRbQEBWUeGccI2SRiSmlnYkwwd6fzAPhnqiL6w8DHErMW0GukOdjJ8iwpgE4HKwtwgkxYSoU57dpXlWg6ghFGs+VYeYyiYsWQkPbs2kEUoGk0dKjplyRhYxDtG7Ra1hoyakOasRTOiZrG5pVjtSFtIa4HDHgbpBOkD4x08PvOkfYN6lBHe1KgbiAth30AWBUODKx2xUjgdiLolxhrja0rZMNJ3BAZO3B0X7g257GiM0DAlxTGhZUJNLZGk1OjsEEYUdYEuYOgcDJFkLPjU4R8JdsjYvfLYDxTVowm+2hIHg5QQLzyuU5hdxPQBp3vGWUJRDOhKEa3Fe4c1I2S5g1VAnRmIgtERUYCoA1s0a4mVopeSNipC1iN3mnjpydJI3CtkJPTjiEkUyQ6084wi2HWLJKBToazXeKMJ+wF/p0h3gVy9IxwNmGzgqAFlcrrZmH63YRQNkmnUgwinA3GesV0Yxn/BM/6XDmpSl+L0jjGOxilU+RXJD7/BsuRELpnj8O4Bq9AxUEFYkqqEI79lRs3X5hVRTemGMShFYiJ1XLAlB6151q358I9eUv709cHq8vcS2k8aZskLTswtTTCszhZc6FcsWFOEga1qmNsrxmaD9i1F2PPWjvnyg48Y2SUnw/9OjBX7G6GzQkEDtLyOPRtVga/Y73YsJ2e87B+wvBmzKDty19C+bbHznBO7YjdWXKVzHo/+NR9IRusOICWnFlR+x/2w4kVaM5TCU/WWh+6Kr/Q5fzi64Ifxxzx0K341fkGla9pYsOI+r0cTHn0quMaz1ULE8ER9y1Hc8QfxEyq5Ywg9t/Y+r9UFa5mgdiseHN2ylCtqnfGtnPDUvGYxeYc5ycBA3tUs0ktUNdCNG5Qa8cB/y6lbMYjh9uIptau5kSNMItzqnE1qMLaF1UC9TtnGw3AoY0YWG4z0h8uo90RRNOIgnZATecQLpmrDZOtpfuKZlVs+/q1veROvcDIw6JyUPQ91oKNgKXOOWXNfnnNe/JzWWwb1gJlb87H+giQMXHPKtwpqO0LouK+uSEPNXTzjtTykQVOypsJxzh2la1CxJdMr5lyxV0Je7snsQZFTsmMiMJFvWUwnNA7aJvJwdMM5L5nLDht2DCqjixXbvkIlil2vGVc1XXDcyYIoEOU1Dx5fsP3dL7H3WrrsPpfDfVw/ZbRZkP4iYj8553P/jMn4Lb/5wWusH7hwW5bcMHCfnVgqaRmzxleeoYIonsStwRi8DtTSMmoV9meCPMl5e3TETX+PDZfk8vmBz8OAVXAbHG+rCZ/4FNd7dBwYsaH8bjOyXaeMJie8UPfZ+Dv+0d9wMMS3POBpcUN+HuhHPX2hoQDUARhmOxiWKfW2Qk1zqu4G3XhmxRLjD95WJKXPd6gTwSkhdA3ZkRD1FqkNps2Q3GO0pzqBXdpRkKAksB/lpCctR/ozflveUoWBM9eRdRaMwww9hjfs7QsW8YqmsLzinEt1wbrvCcqAAdkBL4Xq/YHH41ccZzfsIzR6zpIjAsJYbzGyI72G8L91PPrmObEC/aMx+Se3jMyeZ35BO2xwx2PKqsdshSEkOG0Z07CnQYnhqTxnj6DUGa/1jFuZU0jCTm25GClsGpAhweSWQIPYAZ9FdCNwVxzo9ESiaGpfcscIHVPC6g65i+hPYfskUieWdOIYMuhVzlU44yUTGjXBRshczyisOOOWqXjOz/4NTy4+Y8wdRTR4n2LUHq32OJXiMSy6mryu6XeQjD2FbnH/F3dv0iN9luVpPecO/9Emn93fOYaMIUeoquwaWoVoNaKFRC8aJFZ8AT4DK74KQoIVopFYNNDqpltUVxdUFlVZmRGREZER7/y6u7nbbP/p3ntYWLBCVZsqkSrO1hfmMrP/tXvP/Z3nEWHCHXUWiMnD0qBFwHxo6IcMve6xsaOwgWYZsNeKvAvs3sBxmzDdAHlC1WGSUJh00HVuWgqrpHXCqCP+D2uyLwN+Mkb/y4rVzxdMz/dMfj9jGEfkxmKKgl/VNa91ymM/YCtQkyN9xPQNyWS4TGBncHuwgzDYFkYw2ALVEiMgfYK5BasUTwL7dmD7rwIXuSfsB2gcmRi826JbPVxg1DnBHQCmZWqhsiS757E+5/30iqIa6GXKVFfU0lHGHkmR1k/YmYy1yelshoYNx3lPfdEjJNIkknUL/t7wp6QsMNU1k90O2RpqH3ChIziDMMGFlpPtNaO7hN4fuC2+uedhN5BixISImgHHlgzLdNtSf9n8nW/UPP12jv9ZhAVIeYbYOW4kDOclcRSY7BtIOdvigjv7hDBcMJcz7qRkb2Z0qaJV2JvEoDsqiWQMmNTgzZZtmjJPU67jQ0QDJxJpkufIN8x0gVKxYsq3PKBIgRLlEdeMZfvdX3ac0lBrQyvCt3rBK/MhOzkkEzpxKGs+4gt+NLykwvFFeshNdkYhPVO5ZiILEo77cEWrNckGMnNNy4QX6X1GpuNpuEHsBsSQpKUXy+v8fZ7t1nzv9nNSGVjUJ8jbFafPF8j7QrdR3MOS0A74kUGNEM5gn5Us9JxrDL3tea0z5nrMhinPzQPeyhmv5Yp36RGlrrjM3lLp11zoijOzw5sbSmko44Y7M6ZBmGAx4tmR8ZV+n0YLarenOL5mlCK5CbQmErKDev7ennMTjxASR3LDbNgw3q8J45LBJURydmGMccpeoKCnpOedjFlozbEsOeMlE3nHSabkGmil4BtOiPlHLFLLXs5ZcsyGyLGuOH27Im0btM7Zvr5HFhnV2KL7AW0dXQu23nM231KzRWY582xHy4xvzDFBO7TNYRtJ85YuKV5ynEYaDK2paKkxUTBG6BUy6Q6w4ATJepIOeHZUsqVMA1ka8JJoyJmbp/yS7/Oyf8J+YSlyoc0zaloq5gxmxGo3RbKeulxQZnNSmKJdiYRIVgZK3aDqcYBPe4y1bNWT8Ag5UTMG8bR4FjrDaYMToSDQUdIgnJuBUYj02tCZAS+BgoGRrjiROc/iNdPbFr116CogAUrTcvKwI2qkAEq95wGJREGeesxffYH9d6rqL1vyD0bEoPgepBEkF5qLnF2Y8KgsGPaQRUB74jZgMkswDnMlcNljH2X0EsijIDEeIKxRSBthyBUtcqIZwCbEJ7RT3OCJ+4BOhG5m6ccFwhgNFqsGsYYmZYRUE2yBYc75ZiC/aQgRaEvyrw6pBZ54RBP2xUB46+DYUJeJ6RjkFXDk6XYDhebI84gtDOY8oaODuUnlAL71PqNdNGS7gjQCM+1BlLy3RIF4FUnFIeGrQ0AGR7aNsDxomTUz0CmmUaRVfAcsB5wB9YbsvsNf9SyzCm9mTCSisqcYeTRmhGFPeTHQfpAIVSLHoJ0izkA8fC+lAp0OxAtL3yrjUkk9tKam0xG1JErZch+PGVlPMp9jbSAFg0+WooMhGrCBSlacpbdMAuyXQpk1jPoGV3iytOGsuYOXezw5uhxA9TBG1B1U1p7EmVOkaDBXGQ0RvRfYFjTNIRmUhYEyMwTjcWrw2gAdWQhMZM/M3mLYcTJsGPd7pOjZ2e/4ZqHlWBtGmtiqwDgn2Ya9MVSS4TMLq4hkwLSiY487E+S6op1vGD+JlM8C+5eR1Jf4HOyDQHwpZNuaol2DBkZVzm4s6EmPVhCiYHLDsFRMUOzxwWEvo0TqIThoJjmaelLhGPCQhExKpPfotwM2c4iDlEOshcI42AxoUIoDcgiKBJk5sHEyQfeKzIXSQmY237GhDHbb0GeGphjjuvywvy486QKG80g6hmaU/ZXP+F/bqFGzIzBGaKhQVih7uaACHHcM5Cx8Ra7K1hi2MuMrfo+vs1ccy55fp5/S6QnH2T3vwggNiXmes7J3zPWcvW2gUCrZEzjcjJb9gof5DV+xYp7l3JhTfmsvHPcQ8jE3csWaM1bxfTJd8Vosu3zGYYRtj9UTRjLwvvk3HMsJay65o8WYOU7uiJqxT1NaBqIpwIPxe06bgYtXFh8zOF/TmoZyiGAtW2rEKEW/ITjPxipq59S8BvMxa05YsifZGY2cstMRF/qaamjoM08yQgJu3BnLWcVLV7J2E/YYAntStuBanvCSHzBz92zkhu13DY5aV5RNInNbHuhrjop3WAl0vmY98bypHvBKnzAmp+WOFcd0HHHFV4xYoHbHWztm62pc2vPR8G9Zp5omj+yPO2TXUVDQcMyKKwpVanmHcs+WESYdDjVDqDlOltl2wXwcmNszli5jOkAeG7zu6MTRURFo6chZyxUOOcT4bMFKKh4hTOOOhQRWdkzSCZeL11jb8PPpE/5Cfxv0F7zHKzoy3vEpq+7H2Ps9aTJjVTnu5TkGOB6WvKcLvGvx4Xu843vE8IReZuBgkJ7B5nTqUVEk69mJZ8UMlZLc3zNmw1W45rx7zjL7kOgmBBWcBCKe1tQcy9dk5hWJntnacrItcVVGsIKRlhwwqUcN3MmIz49OabVk5SZUySOmodQ9QsZcHvG6umKW/ZLZfstZu+NuVOFTpDA9bd6zPlaGrOWYW6y+BXI6fYZJe47sDVOes5XE0s4YbEa17nhvt6Jtryn2npt8Q256BtOzsyU2Xv0NtwK/+VpKJIhgWsFGiwwW6YEiIiYhwSBthih0dmCfK0OacJxt6Z3lTh6ihSfWd1RrT9SStljSnxniiSErC6wOhCKSeUfZQLHuGJ3sSSbDJM9423GVt0S/ZtJGXGyIhVJFGKTFa6BMgphA42EgIoAaS3AWVQMhkQeHaQ1ZdDTJYKL7zmG5osBRBcXsPWwjblEgS0W7iL03ZL0nlR7VEkkZpgHZFPhlA7MJ0XqsJmrmVAlqLIPborLCyh1eenLxlKkl6wRnBT8kRo1ShgFMg2/KA1TPAk6wgyCtx0dLyZxpchRhf+DubSuqrZAVkG+Fdg+YA8D6kiV1fwDs+T5SdXvOyjm2ueUZtzzwX1F0CS+evXNEPPnekw0RSsc+CdELoeCgjgasOkYxkneRIRxeS61gouJkYHCHhJWkDusjwSdsllE0A7ou6I3lbeY4TmOqZkVHYpOPOPWX+O03FPc1zfqS8eYNRQC3qLBNYu02bMYBrTyaK1nVsanGLKXGq5ING4wkYsqhTdgYCAgpwtd0mwAAIABJREFUAX0GQ0FvMoZQkrcFrg8oezyKUoMRJBp8c4DNx1JI/jA2os7RloAFP2RUyw6zt2Sq9AyoSeACU31H0Ssf7Bd4LyxHOZO4wepAJx4Fct2CwNKcMmfKMRl+WGD3CoUFIl4aAiM6KVlgSBmMzRZvIkmFQUdEYwi2IeWJuoCUK/uxIHkCl1MOAfqAjQOGHvTAKeoLofzNLCF/a5X3BlxEDbDJMO0EHQn+bsyxDdBlSHQc1Q1P+tcoe7RY0WYPSAyMdSBPBbmeEyJUdOAcnUayuKVkQUg1vQnYcNCnjs2K43hPCgOtyxjpgodpzkQXmNTyMF3zsO1p3S1V7JiZAUfLxgonPifKV8QuUCbPNjtmL5HT2DNqd4yr10wQevEEAqINoCgeNZClFeO0Zhoj1hkK6XnYbrjaGMREmvGO3mTE3pKs4Xi5I78rMCZRaIG8bnHr4sCFaDJkN0G6G9S3IA7bHS6Nkt2w5oyGczqpUAksmDFQ4OmoZIU1pwwcseA93sqC3p0xl4jhPfYkNnZKp0d0mtMh5NJyOVzzqLnnIrzhqtpwMowo5h65C1QmUBYd+FsemTegG05ky2lqORta7NCwo8KqMGKFlZ41D+kZYVXwWIw0OBmoWXIV73kcvuUy3lOElm1e4N0ZYjeM0yltXLC2FRPd0ErBzSjDScPMbfFGDwdOK0iwmCEdYKQaMV7pUNSNaA6fDCNWGLY0LpBsBH9YajKvJAYiiT05W8YUdiAzG1qpeCNPuOYRa+MOzR9pqVR4K2Pe2p4X9gNWlLQoiRqTRggRzQdappj4kIYRGEvbF5ihIGUDjRmzVYuGglI7jvUlT/mGLN6TmECyjNOKwRREXVNgaOOUjTvCac9xvGFiVrSaYW3Ca88svSOaEZnuaZ2joWDQkhhrop0SESxL1A0M5UA/UVaDUNSwOfEsLAQ5CCYwkV6FQUasZcbGlRz9pheTv4XKtxZtcrL8O27frsBXLeed0q4Vu8+RAEkTWCFkkAWHNYHkwXcR2WXUuxaZeJCBIh4OmAyCkwy3HlOUa1wLMViSWrw68gY0OloxCB1Ft4Ewoi0cg/0uOWUFx5ajeE/ZNIexov/ne62CdA7dZ0jfowHszpPWE8R0tKOGUXWHtcNhj5Q3qIFoFGRAe4dPOUECwUesGrKugLsJRTdgdhaygGrABYfbZ2g7IKWH4x4xAc0P9j1RJXEwP5mmRFYOW+8OKZQ+g87hLgKYhgLHKBiMdewdjETQJsMM++9S8okUBUk5sWiQFoxmqE/0dYLy8DsrRtAhp341otpeUJ13hKMNKXqOzJxSW0wElQCuJQ6egJI0AoaGnMaNyPzAugJb7RmyPVErOjMhxJay3xJHMD/JiQxUMRIaJZqcNAn48cBEB3JjDvgIYyFzOMAEgwyGLBr8YGkwYCsaOWHA45MhTw2lEXJNDCZnZyuWTABHZgc0JTo8QjiAp6zBmcP4drZxyL05gHiLI8oGuCsxjSMGBTHglD5XTGXwfcI1Sjy8y/hGYBfZVnu2s8QkO7y3jY2MjjaHpuQAksXDKFQPRgpS7Cn6ht5FYgp4bShEEPaoHYilkvn2sGcX8B46J7SjjO5U6fIKGWVUxYCrB/ZlxwxHFlpMEpQMt43Iwnz3HHXkKWGylpV0JPEQExboc0PvD8KLv6r+2kZNl28wapjisB7m2lGGxKkxFArJjWjSWyq9ITMzbnfH/LP2d6nqL7ksLP/sze+y2DT81ocf83983lGHMZc/qLkefsbX9ilH8RX/6KeCzHNs02KuHGlxw7PZwJ8wcGMued48RNeG2C9oHwl3nHO/G/GyGzOqR7xeFDyrz/gw+wtquSaooHLPf/KjlnVacqOXvLAf0LPiiB2SDHfvlgwPhCiGbCaM8znPVj2L/77n/Z82NP+xZ7Vcc3rUELRCpGEseyr2WCwVOx7LLYnHvAiP8HZgauYMyXCrRwTrGJklZdzx5qbGHQnlKHIbK35d/ZDV7IqFVtjYMbiMlXi+jmf8mX2fx7uv+QMpWZQ5rxrLj/Izbj97xZMnK358Mmctz3kXR+xGp7gPT/lCH/PF8CkT7UlNyzx/yNpf8P7iX/L+yRqvez6Xc/rQ8DB/zj867/kTPWcxEh7+kx/z7s8WHI8jaz3mRhdc6GumeofB8C2nTKNnb0+4+2zN73YVx3/x50x/7FnOKm4SzAyMijWZuT90bdWxjZGVTeyMZZTWFJIwjOlIlCx57Ad+yZavcFzHU7I/+0v68wv+6PJD/tsXPyV9UvBbkz/i6zTjj9Pv8av/8zHd//wzJn/4iN0fntP5r9ndKXXzjn/n4cCz7J4LZ/hsvmf4puDl4/d5fTVjK0JgwqoVTjO4mM2Ya8RIzpoNu/SII/mSj6vX/IP6Of86PCAbjQmqiHHspOZeCh6x4GS8p3hwwYtv/ojuKqf4ZKBt5pjLAI+hSK+5bI8pSuGbq48IvmDeR8bDwCy/5yblVJpoRehLYeRyVl9c8/4DoeWemfask7Idw8UfwvR0zu/xl9QX1zRhxcCEkYOJXHOhZyR5yFwvaMaO3WcL2qS8/2Hi1fMV/tMNT44rolliZGCh47+1TcFvqnaA3mZsftZz9lsjbhc7jqZCfqHYmAi3CTNqcKZiIDJUNa+rU8rwBTuZ8uf6Pu/nlg+rX1OlxHqdmD70yD8+583fq5iPB575t2wmlszn9C87wr/+nMfvv89dajCbPfHtPWcfjrjfJOTOcr9uOPodi4sd1ipBAiJT8uQo6Ji5jkJ21JpR9kJaJhgOvAYz3yEPYaiUmM/wajiXBbW2zHrFPk+s/0VP9W8tL3/Vsc6V71WKbgybac19e0a6u6bUgfjLge19YjwW+mczbhgxlcTD9BZJUDjFxDWPzC0bc0dGzmMy7r9acvT+hCY29Hd3PBoe0sxviV+2pI8U+6Qk1pH+ZY/ZC0UaOOMtT0xi0gX2m4B+K9hygNMc+WWkeZ2QH254YCOz9ArfdPT30LzaM16ueHa05cxn5K+W5JzQvFnTlR3m04TKiLs/3TJ901D/fUt4lAEDlQ8sVEjimKpSNsrm254qGIiezBjW1wlzGqnOoG2g9578aoQOS8zGMsxh8fOG4T99zGvrcZ3l7PY13WXJN/ljfPGU+efPqb7a8MdvN2x65cME2y8afvzLjIuTwG0OJj8iG0esb6B+yKK9Ypatmd2vmJCxDMry+YJyP0FCYnedOL5Zoh9Y9s5i0gn9F58xfbIha7YkX2PcGW0W0AXoa9jfKg9++4Iuena75/iiZHMZcNEzWgrdLxL2wzuyDy/YlULIDXU78Ii3xF1B/mrHepRBtWOWVkjqif6SKI5jvWEikRdyyi+ZYrQirl+QXiZ0lghPHOOZ495Z5kwIybOTnA99onB72jiQbEsZtxgHq8kZN4Pl9PKOSW2Ynx3gpWkxkLctpYmMSARN6DhQfPDXbUf+blS3DVBBtoXmn79mfQPuCMpvloQzWO/g9JHBHy+o7dc8/vFTtDjGEmmYcOS3rCXnLS2YjDxt2WrB2s8OxhuWnIvjXltM3uLF47s7Ps3uKd0tcwwFiR/qHWd8jdPA8V2D+2zHwwf3NOtbipMR9/uBrhxxctUyk28pTEfdj7nZ3xPGU47vO/oXkfHvbbjwGzK9J6lDVIkc0egEI4kH9h3v715zcb1idGRpjgz14prVny6ZXcDko45hDMz3lMslR6uSl/90xWQwaHlHd6c8fJrRXSjrr3qq53NkosRjRY5KaPfkZcfFwxO2zjNPOSPjSGzpiRzzlg91wV5G/KnveMtjogaepxN+nh7TSE0uDU1saeSEPBQ8zV6ipkMl8j1/w+8u/hVHqzdkS0f8OrD5iwa3UsxTwX+cqE/mfDT+BY8uThiI1G1D3nekdUAnCbU5udljTceL8B4bOcHQADC1DUrDKQuemlt+u3/FxfxzCjMQjgo+8Gc8o+ONuyDykpAyxK54ozWnH1zwSJVAiz0WbNcis4xt21M7yHLDsFCoBXd6wnM/YqklXj1Xeotzt2TaY5KlMkAO3gSq0NOaAdWMPTmF2zHVN7Sc8yKd8TM+QXXgU3tDloR+qHhjr7in4MZeEI0yYY1VyywueDQtuZ/UrLuc/ZvEpE5IUbO9GyF70Dbg3YhejpDtij+4vOXH+gu+z+eI61jpiGRLShJ7HIPsuJCeBTO+4COQyPvuDTWBO86JGqjY82P7FQs9IZnEghGdDnQyY88pv+46SrU8KJSgA+ZxhTmzlHg2Vc6NzVnLMV4HvLQMKBupWMmMSjqK/Oj/F42a5stIE+YU78Hu5zB7M+C/ZyiLNfsvlvBZwq9AfA4nOfWwgrcGWytuBvoC0v+1ok9QHge0AB0p6iLxeaSLkditCWXLJAhDm7CnHqKjfwvm1FKaRLjvsDct6ra4J6c0viQTSzQdY1pOtw3DZx1eHbFK2L4nrA0WS/98Sz7krF5C/MseX77l6MMc/wj06YA9hWnpkG4gaSBNDmbG9rMBmyekNJApVIbt7Y7d/9RSX8D4ytEWgSKBrEF7S3uf8CYcVMiXYB8WrF80jHo5CK0G6N41lEtLqBNxDPFlj1m2uB8fLvdrOiZDS2RzuOCIJcMfr75L/04x+Y727YB7T5BLi9nZQyrvUUXxNKO/X9KvAuPzis1nieV/PSdfzDn5rx6xyeZksebp9AXZXkm7RJoK2bQnu42sv4V0amh8RedO+dpUUG4YfWKJfEsUxeiYtj2jWQyEt9dM/0AIj84J1hP3Da0URCnB99xna6Rp8DYSHPhzi33WUezAG8d81TPOArHr8dmIzpTcpymlV6a6ZGwGEolg1nTe8zaN2euII7Mnk4EVA8eTAvOmQTcd5kmBzXbI0rD9k47iNaTzgez6nuVdQ/Z2T1lXpIWiUzDBUZxnuJFFl4EIdMNAd73AfxNhKYw/9hTHHnsyg8sFx58EbJ7hX0aWC8g/ntKEDe4bh9k55KLFveqonlUsF0uuMOSjEbmPtE4ZfQ/COrB9q4ymJdlIabNIeu+I7XvH3EtNwjCVnkEaxBtksWa0fMUowP19S77LcKsWPRGSQuNbjj8F3Q1ok5D1QFGCHk8I4xK4+Suf8b+2UXPVtizsiGgcyQQ0rRjclsQ9VbdgYitSKEkyJegYLxMGjnnj3yMax9I94U7m3LoxjcmBCbd2y2t7z1vzCdfO8XY0IUxW3GdTLqoRhFtO9BqnexpzRisPsV3FydBx1d1RFz3vqFAaMBkmRcrUU6UEpqZjQs7AUfoKk2a8cZesdIYVS6lKEQSJJT1jtjJhMIla75n2a9xgIY2xYUUVB2IYMLmQJcjTgFUwahkNyrnZcO8XFOwhZQddG5adc+w0BzOADkSTEyRjoGArE25tzsYbWjLKdIXlBGXBzsxYMKO0j7kxH7HkCb0WZPGaybDnSBvGcUntNkgqGJwhEbna7Xi2m3M57rlnQzzIIaniwEV/j2pE3YjejLD6mlO+pKLm20x4c6R0ozXeJGIquHcT1n6NxgxDzVLOGVxJoxOS1Bz38KhZMx8sGQOCIQkY31GyomZGFEtnM5LU9NQYc0diYGCMTZ6JNpymGyb2CWiFBSYN3LXK3tYkfUynC1pq1n7GDVdsQ0EeLsmSZ48lMCYbasZ9JA8NLTDSW6bDEjcoex6wkSMSaySBECnMLbP4hixaXF6yJ2PnjunIKXlBqb8m2R/RGfvdLeaCWuZcywW5rBjpC6xd0ruEkY6SJQsy9s6TMqj0APTrqiNeljmCpwtLTrinIJJxhNeDgrwxjoXPabqSnIZMF/TGAI61n/KyGtFa4UivMbpg4ydsBXLeMdMtk9TxhpK1OWFTeTJXMGmFcuiwRPI4MOlWpNjipWf7d/4OGypVqt6QNYLtavxgSaJgDahgE6SUQVDAIurorOBjxIphJTWbImewAtKRfM+uHMjHd6xKJfrDbFjgAK/LFeoeVsnTGEP4LjlFv+Mk9PjWE/YJG8/oZIEn4hJEI2jymJiotOPY30LKGLcN+QAMB523s+Bsh6clmYwYPTk7Ct1SRnCdZ9SDCRl5GBhZxQZFDjkNLJCSotFhg6Xc9UiEwfSoHfDaU0nD1tYYCrKgiAlUmSMB6GHxt1FREiqH0c7xPpIFQyuR3raIK5AEJloSgiGQpwHfK3kC0UNqJOHAKJIOsWLRhNUE2qEJkjrUeBI7nHYYOpSceoDWG/ZmBjqiCnuyXgi2ZmUHCrVkxqAqGHp8ApMON34+CMTDCJMmPfA6TCRZDmk350kCLglFEPLOsE8jNjhQS95kdL2nLwqSzckUNMp3thElAHaw5F2NtC0+KDYU2JDB0KJ6QjecUfobcl0RAbEJS8LI4XYuCwLNCPqWXqFJhjwWFGFD3kMMJR0dQRJqMzRBb3ua0T0xlgQHBkfSnmQ8YLACKQmIYyADU2P6jrN2j7YDru/psWxDxAgMUn6ndDc47RinhllaUbkLRMDpYV5fkycZsClidY8wRclJmh+iPd/p2HuTIakgS3rQjvueLo8Mo55NXqNdQWkUdZBSIP9O6bnOKxiVXP5/v3z8rVYWoTUHlkO1g3xpwRjs1kDW4zdKOR6TmR7NG0bdinPWLNIx25Q4cUucJrYCkSkFypAshekP+md2FHgsStI9lik+NkyZU+orOqnwWGa85SJ+g0mWfMjBJKphR9Ylyn7HbFB2DiRGYmqoh5Y8RLbxAGXNUiTvlYmuGOuYQc5o1B5i9a4gUCJsqdlRxBYTlJkOnPc3TNuBfCdka+Fkuydk92ijVPuI2e45CVBucrI20Wx7aHKKXcLvAyZl4Br6kQEskgzjIR1GDUpHMoLQUmuklD1WI3lq8QYmcseNTBjIWXPJ3J8w54QcwcUNUWp2RjkzPeiGkUTOhnseNNeM291Bxd1ZaA22F9KQcEmgS0yLNaKJjViMBKAFlI6S3oxI0qJkbG3BQmoqjSRtyJkwqGBIeGkYy4ajfkElHX2f06jnhDktStR7TDDsvGUnjm1m0GGP6xVNB+AzyZP3Ec0cRIMbeogR7JaEHLTZWuFMxLMnV4fogac2WCEmRURQrUCrg/GLPRlLCp0gFOyZ4HV3WF+jZbAljcu50ym9lNS6pKCjQRHbUOorjmKBHTwxWEbDLZnd0MUpSk2ILUVK6HBwTJ2mL7nUbzmRG0zaUZqSnY6xKmTGgi45owPt8Xr13WhMT8WCAcdaS0CpWNOq0IrHUuJTR2sG9nbMXC44jnc4fcUsrShVCWrZ5QfYuTcVowFyEpnpEQO1bmlNw4Cws9Pf5DLyt1ZFMpgmkneefgjQG0zyhxRrTIgoMYE1CfUduzpR5A3BOrw9qOBtJqSdoPFw1msFiijYJORR8SHQHOLBRE0EN+AyS0iQGTlYOaNQD4YU08HUxhSbEqVZM5GW6WCww2GEKKqicoDIwmHUSk1Fih0uCMVgMEnoLGS5EixkNoANoIJRA8mSDwMmZSR3AENLPuATTDZQ1oIkIbnD/020qAQUcINH+sSgAbBkyWMGi9BCgF75jscCdjDknYPuIOvwHJhzNfG7NdxAyikaMIMggyNZQRxgA+ojahKhUEK2x+dKNIloYIgJF3KOt1DvQPsenyK2b6l0gx0yzFCwM5GNNxQUVN2WIThMUkSFIJbGGVQtV0NOESOZHPZEdZvIWgjWsciUXgwpr7m3loAyEujJSEkx4cAEFduhxZbeQB4NLhgkWiDhdEuRdrRSEk0A9hhpsZoT3cDWjViFGYLD6wIrib09CAbGAdR7tuWIWxeYkVH0lryFMCQk5hR9Q9GDzR2kgcH1SGloNVIWPW2CYAxlNNhgcE2iB9R3dGXEukSsBlY1nNmAEUsgQN6TTEL1YPVKFkxyRBxDUE5iexiPM0JnlMoflOCDgHoOqSxv2DvPG1fxTkagiQdi0BDJKInSIwDB4AbIB4cNPfQczsqaMMHhuhzaHLUF+wwKk3ARimS/c8f/v+uvbdR8/L++4o8+veTtoxOcV67jY/6l+YeMNs/5z2XLp+bXvEkFr/1v0XJJb5Wz6ZqxVVxqeDq75rLeU8uGp09POe7nTOzXCI53HPGz9B4/u/wPOf0Pdvyb7U/48MkL/v7xf0eVlkT1WLYc6x3x1xm7r+748A/f8vnHS/5F/B0K2/HA73g0+TN+5J6TJHHPQ6xMeMzXuLijkVMW5KxD4MxDFhUTE3JvuNmeMrcVmlskFkiRMf1+gPfusU2glJpXcsZdPOEvPvshabPhP/p3f85I/pwv/TkLjnjOjDcc89z8lP9t8zvkpqccFaz0Ja/kgmnRc5Of8Fw8N5rxwEzxruFEblD9Eb8KD/iBf8yVvmUtUzY47kdXfG0es2LGqFDyakt2bFAbOXDdDdYmBufZ7B3//h//N3y0+V/wox/xz0enFA52ksgmNbshceobKrPnG31CDZzxGb0oOzEMU5AnDj/ZUtktjRa8M4+4SN+SmXcsZYpRGEmLqWGxXLI9K5jnRyzSETG9YPojYf1RZG5OWOgjxvKaSjYIV/zvzz/h958W5HzFL/kJ39yd8cS85qT5H9nHNbOzM8r9jvEWutMVPxz9il9972tO6tfsNCfomNK0XPxoyunYwcWW4EccacJOM+Sk5rWr+WL4HjubMTraUHYDXjYc0bLRPUk848zyffmMn9i/ZG6mfMP3+ZrvIyheOzqGw+gehi0WKw0/5Gt6zriTIwwdI9tQnu54/5Eymt1xYpa8yp4yH3vMewZ34thnl8zTMadyQ28K9lhmtqWUjpXJmcsMlSl/6X9E8d6KqfNsswaT4JU7Yp5yrF5yW9W8NJ9yrRNyc0QTa7xpecSXjNnhY0KNQxlYfnrBx1cZYfMWHWXoozF2HDgJ7+jMlDEdOfFvvBn4Tdcn8g3H9YZwmiF5wo/9QWV3lhFnHbEDHzomzrMlJw4lV+YOkw6z7M/MLecjQ/4DBz1UKPpJjj5sKf0tlhLD4QfeLAXdC/rwkjdpyq/tjGfjEsIt4WYgv4xI2TJSeNeesayPCBZCVyCmxPnXPJQ7ivmcDyafM82mSFgS3gmUUJwp+l7BalYx94KREb0xdDJBUs/TrOXseAk/LZGZ5/SjnHRcYJ4o2ziio2CoWkaXgey2h5uSKCDTnjN5zicsyKnZquFz8wTHPWdGkXTEOmUk47nLeooLz/jYEDrL0fkYo2DQ78ZwIL4LlLYnG2cYKwymouWEIHs0W2Ny0NRjvKBHEcaRcibkZcU8OVa2Jo1O8Q9uME9Lvp084GfkrNyO85OXpJQ48ScsTcUbOScX5eOPO9yjls2x5YWc4uUILe+o2TLWjjutiXjcAswywWWHuUhUV4AEpLT4ECl0QPeRdg7ZIlIOSrg9gAKhJ/qMdF+y/GLH0fevmcRIconsScaPH5/C84ZR79ntNtz/0y3V7JDUUbmmmuyJW9ieXvPgp+8xtUvMcYfkJZ1ERrMCsYZuFKgmCr9oMPcOoqMpE/V7JdlVw6bwBFcztg1tDWr9IQGlA9mnJfvVwOSsxPuIWwSs2+MnI+QHED+q2LqehoJtPyG7X9GuEtOjATntmRBZxxkv/APubc0qTjHGEKSnlFfU+6/5cJwI6YT9aiAswE3AGkW7jtX4GTuZUEpPke3p64NBY6+G13LJK/sMh+EDvuRx9Q3Ze4b74oxXZkztak6uOmwtbIsjNkzZmRP+ZHjEZvZ9/ovf7FLyNy6ZObIHgnwypXm5olwbdBXQU4uIpVgECIJ7KNQ52G8bLkdwW6xZc8wi1mw1srOee8a0YUxnJkhqOWLAEhm0IJcVLQURi0W4jmP28T3WvuQxL6l5y6zriDYjHQnpo4Tpd9g5sI5UNbhZ4M6VzMOUiduTa+JFecU35gEX0y3fexZ5GzyLeMYv5SmvsnNMesuRRlocRmtO9JQvs2fMzyY8yhvGz2/IfpER/hz8kzHcrwizPZkvsXUOZ5Hqhw57WxHebsnPhTRKhFvInBDaDntk6bqI0S3OBfptQpf3FMU5VqYYhJE0nLHmpXnKt+kHRPWsTEHHOV+EC/LmHWeTBkfiZjflKhOm3NC4mm/797nfLfh9ecODOKe63RH3YGYVLvTIyCMnGeYHAa522MLSHWVcO3NoursdnQ+sLh7wlXvGG2aE2JPshJ2csKZmL5BJS8bAhpoViefhiNo+ZXeZGIVb7vIZn+lHvEkP2H9n3cx9h9WB3PS0WHoMw7wnvoy4t6Bfd+ATcRQpi0AqI30F1jfkklCZcIejFEstNVu3ZXayhZ+UbEczNkUiSsdczmjVUrMnQ1jGY1p7iUmGU2kZ24FK7qnNAjWQaPCyIjIjJUsvJTspwTiOaHli55hyx83FEXurONNx/mgNWrLHEzPIZc6j+o5Kdrw1D0gCD/QWG2EvFUEsDsVrza1MeG2uWDLD4FmnCYV0qIA1nlWcspcCR6IlY8UMY0oqGQjs6UxOrwWtHhgidtGzezEgxzDKLUdlYN202NMCygEjkWdcM0IIktP8lejOv1tl/r2K/HaLoWL0rMU8EjjOcLHFO5CzJcU/qLFPI1wK2YXHFB47apB0AOWaK09WOqgScpSRzYRkBf/MYjYtcuqgdLATwj6hVyNSY3A/KdHzgnvnkHEHwwAq9L5gr45ZanhgVozSiiHbkX5SISmj2EfMtoXfcagpsO+2mKlh/J/939y92bJsy3We941sZlf9qtWv3Z4WJAAaFs1WMs2QeecrXyjkB/DzyRe+sCU7rBBFUbAoEwQIAjg4B6fZ3dp7r67a2Wbm8EUd+sqiI0xG0HQ+QFXNWZkjR478x/d77PMxbqU0f9FTT4TjfzLCufXhYScldttT+ZwUD+uiKIWUPLEecLEnX87gqMYeTdAMHA80dWKUJUIOegzkhnZ/cKzSFx36bxOxU/RKcGNDMXUwhaGKeBfADSQEeVBGm4bCFqxeDMhHcwY61PTUR0oSS/GmYfjVQPEEeCQHLo3NcLuOcK/wOGErsFaIXY+7jZgapICUAAAgAElEQVRoEJTkPEMJklmMsVQ2YCp4MEveUBFLy/y7PfV8z8IqRXzgxL4lyA4XCor1hkhifPyeWAzg7gm1QpOx1ymNCFPfYSWQ1KKyx7FlvK/Y/YcW+QJG1qBfQtGBazrMq8Dufw/INDG5rHmcbpimLS7c08zG7GRKwNGZOe/lhFt3wUm8o9KOvc15YMm42VO9uqMfCeuPjvhGhGNXkjtwJmGtQtORO4PmCa46jhYe9RGeOVzdYedKnHrIHPJLxRQWngnWQNw6/HqPuRL0NFGIpd1EzLOB2femRNfgJgn/Oy0yGLCG+NTQVgNdOeLawORhy2gPtUbCeQlTRzU1mHFHmgbasuC9m7NlzpZjEolzuaZ0e2pa2jKRH+fQDYyqEfZohAx79CyD8pCDxn2D5GPuJyeYpiT6V4x3Df1XPXvbwa//36/xv7FQU/18z+yR52dmjsHywBNedh/id0vejb4B/pzgCl7Y79HKY1bmmo/djzjSO0pJPPY/RdyA0QypXvB0dM0Jf8U0fEorFZ8VT/jX498jO+r4F/0/4/f9v+Fj9z8w9J7GnuN4xXn6inw1p/35iqcfveP843c82AmzoeFKv+F3/b/mN+XPueYpf6Z/QE1By4hgT3hjPuSaS1pKPDVRhEEEmpJ2OKJ1gDUYSrYe/EdKfBxonVKbOW/shFU656u73+N2nfjNeMsJP2RlFrzjghWOWpa8lE+4jQUTc89v6SssBbdc8otizI1e8DI+odVTkrxhPtwyNjleI9f5EV/JklKOaHR0OCR52PQD3tUc+zuy6p46CW01YS8FHUpl9qDKvpvw3/zljzAPLT/+wcC/m/whY7MncU3KEnddxaV5y6l8xk/0jBt9jqdhxTGJEmOFODWkaSDZDqKj1gXvzDGVnLDSMRPdUkqNSYmtV66nFff5KTFWqLmHx8rmQnjvlmy7BbZ4zyg+UIrl69UVv/EEMnnBDVO+qT/lJ9kf8vv7v6DatZzPEn4YaA3kruND+Qm/O684My27VGJCxaX/JRxd4xbvSEyYijDt92zGA28Kzxf6a/z79AfMzQt8dUNWvcRxcwApJqVTy9j2/CD9JX8k/4o7fcIfq+WBE9q+JvOW2pwc+s7DBOMshWx4br5hP8z5kf91ci3oKNnMBWaRub/nVK5R/4y3Pqc787Rjoc4ce5NzMngaM+Em9Ty3e4w2dKZgJ2OGZHkrF/zy/Bkz0zCVlskgvNQpr+U5Gzdhlu255ZKtHh3slk3BMr4j6YLGDLRuQlSDUeFXTy8ZHxnGL99ixwX3ownbkaFs1uQmMuaOLHV/l3nB38t4zo/xVUV9HIn5A8l29CW0lRJn0AFZaMmkx8iYjZlz1VzTiME5x0fpDYXdMlwo/Rsh3gwUS4hjw3EcDnJ/Gxg9ZMS1ISRBFxmv/Zw3XNJnPWkw1L1jND5FzDX3e3jpz7g1Ja0xBD/GOMuU/mCAulkx0W94eTbGBUOoC/A9frrl4aTiRXXJO7OkQlBTs5UJSSwTc8d0vCU860jFmuYicHLaUOcVe04JWrIrbpjOe/JvFO5y0hnYouE41FS25sEuuc8ecc0jDJ7eB3Id0QCisHMD9kgZRh3JefpFxuA9fTywN9wAbmcwvaLVgNqIWEtiws7Avmgw5UE9LKKYokdtwhaCzXuMFXpy1BfUc/DHOe9HF/wVH7A1DSfjKxqxXMx2NEz5Jh0zNRumj97ikvJgOjo9YcvAyGx4xpq51rxPS5QJcSPIoOBaugXEKYStYHNLt4mMpTkclneC7g8KqbQHXw9M0w6jOWZXsPxsxazcU4wOt17mbOCUO9h10B1urcxPcrLSo/me8lSQSSTVUG7e8vQHr4m6pa7AjYWUZdTjAJkSSiXPQIcebT1ZNNi8pTneMSwiD8WSJDkzbcgzILdI6NFWcZc9cWIws4BkPX5ImK5Hii3xUULPI7UNdExpFWju6Uzg7vECP7ol3QwUpuCn9ik3MmNvJ4QDMYxn6Y6j/R2fjL9kJR1ZF9C2QDrBpoYU4C4u2boFM/MGn+3oSVg3pVXHWi/5mXyEwXLJDcYODHND76bsWKIG6mkg98oGgzKiNhO+cR/xy+LDf/CFmjAShuc9Lq2oTwOF86RdRDsLgxAGpajXxB40h1Tn+NBhZWDlctY8oqXihmNe84h3/oRWDadyRyQjI9EzZswtdyxRxlS5oTMXvDSnRBHy+C+J1oM/cDs2DkxumDyA9R6fHFQNfSVszIwbueLONGje8Dm/zl/yCbPxlutRRSMn3Mszfsh3+YYPGbk3XLFmQMlpGGPpiox5sWISvuLJ/VvCa2h3kNdTsjbAakDPInExwHRg/dRyVNVstj1FpfhxgzaWIbPsmsBCJmS7HaYD7wxpc1CjXBytuHczupSYmpZLvuFaL3ltn9LimXFQzW7TAbz5kb5gRMP7vZLywMi8JKYLvtQfsIvnbNN/ZBIHTBNpOvCSg+thFqhnPdOnFi2hLS03Vc5e5hzRo2bgXVbwJn/Ol/KELRN6qwRKouYMyVHbBRf6Eitr7jWjNjNeyRP6ouKhPKXUa27lkl/wPW6YkatS0OFlxRE7TtOAZUSMU+x+j9u02IeEuTEQLJx2cAw6sQxqCBqpAKvQiyXhGGlFZwzrqqfPErUriCIECrYUZFJzRkOpPXtmbJkzYcvH+jmF6cl5jTc1ORbPFnRD5IoNl9yqx0rDjB0j3XMlXzF2NW/MI74wHxJxTP0tjh07ljSMmOotz+SBmCzvOWMnGbmxLFJLJxU7KfH0VHKwMr+XEwbNsFi2smQkgSx1ZCayoiLIghNd0WnOTkosQkWNpj3OlAxG2IqnjiNk3zJ5tUIbg4wG7FzxuxY369hmls4MnJlIDrxnSZB/+EpjgPb7e+JPlDJPNKOIGUfGpoPmUIQJ0x0mE4alkM8M1kfYGwyKDhzULAsYTjp0CsMosZ87OonM5pC2ik4Crc3Ii4Euh3pSMow6iq6jLcY8uCXG78mXN1h1bFzGVgQ1gbnekqeG60nBduqZp4Gru5boBvZTxXUeu4nockt9HiiyNeaPR5RvAukYoheaAsoabGnouoj1SiZCY8EuOljn6ABEQWaW7dlAcdaRVYc9PEaBwtEzYJaAbUiDYrxFVoHyhUFqT1+CjgOmVFLpUGdIBkyhBGPJahjvB6xYNjdC9uESyx3CQKjAOMGuAvZGSZ9AfRzJ34CIxw39wW68SxiXsAZ8Y2EX0FzpcjCFZ5MVRM2wGCZmg7M7osxYs8BP9hi3JxaRDBgbwzRtydjh4oDuItAzH7+j8TXR9qgRbFtgBodk7SEyJKXTHMOeZLeUm5L+hYU7oB2j92NC26IxkD0kxl+PGE62+Imy8DXTdsU+GbbTI/YsUBRnHA96RE2BjT0FwoOreIgTHgVHfi+EQdjrnFuE1uZYSQxOyXM4wH4dIeuJRx3ZY2G1EbKpRaPCIrA9Hghq8ROPjHq68UDIDeXnHonAsqCxDUVjiY1hv0zMH7dojHgHeglDH9HGwPRAugl+yqaHfN8wv41Ep+yewjAacCVAwFew/9ah1UePmIo+gaRI7lpu8KydR0cWHXXUZcvoLCfUMBwF2qly1EHYB9ZF4qulJbWzAwayjtibwDyl/3eFGnPeczz8lMvo+ZW74sj+gj8alJBBbl8zOHjcv6Bnwjeu4tbmnIVrxnaP0YGZdKAtnSzIZElMMIgjyYIURqhdE+WOZMBrCyok5jzIEes0gVTSi0GyhmKS8LLhTD/nMX+OlYIn8Us+GV7zybBi5gK7suKlXrLjnNf9Y97mnxLTGbJJDDPLtZnR2wykwQwdZAto9szTS2R8xxfPLqmPH1Nk75lMB8gemKcXfDL9l1xJzyS/Y5YM399dk8dbvpx8wOvhK7z5n1nTIAkmMmISbzllg9MbOvMhzcP3sDJncfQfmJrX5JwzpWMu7xE2qJRMaTjjc56EL3ic3rPSimm4ZRHe48OOrcnZuBM6chZ6wzJ9TdEG/L3CKmBCZGRqHumvCKHmmXzNZGiZas0T8w0fDz9llf8Bf57+kDdcsAtjvBxAlW/DCMsxU3tPQUsTl7zm17DS0+SRezlG6yX56wknwH928gv6zDHXV9RHjjQRjuVLLuw1F8MXHNk7Ij/j98eRq1iTyzu+Kz9Ci7d8T/6UZXeHq3vqfqArb3j1fEK7fE5nKx7XXzAfj0maUciGJZ/xlk/pKalQHCtU9nTGsZFjXuozXtjneN4y5pYiu6XzOTd6RdQ7Zq7GxB2LZsPRW08Wb/md078gTjP+Uq+4lSXKgk24QroxU91zYu8gbRFRzuMbrCTuWXJdRb45uWBcrJjHW0rT8sIu+Hq+QN2Oi/AVzj5QmTvEnuGlZR7uqHzLVI+44iVPw9f8fvzfGGzL2lxxpGuehHfU7pw/Dd9h594z50+4Sp9xzQe8Mld0DJzJlgdZsDaX/Co+Ym9nvNNLrNuymn7A/PSU72av6YbAVi7YdBlPR2umes3eLv+ucoK/t+HICKoH+FcRwDrUDXggDQK5gZgogqNIGbVLZMERTU+vPSFlJGtxRToAWm0COyK9ShRtjp0aonkg5gnyjn6aMBOhpmekezLdE6rE3VHO59VH9OaCnRnY5TOi7ViwIsmGDsciBGYPHtYHa8MnI0vcWCiVOLcETTgsTlsy9geAd1qx5BYz5CyGPb4JyI1Dvoa0tGyPOpJ0HPGaMhYcdw22VTR6xFqyQtAh0sUpKznlgRkNjif6gkCg1JZc9iw0w4acSarxeSBOLTvXca8NJ0VDFR3kLSFCxEPuGEYRYwbU1Bg6rAgmOroaqsKjxQA2JxTKULX40QMqFRnx4Aozgp21oIGKDQ884ZvwGzTJ8nvZHxOlYGUekcuXdAL3ZCQpeV6/QEJDPvI0ZsxIV3w6JLLaskYYZQU0DVkjNNHQ5yXZ0COnQl0FysZgg2C7A7CtMYlxH3jcb7nadUgjuDWYa4ee5aQ57BaKVIl9Jfhc2W+Uo9uA2U7IQkNIESnAKIjpUEnsZc6QraBrsJ3Hjg2xCdg+YntzsBDNAws6Zu2OWloQQ6GBIe5BcqQ5WMMPE2jPBFyJfQgYPAQhftsCRQZhDHWWMajFSIamHc7UdPmcn/v/knd4Ppz8GRfSA5aFNngdsRLDie6Y3e1xN8p4NMKYGukaJGUHRw8Rel9xlm6YpC2eDXmnBwtTO2AnY2Zpy3/R/4xp6jmXlxTG4IIw7u54mrdkpsR4JRlD5e6Z49mrMDcFH8sO+Od/v8HkbzlsL8TGoL0nhshwquwWir9I+DPYTWG4ELrTjFeZJ7+6wlUND7Kk1iOuecKdLNhyzE04ZaMn9GZA44h9OKO0Fa0K07RmVxYkdkzkAJ1+K2eYYLjWX+PH9h9z674m0xyRAdW3lHnF+OiaygeaqcV7SxVqCrvh2izYcsF9OsXKlA7DO33Kgz7j1h5TM2YSCrr7x7yZfEJTdEx5wVNZc85LpnpD2e0QB8NZy51R8qt3DOse48DPQHwidGBG0O16zFRwyWC3FtsUyFFPUUfS1yOkF2xyxCmUzZ64FWaTFeePA3tbMhocznxNHx2Z2XGHxVthqWccp3dEfcdlvKOLc57RMjEjlIghkVxCrGWII76pFhQnFalXxguDdAEpAnHkWecZu/GYh+wJg0KmA22a8E6OGZzSEchZs08lpBLvBmZyzU4M92lJFQcmbs19ymnsmGQHhJ5aM4KeEiiopKHUEeM4MDMPbE2DkUA2tMy6gaOVkN9Z4uYAbk+tYsZjBu1wxkMwFPcOOTakkWVhDbeaSF4Zh57HD8p4yBk87HWNw6MF5O4FezmlCoGKO1Y+w9glGgaexRopch4ocAhjs2XEjhiUne0puOFMB8ZMedA149hyyddksqVlz/PUg4kEIOmIaXpLZww94Om4iO9YiqUXwfNAxYZJWiKmx2lLpYqYlse6odQH3ptLdjJly5ZzVow5mFgkhdy05BScqsPGHUkCKzVEk+OkpaBhHgvi1xnmZQZ9jp42aJkjbY/cwCRGZNzTu0jJlqlk7A49Cf/gx6Y4QqcP+PGeNhNwOT4T2mlLHxzLGyW1QioSsTi44IS8wyRB1NAdQZglTOHorGFfltQULB5aRjcDje+5X4zw8dDyk8VEFxNYe2jxsZEglp3McFnEhZ6WDJjQmA1LtdQy5wv5kCGNgc+pRmuqzjB5lxENbI4SvnKMXgfaQjEXPWYaSApDVbA+sthVpEwd4VyI845sEKYDxGgPttcDSKnE6YbNR47+sqd8VGOcQ9YJgiMuIkOWKIcMR0L2hxbdfgnO9FAk4kzop4IykKnB7HLSWvDSE4oeBcQabBGBBlv36OuM8c8sakfwoEjVMZSKLxRTANpTLy31TClcwoRv/7zBEkrh/lN4NzFcHAWUCYgjEclDpNz0HNsNq8pTdQ2TzrDJR2zskgcZ0UpBLhvKZLis1+T1itwHUqG4e8duDcUOnm/v6WzLeR8ZbGQIER9BiwzuEgfCM+B60vKB/igx+IDfJYzusbmANwfMR6f4bKBgg6Oi0wJHy5m8Y6wbJrKl1wOGozAdWdrirNIVwsY5EiOmTca4zkAHupllqCJiFCZQdSBYjBzU3Vk65D87cXQ6ITcR77bEOfRJGDHQZmAlkOVgXcK1Sn4rJJvDCEge0zoy7bEmIqkkyxvKSWSxcrgbh26g9CXVvaMZbdEB/DDCpYJJoVyZd+xMYp0ixjgsDZ1OaDhlUEXTLVFqtosxN0eGrDAsnAeUUFiGFEm5wWkgYOgri7qA/j+g+/7GQo39vvLI3HKv13zOOc/s1/zXox8SxzNeNxYxIz7O10z4jK1cYfmEmW8opEPoGRPB9typQ9IEY06o5Q29maKtZZInpm7LCYmP1m+4KPawOOJdn9N5g4ScLhthzh3lfEAMnHPNb46/oAvHPHOveK49R69rsqqmGX1Npxnv9Xv8u1eW8tkxIRre//wNi3/0Iff5AlKOkT11s0VOLpE3bzlNr8iXa4bf+6f8r9srfmv+gsL8MS7ecequ+Sff+xV9jNy6imJwfPr2JbPNe7LvlbzKPb9nf8yFX/MT/YAf82tM7T3nvMGka75Jv8vNL2a4neH4n3rmLvKQQIzlSDaU3GEkMWbNJYYP3Ypz7elSx8JHjvOWYzFsXcaGkg7hSGou7Huybkf/paLDoVJZmZqnvGHhbvmefsOJWVH2NRe55TvZHT9MgT+uP+WdTBkaxfoBM864HRxFPGNm3pNxT5MctV1yJm8IdNzqnOFl4v4nPVls+I0nXzBbBPKwYfLMsy+Fj4pfcIpSxTuSMVj9Ff/d8y3GRPap4Lf5Cb979n/wj4c/Y/zqBlPDedXyftxS/+AjvuJ77J3wQfcOR85NUEay46nZ83PN6HXEkgesWZG8EoaKVke8DQWv3YgrIs/YcJLX7IpzvtKKS20YcY/YlmWybP6XFUUFn/5BZD8/5WVxxg3HdEl4iGO6+4ZyUXM0GWh6Ifk5x+xoSVwHz1smbB49JfdvKM2eE5fxuhvzLj/hxEUemXtKArnd0iFclgMX8SUTgZl7ylO55o/8D/mv5E/5eXjGf5QPyF3i0t2w0TXXmyn7qec/9z1P7JptWrLhKSIJsXvuNeeaD/jx/pzx9Bw0kXTDZ+YJpyffIWv/BRf+gZoR728jv1FZKlFe59XfLhP4/8BQcrwkitwSfGT5eIKvb7FBcYMwGhfI0JBqS4hysEVMkEcluEDIHF3MOEawMdI5SJRs/+wWf6lUn+TIEgZVslnEfwTtJMOiTNnjZU/KlPLqlJ/rGTfuiGrcUdqBQjecpHsy23NPwWzXkH1dM3w90F0ps3HO5q6FXLDPpzTZPV4NE90RZWCMsIy3uM0e5z39MGBaS/cXCn8Rmf33T9hfvWREYpTdELtIURd0a4hR8K7h5Cl0+8Td6Jiv0gW1GWN0xSfyJX2qiKHDeEUpQKeUtmd6VFCeZHQzz9nTCawV3SuaKaGHIBlhskDnW2TkwHckelIcsG1EG5BFiR4N4DxhbPBnHdm5AR9xtEh6wI9gbRxl6LjK7/hcn/Ind5e4Nue3r8Z0NvKglkujVGKo2zmTLOd0/wKzaejdYx6ynCkPnO03+FvHtjEcH0+gb7FrSzqaUJc5ZmnwHlaiuMKhQ0LfrsjnjlREiu2Oo7ct/voOwjnxPeBrdL3n/CNlOK9wH0wp3Qq7cEw/jwz/Ywf3K4ZNIOx2lL+eE2phGALJ5NTmmL54R9g+4PyI+YXHrFrWL5X6XskenWAmb6nMnrH0tIMS1ZLJQIgNOnjCCvAJ/8SwOBLoBf1Vy3DcQTfB5eAkIYUlOzL0szFiLAYlKwKiETct+WH4mJ9wxn87b1jGf0+eWuYm0ocCI5ZT3VO97OheHFRIk/OBOg0QDCkqXW+w1Ygncs0gsEsFVW3wbwcG25CNp8z7t3zUfcGxdoSmQXyGJVJsHnh+XtOSHQ7oZsQ0b7jggUGXZPKKT/ny7zuU/K1HrHvYFWxfQDEksu+eMrPvSN/J8Y8sxXhD/DCjrS5o3RWv8hmYO+7SESs94pUc8w3n1LrkYTuiV0+UnOudQ1pDVZbsm4asn7D4xCJO2ShAxp3mtCul9M/5s9kDnwXHkVpO3YBPHu/HfPw00psNK1eyaDacDO9ZlJbPOeO9XrAbLN7XqEY2cckLOeEOj4qh2hs++1EPT8+wHwmtuWYvgiew0A35sEULQ/ndgctjcE7JXzn6TcA8saQM1p9HTs9ymgT5bsA/WNKLQPh8i/uOYdgo7u2a/buW4h95huPA2CrdoNj8jourmls/oZIFC7kjt5ZjlDd4Biq22Zodd2gVccCtKXm0HEBqBIsaJWMgGkdbl3xx9jFd9YYr6RHdMj1Vss5SSMlaM974Sz7XD7lID4zNPWtTsotLpkYpw2tO3I49SiswosZRszILbtIpYj1H1Jyz5lozrPRMdI+EllZHGCscyzsahVwSc7mnj0Jmh4Od+uoB+eIOfZMYbsH2hnY/UFwYBjEYB7qPdH/Z40qL/ShRpo7KH4rVPgXMX+1Jt1vciaP0W6QV/FJ5fOVpyhF6t2EUbzm68HR6S2XuWboH9uacH3VPGEQZ5ztGWtPYg9K6YMdCD0q6KMrM95zqnhwlak8pr/HSc6snbFgysS0tkXdphGHPY/seJPEulczNjpncEPDkZsCljtzkWO04lh1Ls6Zhxku9ZKQjitQxN3vEOpxRegyikSMMRy5np7CTOb04vCrzFBnddfT/9gb3maKPI/33ExVC9z4hqxb7HMrcEMWSW5hJjaX9e44kfzdjZ2Y8/qDD5TuKPIPhoMTe5IHZ8Zj05xvkbYDvZ6SpQ68bKBxWLV3o6a8qzJHHDZFGMx7cnBhyjn96S/zJPePfOeXFE8OJCWgbmHnP3WZNNl8g+QgxEQM8pBIjJ3h7zxZDrwU7gYHEWyr+Kj2hCBNm5j27yjERR/dvdmTHYH7b0MmY/b9qmfyGpfitgtWfRIpOYTbCPKnp3uwpMsf0O1BXieGXYBqBMsPcRhiAImGWwtUfFvDMsP9Og98vePflDdV3wD+zJEmkXyp+bqHuCIWSfb8k/SqiZQOnjuzEEVYDEg16A8O7nnzikTKgKYJ22BmIW6MPPeGHA+Z/cgzdFn+SwUJwj8BNPboDySPVVUaYd2imDJ3g5dDiZJeJ408nZM9zbkdKnjyOA8OMzsCbSJbuObnIKQdBb3pCPuKmOuaeBetUkpkNUxOYrTe4r1rCyiDzAV4NxDtF3m+oJg2lRGxf0ElPaiBtYdtHsq2gVtEKmCXch8p8YZA7wb0VNEswEeJUIMvY9YlR3uHZUDIlpYKKhqV9T4Mnczmxt2SamJsdVb5FJkqXy8E5ikQ2JPq3HeNpSXhW0U+2jCcHRUp/Bw5h9uHh/zKAJEenM+4Zc+xbRFeMzhXvLemnPX4smFyQHIIO+D3wytF8vcF+bDAG/KpFRoYwT0S3oT+F6eWe8qWy/WUgy4TgE6YEuYAih+Ztg5+XFFnHqbvFL4WRyxjISJrYaMVaj5jrgJqSPj6gx+e8nikn046TfU9mMtbeEfNE5gqqwdGqUJxMIOxJQ6SJlvF/Yo3/zfbclCQOYDJDTkZDqS17mZCosApe7/HGYjhUGjNuKLUh145MIkkTe2aUaUMlK0pqnO4x7DFJUaasZEdrPb06OjI664gYMhKqPeqVYMCrI1OhYIvVAscGQ02pe/LQsowzSj6gZczO5kRbQvKoFGhyBMb0xqEScdqBBSVRxB5Hz7sRvHA5H/mMJ/FbgCIZYh9QWgY8rVUGqyQTiRKJtsOwZ8yecdpT2JqSQCuWYHNCLDHR4zSRM5ApWElgGsChGKKOQSIFLVbXB7cCVRzp8L0SCOLpsfTSY7Sm0kgWoIyKxEQxdBgRrPSM4h2Z7GmNJQpMqSnijtbmrOWCkMxBHpspkjmQjCQeJxFHR2cPsOMzdeRJcWIRLdCUEcVgSDhtEdkT7UA0A0b0YDEdAr1xWDqqtAEzYlBLpTuS2R/gw5rj+y35kAgI95ljowU2bDmJL+mAaGYglhEduezpTEK4pdQcNCIRrIdOcm7kjL0e4XVFHgN7hGQsKFgd8LKj1IZR5xgZIaSeyB2ONVaP6OyMephiQ04VBxxbbGootaFgQy3QS0WTtWxLYSyBNDQ4hUEm1HbBYNZkbJgSKTXwQIGRASsDCUdA8bRUPGC0piFjLxMCFmt7Eg2NZJQayJLizYBVg0hJhsWpI+HoqeiYkVOQSHTieLBTEiW3cc6xbYCIasKkjEw93oaDteE/4HGwJHRELNEE1B3mDoARBTnY70UbEQmUSbHaYQIMMWJ8jaHBpnQAxlpADVmEIiguBTpViqREIr037GxBpEKxBIQgYLE0qeQ2O2aadnju6MlQEjYFxHwLFNZEERUbgdjDATULerBgVVMSyUgHhj1GDy2YqulwqxANpreQOkQ6NE8HUJ0UEBISI1mCpAd3BoRSogoAACAASURBVGw6WP7hSRIQAl4FIwMDHVgBMnoKBleyt4YRGaBEE+glo1eDSI4SsCmgQUhqQQXRhBpDT0nEYHWHT4AJqAVFSLbHGUU1EbDfvhdPEqEXcwAYq6OWKevsklFfsrEFwba0yXOgCeWoiyQEBySBYMCaARcHHBYh4oYDJFyNwreAZazQSPx2r/BoTLhkMb2ARKwx2KiUweDTQVUVARcdJgjBtHR5jyk3iG3QHGJpiN6C5ASNmOgwmtFLItFhY0fCEMWhVojSE6ziRJBv56eaRJSI14hJ4QBQNMJgMkJSJEWyCMYrkYFkFCRg9AB7RuQAgDYRDESbCNpjD3d7RFHUQiBnZ2bc2jE7LCAkcnoJBJsOQGIVVA0+Jcphj9EOEUCPkCAYWmpxFFZw9PhoyNRjVejTwbozyYCYDsKeLAq9SZAFXDQoHcZ2JJOhJmeQAaXF6wFajPmH34YpIshhKdMnDmvPKNEHfK6kTIllz6rqWRuhEU8mBb0paRhRM6JnSssRO0o6PyWlg6LKJMWJpzEF0XC47DIbkhqECX1c0KI0tqNmijNjCnXsTU2uhsY7dhKwYhh0TkyKsmP4tp2qZc5gYLCOXnMaGXFrluxJKCOimbCxnszOyKRGRTFqOSA0HUYSEgcOmbMABUQl6g7rwuG9ICCCyrexzCaQQDKgovgIvneHW9PBoINgyCj6FmnBhsTWN1hT4FlTppLSLPFphDMe1e0h5qeEMYaNOKzkOApcWtEzIVhQHCZ5ghlR2xG9JrxYNCUGF3C2JiUhGMtOMrZiyLBE8bTGIyTGqSBREsRwiGqH4pCgJFEGMQgNueYYlKgVkYrO5myZYk0CrYniGSQRMAg5lg7Ljiz1OD3kcE4dxIKkNbgI8TAfCIYiQjCJkHXAgKRIJJEkIqpINIgWSNwhyUCCvA/Eco3EmqJX+sEzVKBmS8kDiQUI2G/bgSyRIBuEOVYFqwNWIi4JxiYMLSWBMTXdAWNOpRWt3uFMj1NPpp7M9BjaA9dfxwgZYBlESBz2Wm88UUDp8axx0hA1Y6AiqWLpQCBiEQSvBkkBb3ssEUuBQTEaEU24ZKgiyAC9ekQCRhP5IAeQrAiSEiQ9GM9rf3DJ+v+BomaXJYbeImJoXSKZHq9Ka4SxSQgJCWDUkWxGdA0pS/jhAL5XY+mNgO3ojNJaJR8cPh0UFiETGsnYScPcJVyvZGoxejg+qgYsEI2jEw4qUBFssng8mR4A/Y14jMmJZAQ8oiUm7jDxQGwV8SCJwUApA9m35i8NECQSD1IWouvpzMHu2mqHcQ1CAd/y7vkWiItPBKNAgVdAA6SI2Hg4+xUDKooKYA77slODpgBWSQZQRUyHEYCEEUM2RETkWwVqxAaQKCQSJoJVR9BAdAGXxf9rfxDXIyoHZYcGRBS1gc4PUPXsM0cnBaIWJz2aIFMHAVxKlBrJ1BMRklE6gUayg019isAOTDyE20FhAIkJz8HbJg+H+CtRKVXIhogLYHtwvT98xl8rO0wi+IRYcPLXi+RgPGGIZP1AFg/IgYg5mFoYi6PDSY+LilMQHTAkkBY1hznoYgE0BNt+C1xOYAKRgJrh8N6/fd+HiHHIdLAQRUiaoTqQDgklf/3zXDjEUTXfTgJzeA6boOjtYS9KigZDFhRVJfVAMoiJ2CT4IcPqQIxCigmTBDdEJA7YoGQ2UmhHoQGfMpwRepTBDAzu23w0gVLQSUYvK9TsD7FOLIlIkkC0gWgC0Rzit+sMo/CfDkZ/Y6HmXXnF3u1Z2yU9cxIle6lpdUYQhyVn3I6oJMPn5uC2wSl7VtTJU9qMTG6oKVm7x1TB4d3PKPQOMQOehoV+SScFmtUEN3BQhI1JwwTrxogk+rzj3UzIJmPe21M2XLKRS3Icb3zkSr7hVPfMh5bKdDi3xXvFYiFVSIzMwp7GNjxkSsj2PO5fM9kXNCibbMLOzjEp0VnDGzPnkVniuh0jbalNxp3xBEqmg6EMEJyl0xyXFvSS82A8Q0qcpJpyyNllZzSSAYlSPsPZLda8p0cptOXp8BVGC05lR2Y8l+lrTsh5ll4yk1tyv2Sa3nMaVmQx0ciYjS7o0mP2ajDxLfP4c+6nicFb3szGvE+P6eN3KJjwRsaUzvO82zKvv6TKHjC2wceBSg+wwtDlpK3D1ILGyGBy2nBKM2RQRIzcEbSiNxUMEbFrmlHPvpixkysK31HbmpXMWesHjKSlMIeUsJOSnZmS40lEejEE5nRyRisbotvhxeOo2JtzbnmCyI7WvGXWDky14Rt3yXt9Tr77gJNhhBQzNmUi01fkqT8c5DSn1zl3fMAmLbCbH5DbKevqlJFRCBd4ydiZgrtK6GfAKJGzYpo21Jqo8fhQYAfHJEZmuqe0LVBTaCDXAhcyCI7aTNkwRXyJSqSRnFbGuHDLMTdU8p6MQG0+Ya8jGl1iVLEpUkqg1Yy9mRMlR4xQs+TWXPHAlJLXzPWekdxxnN7xrP2MlVhyE/lg+JLcdYS84OO4YtaPmZpXHHUPFEWON8pEH/CxJTqhMRlRAv8nd2/Sa2l2nek9azdfd/rb37gRkdFkZGTDJCmSElnFQpUgW7IKRgEGbAOGYcNT/wAP/YOMAgoowy64DNFlWaQlURKZTCrJjOwiM9rbnv6cr92NByeHljwhQNBreGf3AHt/a639vs/bcyVHbvM7v6g5l9/jIHmFU+eMo2JLQjCKKBq6r78vCaA9XilmOqHw+xSqpNIDKobYqOn0lq63S0PpaQGBdaZI+waXCTUwjEJaG5wkJJTomNCpjOuepkkjWrbksSTHkzhHMAETPFprHJbWlHRZR9kX1j3LUZYSbbdrvlVL5oXWZ9QkNFHRkLA1fZytKFTHoBakHiBNu0vjmU/ov14gqbA9KdikQw6jwXOB0h6ihqbAboV07LA4SjydWFayxxxFLpGMnCYMaPSI4KdY73eDl0rACZ3efZwnMcWbXbKDqC2RlqDHlNrS0BExmE6Rt7vGWEdBWoPpBOUq5Os5I1gDMkS6GWncNYJOPEJH4dZk7gIbl0BGDCmt6bHGIKJxcoS1NYl9QSeBmXqDC3XMuJhzV1ckcQ2tR77GOTjlMAJadgNlCOlukS4BaxRiIhsD/VyxToUmt4xHKR+fCrdGkCeG4AWfd8TEEYMmZgXnY83iZMXZVcV0GQh7ioMRxDQyaw1tr8BTY50mLROkUzslkTV0slvViPJo9fVcG1pQEGJCF0fsQmMLVLgA5wk24tMITU0Uj0vAJTBNDP2gSEOGaWuyYFFBUMpQO8vGKEqjSVlwEBSpNtSyTxMNkBLFkkqJxI7Gaq57fcrhERO9wKstUe0WiB2W2vRZmxwr1a5hjIEmRHxfsTGBUiylyphYy01qCJlmKHMk9ZQq4rEMGoNWihZFheDEYXCA+wfP+O9KqcsjVJqTT0tUHYg9jVM7lSw+R+oSUxomKnIkW/oKrApImNKEL9Buyan6gkVywpehz7rbefx3C86CGA5oVcPIbNj/Wk5NjGjVUOBY+zkj95oRS3oOElFoIlZAxRYvGS4YWsZsdIExio0xuwhVAjpExmFKJx2tKsipKXcIY1quUGmDS4YY8YzbirfKG96PC46kIm8NOIEkklYWsQUxVlgFpokgahdzO5uQzUtkC2xSQm3p9JakTMjWLZHdMKRdgmmEKAX4Fml65MucfQKdaVDWMnZLtHnOtu0zz05JQo99V5LUc6JRjOKU4/YSnReUYcV5umTqhNLnHJgvGIVzblVb7peecSiAY8Q3gKIYCNbW7Mctx03HUR1pdEueLghph+glQYR+gMRl9HUk6sg4LjntXjPQU5QusSqlYE1OSS8uIERKUbvzHx1J9OQiJHiQwJYhN3LKjX3BOLmkn3pq6zFSsRxEssGWtRdiISi/UwtYF0lbQeuIjp4CgxZPl0QSvbv7iII3HqXBCKSxpFYtMYPaWjwOJx21wJYKp6ckMSHtHCMdUWXNMNtS+YDSG1pt2POKHi1WKqLs7CAHUROVZhELEhlQsETHyEIsWxJWMkBJhcOyYkKUmpoUA9hYkYWKWgsdjojCxhLNGlSDi55ul82DfA1DDj6lJaWhYiOGjhEqpgTpWGrFs6LHel+RH3m6SUMcROhvmO4b1LAg6Sli1qBMwISICopO2d/mNfIbq0nj8TGh1UN89KRRofGMXUpvOkHPdhvlZGlgmRG2KxrlES+YGiZrS5QEr1skg4mrSWvBiqNLIEkiqfjdo3cw0ChOKoM2OW29xSaetux4oxRukTNsB5SSchFgX0/YjwFRGRGHaRoe6pxxcUCyzpDlFgyMZwOUTEinK+R8DC7Hy3Nuso6J8hyWfewKpMnQVSTHo1uL3gRYZLQEguxCc2w04DJYKQbTBkJK6ITYDMmuNEiNrDooSsgF4wKiv7bdtArWfeJ0gp16RAfETfG+wRiNCxFTAYyw3QrxlsQFbPC44GgEiDUmeEIUcBapIxId1IZcImIVOkKnIyYGRMB5Syc5npxOGWo0iQRsLUjDLlEurhhUBWxy2towTmeIRLJWM5TIcVWR+68fsX3cvSREjXYeOg8hEL6eA4KLtCoiFmIL6C3OWoKGTIcduCiwW/oS8DpSKU1uLM4G2tQz8IJtLFo7fLLF1x3GpxRKSBshc5EoLUEsts2QSpA0JVpBCKi4YwwV2u8SR2NEWos2AbWyOzt2LehWo8KWEBxFrAl+Tq4cOkS43sd2+8jsCsIMxOIchDTulmZlQboNUAlxsBN9eOOQkKArQ6EVan+A76Z4FUDtVFR6OkRbBcee0i5IVIYuQTUV+5nlXgdnLuFYw4aApuXIdCQbYGk5uS5oY2RcpKTLlCRP6BpNFEuwlqTx6M2Clg2hiPhE2Hr4h7wP/+ii5s+O3+MdveDcD/lYnzAicKd5iUuu2OhbrKYnPP/fVhRv9hl+L+U69Kj8Mau05FzukrYJj9SPWZg9fr75DvOf/CXf+Y+G7NkaE1L2BP6Iz6i6EU+TGTbbsKWjnN/j9ccp336U0NtbI3uO0z99k1eDii9UwUfbt3i1vM/fsEcYlnzn8CNWf/+CIGBPIZVLHk0GvLipsNkeXD/ngc1JfEkTO8R6/tOTp7TmM/qnf8hL7vM3/i42Ea4X9/gz/x6TA+GB+rfcDk/pS+Q6ZqA1Z+sFgy+nmPsJkpTYMMMFxZXOqLzmxHQkpqEJC4xKCcpw9IMhZzaSypSWfbZ+zDvdlG9cvWR0rLjOM/6VukZPV9zCcR2F7iBjX5a8az6mZ1ou4h5Pzu/wq9kjPnudMzz9kv9k/oLivxhSv/OIv7r/Pn81e5/69X2205pv/4sB349bvlk/IXsKx/9E0HFNdzMjOxxz2CV8/u/OiecHmH+WUrevMb93yBerHl8+Oee73zRsevAy9rnujgllQzztmPzwDeZ2yk0bufvgjKWLvDL7fNGMqfQa63P6QGssa+dIkw1Cxoo+ZUy4ap8yOQ6c3j4Gc4nxio95l3/tf0jsLsnrS978v/49b/7+d/jLScZf+8c8+ddH+B/P6P3JKdWfDDmZ5HxH/ZSSnItXOZtlyke9t/jy7z7i5q8qtnc1B//9Qy56PZ5+cYubX035b/7VPQb/7RFpd00qJQ/WJR/mhqc20oUNRq9oejldPWe8gSQTbiRhKwlZSDF1Q6g1M3fINvRZ24QyDPhitcerbI/H3RNu+xnBQmE9bXrKV6SsKTAhMIo1sKFtFBWWiZpxwDUv9ZCZf5uV6fGtvUsyKjTCGVPupFc8Vi/J68j+1XPiuM+XacWjSeQOkYw1G5XwkdIoAt/kObZa4ROhndxl9voDvpssaSa/+89Gf+vvkzYzHg4Mii1V2DCYWNw60l2CSAq9ljgyrNjjc3+XJ8mEx2qBi4pLjijcNXv7geIHC/r3FN0Hl9h+Tnx8wvqkoqdXuMRQVjmXHy04+dMZb7FiRc6GhHjvG3wlGRsiBTMSaXYxfqEgSMq1ZLzkiIe06APo7rzBx6PHPK3h9/ZeoPPPwW0pdWAbrtlyl+fcpWkjj8KGw96MNszJ6gHdz6747C8jN0/hbvMJB28G+n9kWLyv+dAeci85ZV9vmPSWcBNY/cjj3lS4/Y6ZjLnkDONralkSfMWYlqGase8vCLLHSAnXVxuOTgK21IRO2HhFNk5Q+RrGgf79CRd5QzcCvRUaDDkteVxD2xErcNOAv44k59MdYHQNvbqh33WcZh7lNY1rUKrBiGCIHLLmh/vnHB3fcMo5L3jEkjELf0WhAmjFh/42L/J9fpBWRFfy5+F9LpMj/lj9Gbd6K2S12XVlbwEToVzl2DhkmW/obE7jD2nyiv03PdVP1uRnkYNvp1Rv9kiSGWkx5tm9Nxh/7x4HXzxBLoXp3wnDNzP0A8Fc1cSY0T465vRdxf6rCw4+n9J89yHz4Yy+qsnkbX5u9th3S8zc01412Dik8ltoDSoAMaLyGjtWrAXioCR5pKnuD3ipD1nFHN0ItvqKvcRhziLJCYRfKvpnBr6XsOh3dPktptFglzfwVYt+vCTLJqwkMLMJ+YMHXKojBmrBt5SlaT2vzCGddlRR8UqdEmKNVL9GH2pu7n2LHw3v815zzTfjh6jBAjlLMMdQ2cC126NQS87UBlNXdFtPcSdDqZIhMEo8l/GUH3XvUKSaf25+wgFLvkr32YYRZzdzRqvXhCJjczJCJO44TlS/3YvkN1Cf/4+XtOOIW8NtneHuXNL7lwrVeTZ/NqeuIwd/6Ekn5xyYC4ZnE1zjOYkJb7snOFVQjTM2pye8SA9ZM6FFU05yVvGMCznhQhS3/ZRcLwh0VDJmRUljrkiPPXe4Zp8VG1tww5iI4kxZeiy5kltchYxtHDPAcYKijUsaUVTSY5JseCSfkLHmmhMW3KPzR9yoPukg4c0/KLgyA1bKMMbwnYuP6f34f0WOhKs+3NqDpmeYX7bsHy3wtiF0oKPCrT2vfxq4tThnW3t6pyBfVvhfQH6okZ93tOeBZNighjnNr1e0CuJBzfj9hO2TBaurBem3FckdjewHhmVO/OqG737rfT5gBrri4eWMwesv6Z3ucXkeMdsCPWyQe4Fpb8Bzfs7l3l0YbynkBt3eMP/lOdVXAbOBdCtUIZL/ZwOy90acujX9v/mY5OkNB/cLijPBnipSXdPplLPkhEVyQo3GUDGRDYd2RSo7jVSut/SpGLDkTXmGMwWfcp8lPZSKpHS7+wSLkz438YS1HMJJyRtDj9p+Ql4owpFwdjohfjFnpBOyowK+2MKF4C87zDHYSUeWwRbBS0pyKpg0IVwtcCvoHSsYBmIPtq9KrLbIoabJKkZccCYew4o1Aw60o0/FHS45a5esnp5jxldUez1aG6iZc2R7GDwFKxIUh7JTtZwz4RwhFU3BbknXMuGCjAHXFHHFTI64EDgOJfthS2YihS4Z0JKQ44h4hBHQE8UYzzEVYyqIGhUDRjWUakwVetz4jBd6xCVvMPQtfT3hM/kmdX/Bf/XfJexdfwgmx+0F6vwYVfdpTOR12lFqzxthzkl5TWtrSjv5bV8lv5EqPj3nelSghj3SuGTQrZAy4J46Lv7nNcc/FZomIn3gEuwa3ANDvJXDZsPmsxtWU2H/sUK/qxkP1gwbofmbGb2VIrxYsPfAMrxsqeYd6ibl1Y8rDr+xgXcMUmn0B6+QX3zGYgnVEpoWmgwugbUHZyOF/QV+q7jGsUgihYNb1jJtOja/2HJ7b8OX/2aD8RuGfRi/m2O+B+1oy82PbrD/LjJstmhytqZhfNHgX8H1Bw3ZDxLGtwasb7bERYv6KvDiRx3ZN+DwnwRqLfDZgvO/2K0GT28J3BJmg8je+ykmDwRxmArqX6z45OMVX/wS7r0hvPu9iE0gREFWHW4NJt2gxx6qGllF+HuPea7QuYFhQA5BrFA+KYlPwB6C2bid8vvMEe4nVF3DsPlajVTLLmxi2NJJSRsMk1VN+cvXDGfQZYLKS1Sd8+G/mXPwLyc8+IFmq1+S2hF6W3GnXqKu1oQGfAfRGcLGMZ9GchWRfU2TB7QtUEbIQ4tqhfr1BmZ9zDsabA33cryJrF5sGGUKJ6D2DG4AV+kRqzRw+KiECyF8WDJ5vMIetOw3hsVPp+wdjNDrJa+fdhx/R5MOU+zfd3Q/jcx+qNiomr3Ykiw67EBj7iaQtGzayCh2tK+E9s8rdKi4eQa3f6ioLehTQ05HGjcgHcZrPvofrkhfXPNgT7H5VqTvHNGBPTXoiYHXkauf1Iz/BNQPwbwNWiniVGg+E1YfbEm/uWH4pwl7b1tiq8BZPv+fpvRTofjPNfKHkTCtcH8RWV86srfm+Oc3NJ8pXtceyQPDo4Tewz6z1yvCzzr0f/hrFg8D2Tdh80KQuxWtDVRLyHs57dJj2pbbb6b4W57N3pCt3TFg/9/qH13U/M34G6ThhuvYYyZDJKas5IRG1mzMkMYJRZdRdB0SHN72caFPGzwLBoiZcFcmJCGQupo85IxahVKXHLRfcKZueGC/ZFNP2DfPEFnh6VHLPl2wWC8oEZZ5YEXBV8kel/ImV+qYZbhHlx7xSdrxUv5v3gMmTUfelVi7waoVEjyRApznsLtmmCrasPO83S9/xUH4jL/XBR8X3+Vldo/jeE2jTjiPj3guN9yyOzJ6zT7rOKAXhUlbIq5jnRzzWoasJaGSMU7leLXkkCtELVmHETGOUaolMT9joOdk9SXK9kDDQXjGXnVO1/W4yg459c8YtnMGoSVzfZ6N71MlBq02rCXhSp2xdXehzWl4h2v9nOA9qyPNB7eGPEnPmMdbKPap+AOem5oD1kzVr7hVfcy46ugnHdIlKAkU1CRbTbNJydbsXsGD5SaO2EaHhEBHxsIOWbsDQlvQqZZZXjO3e9xwm0LNSPWK1/ENnpl3aPQrDs0NKkApQxZ6zJA5JrY4FCt1xuvsit7wAq1L9nXAqYINQ0o5pPNCDMccLiKjTY0b71IY+luPrDy+jLtotQhaGhCPcTk0imWyjwvfwm3fIK48IQyYy4KtPySEM+ZqwqfFgH444LBa4l0gYYWJa6JonImgwHSBwkdsp0hNJPMbGp2wkbiLU5UDeuaMRvao45COY1b6GDrDYbtAtR7bi/SSigZNo45xscVHjaWjkSFrdUwAlDZcyjFG75HQcBKekqiSIVt6cUPeXtOXG4bLiN2UNEWfbQwUYct9tfPK37g9rpOCNvbIo4PQEGlo2W3DJ90C1c1/o43Bb6NeyTdYy0tCo7FRE3xEAOUVtF8vovKIVxGv+jTthGna40gLUTwrBhgqnGhc0iFWkABewzT3tElk6A1bFD1nSNqdJDxngYv7bPSQjRnjfMZZkyGqxLEgTzJc1LigaE0K0RCjokpTXvUGPFV7HFhPYy4oTADRO1saAcRQUVCbhMYLG1kQUs+BGPqbgrysdku+dZ+D1xVxJVTBcqFzRmrCMFowO1nruOnj5i1sIQstVjw2ZGzFgizx0tKgWCpBiSG2kb7TmDolbwz92uCjAgkE01JrMGanUumC4CWhjYJmZ8l0OtIYIWt3svMWReKEUDkIBRBQtIhKcBG09iTiMbT04xoVfsUd/wl7csFUnaGlRJTbAYhdzdb2WZl9HrcTxu05Vb7PuX7MjX5Cpz+n0xBMIGbg9O5eMF7jUTuDQrSUumYQNX2vEAPbbKeeiklO6zXnpmabQTiIPFh6tEBQKa2JKCpCYjkfWV6lK94zW6RRzA8N54llpBRfccBVPKVwLdIpVKdwOFAKvEXvHrFAarzWgEaCIwKlTViZgjV9VhYKrQjiULsUb7x0RA3KQKs1FT1ayThuWgblBrqdcbbFsZJAnhR03nK7vibTJZ3ULFSOk4ggZDSkbk3UDbN+waejCS/VHm+4QKczolSgqp1SVAUW4QhURMIU4z3d1xYCQSBqGq24UT2uOGOQWtYuZ6JmbCWljBNUV6G8kPoE87Xzuk+5s+H9jtfZZULdeKog9NIhMMfHiPYZvTKQtQG2A/q2pDAtej1FAhy0wPaKaCCKsD7ssWeGbOKQLmTMGXLBXaLcAQbc4YYizokYVv42OQXOQBa23IpzTpmz8Ia5UUTWaBoyFlxxxFz22aqc2kQkelJyoEcMLYN4xf34OftxzXnouFSfoiuPKYTWVDvDe+zIYsLD6lO+uXhOfhlROqVNFMFVKCJFC6pNwDnQngioFg5LRXE1wcYNYRzQbcBWnoigWkVaB0IKoj3WK4pS4Ytd2pyNcLAAuzZsK8+GhCIIR3WkK2eYYYYKwt32BZPyGr9eMlkKySKAtHQ1HAXNWF7x1L3NkiGTMOVsVTGeRfRCY7YavVb0XYtUlkw2mDDj1rxkNA3IcENvvPtfQgJ0DYPoSE3DTA8wEsnjmhAqarWLj85Dx1hDwYo8TImqpWDDJhoQIWOBYEiYUxBYdceUdsSFJEwzz74VKCM+iZhkSSgiXRfJjcPpHQNMB7NTWMYWL2sMfVolzHOD5GuMAeUNwSiwLQqN8QFRlm2qWCuNAdLQEFREo+iFilFc0eM1g27DcF1j0hdUTcoiz1hLAVEjCpIYUMGSx5JBrKh1ZBLXWDdlpK+xYsjChqXusWGApUORUceULcfsMUPiEiUe5RtEpQg79UePGeP4kpHM6fuKDEcSHVE5hJpMLclJ2cY+MWRkBAZhSo8SkR6bUHM+aShsjYSOOu0xt5GbJFCJ4kaN8DHjnlfk3RSrAj0f/z8moN+NGi4ji8KylR6J36BDtYtgLxXD64DZ5ESpySqFLB1+s4NWuyjgFYO1kM8FaQu82qJkg/YaJ+xYoSiSGMnXgSYA0TLuOnoVlK0gojiaefZfC3alYRMIm4CYuEMQiELZDlGeuPG7v/UiHQE1Tim2HQMNVg+ZrLeMN3Znh1Qdq0Fgn5KjlcbOd1ZAv00pshbdRCgNezX4Rna2F4kYr9Blwf5sSzoP0ClqUeDGHFyvCKHGDhJkmVCYBtUJZB5nQTshLYWDZcJ66bEMEAAAIABJREFU4ZjsaazrELuzzCu3sweJ81gdIQaiEjYZ6EKjVUQrj7eRJO6UJb4B0wqqTVE04CMYoe6ETDSYuLMFRk0QaEUoJWefLVnDzv+tDDoGVKUYrwx7VQ5+QcIrAi2FEwabJdpFmiA4FTEmEFVEIhCg04pVCqEnKHFIV9FD4ZNATEu6PIEMMrOzyiUdO6eMCDHxdKLpzIiNqhlIBCJpLRw2jsytGVUD9Bby1JNsoSmFfm2I2sMaYr3DXkTp0KGFGKiNJ8sqot5Z3XEW2yhMWSPB0G88yqVIWtHhKFxEuw6lHOiCybXj4MJCkqHjEsESIvjEExKPVobEg2kNUXc0FsQERAWUV0zWFrX1RIm0aYfWoEvFaAUHRhObhBk1bYSsLthbrVAbRT71qGuNVArSjhBa1KSkLg2sOrIuI+uXJDc5dqaJhzWujRTbiHXCcAVUgPc4G9nqjlb5f/CM/6PX1DTe5ucypgT6NMRo+bn6PRZff3j8+AuSH7RU40BjayZUHOiP+X2e830+5VLucBqfM2HO/bQlvHXFNzbPmV+/4L88/vccUuM+37B9q89AzgliWLPPorche3tFN47MZMRlMeHL+Ii/Xb7F54PvopOW8WTLQid83u3xK7nPdw9H5NWCUzfj02hYmo5rE/FrS9fkTELJmIxaK4ZvnrAnivzZax6+M+NLu6KLmi4AvSWOC0pZs/JD/lp9k0v5Ni9cyj8LPydKx9WtY34y/AE/5g+YBUsje5SS88B8yLf5kDIYfhF/n6CGfJuP+D15zjgqcikp/IKOjjIBd2S4Sk/4nEcUYc2twQve674ibBVTf5tP4z2ELV6tuY77xL3AXuGYtSVt0xHE8OXeY56FW4wIjMYrLppj7Kbg5iPFp3uP+bR4zPv9H9O7XnAwDrQrRXq6IhQKc5TTvGrxYnAGnN5QFIGje0KTObqwg7htvCNUGf5qTVApn8oDnrjvkapP6HcrPpfH/FL/E27iB9yW54huuOSQX7dvYfMb7vErLFuex7vMneEkucTIL1maPb4KRxyqZ/wx/ydBC2/JFCsLuuqGE9XxUH3IrT+yDN+ccvHGCS9HR9yTL3hDnVNwyfHkhsNRoBVPe6Bpx5DFLf+Uj+lYsTksse9vWceOnz/5j/nJ357x5h9M+ebD/50+z3nsD/mZOWC2GaCfG2ye0h5Gep3nbZnTN2v+HsOisIQHQ+byChtyapVTScVBLxJNSm0KTBKxocMZ6DBM3ZBFeo8sNijZIpLi7D7P4kNWWJ5ylwVHFHFJxpwjWXPqv+J2fEGpE2Zym6QxuNVrRsOExSBjpQrKtuCKDZk4BnFOn4YnPOBn8QFn+YxUaTLT4Q8sqat4EC5+Qy3Bb69ecEzT9fFzjV+NWZzPyfc9SuX4oqTxFflbBa7w5JS8HWbMKZmoC1oiHjhtpySvZ6gphJdgOyE+TJHR1x+hkKFji6k90cEyGXKJQjhgSY/OOw4/+JLh3y4wB4rluwa5P2Kd9bHac8IVPZkjaeC5vs9Cj7nFOXe5JjNzQEMj9OYdcaopDuBUvyKVjqFYSpXsmvmoWTz12JuEh51m9LoDF4nPNXGTMNhvOYpLzKLFSUbsu52f/CIwerbi8fETjtOXxO6En6VvszY5Po6o/Xv8nR6TiHDgnnP41V9SD/tsXcJ2tuT0Vo9NHZAS0gOQrmVcAS8dEgP6gWbOgLlkHA0WmJMtetSxnfSY9Y85erLGXNzgbiY8jznPcPx+dUZ9fUVa7thPGSlD6ejrLXflnD41+5zzSH3CPlNaaRnqhltcMo0TFnpEzyx4X/2CDselGVMNC7q7EdmLME7BNuhiQZFqhq3nerpkNFFoAuqjkvbvIvZNYRATZr7P5+TcSRoeyIJf0+ODyTvsn2S0sz9nsq4IlwnLF0L9wHNte7zkkKv8baoTwSd3KOKXbNs1l/qUSnpUoSFsIkm0lNrRrVqSTR9QeAG+bpzqEInVPjxZI7ccg4cVJqk4yD3htIJhge8czU3LaBhohxa/VniXE/LdECulQW+Etom0wdDolKBrBs0S+dUlg5cpOtfIH4x5moxZxlvsseX7/pdMuhc0acKfm+/xzB/wnvqC2/IlalDCcIJ0MzKz49pMzR5K1jTUBCvEVBGCpmWPF7LPTDyWFW+bn5HTB1PT1ZFhnDP2GrPdELuASzekTHdJNCR0/z+wPuWHikoSLmYV+bCi3+8IlbB6UaJ9hx5EpC2ptxGzp1CZJiiNVBHxLZJFYg9aFA0ZLX2iSrAIKRVDaWgZkIlnJIaWQKXX7EXHjlS25pZc8Ob0CUlXs9o/ZZ1ALgs00GfDiddUumULLNFk8RglCUfynDf9Kw5ezJDZgoMTyz+d/IS7yTnnskdFhzZD1nyBcM0fySf0LqZ015okcSgdEbXjfBRPQH+VEE2FPWbHa7hS2GcO/8mON9N8BoOBxt9W+McCiYHSwQjUvsVvAqxT9NDRbT061+guQBlxoeCpvs+tYsbpnZJWlZRhRIfFDxs4jsTxzuYjA4c7VrwaHbIJI57qezzT9xiVa05fLDn8yy32b3dsKCkENrJDWjYNWejwJGRZgBQIBrpAKSnz3JIERbbq6Psl9V7OjR0g4hmrmik5GzlkpRIqyViHHhUDsqjwMSGXQERxwpIha4bMmFOwp3cEr9vhKT23oGogOTd0zxz2G5bV0qOKANoRTYQ3dr8ZiaHVFk3Dwdf8vtVeQZEkqJsreifg9zyxp1AYzEjh0j5TO+RV7FOHlJmuduzDWDCMjoG0NCJs0gLdrxjkQtQtHX0WTLghIw2QiSPoFhsbtFcUccM9eU0VF2QsadDUMeE1Hi0eS8NhPMewzybu8RVjxuEVTnlqWdPGDC+WRBwj5jykoi8OZ6EkpcVQSUFfGm7FVxyrl0zjhFvxCsUnFDKjr5b02g39Zk5qt7zsTTBEhusabS4JZgLs00fj2RDZ0NSgZo5+WMLj3+ZN8hsqY+i0pmSAIWIMpEVLf2RIsooYPIlJECfE/QDfzZBbAUZblNLw04jyQrif0e6tUB07O8Yf52yipT3KKbUlG1SY2QItJcVxANNDTT1yFFEnDjUE2gRig1I724/WYZcUZNWOrYdBi4G8g0KQSZ+CCgkeqSIDBYkXyDRx7cinoKcK1QX00IDrYOChsLDUYFOSZkusPG0XSe4kqFrDS0d/rhBvCUpIQ0S2imSriAmAwicOEo/kCbHucLnBzhRq6ugvc/bw5GlEjQIxEzDg+xozSnCrmqB3duZwHAn/dY7+YQqvGphGVKqJEulMhz1WyKHBpYFWCcXYEKuaOOlzmeyRhmYXz104lozYMGajIvvFBjk0MHC4M089NgxmEzI/R+stQVd0DOjkEHNVw0fnkCr0XsQcGthzhBNhdCDQWkQCJoMYNbUCbQ39sqUfQXoBcgUbBRcBDtsd7Hil0NpA4SkkYqsFIiWjNkK0dJmna2rSdSA2GnuSoffUjquVgT8UWlqSvYDsQyEb+t6zSBLWh57iuykUgYghm3dQBqTv4HGObAxFst0p6/ogeUXSJsStokkhPkgxtyvSekR3xo5rExLMfoYbNtg7Av+iR+o3qAcWxhbVawi5RRqzUz3dM8i9HH9X09oN+ZVHrjL2dY02CppAdgFZWyB9jRwKsucwhw5WDioFJqAPgWGD6SwMFXgofIZ/GekWLWYAxiqMA1yN2AgNhJUiPPWMsoY9PYNv/QNH/B87/7axvEoPKWPNkB046GO5z4wJj/g162xJ+U7KmgwvkQM/ZV9/zvfdh6QEPjNvI23LPfucOv8z0rvCo/OGxcVzDt9YkXQpw+db5u913Amv2XLMhlMWmZDmK7xpWPkhUz3hy3CPv6q/Q1W8Q8/MydOv6OwJqzLhSTzjZv8Oo5un9Nht4zvJKWVAW0GohYKKcVwR7Yrs9phu3ifMG+6kawZqRheFVvXQtiMJMwwtXbfPz7Lv8pTvsSXw/e5jvGp4enTCj4b/nA/k+6TxilqNmDKiF6/QfIASzZfyECXww7jiwLdEOcFpg8QVPkx4Zd+g29NchgOu5F22Vri2hxz6DXUbuFK3+YJ3sVyzpxfchCFNv2Y0vGbz1Za0drSp4ovxIy7kDfZpGOWvOe8fMMgOufk04/zhPZ68/YjFyHK6WjPKWrq1IQmaqB16pIEOiWoHcaMmyZYMTi0bVdPFBOP7tM4SawjLjhAzrhjxJbe4xZZCFjyVhzyTR2j/nE6PcOGaperzpXvIHRIe8iGOyHPewjCkVf+BFMeFHHMtR5zylPv6BS6k3Fc3uFhjV2ve8tc4+3/wzruX9N++5Jm6yzN5zLFvOYmvyJlzNjnnTP2Kql7yenKCm0TG7jm/H37OnJZfjb5Cjc8p6Xj26k/5X/78Xd69F7GPrvlu+HvyeM5H8pCy6cG10Jz0uMkSrIn06zUTE0njlk63yNGENpYYoI3Qxi2jZI0NK9Zas+od0HMLSgsbPWHuRrzkgCiKninpUaLVhlkYsw59ZnHMVoaEWGIDZNaxH9b0aHgtR7xO7zBxjuNuhZ403BQDLrnDQiaUsWSonvJ++BQThBfqbV7pjO/r5yBgEsMyGeHbhP6y+800BL/FarE45+i2DqmHLG80B5lD+gI5rIIjudOjSWtSOnrdBUPtGNgpLZE+ilO/hvOK5LUQLwtqW5HcDqRFgyfFk9NKR1CKOgBqwIoU9D4rMRx1NW/93TP2/u01ckfxKhkxv9fjmkDUnkl4zUQCL/VtrriH+IZ7+jPuqEsksURjdqyJBtKlYuhaor1iX0q6cMS57qNQ2GBZX9e025QBjk3d7PA2y4SkNgxYM5QUWkeXF/iixLYtvG5JboSzasNhe0UdNnzYv02qGkr6PPX3+LV+wJANi25JrxI2S01TJNQXJelpxPkOGkseO3zdYNoMM4/o6Ehrxaq/xzXC3YEnmyhk6Kn6huvDfYpsTbEBu1VM1THPER53p7DK6FUdqcwZAn2eoeKaY32BpmOfV7wr7c5CwJqBrLnPZyTuiMgOYPuO+gU1K16H22jjsYeBbuxIRwYlzQ4MaGvybaB/WTGygCS0XwTKz4TizJDSoALcsMeRWXFSvualNvyyd5/pwR558xfYhSMmKfULRTbO6R/vszFv8JG+z80opS8db0uHVDfcpIeUekLpI9IGjLbUQRPLSN562uBoNexArBXOa2h6tM8j+qplELYUfs2xDcwmET/M0dsWVbcwVFR9g3Ea8eClJPWgXE1JxGlHUDl1HLKVkuG24ezn58RfgT8E9c1jrkeB8/AWlpLH4UsG3SW/yL/BJ7yHCp5H/IxT9SXSA8Y9qCM2aPKoaJSwxex88+IJqsYnni2WaTikpeYN9Yp31DNU6CNsCSGh3y3pxUBXeby22GwXDRwZ0DBil9/wu13xbstqGZlfOW7rBq2BdYS6Q/lIHEBsuh2Ael92A0G6A3fHaLA9TTPJmep9lnKbhYyx0QItCQlpdAylo6dash3anFQW9KVDRY2omsO44NHiM/pdw3Jwzat0gsewpU8RS4yDmpapiaxjTutHaOM4lc+4zxWHFxvKr7Ycplec9F9yVnzKlYxYMcKqU3RcMIqf8jg62ipj2eVkLhI2W2SjiDqDy3rHrehp4qHGV45salC1p51tsQtNqB3x2xZ5yxPPFHHl8aOAHyqSodCZneVAYiBUDpMllB3kKmHtcp4nD1jZFJU3VH7CkkNaZbiZTNDJnGU2IRt5DvfnXA6HfG4esomH/Fre40r2+Fb4iN7rFeZTT/dVQneoKNIWorDVEU2FIcWpwHak2faFvV5GE1rWOufKJPRCyqRekDaewTjwikiGoS8la3I2QI2hjn3W9LhWmj5bBrImp0WiI481J3HOSFak0TKiZOQrjtqnjLst0oFMLenLFpX1UF2DOYjgAiYXyoOIGUWCtnRqiCDsc4OOG5r/h7v36LktSw/znpV2PvE7X7yxbuXQgU12k6YlApQENGGIHlgOsACP/H/8EwwY8MADw0kDCdbAhsEguRlEsruqutINdcMXT9xnp5U8OOVhc2ATJtrvL9hnsN6z1hueJx1T+4SyAJkFqALuO3ilrjpcoVnLC9bxER2BhhZHIEVyHLeUcosj0CiDKQRFobEq4mLKimNeihOyKDliiYnXWCK1zBB0nIgrLBlRQCck5+EFMGEVIaNnwgaE5EacccuIJgQCFZncoGKLCYFCOkqxp2CNFBIvBnwEw6ExUEXBOW8Yhxt2HMQJE/Z4bYlRc9zWpJvDKtPz/BxDw8n6NZnY0Y0lPqZ41+PNjpQN9BF5HRk37f8vCjVh2tOklq1IaPWCtbBUyYYHxwI56SnSASkNUQq2R6B/u8cnEScD1UqigmNTKbInjnYcKfcGrzzhk0AjJLXI6SjJJoLpakVqAv4MyAyiGSARNPegXURm0tO2HmsjWSuQiSeqQJsFem9IpKIUHnMW2SUwPvYMNuAcFKbBTSLeW9TYETvPaJMRdpImD5gTi+wictwRRmBXHj0OWDGgg8DGQHqRwaXHtQ4fBKoQkDokkUhDnTuchqlyeGMZUihKiC3YRBysZk0gDC0CR8glYeyRWcCGjqgF5ihh73qkFhQqo5111P/+QPljifl3A/FnnqQXED3RROKxR5wp6jgwpJJiAoOP9EnFlT5i3OyZJTuUsrSU1PGcXWzYJguG2Ut0iAwngutszJGY4VKHT9Z00mA5wzElbO6IryR8qHCZwJxAnIA8CeijSFCePjG4PJI4QysqUAm5XCNMS54KSAWxEbAW0AaCBhzIVOKTSCIj2bAhUS1JF0HlONWhmp7cAHHPcCSwC49MFXUJ+igybALJFJiDUQ1HsecuepYzyN8LsBPQapRVhE2NOAXeA3vdYbNImgzICrwMGCSDlwzaEi6gfgjzYUt4kBBzEKLDT8FWknjsyX/L4naeeGSRx5KhCiRTgbAOdx4Ie4d9MtBcZDQ6ULQwTyR+AuQWqQxmDSLxhOmA0xFzbNj2Du0j5f6Qa4djSBbggqSfBaq+Q5UC13ush+Lo4CaSgyb2AZFAHyJZl6J3PamISGX/HxZq2B1sQFIRkGT0nPAa43dksmcjHnLJJ8RQIGRKyZYA6OjI5ZKc5+QicN+9xIorpEtJXM54aCj755j1EeZp5P4bz1ujW17likFd4MMpiUpIREcMlqA0jYzsC49TlrFzjPgSh6KVA7fylP+9/H10uI/Pc1IxkG4y8utTzA00jUeIFbP4gqrvuGnvcyunjPKOWXxDESy1KiiwyO4eYnkfedpixR8xiQ2P+Zp66JmL1QEuqnKslAQyxmHLgi1IgWfKHY9xLDkLz0nFQBSepTojFVOCkDQx41q+xwu+h2egl4EOxa2YY2PCt+o5M/UUI2pqcp7LdzgWNUuxICJQwiNUehjxT3vejBRf6AVTFLO4YixuGPIRcjogi4Y3ySl/Mf6Y79m/QKgO4zWxOyHxE4qrSH1rqC4F+tU5YaVoizVDssLQcsspgilbe0rIYJdZvApMuKYUL1mi2OgzLHAUX3HPvuFe3HM0NJTlhkW84iy+IvUdjZzTo5mKS5TcsBILNuIeifMs5C0pPYPylNTkvaJ66Vi//YYmbXkcV0yHW2K6pRaSPBRkqsFjUKHnIvwCHxv2ScFmDk7UeGPRcc1IvkKFg3XhZmHpMo1/uqD95D8kVEskLzFxiRAOnyvucsVz8ZjG7HFywkqliCgpHNDPsfkEK0o6N8WLGUb0FNwwGPhGvUOmelK54Tae08sMw45OzNgypsQzCkuO4hUJFbOoECjS2DIJPR7Fjpw9c27EOS84x8s1T1xgsrNcTUpuknPW6ggZ91gUzn9LFJElJVKeMMhrMrYkoqGXOXtyov2//TO/vvGApyz8FuUdAkHiIon7ziZCwFiQO4WaaVqT0Kc5ibKUoiANDYOvcdKhkMR9Ao2gPRck2lEMkdQ58hjZJ4o+TUgEHO8aKm+40ZCFjvtrT/FyhLhZYVJHvjV8xRlrOWfMjqlMv3uGRkbxkrLdcxxaCpcQW0UcHAFBXSi0ckxtjTQ94yihbRCho88ahFCIAoa542YkaUrN0YXg+MiRqDUjQKkRXjiU9egQEWpAJCB8RLcBEwPCD7w1+Rbd+oPFLA1Y/TWTYDnnFTGxiNgzqjPiViAaR2JbhAJ6UO0h7wspYAiU1jH+Tn+7n/R0JxGqgza6dHeHJ0sewNTMwx0PiEwwNGmD9hnTtuE+FjPUlHbHVDYQCxJ5R2UaJm3gfucxxuPyO4gwHQKzMGAJ3DdbZrtX5Dc99CCjIPRgdopRNMipxCmLREBXEl3AKEVUEGKHCTBqbnmiOk77AeVhZjY8jl9ShXvsdIBGIndQrQM8K3g8clzdv+HO7JAKKhRa3LDThp0cH6B/pGhhGGJCI49IhUe4AakdgwGUQgqNDhZChzCwS6FXljkB1VhEAtEE2GuqIBBjRcgELg4U0ZJzMLNI5QgaMttT2o6YaTZM8P4I8XqJ+jpi9i1hCcVp5LCU5tnKBJ0ZijjwxD3FOkelPFWfIV2DlGswEd0HjoZbnvANiW7RPkG5gBE1hIaCJRe+YGI9c9WhVCTGAR09mdX4qEkd4CNqSEhvMs5jwFHTKUuR97/2ppXb+wKiY5oqCgeiThGXI/Teo7IOMgt3FTIf4CQQVYC4RwKDgq0u2ao5tZjSRQn0VOzRtkPqnn0cMGLJPFwzEpEJkcIbenXMXhgSNpzamvFuQBJI3EDBnugzUIIeQa3G7NWEwI4q7tFR40PLTN4y9iuKvSW9Bv0i4JOex01gUrXc5C2i3zDrW0q3IhUJu4mlPe/oZwKbgxopSCL7Y5ixpksGZCXoEkV7rNjfT9DfOip9WI0IDyPch0l0hImkngOFRBeedhRx/YCuBG4kiHPHPoIo3MHyQeBWnPGX4gEbccol91Ds+Vz8kKfFBbU4w8jIQjzHSIgohKhJacniiizeQd5TX3heDWBGkQc6YkSkqxSm8FgMWzWmLwfyecuoqEkEzLuOkHfkKMrgYRjQzZJ3ZEcnJF5JxkKjcciQs5ThgMYNgVx2BByWQCUaEl8jhcOi8TJBDY4xLZUdMK1BtYEQBU6C7hVVLYiZIKaHtQfjPPiI1BJiwRAPHfucnsT2jHuNFBB1gAim0QipwQQSWrSvyYUj9Q0FPZ2s6LzAxIH5dotxlpKB2AeU68i1ZOY2jOUSK45xIuVegHt2S6JqlNA44b5bqXXYmJCQMootnmsSLxirPakYyLhjKhSKmlQMCAYyWgo25CEwIpCHDUEMDDIjxsDYbZjKLVE6irBh0vWcbyWDDAxJTSFuiQasK8lXAbH1lAkcdz1VF8ifF/iN5PyRoEtWeDQ2ekb7QLaVh8do/2tuWPguJJFx54j7GlskmKhJrUEHz3YG/UNJ3nn0KOLyiNARKSM+KqKS1CXsxxKXjNjgMNpTOslslTD3imUVeFq0RL3Bl7BTGZcxcqr9QXunU3qd0p7uUIll5TUdkPWeqCSJgEFKWgJMIiME2Vhh00g+XrF2gtALdNZy+Y4mryPzSsIQSG0gSEFTcZgU6RV5FhlSRzgC0XnqQjAdgXIR0Wlc4lmfBdxMIh4MzEcdfREgb1jqg/VnqgWyyUG1xMLh8kAsoJspWidYSsftVCIfBaZZIMkEzgUKJ0F7dmOBzhOkrAhBUg6O0U2JeCMZXItNLUkm0AFcJlDpgA8CX4CvAjsn6EWOEZZEr6liS2wUl7lFqUNTplMF25EhjZ6NPuI6PuGkKmmmgWNjIZRYBHm8IzNXbOcgxyW18phpwr4aUUw7itkKORm4zEasRc6xEdiYEKNgnbbUM8HCZmgjiMYTlUU4jdKWUHS43EMWGdKITDU7naFzz2LIqdYCsYwwt1A4cqUYoqQxKfvEc9ZkJC894irF0SASx/Fwh8dzNCiEsLhU0+uOXkZ2Y4M6O0xQDSkH8O7M0WuBV3N2pkImW9J2QDloLxS30nKU9kQJMRkQtiPpJdYI+lnP7bkgTgJiHlnNBFPTURSR7bHEN5G4iGyMZseIrOoRF2vuPhZUXnKUQzCRodjTHkm8FHSjOXfHNYltyVuBlYZ2ophOBdVgGaYKZSPyNNKLSAgJTSlQJpDsI7Y01BEGHXiQCGTtUBFQv7oc87cWatLUkkeLDoYeyUI2vK2X1JTsYs6GBb/sH6N9IGQlqW+o1ZggTkhEy4w9lfacby3NsqGcTol6xNLecmaPcH9tefM/eLYnNZN/qrnTW4gzcB6TRFIGshCIBIRoOB13tPINhdjxNs8IMaM1Y5Z2wn+jfx8/+gmfyK95gqd+tef2TwLqs4jYrOG/dOTKkr+UvP6bwDcfnPPROyWammkMbHE00dOvSr7+76+p//MZ3bzlB/5rtH5BM1LM9lcEY0j7PWfxJS94yltqxalfs/AtnUz4q+6EcxP4ofkaE/YIMu6C5YJLEtvQihFrnfN0iPSMyY2kJvAyzPjU3edUveD3pi9JzIrroeSZ+zGdfMZeJcywBBqSh8e4nWd2coTKKz57Az+4n/Med6RHV3w2mzP9eOBCvGHpcv7X6X9K1GP6IBmZjudfRBQTLv/FK3hxnxrD7otL1naO+TDl+P0pMbN8PRxzdRVw2ww/BnNcoWl5yJJr9QZFRRc6znnBmar5OPmSx9uvSbs3nBcTfpx9xsfDa4ZtTzMxLPiWn6jPOIoD39iH3IgFD9UdZ3FFHhxWeKq0odAVd//qW44+GHN2qjlxige2RCSBHWu00pTBs2eCFYZztWZuJPLdgZujhkfVQzbFAhM3PJBrhMwYhpbx9y5575Hnr/6rz+jkiLf/k/vcT56Rhh2TssIXYGcTPg1nnMU9STziJkxxUiNszvpZweY37rHmFU9fV3TjhJh5joqGaA1/7i4YvOUDUp75OVJPuIh7bkXKUszoreeJtjxyr1mbCUshmWEplSWTLVdMyULKxEbWpuCpL0jsQDZUdN8GtscLvjSmtg5aAAAgAElEQVQT6lBx1weCOuWjrKAWih0eYspAZB5f8SQdIVVGLUfEGwWP/24vB/9fx++Iv+Yjuaff9gQ8Y+dQdwNMGrzyqNeS+G83iExRP/bs1IhcXTMPkcR5hHGQWoSH+vMB1h2z3x7TX22RjSaJEeE95q0SmWrmUqI3txDmNES+F7bcu97w3/1Pz3j0qeTjleT1bxi+rt/iKssYySumsUMRMGLNI3lFtq5RfSBuA+m3mnATqXVg9E8m+EmNGXZINyD3jpIOVXha4VAi5f4TwcV/PCf7qITzPZzkuMsbhNjwJCYciRXOeORdjagCHCt0Fgj9QHgp2C1hWkbeq76g+3zPyWTMBx98xsfKoEXFx6lkPzl0BMSuQX/hIX+NUZGYSYalQL1sDiOeOkLfkrLhlIRCK4pPUmbvloSXW7CBo3ZLCaipADXwMNyQ0zCtFMkTQxIazFVC363pgub8dEH72S2q95ycwMlRRvt5i38TMfcEanLDg4VG3NS4dkP1/oSHrLEvb7CfWqpeoJqA+6KDGyjPPOKdyKBh9viI/ed7duuO8/kUPWmJGwjPI9nPnvLevZSiP3BrFuWS3zk9zHKuRoHwIiC3O1bPIunnn9NtX/HxP39ILbZM0oNy/JY5X5knSCmZxjuyeICpCjViieHt6Qk8f8aoCofOTGeRrUCuPGKzY5JI3pQTOgmj3iJf33LyAIR12E8Hui5SPElJsSgrEYMnZ8NsaMjDhLDVJM8dp/fX6Kyhj4ZhOObP/re/YPp/SLInivwfOMw7KegWIxw3vsQmC6a85p+kO4IucHdvmHaO2A6oqIhOoDc90/qWn+DougEZA7bRIB0MikXYkdqvKG3KKAiGvUUGi+pB9j1VFhEbTxoCbCTuzyJqHkmmtxRnUCQHxfivc5z+81P448iTsmP/Zsv2WSD7puduuWPxOxnpRc7wyw39WDJ+X+MziYgGkfZQJNwkJzyVZzSUrAdBJiIneo8OG9IomShFHrdMpSWLkGJJQ8etPuMOx4Q1Zb+mvk5JdUCeeyahJghBGvbsVM9lnLDxY3ItOJbfUsqeLlrGOKSQ7HcZqz9qOf+FZfl9TTExiPuOBz92NHcvqK407Z3A3oPqNyWj90CLhGTlEdMU4RuKt0b4dqAMoI4M0UDz5IjFkxP09CvMtSDP94h/OoFpj/zXG/hhTn7WYWKB2w+Miwz5KiAWOfGDFn47I009IpPkuSIPDdeM+PPwIV/bDzE6Y66ueBMKVoOh4ZwhGBbqjk+6v+I98xyvIRBIw8ETlFw4qv8o4+3JGNkY1J+v4MZz/N6U7n7Nrch5xZj5gzHn84Skfw4e/G3DaAXVXBOiwneOar0iDQPfipSr2TEJjpItEYeVewyRUawZYuCWOYMoSXBoGnoUNqYsUcwSzSREktrCxqFCRrtZI1IQtWX9dUR5T64iap5hBkfY9ogHByX3zhn2pmIWesyrhrRRh6GtSoE03H7aMz2y6AuNC4LKDEzlJUJ1VBH2UXKtU1wr8D9v0S8HmAXMWwd2iQYmfsNCXKO5oPea3O84Xr/kaNwfbqImZSerA+8mdORCE03DCMlcCrQ4cOSqGBiHwIocI3pOuOYsviQLHqFKitgyM2u2GF7ygL3LKOOGcVhSyICXAfvZnu6LBvNA46aS21qyOM3o9z32uSK5SlBvNXTbW8S3Ev2ZYDMKTP7ZGP8w4AvFbEixP9/hLwV6I4gu/D1nkr+bMFeCJ3ngbvcN8p0RwSvilxuSIuH09zXixxV8bRGTiDpvcCQkdQ8uJ4aB8ScQSXlpn3Cb3ZKkrxn3jvDzFrXeUf7IE/OCNLPEe2NumGLfn+A3a/SreGCczXLOfk9hvCAfj3GJQQ0NSnfowSFqS0wybGrwWmOCRm1b4t2S898cwdpBMLz7jwyhsKhPx2z/5yvunnWcBsvZE40joopz+scRwivGjxJ4u6DaBaIa4HmH+KJFVinT/yCg3y1xpzWyGzj5RMP8hIfnPdx4xL/R+G9rit9U6PsFKtXoVY/5qCBPe44elbxXVfCmwf1iiUoUhRtgH/C5Jz/N6eWcax8pfcr8dcT/i2v8X0fMRUV/P5Ica7T2JJWCtOOozNjmCavMMuiUPgQy5RiPa/KuwzWGUdLR6B3IjB0w3Jsx+CVrueBNPGaW1bz1u5LyXKNEQk1gLPYsHnXo4yMup8ekesZeRp4z550nGePLP8YdGdrylEsRmcU1WayJQ8DISFhk9JsJqfIEeXfIISoeNNfnmmQaWSlPcpaxkiW1VlRSsrsOhF+2jJ85bB4R3wfxQJBPFe1EURydMnwm6P6XmmLbM5wLpscF6voS0XVM8hPqDZT3JHZsKM6mxNGIFSUVPVPvUcLg++eICFfhgjuVcS93PHjWEZ4t+eS/OCa6AfmvatI7R+whWUrQkWRkCPfGnP5+S5ZOWKeaTlti32CqmtkPCvggwChnE6ZIOyItGtKPVrzzpEdtR4TLHd57wlGJOtKY9cDleIG9/zbZxxHLgeu6FZJEPufi3gtUofFLj/xeRrG9I76oeFZNqeYWMz/cvYZYkYWU/uULRssAO6AdfuUZ/1sLNTJ6pMiQFBykrw4Va0bBYYNma8Y8zc5JQkMhFNJ3uHhKz4yBOzyKKASeCkSGFwlWGja5ZCwTSpcy2i0pGkETOyw1inMQ4EVE4tEBPAdafvodmFGLFhENpeiRrNnpgi/UD4lq4FH05HwF1kBfktWS2CQEYUBEpDNgT7nhEy7TB7Raoyx0jFmT4e0MtesZ91u0vsV7hcFh6BHAJplwI3paKVEIHIYogFjTcMaL9DGCCQueMvGXoB5T+xM2MrLVb8iF50ae8SL5TdYsOBNvEGLHt/IjVuKYz+S3vCW+YMmCrTynERohX1H5FYlMqcUYJUGKQJuN6N1jGB6TxoZJeEoq7hBxz4gdU27ZqAl/U33EB+KXjDuNEAOEGcYr6KYwGJJOINo5ZX0M+4CON7RIak7ofULiIDjAZQhyNIrEG4Qu0SFFiw7PLUlcctrv0PWGkW/J/MAoduxcxJEj8RyHa0ZDzU16n6VYcBK2ODGF2CLZsk1ySimpuh2Tbc+r0NEqwd7sMMKS4nGxwocSSBiHFaPwkpnxvJABmT3BpTtkVGTOMdJLelEgdMDJDULVEE9Zuwd08U8RNOgQUAGClGzVgtfydxl4cCgGCcFJvKXoa5AOxQ4dawIZBEHqIxV7BjnmS/EjVkah4udccooTIyrviDJnEBVOZvSkDDIhp+HE3rHWI7wwRFpaHlDLKbXasuIJV+pDRuaSy+yvmLqWtRmxFjOu9Xu8RmEJrNSfMlDRcEytj9nIlyy4QrNnw4K79IJOGMq/q1vB31PM4leU7gYnwZuADJYkRqKUdFGTB4lsBoyPCAKpBasDoIjR42jQBIohITQRGyxIiVcgXUSgvlPIcwBj6giiJupziILK3VH1t4wcODR7bamVpFFjNqqijcf0wSJVixBrVGyYOofrJL3URCQyOnSMRGmxKpBikcGD8Ae+AwGFACJtEqHaEo7X1KeW0SmEFlAZaYw40dInnkRJVAJ96sBDlALhNQgHUXA87LBtR5X2eOc5QyH9BOMrvIr4JJB0njyAjAdwsBAaiUf5AH7Aa0VIW2TWEBF4aWjzlkzusRkYnUD02NTTF5HUdJjoyWILScMuO8ACkyFSOehQdJQ4XyKdOcB4h5ZoB4KoiBiy4Y5s2BCtBw/GWaahRvQQ7KF7LAMkA0R3WEXsEmiFIveazEasFwQxEM2AGjSqzRg3Nbbr0CFBhpxKSqR1RGVpDPgoEMGQOE+5Ubx1Jwgbi813lFKxTRRKCbbtljrtWClJJ2FQgkEFOu1oTIfSgSJGjANih/ICEwBvCToSVUCgSKJDYtlrUEiKKOi9gFThkx7Ze5J4gBBmvkfE8gAz9KADQESHQOkimQOiIEQJlAf4r3SI2NHJKVeiYiG+5JF9RdEltKFDIEEFBAYhBYFA4j2JvaNzsJE5VoNUCh0qYtAo0aCkRVAQnD5oRD0EGfBEhLD45NAgSrVC9R5lLTYKGqH+njLI312sLq4ophGZBXoTCU4ifMRLcLklNQPxu0eqM5ptLjG2IMrITXrKF8k7vDDHOJHQqYxUaDJxjU4u6cQMS4FmQ2DPngk9PYNq6SnYU1Hj2aolVaqQEbwP2GgY5Jg2egYEUkLqeyZyy4RrCpEifKDQLSJaOqUY9CFXmADpIBADGAfBeXInGLxmrRbcThQ6lxwFzxAgLxyil8hJpJEDVRtRsWcwkptsS3ei6e+tuJACFyPlaM1QWopgIPOsisgiWGzvsTmIsUWVHqqAKDz9OCBFwCYlhJxajXmj7/Fa3OdIeiR7Gj3jtb7HJpzRxJyJ2hBCRi5LFJZX4j06MbBVL1hlR7hyza7qmOuGadWCDbj5LU2qiXHEWk3ZjSS52vBeU6J6Ty8iPvQEYWiSnJ2GudAImdHHjIECEy1KCJAGT0THHh1brEg5oNGTw9kShvBdkcx/p0KPokcSEFGDCEQDPkAMCglIf4C9RiFxeAYDPot0GoJTB1hwFMjoUd/VHLwJRCGIQRJixqAyBjkgo8PEmla09ELjRElPSi8KlMtJLPR4bAb7tEBrjVYNDSP2nNAzo1F37JMUozStSHDSsKdgxRgrEhQBJy0Rh48RQ4LBkdASfIJHEGUgyMO3QY0UlkCHiGsSJFFcsFdjbJBkcU8WJTYmpFGhgCQ2VEEy9BFjobQWESImgOgEpzWobULaSqxpyYdAGzxSRLQwtBG6REAiEML92t+LAPYKKu8pugFiftBCW480A7uRQ+UdYR3JKkFTQlSCFEEAfOrpy8idho0paOKIXmh0BNnqA+/CHqyiXmh6HdnowB2OLIVjqfAysswtjRgYhZ7NKLBRBWmAUrSMXItMYUgzblJHlDUnbmAqA611mLKmEx4tQBXQTAKTK080nqwTxJDRZg2baeQo3RESQ2cFLle4sqXXjswGpAStDhOCu8JSzNasJrAIARE9lEtWpwfP2GiscamnSwKp6YmmZyg8JqkJaSCWLRyviJ0npqAP+gdUNLTGUScHW1kvQQjHXAwHhpwDHCgJUbrDJE8Z0BqECQwGGp0yKI0MjiTUaBGQMgA7Ejqy2OCFZy3GXCdT8rBlLwq24oS7VDAvA1XR0WpFpAQctTFsxoq70jKPFkjpYsZWeXYjEJnCipIsBlJ/d/ivliktkrXUKDKm3nL4kYAGJ8EpkAq8EAShCDgEGkdFZwJCBegFiYE94LRGmYKVkjghqUQELwhIeuMpRU0xDOg6oLRERkBEvBqwecuygDsBXdxQRI+ixKiWtM8AhZWeXnlE1NgoCIsVbbSMCmANKlEMTpBFQRCRXvVs0xYyQZPMCHGM9Ar8niAjoujpUoOPKXhF5iTRDGwmO7TpyHagOkFEcZ30uKnkTZ6xUiU6dkgZuYoJt0xowh3Hcc9kVrOOUE0kNgb8ZEObzAgJ7LVnpQrehAXzCA815Bp8IhmcZvIrzvjfWqhxLuFOltxyxJWs+IYJF1QsgsWFkp6cSGQRr7kQCa2E53HBH7tHeDHlJil4x+95LV9wM39Aakp+y16RfDTlr7OB7719yvj8JeJyz7pdE3OFJ6VbnrP8SqPelUxSwaqDIT9is+sJRcXz25J/1z6mSysuTq4Q2YBdTxm+XGMetVSL1xy534QrT76Q1G1HZ+8R5MC4dOjVhs+vLP/g4T1unOK1P+IX8RHRDYSvpsiXK95uN8xp+a/FRxQxcBRrZvGWbVFyORzxiocsOUOLhms8V+oRW6YkYsctYz70S35XPaOxgj/+9seIo3fZjwMf0PEn7e/xL1/8Ic41/OEHAzKsWMWCJN2zs4qf++/xuf4ArWt+I/yCn4q/5KWY8JV/l1c3jxj+x47hSPLiXsWfvRhzuZozPE75uj3mb54+4OYXJfbYIN7eIN8yTOMdrr0mUWfoOMBOs70OiDevYQvryxJxOqX9IhJri5t2FB90mNgiXtbYpxXxXwf09y64chW3Zs5rO8PqKVrBG+7ztXfk8hH6+hX23zzFz+Z8ln/MfXXL2dSzjEd8E9+nIWGU3KHFko4Jr0TBp5t/yNV2z9n9K6a64adHsDA7sps9Q5fzl8WMV0rS+II/Ez/izfA+P+q3PE5v+ERe82Dzc/y045n8iPxPel7dXdH/Z9DlkTuf42NBNCl9HDP9xwXpv53R/MtX8NNTtuc5PgxkOjKcBp72BfXzH1CdfkKafsbb/oZPLv+cH5Zf8qejlO/Ln/MWf8Nfnf02r+8OR8gUnpWa8vPtD/nlMmO4p2l1hbCRmoqlvmDrJSUpP+MeW7fhh+u/5Iel4b+19/g8fcjviP8TGeGFn1HrOa/ih1yHUxLT8Pqte5TdDVpdMsPyi+6Ul9djpOxpZhpZGlpmXNaKu2TBqFjwuXjCy/AJD+0bvv+o/LW/kOxVjn0QmP04RcwbjmdzjLsCUaD6HjmKcB6w8xxPThJTvoz3qfyIqTb0osFsBNnnb8hrR/vQsHr3CZvpK85kR+dzXqgJVtYsjhLEOxGOLSMiFT1NNhCM46fnBj0okj/wpH9Y8enojhhnbMm4CglSpQhgJBLSec/10YTnPufdXcvpyy8RISAqjToW7JOKp6KizgLvmhYV19wkBpePmP5wgG3N6kcT3pxr1ollMs1oS8G3oSQpS97/Rwb98ze4EHHCUJwn+LOUl0/OGHSCbWpEKjmeD4jMsxIVLZKRt7jMMvxuTl6Cvu2oSoF4v0Ku94RfKPTCwSPN6qTC4Mj2lt5I7phQkPLO9hJ/GRDnC67TCVW/QnwMZiYRFwafWRISgmwZP04xRcQuFF1WomXKrWgR31+grGSTK7xoqMYt1eDZK+jyOVq15HlPjGOejRY41fDucEk0GnsHzVZjTkCfw/bJ23yaaSZmw2TnSdqe0CvEgwL5yDK4AX3u4LdSwnzAdhX7sOAynWMLzUVTcfxOQhAWcX9B+dUG+bSh6FNuwg3prGZ9Jgk/vc9ieM3pMOCyj/kj8RaYe4QnnyN0jzISP+3IPgiINym578FE1EThjzNiJkj/QHD2geepNNwmY4q39mTLCF92kFrak5T6/iOGyStO2lti2RKo6FJL+lE42M1+oLlLZzhRYtQNqVzx4eMSsXWI31EMv5WxNgIdHAOer/xDXsYz3jYllfwZIdf8jXmIcgWPjr7leLREziL23JDk0Gc5L6Sh00fMr2vmesBmKdeqQgoYqYZbYfhF/AkjGfg4/TmWhBudI0aO87InfbmiHwbSxzmh9DR5zi0TFn/fyeT/ZbiPAnqVIb62VM8tnBrURcbprkVfZDDTJH6DmUrETLNMGnJp2IkFT+UjvomnHLbt91gKVvGEHSNkHCFiSoomdxGlb1iGezhxjIx3rIPmaXzEM3nOONtz/8OvkTHydHyfp5zg4oRGGHx0eJ1RijsW8SVzZdkNhl4rXBS40DB9f0T5zzLkWFHe12i1oZ+M+NTMiccTTso9zcMRT8fv8CIY8lIxtg3ziyvmrLBhSle13Ndriqs3dKWkznJSZQilpv6D92hqwezpa9SuRq8j7lOP33qmhYFW4ZYt+blA/ns5ohyIDyR96tiPNFs1potHIDMGMeGIQKK+IaP7bnWmYIh3KGnIychZsxcpz+MxebzjlSyxpDwzZ6Sj36U1ASvu+L3kGUcnX0M50E49gwtoC0Fl1EbyKl+QSkU6jiixYhFfMRC5VQtu84fUSU8qa6JSpLGH6JEq8N1TFk9KCAGtDzYjFbZMxJ6II0iDFw5ByTIYfikEJ8cdue6Yz+9If5zBKD2AbmWGnAuEHBBEhkziZ5K1LmlERq4EpW9B1NiTAfoEsYqHtdXYMXlLsx/PDjBv1RJjTxtGOJUfZBbALuYIIRg+qCgeQ1tY6szi8gnOJezChC/821ypdxmi4Zlc4vN3GVzCrT5HevDOskxOuIonEFOquDwU6LVBO08atiRGMciDDdEy5efuXXINb/EZZ/4a5BGvfMlUNbgY0cISqAmqo4mTAxD2/YrurCfRliT2mFGEan+w0J0NiMcSXCSPGQwpKEMxHeDCUZmOVqRcZjnD+/fIXEvqW361Y+XXK/Y/SvFWszFH3FQZedxw+r3IkgGnRsy2ilWyRlwYhgtJND0+lexKg61S0lmPSMbI9BVTOTDCI3aR3c8GijbFVZbFg0DVRNAduSpIREZUA2YS6JKewUxodIKnYysV18zoZUHBhMQ0tJOMrTilpeMed+T6DemoxqaK2+SI5SJlRkPlb+iUpnh7znZxzXgb6B+c8e19B8WeRQcydGAFfQ9hKqmlJ30D8h1BPNN0EfRLSRQJvfT4RJPKiDAWdy/CqUD1OXIyoB4cVsYZReQoEq487mVkeAZ8EimnkjAKIArsizWihl1M8GSkvUdoC2YglgHOIup7GUwLNvuBYzziWLA7ShGk5L5DuRYhjmnIGOmak32NXkXWdxGOBUnsWIgtmoSXvMey67ivr+mlZMOYrejQ51M2lWIvEnIUMcB1fsRalFRsUGJgC4zFkszXrE/AzmZEbTmPdySy5VtxwY0a43TClaj4UbbDlF8TL4CHUyi2h7zYgxQj1H4Fy47RkSZI2EeDKmDynkFmQOFJfyOnn0hWownfCk2hLA/u3VL+Y4Hc5JS9pZMD2dSgVx6+uSWPYG8iqYu0Ysv0nYZs2MGyZ1RpVmKDGTt013LPXyLbhiJ1NJWF43AoODmBKCTDQ4/8MKFTe4YyoFNB3A/kmwBhDxkgPet6iVwG+vXAfu9JfqvlTr2gyhJk10FouRPQFzmzC8tJ2yPYIcyMK3XBtTpiR0UuUrJ4h6bDcsYLec7L0YLqUYs5l9wsBMNRTnVPokcDHY49F/wivM+NOOfD3Q3n9TcM05IXD4650yP+4a84439roWapT+nEjBtK3jBly4csxZ531TckcU3DKSJWTBwY42lc4GX2CW36Ma/FhBtSfqK/5IvRJb/8v7h7k17LsvNM71nN7k9/+yYiIyIjG2aSTFKkqCpKJajgYhllQYBgA4WaeeKJAf8xw4BHZaMGdsm2ypIpklKKTDKT2URG3Ii4cZtz7un22e1a6/Pg0kNp4CJAVL3/YG/s9e1vfc3zckKqUtrk3zE1Ka8oGJzlPH38KxIb08s+SI9RHbaZouYzhm9p0mxJaQpaDnEhJnDCq90DmioFUcSSsy9fk5aCW0B61mNYkfYdOE+fRXjrqH3MUiyx19htYFtF3MRv81wUr8M5c96llY64ysn61xz0rzlwEZ/bbzLFgnrJ0vyChT7m2uxRc0IdLBv1gLU+5Vad40SYMmSOZaZvUfySXAzr3ZTl5JQvzVvEcsZz+YD5eo9B5ZEnETtbgINR8ookOG7DN7lU72Op+Gb7Y/6p/r/4iXkf6f+UejFAvsrAD/g8/jYX9bus6zF32vFav8Pt7bdpfpnj361Zn26Ziifre0Ib6PJ7e11ajVsFdL/Bun3ackRuDc3aEXRKfHdCHhpwDekSkhuhvDT4DzOuleWSc97Y9/DUHKuSxp3wtTrhU6VR1XOmFxDt9vlV8T6Pkl8xDq9oZZ+FOiJoYeZXTKhwquNKPeAn8q/5hRtwrp9xzHNOD3/Nt8afECnFZXifn/HPOdAbGoS/UH/O8/gt4uYVR6x4Klve371mnkcMfEH82SnqZsxV/yU3ecuVPGEiOYYNSId7u8LvvyT8nUOaM+aMcEqjQ4/kMbumoKlP6HWOYJmGv+bxekVsLjlXM96TX/N2+Bln8b9ABYVjSu8NndGs+jPm24c80wkDLtG2owp7rJkS+S1bvcffckqvh3yv/TlHuuRl8YSf6D/kG/KKQipuyGjNIUsSYn+Hssv7SZosJ2LFAQvEKbbVPiMJ+L0BCkciK9J2hDKKhoiFOuc13+N5/JLn+zOOf5uZwe9AW2bcDl9zeOLJRivYa1nVhhxL6hUq2SETQ5+mBAocigXv8EZu6XSEY8VALJN6RWHWtHuB+V7BstgjZsXWx1yYIwb9Hn10ixsBCaTBkZuOjTZsipTB0QbdtvBtTfVujYnviJyniizX0ZCgClIKZqomHdV8Ys75JYeoo0vGoy9JaxBr2I00lxzzM/U2pdIoLpiI5Vpl9NmQvZM5TSRspzM2wymtVFT7LRtT8AWP0anl6GTL6FrQS+4nBUeauwPDJ8fHrMwhWXfHYbsjH/2cQVSxys65JcHZHVIIi7MKCiHYDl8Jk3PNOgRGpkeNA7tpwnU+IJttyGwLOqZiHy+CbYcUt5bl6Yh5nFK0AXfQY8eBfthRRSk+JKA0kjXINOV2rHmejuk5xDlHNLznTDUcUYeWE33DATfceY0zbzEK17xlLhFl+Tj/iFoCs6P/nXFa4WODXY/B7uhnwtXslGdmxLn9grf1NZGHRgtq7KAI0AjdtGNzDOsU3LDgpRxyrQ0H9OzXHjvp6VNPfLClmfYkKqCuFAe/UJjJ/TdQkjDtK4bdMwq+YM17dGqIH2pIOxLf4pMeOVSEjcEZQAs+N5SZIVAzfArF4ZJYHXAdTYmOG2Z9RR42kAfmM08zHhPia2YuECKPY8o6dhRHJavOkZ3lLFSOIRCzok+XjM4dYdfSfFdz+cQyVyNMuHenu7Dv8XfhO2gS/ikvWeuKXyTv0cUTikHDTC3QMdSjCMkUL80hX+oBNgyJEs3ArKjSwJVKGJkJzjiuGfM30Q8YSc95uKAh5XN9iFKQxc8oKqGsFOvjIVXS0jNiKye/61DyH60ijdATIA8YB3oM7uEOaT1qEggjQ9WDHSuSwlJpQxcVXOsplzxm200Zh5KB6WlVhUNzyxG9FGgdkSpNpFOU3nAhD2jVHrmes1NjXvIIR8Hn6pr3j39GQcPnPOY5TwgkcI/AZEzJnnlGJCscKZUuqHXMhhhlNelpTd/3cO54MZmSo3mpjvkFv0eEYzy+Zi2nfK2egI8YGc1zX/I4Pabj13MLdVUAACAASURBVFQyw+lrHuqaqFK0LsXZIZkLmBCoTse8lJ7cC8WlEN8myKLHRBp1OCAsPWanMBHo08DWBoYDTdJrKslp9CEbCmLmjPuUqf0FhoobKSjNIQeyYVJdsszXbCUllR04xzqZ4JSjJ6VC8SJ+RBM/pFWBY7mi65ZoG0HUUYQBvtoRso4oOIIeUMb7XJoEY3oyehIsFs21ZHydHDFVjjEXJNzb9PYqIrAjUh0BS8cA5eeMTMmKmBZFQqA1CgndPbxYTbiTEyp9zl2ckE4usLM56UGL7Hf4Z0JRCyTcrx9EPdhAsDm9mqAQBrqC3lFGQ6qiQictswYQIIZyJlyOM2KtmMqOXkdswghLTuTXOFI6s8913PPF0Q0TajoiAjmaETs94CV7fK0/oGSIkpprOaVMZyx8wVU4II0MQsWWI17pIzwjDlkwCRfECJ2yKFszZEekFxT0CHtcyiGBiCF3DGiomFHrM85YEPkOqwVvcrbMKNWAKERcDnu6YcZDyRl1JcF0WCxSRwRVoc6gXTtU64hMi83gcqjYP87pcCzNjE/tA9SRxvMVY7b3kOffaST57agdQiMJczVmpXIi2dEPoHMJSTMlanLS3RqdFsSpIeo6glP4PGZFRpKmDILnVK5w0jBQDlVb7JuArSx2qdh3W4Y7i6Qds9gjUUIiihD1RKLId4Y6SkiihFFrcGFCZScYNaOmY6tH1MyI+jUjHZPSENFDZHFmSm3HJGHOgWyJqhybzuiGCyoHamy4S8YMY0G5GN3sGLSWbGtxxmJziMXRDXt2k4DyGZm2xE2OCS1e/Qb/0RpyHdHlNfq4pl8GQi6I3INzlVaobUZ2EZFeAUONGnjKYkvUeWIN3micGhOHjFHbs1U9ndEEEmTS0/gGneaYJRBApYJLYpweYLY7chfoO7BRTK468q5GlgZZKGyREk9qlOrJwwAvQ0I7IvOKoekYUJNKg04yKpPTSYylweuYW0kJ3vBeCATX45OYA7/koCqZm4QqGzOUFSfuDVoMLh5R6X1WyrIgJUgNUcd2D0ZHPdZo4h2EWkFISEpIs0A7bFlGglMxxA4zrekE/ESIj3tCMWZtcnqfMFQlPilp33XElyXJ10KnDH1iEK9Qyx7TpDiviRMYLiuSnYfSwwWocUQ+rHAZRKon6hc8aR1+fO9yFkLPYDVkUBmk8bSDLdFECLUgkSEiIV9b9LwjeGE4aNF6zaDsia8tViLSVU/64T6L+Jo9V3OwDehOsUtPaP2IXi8ga7C9p/AJqCOKYMH3JCYm60akpFQEQlKwNHvUgxsK8ax0RmfHtGlNyoYsDLlzp9xF71DKBFVtcLXl6mDK3+6fcxMV//8KNa/UCS1jtCo5DDe0bspSHrFRFWfmDS1bViqnNCNgyVg8ZX+ArDJqNaWPet7ES3QYc7c+JcRj/jJrOU7fZx4MKlnxs3/2C8zoHVajf4JSf8/bXDEqPsYcRBi7ICFwGSK2ske1TYlMjvOgmx41TGh3e5RNi08cYW/DmoKSx+yGOxissbsUXaZ40/CMb9Gs9+Eiohvv86n/Z/zc7GhU4KG7Yl7HdHsVs+/8Gp/8GuMUkVM0FNSRZxdFfM5TnutDRO04lJLr+TE7OyMabRmqJVGT0W7PWO8d89oe8FBt+UH+v7I1n3HOV1gyHqjP+XCwReXCpRoylx+w6s9xIeMovoOuZMuAefWAV7vv0+efMJTXHOqXfBF/m+bJmhfvpPx1+6eUV28xVh0JGxJZY1wL44JuXHFcvMa4p7y5+Yg3o0u0KkELNgYZQUg1EqXoYHDOI7G/H6f9umfw9JymSbDlHWplkA3EsoVoxYbf53L9Lk/Hf833wseMbk752L1Lf/6ASivyEpJS0Z0G5uzRhFNiWTPVn3LYzBnPLVE2ppqdslIPWKynyM0RZbzHF8M9fj284+h9QziMeB7/Hp/2/4rL3TOKfMNOTqhLd++ogeEuNOzyjFdJxrLN8Vlg5WM+6X/ALlhQBwx8RSya07Cgkl/y7LvnVPmUy1zzoYwJ1Yhql8AbSJMOGd1Q6UdkzYS9rsf0DZ07YFM+QIVPGDaBgdwDWLdFRNARhXjy6Ao1nXHHFOjp6pagx1iTYWzLvD6j1I+ZWsXXg1PIapz1xOzolGWMcOavGIY5Q1ORETHtb6njEV/yXZRq6TCQtWyHBmkLfs1TZmw5DM9o9CG5XvG4f86H8hnX5iNWqmCup7/dzOB3oEHosJsMFim27rDtDpTQ2xYpHKw1amPvR/KzkjjeMmVBqrYoPNCioltkWCJGk9x5zjdviOIVrR1RMSCiJJUVg51me6dx6oBLM6SUEfus6PI5n/7RhLtyTP3BhEY/xPkxWjcIgqdAkVAwZ8KKgZTsEfFQGg6aEttzb8+37an8gAv7gJV6yNhv8TrjTk3YYTgi4HVL2sCDLzuy+Q49atiNHa8GR/TK8cGu4uB1AxuDEoGsoU9j+tiQqw2v5Zwb+w1aWWKPVjztXhH6hC/tN6h1zB+0r5i+WpLsDemrCdK+QVxME2dMVINzEWajOa06+rUjuJQKQeMIxNQqphXFJFSMg6dKcsZlj3q5Q58OWSczVkmG1ZqonSOdxpuCK/UOf1f/CYk1fBD+A54Up1JqHJ0cMqeiDx6rJ/RqTGY6EhtRq5hFOOXH+YKn0VccjTakX5boI8XWKAZyy+OuYqIU2if4eEOSB6RzxGtNtLTIy5zYR1QIDQ9p3D4m3iKUeGWonSAJSBa4+UaEuIj8TeCw3KJa0JVntqnur8JKccoNf+x+zJmsSVyF2dX3bj2VIuwy1sNAvQeDTYbUgjih6E6IvrwmZIrhYE5tM3baMciExHo0kNeeg+o1bl5j3QiXTejoyEOH2cbYeYNZxQxna/ZwZFTUSc3qe5arszHlN2ZUvM2GEa2eYWmJqBnqEhUcUZ1ytFnwe4efc60nFK5HbQwqDiQbofaGWsekEpHQIlFNPYlpVIZmTEngjUSUKmemXjGkw0lAdH8P8geS2kGjaPSUO/WEHR2anvCfQR/bPivoWg9HLbvHmsGR4A40C5uSnAfkcM3dNsame0TTYzaqplQPuVJjXnPAOj7EsU8ZdqyVYUtMIKfTBbWyCBmYY7bhAZf6nIBmqGZsGbOTfSbsaGXCr/gh++qWnI5zuWShZgx8j9ErRnpDEba0OqdWKbVoIklZqz0u40NeGUd2+pzDXPiVvI+hYSFnzNUxQ1myUzmv5Sl34YgD+YqZeg12Q6F6TCg54YbBrmIy77GdRjaaWemRuAc/5yzcYb1GrKfXCrGa8EQwE4dN7sArdATeAr3GKgvrGMqeqYHmqKGza2ayI2WL7Rp2dp9LvsMdB4xkTWx7umDYqDGtSRENKykw7n3edCe4yKKjnH3+jo/cp7yzvuBkPaddKGydQGcYJJpu7MhUy5UcslTC0NbEoaeSE75WA0TV3OkJKiR4SSg5YKkspRqTS0eQHXMG3HKGY8hE5uzJkqHq2ck+V0qTyBsOuGZf1nQqYucT0DmZvl9z2hUN1hoS7wlWUR8YmoGiGkRkMQxsR6YcqXcM9IoOxyoa0sopBsHIAikuMVG4X8dlzE4XLCnY6gJHzMbsU8qEA72HSGApOUs1xIjiKDygIqPFktmaVke84BG3HJKxIVdrGjXlJed0BhLdYhDWxPR0HPKaJLxmrEqGXAKaG/WAkgljKgpqeplSyJzvyzNGYcEp1yhl6FREqnqgp9cFCxnQqj2WcoVRglYdvWQ0DLii502iWYeEKYpZ8ZoojdjGA7phiz2poQgMxx1lnLDQj7ixOa3aY80YE3oyBYNgmZVvYPK7jSW/DR3+eoRtDftJxTqrGfSaopnQ2YA19wy3fKGIboR0IPg+xgTP2DREKLJbRVYa+qJjeyr0SUASQ3MORlWoM4UoIZgWJYKRHXAD3mPWhoF4MCt6UditZ9BHDPqWzt+hjaHRLU0fYWVCUDX5cEWRNEQbS1JFPPQ7DiRQdAHTj2iHHUnXkN4GklaI1zXHpqa4Vuhg6LxH9QK7BH0bsTcSdOuInUVfR0ROEXaekDckISDe442iXySkv44pjh3YDplAGGh8bFBthpQ7ZCsILWI7dBrRJ55+z+JeBZTAbgbBCJQt2hp22uBVjI8du6OKzUAxmQvjlUCjcVFgsHIQVcRi6HpHUdZExkPWssoLdJERvdxg16BPDGvRNCoF7sjSFUm94bTRvK1/xSkbTLvByACDpVYRJTmdUhyoV2RtQ1EGsrYm8ULSaCYLOGALpsNWQqTh7cENE7ejzRzLYcOjlcbfabwxhEGNeIdpISoDdD3igC0kWxhm0BlH0beoPOEqT2iHPce2pVNCrToOpWJ/N6eO9riYwYOVY7KeEy8M7kzTB4jcPRfPWU8kgulANhFhZ2HXQ6dR2wSbaxwVkRNkrpCrIcmbgL4GVxUEB9GyId8ZzLOUcZfgRgpbWGQF/dpirGHwMiNdxJgvMtT1/Tqbb6D7Pwc8GUSYSpDrmraIGTwcMsg1SZWCL+C8JbE10/w5w06olGVlDtnEJ2xkhpeGc7nm0K/QwaEbw+FXBhGLPfWIgtI6TLZlqF+RuRsm9gLGFX0+ZKzWDFn/g2f8Hy3UvJRDGjXgBMdMWtqNYtcrXg8LTrOEmJ5dMKxMRsUW7S27LuPq45JE59RJxdWJwnDExY89vmjYPX3IwdNztn3gjb7iO//qv+NCnrJRDX/iv2DfvGZ6cM3efkIeGrIQCJFlF1I2bwwDD7gOU2lUFDO/8XTrntnvJ7TasnMpHTM4DPAQ+p9CuDZ4Lzxzx1y+Tuk/LnnVlHz6rx/zt9kFJ1HJY2fpwh7lI8MP3p0S2ld4SdGrwIJAcbjPjd2nlCFX6pigakZO8dNPDPU4Yfx+xyxXyCow/1gz++MjLoZHPIpe8OePPqaJP6MLEW2wJOnPePDhAbfhCX+5OeVN8ojlLmeTFRzZrxmqFU0t3F4Oeb57m2o4ZpRfsBdtseOA+aMY894Jf3015dV/6PjWDyfkrBj6NWbTwL6QPqg4PamY7xJ+9RPFo49OGT14SUiE5AB8a1AHZ+TdkEoU3bbDPAjEWcTm56/ZfesRt4uAv9rQLia4LaQhAB1L94DPnk14570N7+lnzL+4xL2pqP9sD2c0YQXFOqapFZeDE67lDqxnolZMekv1xY7+sKIdj3mpDnj5wiEXLRe/XlO9M2D59JTzHz3kShZ86R7x9/0e0edf8tE7CW4guOfX6MOSZGrYBcViNOOVGnEbYlzm6Kqen15OiYdP2Is6CtODGM6kQ9k1z/7Nj/js+YB5+vcMRLHbxLx5AXziiN5bUrwnKBNIX23J1ZzO9ty5Mbd3lrYO0GliP0U91+gzhfctVlsGxYpkqFhKTiT7LO+2iJ6wf6pwxFwu91m7jPz0mIvRUwozp3eClpa1GjOl43FScqiXJJIS2TF7YYNiwFf+LQrdI9rSqo52MqYtY75w53yT5xzqnu0IchoedS/4TvQZfx8uqNXu/pj/J46G8KJxc0f11Y7Z94Z0m45sogkmkB+Aujb4K4deKopxQ6LnnChLbrYIghHDOOkYHAtEFnPVU9y8Qg96XiZ7LPweZD1j+ZKwGrB5Hoj9gK8Z08mMt1jCYU77377NTfgh89iyDnck5gilLJ0M0CEn1oJVjix0FK7j0GwIOApfEyqPduCuWtbvD/lUT3mtZyR+RaUClR5Sh4Bni0/uu4P9Ty7oQmDvI8Xu3QPKfIoyMcf9a/rP32DvcuK9nnTc0NgWnSmsWvFGel6FJ6z1im5ac9L0BIn4IpxxEY55VGuiX/4l9lGBc0PkShO9l7PSCaf1G2g1u5uKYr/n7iamMhn16T1FJ/icu84wSSALC/JmxHV2xLQW/E93xO8Ly4M9vk4SRt4wXgmmcLRiecm7/M933+egiMmKOSk5VtcsQ0+p94hUBP0LTo0lOMvQ3zJNPS5kvHYH3NqPuIuF/+bBNf2/e0bSRgQDib3h1Of4VhF6A4lidhQIf7cjvjJ0z2O2P23I6zF1YdjyFosqYOOaIJaut4ReY1JQI8P+f5Gz+5MBox972v/pBVkdI63GvFkQzaBT99a231fPKCxQNvi+IpcEuzJsV4r88ZT16Bo2MX65Q9Ua3SS8+WnJNNPkbycoIkyI6GOQNAXfkm2FPTdneb2juwZ7mNAXNTZozB34V9C/pUgmHTMDQwJ1Ad2fvkXtn3Krz3kTNDtyKgoKvyU3woA7DBV9qXBfbvjGrCONF6gO/LUQecGVDTUZO+XpgifTGjUAGcSsxNORsSFmLQUoYY9rJtRUyv7G8HfHSFqS+Y5QKfr9Pb5uBxClpKyIVfu7DiX/0ar+ekMYRiTvJuRAlFrMO4bJSUR4a4gd71Da8tqfs40GBBY8kyMWDLklBqVYOcHoAY1KqEOMaEuNYi2aVsV0bsiSMVf6CKMq9vBs/YReJ6RcU/qYn6vv8JCXfFt9zJm+oScjVRXH5oYJKxplWXHAigiMxpAwlxEXzAhknA7fZh7u+FV4yg7LSk2IBfYk0KgTPucDKh9zHD1nzBuMvWFIwtBUnITnZGuPfxYQb+iWJWavI8ygrjvOUk23gChkeIHga4rvRvgcukVPkijyyOAyQbYtaT5E1o520xF3gcHQshkJmUp4YOek/Y5LFfEzlbAkBd2RJgPmHPJSnSNUgKYOI9pqwnpuiYYJbt/zgf5/+FA+592rn+Oe9ezWkPcaE1p4APF0y3BUI5LQqEBPhxLhJhxSqock+o5cPLGHVsFGjdmogkom7ElHRcslU67VAyBi3xYccUshHV4S3lAwpSLhBVO14E4dkMQttfcMtUH5juIkIlcBdyMkhULvW+pxzG56jKieYvUCmziMNKRisNpyR8arMCZhgOgIM/AYU/MynLAKM4xoSj0kSEeuOkomPAszSg257Fgw5Uo9RvshrVuw0hPuRDi2cwyOm7DPkoQnekWid1yrU76SfWK14z21ISLgmeKAc7lmou4wSpOrLQHhRg5YM+JEwUAcd5Iz5poP7Bcc8gJFz7U6pZKKjBIbepZ6wpd+n0RPmbqMQ7ug8RWRmtJIy5otS73PbTjljBUfDUsOlXAbJmzSnNFZzeTYEfkbMg75zLzDpxwSyEi9wqoGUR1nUiLz/zwKNe3/skSXATsKjI4F2xnCSuOKgHkQEV7dUX4dGBxuifcK6rsOa0B3Ow4jofxxRf8y4J9q1DihiUEyGH0AdhzDtzJWtibSNUlQ4Gs8S1xIqZ4HMlry2OHbQPeqR3WWTCxRdW8KMzDg7iANBW7Y0J212KHGvQ6YFyB3GxKXIECtWqrHQm4M4VVPXSryqx67uEWvQLodLQ4KcFdLuhuPPgAeAhXUS0fQQAPrdUvvIiIsqhP4tISVkPwJqKkmmmnqMfSxkKuIbgHxjSPUgTAJoDtCohjtj9h9tmaUKtLHhrUWqs2WfG9EpTSWFDV26A8UcZti/tJRXfcUTtMqQb0qGZy4+2dzwiBUyHaLP7EsRgcE5Tmo78iuW8x7h2xIqBnQ6i062sJNzaQXnk6v2Et7ml1Hoh1KBXakzOWYnJrHtsT5ivpmAy9AqRTfdXQLz7C6pvFCt26wsWE4fkUmGrPf0xz3zOZDqgvIh4Z46JE+ptt0xF2NOQnsSiGqPZaKZGgZDAJF1ONPcqrBOU6XRP4KSHFYjmRLcbvk+uwpr9N98n7J4PUtcdrBW4GwE9gF2Dp8KzCM7lk2Vx1916OXBuYbuqEnKlLC+J5F6J9D8+sNyUJhK08tV3gLRXVvyjPycyTp2GVQzDrYCX0bSIKi/bKl+asl6XOFLiEq7pk69U+/QnWOeA2m1NSR0L4n2LfufTRcA/l/PaVJlkxGHVHv6OKMrYp4wyPeqDFjFhzKiqksqUxHbAds/+IVUkYM/kxDGrONdjBsKViTGGGSL3HjijB0TPWGPap/8Iz/o4WazFcUumImV4x8w7i7RkvFnv+UobzGcMog7Mj0GqNKjBFMqGniQE9GEA9K05oWZy3BWER3dEaoVM7W5sy15YoxbX9Ey1PgOaNwwZALehylGhGICNLTR4LYmszXOONQ9hAna1CCE6G2jrWxlGqK8wPA0mgIJuAji5MJQozYnlINke4hSTSiVzVBbxnLV6ShJjcX1HHDxqTYcMvE33IW7oiCo47GBN+xF54xciUjAU3gpH/Oub+kZJ+IlEF/jRJHi2GoSjLZsFUH7NQYpwyaLVotsfoQoz3K7IixKGWoZYR3Y2gNKmj64EgkMPQlsVpT2x3edrRaE/IbtrGjIqehI9gaEo+YV1i5IlVzlC6oiCjVEKdAiaAjQeKeOnE4I2B6FAbtNPRDTKvJa8OuSUAJRFCbmE6PcAqsvmUaLpmFOSNZoP1rEoTONrQWbNgx9heM5ZqUnpiKKXOOdiVRp3A2xWtFGjpivWYdDXHeY3XDViteDCZcK2EejSgZkeopHRXKD1EBMPtASlAZG5XjGKIxEDUQtwQcqZTkbMjkDYVagK5xscKEj1H5CZ0ObNUh2nqiVui7FO9nBHlDoIOQoa0QpKcjxasRIpo83FG4S0BwklHJhIyWNCwZcoMWx75ZIqqnk5q9sAJf3ne3ZMMovCLWPTUTNA1T+Zo8LBjqWwpZY0OH1Q2ZUlhd0jKg0hE5JQVL9to37PWXRL4l0UtSOmayYOkDcXRHG2fUMqHXU9YEXqoPfysJwe9SG6Z4mRI1KarLsI3C+hRlU3y8IdgeZS1ChJGIWDyGHicBoyIyFRELeBNosx7XCKpx2F4R4oAxnpgWj0d5T9pDWlpOZU7Tb9inJQ6CxDfcxbe8UA8xfsBQbpl4iGhIVGBMx77fkHqPGI+Vmr0mY1xrrAqIAt0OmO5iHrQC8YY9VTJznrHVrMOOoXGYNkVtI5K14qivie/2KaohSXAMTMOorYlbjYiCKGB6RXa3j0qOeBLt8VIrIrMgyRfEbPHicFoIKiBi0GFA3EdkrUa8JvSaSCyR7hE8Rhx5EHSTkVQaHRvSrmearohdz6TrMb+B2ibBMXKBuLeoRmO2yX0nixrTDRlXBXHVkonB0IEvSWxMwQWZjFEh0AaPBSJtqOUOr3K8hhDFeFUDFTqURBJIg0H3QjCBLnZYEzMuNXEwLGMhyD1oVwCnPT6BzgIISlpQMZHfMukbhCVBeqybUTQ5ke7pI0eZB9be49OaPBciG3Cx0NlAp1K8jRDpGKgFERYTBOMj0i6l6DR9X6PE47WAcWgDRgvGCdZB1BuMgKcjUjEaj+CQOCBeo9qctOyI+kCPR/AIAXGavAfdZGTeM7Qb9nvHqAu8MR2rAuYqwnU9Q1mQ+AUDvyDREdMQKLjB6ApFIGoVh2KxbQ8qQKyxbcRknbFLDGmusa5ntjOMdESle9qkRHyOlh4rnkGvGKue2DbgE0Y4Rn3HoFKYVt9b7potsRai0JHwDzsb/KeiorL0mUZQOOVJkh0h8lRZCkmKsY51pLnGUqmYQqn7KQqOaJgSYalNS6sm9IzoJadSA0oFLQ5HQW0LWjpKNUWRY8jof2OI0ChNRUqqamJZUagtRR84dhsk2rLfVBRsIdbE5IxDgXENsKK3msIP7mGWZolWt0SMyZVBqBmIZ7+/ZKtnxGYJxnDQXfK2tERtTkJgZB3TMEJvPGrToZqYpA/IRqMiR9p6jI9JWo/uBS2KXRCqxJGEFNvJvUV77AmxoC0otcOL4CLwNqWNMjyCFo1Dg05o1YANOUocb4VLhs0dTeQZuDv26h3Ka27tPnd1yrrs0VHGXn/HwC4oXMOgC6ia+9WDIEgEzW/yGi8WFXoK3ZFKT1AKbxts6MhCg1Yx7jfg1Ebdc9CiIGjxJGrDONTMQkKvUmK9oVctDYJowVKTUt9PtklDEpYM1QRDTO5LcilR4R7ObUKKqnqUMmS5JnU9qeqxHpRX6NihKDHEKAZEWkhkS+HWFKFBhcBItShfonpLYjReajLTo0zExgcyrYjoGLkhjV2i1Zba1vQmIfaBTHYgYLynMIGBrIjDnMicYCUwlIZ9LmlUTqQGRG7LRN9RhDVoTRa2KDS5qUhVScKaNFRoWqzvSaIWq2p08OSqZahq8C0D12HViqNg6OkZuJr90BF0jfSBCQGvOnpKKjXHqA2NtiwZUkuCCg5RLXUkVFZRiqezFdZt8aEHbXDaEQdPUQeiJvldh5LfilL1GycAc2+opyUQDDgTSLzG1gl55bDOg29JRRAPHocJNUnv72Hw3pHWAZ8kKKdYJ5AVnjRyGBx9pEkD5D2caku6sWin0LEBMUS9w3rABxwOjRApj3YaHyCWGt32SA1k4B3YGqJO8Di0gO0hXkXoaIztGgKeuI/Z6zRmA7pLGGkQn6KbGFOtUA3ILkN1EzJXo4oW1QojSQiLEdYF6Epo1H1+UoOM1P0vr9WoPkJ5ub9HmkBnBaMhhvv5TxMwAqHTOCMk3pDsLNkwQVlDTUrwWyLxJF5h+wLVNehdzKABvRX0KCVVmrj2WGsJLhDXsG8svjHYoOm1w1Az8TB2NaW65shvSTyEvmW/nTNyFjqFAyK1YxyEjd9HjCfxjrw2RC7Cu45E9ahWk7cB0xsi09EBSgnJ//e+vQcH9AENiBNwFgL4CIIB4zKSuiNKBJTC/GZhHdXTWkMZO1osa4a05MQEMl8TKSFIQ2+EoAymhyCWMp6wze/QaY/zijYWMnH3GY7R9FG4nzjSihAEHTqc1ZRB3zfQEQyCiwTvIO0VUWXJlUe7AiwklSOOM+h7elrQAa08kUD8G7MihwICGQL9fS5rnDDohVEJqgaJ79+B9sKoVqitxdSB4Dxl1FC5ObkVsnDLSbxj2GikiTDVHtOlhp1F7nJkb8lEFG7bIMWa2AdO60CoU/pOEWTNXur+wYrMP1qo+Z59wR6XHPKaSKecT98wiYWH4ZdoXfElPYl9w9vymgeq4UZZztNrxn9wzkUFo2zAB/GaffmK0X91QmOFfXtNb2pSroAo+gAAIABJREFUtc8TPeddecXX/pu8uJzxafpN/vDwb/gw/oQL3mVBxl+xz4xAoZa89Z0HZHLBByfCSjxtfMn2IGejHvIm2jEYaZb9kB9LzFevhqS7KcZA+N4ZG1PCdsBiVRL+/Jytjvn0326YvxY2f/SAo+/93/zp5C+ZjFucCE7vsdGKD48v+CZf89h9wjre52+Z8L5d80P+D3J9SvndgEmf8/vpvydTSz4//Cec/ZHifPAJKRuumNH1Sw7UNd54bsIeu5BjbMsOyJKWsamoxil70ZJYbShtRjPP4JmiOgxsihkDXvLIPOe7+5/xZmoZ2wZ7pjj9HxKqWPElKfGg4Mm/3LELK87ikkfRhp39BP7Ft9HxgM9R7OIjwk3M8s4gP5xhbw2yM/TvDYn2wL1y0M+4+4ll+0VFEY2QqYLvgzpMcW5CbC/5ww/H/IFccLS84dGTK3740YKzwQ3l9AVv/UtQBxf8YJTxR+E554stj0aH5Pmab/sbukvD4niIFst70dec/YHn5zuDtZaj9HOo7/ib0e9z628plTDLNNNvx0zMNW3jeP55w3VaUB4W9ElPjeIWQ0hXTP9MGP9owrf2/orD+DNyaXkQ3XCqvqRUjhjhA/MJs4cVzlm+CocMZw1/+DDwv/2PQvL+ETE3WOVIxntIFbN3PubrF1B/uc/iu48ZZj1Psl+Rfd8zvxhx+c5TnqjPONPP0Tpmxopzrnm1P6GPcp6qr6mNYngyAP+MP7X/lnP1JV/xPlN7y4d8zXvhJUPuSNUdnSSI2uHEs5IhrZrhsCTUHFLynfgrjlLLbDjnoXxNRuBMG5L0NSO15EI94uPtE66KE6qqIEl+xH8f/5aygt+RrkPE3SJHv4CDdUo5B1dp7JMY/TBF39YQKdxAUSpN7DISozAqxaiGmBu0LwkzQ/5fntC+XMBFjTlJyWY15+ELpnd3vClm6CaQvQH7F6/40VmgdjuGqxQWOz46u2P78IRf8JiBKXhPPqG3HhN6clUyUbcc1WuSZo2fBA6dp/+LDcOfaVSrUGND8/d3jPuSPx78BfVRwv5hRPnpBUlhST6Iqa+32L+B8O8dZgttKfR/e0f/bxIen1/i1PP/l7s36bkly87znrWbaE/79bfNvNlWFZNlUaYowTJtDgRP7IkBT/0b/NdsWAJsAbIBG6IpkxTJYjWpyszK29+vP9/pot17Lw9OemZyQgJlav2Cg4gTEWu/613vw+kHR/dXA92TGZKPuKuB+//5gf2rhpv6W/7L/27CH/3zf0f7zyOdFWo/EkxiYa7onXA0tqRvBrTpcJVj+7OW0xcPnPb3pJnS3x0ssuamZ/h2wL0QPvlMCA/fc9JPOd4EVtcDuiiY+Jap/Ab7Z5H9H0em2nD6L+5Zsec0/Yirf7Pl7KuCyY/v+fGjn/PfXpzz1HT81+FfMfhzejsh6I5qHCiC56FY8l5O6Ay0ZmDCyGfyHWfFhozE0dUrsu0D+hND/xj0rqf+yx7yB+7/0QRd1gR/mIa4i4zwZWRyCpPHyj4O5ETO93/NP9nseO+2vFpekHHO3a9aLjPL/CdLGhTxFvNpzey/X2LNA/N54N2jz/hlOCFay1JaTmRH1ASXA3GwaF/Q/OqBtEtUz3bMnyhslDQRko34OEW+hvbjgkkyBNbICPZyCxrhR3Pyyw39v7xGvxE2Jbj/QqhoyWXEfhD2P4tkJztOvwx42VO8tMyudpjpltefvmBXJD7z93wlf0XvPNEGRrnkws4ouGNS35KfJ/jZlsVmj3tjsScWDYp/WRBfrXi6UB6yPfUJ2MZhovDizODk53z6xTmjGSmbEXPVkxuLTvekcM1FNie9GUn/foTYc3Te8ZG/x+iGmbZYxsMh/R9wuSFhXg+o9UjmQCKuhLIY6LM7QtbRyQm7VLLlmAHDLLS8shU38RxjS27F8iacEsMp8QH2CNnFFOKevo3Y6gxtd9hJZAiRgRNq0zORW9CRygS+5Nf8jvw7njXvsLeBp35LSg/kuw7sSPG0xBcJ38PpdosJPTdHd1y4B5J4jswranvDMVuWrDEJJgiL/gM7U/Fl/Q3vbcYf9i95/L/9hvHrxNlnM+7jJbt7mL1S+r9WQhowFxn7k47ZPzKYMmGKSHgYkKUydorMwZwK8pc9ZgtxIbgnNbHZEUuwZwY7DkyWCy7P5+wLi08deyyX5oyE45fpC37hnrAIPX/U/4rHN3/MHzx/w80bx4t//x3FeuR6fox/UWA+sqwWJWtbcZQeOFpdYe4S8gB5WcK5Z6x28NNHXFWnXLNEzY6n3PKUNXssS16zTCsSju/sc1oKytQfRAybyMyaGZd8xhu8dLyTt+w4IQ9boqlZmxOSWD7hgWd8x2m6pUrKs+wDFQMmTcmu33Gmd0yNZf+ywXwN5U3GvtvTfCwcfdUiw4iNid2JQT6NlGZHQ0XinCPtOXt4x2fdCrteMeaGH9cdDx8GjDUUp1Me2hXFZMJQ1XxSeHopGMyEU+7YmZd0CB0lE3qm9ppH3LKVKZ2bkyTwKe+YuUvmVJTAudzw+/xbvucTTthz5L7nmESQSCYDC3lgE2usVDzhHc95ydQOBOaUOpKLUhyOt4g+kIuiMrDcDYS713x+tqCbT6HZU397SfrEUsxz6vvE5nLFjx9V9MucnZQ8xDNecsKp7Pl883OMDHyYn7GXAm/3fMy3XLjDpH9LxgbL0d0K83++xP9iD1/9tt8mf/fy/zghWqEzcFWLaQwaErMzMOTwl2uy5kBJHPoev8ggJLwP6DCQT4CflMiLiEsRftXSjyPF753SlFtCtiVX2E8KXGgpmgm3//KeSZsIdWL48ZJwUVLLlqzagLFYZw/iv2bIrseFhPQRrgwYQzKJMYLLK+Q8YB8Ph9X1f53Q/YBerXn4ZcB/rnC7w71P6GvABWIB3bpjGg0EkDHR/kXL1f/ac36csb7oePy7wnjboZMtwxQmrSd2A7Kr4J1n8+6e+Uwp18LuZY9MhOmTAoziiwxOEuk80KX+kLs1QNxE9t4iccLt/37L5KOR7o8+540Tvhx73J9DMsLqj3vivx45ea7sJ5H814L/9cCwj4gB90zIjhVPi3v1mrSuQEf8pwuKsuH4Zs3s7TWPTgqO+kN2XFEJcvOA63L0Rmj3UPiGI2lwLqcjsewzuj+7Z3gXmP84O4hPrwzxEvh0xL1I2C0HCt2yJ8szUhC0GqEuSW1D/3rEHQX8Jw73FLSYw0bwD0o/d7iFI2UNeQz4dBg4+ei5tTO+cRV1HDjSDRO/xp4ptYOZJCozYCx085rXZ5/QHp0z/6Kldmuq8IBbD1hTsn1yxKiJ8rMWtxkpug59HAmTmk235GRqyJ6+R67BDjOyYY/cZez+J0v7asvJsaIXhjgIgqC1YbyA+jOwN478VjBnFtcOIA5qCyZCo3ANsqogJPRsg3xRQWXRhy14i3sViX+9wQelyeH52ciJvSJOc1a649GjBeZVz+5PR/K3Kx7+1Y71S5j92w1H/0NkugT78jcsHn2PzT3FW2X7m5GjF5HJbEBDgP/s//sZ/1uFmoW+5GPeUbHmQc7J3YrHds0iXZK04ERWVCExlzVGHIU6ZrKCrKQKPZXZs5TvWZgdd6Uw2BZhTdScSmtmesUsXBNJtMOEdX5Oh+N4vOeJh2v9iFtZ8Vi/4XH4DZ3rSTFwhqLphGh2WGsxdmQXS5wFzIQ1z1h1I84YFJAyo5cpJt4SNB1CojpPujshvjektUdj4FxecqFrbtKU3AZsiORuy1w31EQepKQGnuobPh2/ps8CxfQrxLbMzZoqjZRuRKffI2wY9YhLeco+L7CSE8lRKejTEYrSYSlNYGL3FLKg1i2Z7BjEH6S8DujHA1ZcAyUPFOYDM3NGzsBSrjgurrnXR7xJTwjUmGqH4TWVrjgJt5zIAyuvdGbBNh3RxQrXWvwAfS6EOpKEwx86F4xYJFnGvZAGwRjPkFmYQK49eShQp/h8TTHs6K2wqgzjDKwZ6HwiHAldbjBmTpUCp/rAlIYPnNGZnKkmlrFnqXd4ucTkDZmt8EY4Nr+iI+e77GP26ZhRS3LZ4rJvKHjJPP1TGKbQV2QKrWS852O2P7in4tFrxmCo3A3ztCenYy4bzoc7XhhDHTYs7WuObeSWnHtTsbc7fLECZ8EXZOmWKQUzN0FTz2oy48oeE8JH3OnnNPkUG96S20Qfcza6xIgyS/cEc80Tfc25bNg5aEzHLD2QyHFmw4wrHvMdTiM3ckxKNcdsyFJGtDW7H4LX7jmjo6D8wbUT1RLJsAieOxbmHU/1FjET7jnYL2u9YsZAJwvuTU5nEp0pec2Lv8++4LdSKzmm4xLTR2QMdJ2gOse6GsmuwUIqoJXAKIBAqSOqDV47pmKxWtDYDW7R0G0iCpjoyTQwSXvm/cir+rAjZnpwbcQ1AxITGh0aIO8Gng9vubB3iGT4tCfaSBVhYXccyz3z1DFEZcBBzJC7HtkmxCWwCdeA2XT49B6twR/NseuOAmAwjDERu4yYIDilEagah3QWT4uGPTLkaAM6JqJTrIC/N8wvLZE9jy9H3G7Fg1qumdKZnM4KTiKVEdSVdD3YMSJ9gA4kZNR9IolAUGwsYevJHgKyT7ix5XjsOQqHCY1pFdlFihkgI2YDaQV2DyJbMra4ZiDfCa4fcToy4Z7j9IrnIjzuGtb2lr29J9OO2TCS2xIYucYiZoriEEZq7vE0FCkwae6R1JPKhKih6hw8jLhpZBJG1MGmFGYGPErywGxgKCwSDF4Ti+GGsm1Y9o436kFzpFEkGEKqyUzDIB1vjydsygmPsoa9d/x18VP+PHxCbXa8ML/hQgUT9/gejHoacZSjwSVDx8EFih1BRqLJICXKHdhRsClS6UCuOZO9xbQJqQMhA+0EdgWiLWU4YGRFLdonzABdEqwZiToSxwwGixt75mlFGTcU7oGKW8pYsBVHLZEyPWDMlpAr47RE37dI4zAjUAj9kPAxHqZrbsdJMWC2hiYdUOK2NxyFHiMr9j5RdiNZ02J8RpyCTQHGNc1OsK1HcEjoqU0APEZyhn/gIg3AmAV0BIuQshHjgFGweLJkMWPB3pR4FGeUPE0Y1WLSAcwsjDgFTYcDcpsSfRgpeyU3AmOPDRsk9oi2pBRRnRMlMcqAigO1FLLjRANHvSNtO+rZWxjigZPqBN8qQ747TFrHFtPDImzY+fcoylzvcdJTyZZH4z15OuSOVGFDlXY8z74Ge8okddTrgLsf8dcdlSaqlWBXhrSOaJ9wuWWiCbP2qOVAP+oDtjc4PUymjZNDT5OE5BJaHK5jsoCHILBfWG5nDsRSpIqtmfLAksEsuZJP2HPOo/E1z7oHnrRb6u4XnO0dT+7ek10mnvc3+GVH/Chy4zw3fI5oAcnRk2F8gkqx85Zdkdjllms35SbNMRqYMVCknlEclcLz5oouc1y6Gb0U1KEHzVCTk9GgZo/RloqWE72hkkRMjns5pUsZLjYcmQeO6XAqBDx5CiykIwVwYaCUhBHwMZIGB63FdWBbpdyD6RSKjF20iHhAGDil5xRNB4eED5HUp4Nzbeiou4B4Tz600A4UeYOOGyZF4l6OuSMjS4mFjayZ0umUXBJHbDjhBk/DiZ6SpGcSPzCVFQt5z6MoPDGXXJh33KUpmYk8Hr/He886WRaMnJg9QUeWckWdhGPWIMJUb/CyItASOBDI0I7MGBIJGwbyfsU0tmzkEhcSxW5/cHvGDNt5ZBuojwfauEPsGSupiDgmqeP4vgefcTuF0QsJS6Udi7QD41A5ptUJZTdSbQPS6G/zNfL3VvulUJmRVCX2LlH/wGpvjqEcI2IhjZAZf8BgewtJ6Z1DOsXkkVi1NFOoBVwPtAnrPMlDLwbnSoJOGM1IYSrMfUfWQ19ahtKyqyyDKvN0CO7dZ5bRWPIYyB2YAUyboMmI1pBcpHeR0ipdAf0x1EbpPYTOMOs8oRdKBxIV18PQg5ocCQO2HYCR1ig+85htRnm7xw8lvlS0N5jdwSmfOiDLGUzCmJZsVFzSA4o6OOoUwCriA2MdMNMRs0ikWlDzg96kwpiUYEqSHJO6V2Sxpk0T7vF0qWUygMeiW0PWgmwMton4NmFMQbZpsZliekNMkbED2wUkBjCKVCOjy7EBTvYDfb4/ZAJOSzoRonbkqYChwySPEkmiZHpYrzfqyNscaXqid0Tfkzk5OBjHwFgorYGpZox1QsuMMIzss8N9t9FStOD35rDOmo3YMpANAS/QGcGVBjWK6AHUPRpHSDl7O6cnx5oHproliCMVhl7k0BekRFJoS7j2Gbsqpy7vOHa31GOkUEg+sKsO2wsUOyo/YHcGSth5YWVLTO2Yh0SpQmwGek3U/UCUAgaQFIABI4COJBsIOUhlGYpItwhUVmAT0AhyMh6Y6isBFKHHtMJulnCLDl8q45AgS4TWoDsFtYRxpK5HahqIB/eRkSkyQHkD5s6je0tsRupbJYYMuoG6i5TTg/ONezBbg28cYrrDb/4b6m8Val7wHYVabjnjg5zSS09DwZYZGYFj7dnFitfFJ2y05dTc8mP5OaRv+NyUiESecInFcsWUEcMxe4a0YyMTWoH3dknSikl5jyn2bOWEXfhAZyuu2wvmcsyj/P/iTK8ZUs7KVEzMNQ9J2ONZmpFHfIfliBue0/TCIoOqyFgPgcw4JAk5FpM1uEzg18AmZzQjLDLC2DFJOVYyctnyVHYstGXQxDTBKDlv/Dmv9BFRcnJt6V3GG2p2ZoLHc8kjBrW85CM6aYGMmDwfmPBKPmFFzozuYJsHOnJ2OJJNlPpAnUoyEzFkNDFnWwgcwSzvyTXQUHOrc9p0yv3uAqOBs+qa33/7M0i/5M8f/zf8H8Nj9vVjnNuw4JYn3LI3C5ARmyI+GXyAvo343tO/y2BlkJmSz2DYD8TmkKLeTXrcTyJqInEw8BqKh1tO4g0ZJYFn9L5mVc+5kRMGMipt8A7i2YLL+ogbzuiioGFLaJR9ecYdFZUOTNsVj7jF8JZBE59yhpGOc96x4gKjx7jUMdN7xN6Rpw4s9DYhbmQ23jMLd4xW6M0zHsgJZBQa6M0pb8YTjuWWU3tNyTum+sDRyy2TZstp/Ybp+e9wm/0ub/UCNXvaosd9AWeP9/xIfknQd4zZM+ppx2t7xF2aoduR227J17Nz3mVzQifEcSStespKuNcJr/mIXEfu0mM+yDHzdE8vE27SkjUzarPmRs/Y6Se81E8opCcx8NouGfQMjYE36Tm/Gj/nxHf8J/bnZGzpOGLUjEDONUve6wtimvGIiHOKUOGGAdWWOnf0nafxBZY9te7+zs3Ab7s2FGAEZxPiLaMTbCmYFNANxBZkYhGjeDoqhMQex4Yi9szU4LOEngmm6w/WygpMEal3LW4TMa0wWQRUAukE0jEkZwiVIXpFq4JYDBQ68FX8D6xlzs5PCKoc655pihQkfIpEPHtmjGbG2fOeuL7C5IePgeYZaR6hi6hxUCrMABUkFegchirA1JOqhJxAnDryJ3vUOMZiQZpk2EWL1gldGHQ4TMnckDC5oFiISraCajoeQuLEEikYU0kbR/zckwqDqyCegC4d20nNIgVS3qEfC+JG3ENEcqWzBTdVTT5alnPBPhoIs4DUDm0sNprDXnepYASLw3tBygDBYB+U+dmGY7mn3Gf4t475UvGTjkIUf2cRSeQne5b1NUvtmI47ZrIjmYzQj5SblvxmhFGRHrIrQ9o7bB9xNjL/NuBuG8Y1GBXijYBAPM1Ip0Le9Sy2gSrlSOfIV4lnbsNif0VrlIkVmqahqQMjcO+m3JWn3Jmaq+KMP9Wv2JiML+SOgYx3nHMqH7CiuMwQnGWY5IcVL5HDF9kljIU8jUi7oqyVOIlkfUA0QYpockiXYBNxmcJjhVXA5YK3I0VK+I2gg+IdBLHs7YS9H3HHijqHliMzd82PpYI0cmlPMDLFpzWLIVL1PWM9MjhhV+bIk0QxOay5We/oe/BPFT4IRgbCEniUwQZoR5hmdM4hBjR2jD7SHZUUtsb5LfoAnFriuWOswSaHupHRCE2aE1PBgwv8+Lf4Hvn7qPvfPSLbjRS7Adsr+wT1mUNmymgigZqIcCKX1PJDTol7TmJkoVfMpGMWCoTAxo2004Qmx8wYZnpP6xuCOaHLAj0eMQsUSzSWnZ7xsjli7jrqLHF23ZP9xhAHg84i4xwysYjLSN6RqcWbAIXQesO9n3JtZvRYdlT4EPjefUKNoWRHYyuyaotLhlv79ND72Yrl8T314gpKJY+CmSvcGJgJJMEslTgDPYkMLyxGEvrBkzqwzpN1I+lbQ3oDZmUIbcQ8jqQji8wFnUVak3FZzbgyJwRykJyvecGWGUmP+Cb8Hi/jZxynjsE5ND9kRUkmSAVMgMqQSmXwEHBoEh6k4mbyMdMnz6jqnqp+YDpbkVxOKzX3HPGap9SmY8UVS6MIENXReQ92oKJjg7CXio2xdFLSUDCJI/cSSdrQ4ejFs/UlV1oyGH9A5UriAzM2BibpAWOEHQtiNqGcReYx4sOAFhHOArQ9tokUweJ2FpInTQO2SBjGA65aalZSUUkity3iGuQYJAniEuHMkZzF5cBoGbOckOeMdJBgMMJGJhgmiCpTdhTAPG04MmtyWnbylo4NmWnoqGh0grGKSuSBOY2pyTisnG6l5L1ZsE+WlHasTaTFIMnQy5KBSBALNtFgeeCE0iR6FUYyMAFbW/zxDK0E4kjVCFwLLnq0BukVuXGksiALQh4HTqfvmcwNi6al+1lGHivOfpRgtmesM+KkwqWe0SbyQlloYml7TKUw/Qce3PdDXZ+ecRYDmdvgFLAFO+u5KpULmTJ1PSID+OoQDcAIchC/NIfheaQtHO0sYl1PfZ4wtznd1w2TM8v4LOMWB1pTmpYwtpRHCmuLy2CwAxFhEKU3GaNMuDXHrPE84QqbKckESiNM6kQYB7xVsqVBu4HoDKmoCGVCP4k4D7of8FGRFUgHYw7jHPwSkhcSCj5C6Ymn0H2XcR/3LKoMWwCFIU0dhnCAp5SB8I8r5NNIVu7JPlgkRFKewB9WbliWDLMIMpIXAhMHDDAm8k4YWke+Vcy0Z1cqTCKltFQYknHoESRRBqsEhcXak3eRlEUk9zDp0TJBNmC9MhYAgh0gBSAaJCasB6YB4xO70eCKE9ZFSzEfqYJBox6oQprY6ZStLOkZ2KQOs71hsgHUkhaGNDd460iXBv08EY8T+2RYu0eos1h3R+8iIbOoCmYF+g7kS4/LOkwYYIho7dClEkshUGCS0Dul8xBcwCCk5FDjCEBLQZCcnakISRi9IS7AzxPKwK2cUZoSmwaSgXbSYZxhpEcpGSSjtRVttaDIGnapZTAll8nR1cfMj4X9omJv9zxPSkaLOtA6h7hDRWCa0CpRGcO4EWSi8CIw9jk0U4SW9qhgGCfYtcOsbigvAn6bIZsW30dcLZipHMh7WUKWcsgqrCAejwcBssyIk5H7XJhLie63YHr8TyaUaQ+SSGXCnE+Jqz2pjgckfDNh97Jh8XkinhhSH/B/wzP+two1P+XXfOBjvtWPuOKEPL1jJNJrRp5aTt2GX5uCV7xgzRUX2W/4ff6UidnR+YpVXOCNsqamNDUReMIdGu9460YamfOd+QhJnuPyhizbc88T2viSG+t400+YpyVPiisW9prX8hGNlEzkhtJG+vgl85R44l4SbMcdn3IzWo6yHctqye22w2YTVHpKaXH5jrww8EsobpXtWQP/eU1MK8q2J5savKy4YM9MEm9sRZlaon3gTiwvmbPA40zDKI5rrehRcu3YkPMqHfO9e8yj9BLLQB8T9y7jPWdcMuE5K+YSGKSnkZw9OUESJWsWOAxTVGv6lLEtBc4Dua5JaeRWLnibLmjsI97fnoFT/iD/K3768i+4MCu6i6/4H7dPuC9OOXENM73iVK/JbImhJZcGH3tsO9DvDUVfwBvobxT/U8tkAXfvGthMqU6FXbVGPz8ixC3pXQt/UuBu73hmLpnhsOlTkhQ0xZxVynCmYcZrsrKhfX7Mq2rKPaBhT2x3JA30RyVdykhjIusiF9JQ6iUwopKD7Jlxiw0Ldi7SScdS7pnqJS4JUebsXIVMGhbdW47DDQ9MaU3JXqeAo0iOG3POq3HNj903nJj3LORbFrqnePmBk/UHHlWWqYf/++IP+F4/Yh7X9CaR/W7Bx0/f8Yf5zxiT8Lb8p0yLjjd6xLafobc9t33NL9JnvLEXjEkIQ0+63jO9iGzThDd8xlQbrjllS8ZP9YZOLNfpiJ2psZK41gXf8FN+pT/iK/lzotzxmhfc8ZgxFnw9/qf8YvOMH83e8kX9SwpdEbSikcgez118xrf2C/byhip+T4Wj0zl1GGnat8wyy347pcvmTE3HTP7mNPF/KBXJccZjrAcKfA1F0eHGmv11gjWYc8iNkhMPFnUaJmbNpO0p1DKWCf8M3PtEjsIEnB+obwf0KmBmlmnsGAXCERQXls42tDPBTHqsy3lIGcLA78iveSfnfOACo4k8vWOi1xSpwWnCmYKtLLlPBc9f5Pj9B8zE0m4ixSzBkZK+Nri+Rl2EmWDuLDSe6twT83vK6UHsnj4e0ecZ+aeRazswsqSZVcjxA1Ip5gjM6JFhxI8lue0xOKRpyK5hbiKhVNaSUDGgEKPiqhIztZAr9gzihWPb1RyzI8xAvlJiIcgNmNxxX8y5meTU48DcDmgpPOTKsqiwDw1EIebgS4Ac+IECU4BpIH8rnD9d8ax+z6ytMK8G8n04BKSXwCVo6pnbEYqewtxSthGnhpT1xPse98Yhr0doDLo5NHHkIxSHoOb8FwNqe8o50HniO0UcyLkyeRJJm56ZB5NX0FnyZuTJeEOREoVT5qLcrRqasxKnQienPJhTPugF3+rH/Akf8YlecxLvsc7wgTkTucJmA1lZo5owywpST6ZKHwGnZNaybCP2fk21gO0ywD5RDUo/g0HFoR7kAAAgAElEQVR6zJCwe4fmQvks0q0UX5aMVUJ0pFgp0iqFB+9ybtOcxnYsz0a6WcXghOO045jv+W6ccG3PEc15nlZU2w3ZrifmQuOhnzpC7rDn4PtDE2KtYD/KsNctMirxxLB/MSH/NmCbHpbCuLTkybIYocuV1fmMSaw5ig3SQO8Lxkc5Oh/IhxHrBkZJ3KQJ+3jCg+Uf/OrT9T97xnx7S/7qjuzKsloH/GMDy5GUIrvskHv3mFsS97w1x4ycA5GlPvARH9i7Cp8KdqYhTXqSzHH0nOh7NOtY84g779nrKcg5D8aSUMZ4zGpVcLzYUNo9y3fXyC+BqUGfRZrHEGoQK7Qu4pJSiJIqpXOGlSu45YIVJSsGstTzPR+xkHtq47nnEVKMFPS85ylXPGLuhE+OSyZHQJXw4UCN1LeGbClEH5Bzg5kInCXMlwJjxA0G+U0L1QTTBtIvR/imhndKegLpK8V/ZsgulGgTbTbjQ3bMO57SUbGVmj+X32NLhU0Lvu1/ypv9CY/q99wUwsVUaFyFKYCJQAtumjDTBDYnakUn8F7mvJmeUtQ5Z3HFM3fNwEsqidzqlMv0mJd8xJJb7ih5ToakRGfgocyodKTQiJJz42oaSfTUJCYERh40YNUzaqAxnnuZcpUOtK1cEndq2TOhlJqprXB09HpCkIqj+cA2dlSbASkH8keKWSeyt5DFSNpFpFCMS1QFjPQII6OM3IujxDM1A84NsATdCVEi5swyoPTbDq+Orshp8xJJ2x8cTiNbKTHMKLhhprcsZeQxHziJD5RSsrNXNGzIpGfLOb3OQTKiZKz0hIYpufZ4J6yZ8T0vqPAkc0+rD7RkOKCTmj2JTipmlDSpQiVjJJBSYjAWCLhywBWOznRUGvEbJb4W5Nvh4CqvPdKNpKzBt4npzZ7pJxvi3OO2hu5P9hR7pXoQ7MWO9EiIH8/ADIxuysQPeBNZZluqWYTFfxyOmqvz5/j4wKl25NsBax1NueA68xRtxdRs0WyA2iLegPQIgg8QJ4b90rPNTulJGHNPpltcXzH+m1uKLz16MWFfWgZjybSg1AcWpwki2JnHeCVDkeAZpOLOzHmnj7jXkrkO2Cyn8QOLYmTSbTDrHlcY/GlCxoRLii0n+NBRfAZaK/obi1dhvAP2Fi0d9iRgnhoEg4qiC0c9D1Al1sXIOkDyNc6vkKnDdAnTJcQqfT0eICy/k0jfK/7Wo0NEpgoljIUhPvGMmVCsA9JZ0iRHJCCXEbMT7Bqq7UAxuaGbRexRopaGiYJKj3kClpHWREQt3DtcowyZw1RgS5AaNFesE4IDmYDslRAOGloZFMpEPAm4oIy9Y19U3GWBC2dhG0lwyGNJgdbkrHRBL8pdfKBuM+IdGKPoXGBp8RmkNx10nrR03KjhjkdEVZZ2JBpDm1tsipg7Ay8P+TA240CD7AzuyBFOOmLlGeyUPIw0EuhzgzUdhQ5sgyVkOSEpe7HszYSWmpAsrQ/oEbi5QcVzxSmIYZLWiO0xkwpn0oG4pYkxVKxkyWX5hJnZI+GagSmtMaTJGUwsV1rwID1nvbBI/wHNQGdzGB+INsGJxxSRwgv9JlB+Yn8YvlpMKIipYTNZ8L5/zHRXcHKz5Tjs0BuH+zPB7wWOLRxFyITSAgtF9gP1AjgVpFUoEmYGO+uYhhJ9uAPTsfgnM3zYwfcFft5jLwpi2hGPwGlEtwUPf71m8V85/IXC+m9+H/2tQo2KkqU9FsuWj9mYCz6kPY2uMPornujPeRrvWPGCnglrWXLEjqCJkZxWPC0X7MNz4viYMfOsbEYwNb1eIMkT9YoJG3aSo0RyOvbpiG38knF8CvIar4GT8T0v5K948DW3+jHd+CWjnlHGr/nMfk0x/Iq1OWKvXzDIhGgV/EAwkJJgY6K3I+H/vRa2oa968GDGkeUQ2DHlvTxiIXecth+YWMdV9ggTn7HRnAfrOOMNT7sVH0nLqzxn0OfcMqfUI27NhDs+Y6KRS/H0PnLPU271Aqt3FOkvWNiXtDIhDV/i+3OcfwvZGtKM3hwmoZX2eDMwZDVmaKhTy7WdsuEpG31CJzVzueHT3Us+u7xnXtxzEi6xySBtAfKCrf0M4q/5onvHPxt2vKo/5SYt0JghavjBHQmqqCiD/UE1VJBRIDqCtSTTHVYHRpi1SjVGNM/ozZxOSjYy5Ybn3NivuJPvaHJPa1bsXclt+kPuw/cYeUuUkmv9ku/tT3ku/wtnXce+2dHMKhrmNFIABVZP2JkTdiZjGz7mPj4nyw2PzbfkKfFaI5iKYgychi3fuQkf+JhbOSXSk/BoMpAqGlmykUc8GW95crvFvUyYdYJqzRdHDY+W1/yFf0rLBUlnGHtF4d9znK6Q1LM1Z1gJ3MqXdOkUHRNbXfLB/BgniWXYcmsjhoZjbZk1d9R5yyTteC/PaOyCtT7mSs64k48YOGCyRzJ2ZskdT3lP4lgX3IyfceUM0W3pU0UUR+dL1ibDEghEbjhGmbJOP6ELT7l1gVV6TkPJXuYcWyEYD9KSm0uWestkvGXiH/5uncD/H0rmtD7SlgNqAmKUwUGwc6I2mLhDUkTISFqTJJAlxdtDOG7rF+xMYGr2h7USYFsKeWEPB0wXGWpPEAjmQGbBbbjLaj64j3EkJtyTS8vptuFx3DMWOa/LF+xlQut+Q5JAHx3WWzamZG3OuHQ1L+tbjmrDwjiiJFIZaEoItVBlHeKFpjhs9NlKULNnP0vcPzHclkI4yzg+L2jnNVd+QUDZ+wEplAKhGiLRj3w4B7NacVUnOItM5xldNaXxkcLmpMRhBx3H3le4XDmtdmA9rYNe0sFB55QuB+sjMbPsM6WwoFERhGCFYA523SI6NOQMmZLbhuAPWXSBkp6c3lSMFrCGYA1rc869nDD4mjfTM6YVdHlLVmXEaoeLOZ1d0FhQ2WJsIkbPaHPUGKbWsKt6qgbw4Ilsp8LtXJg6YdYKg40wFWYyQxqL9ltcaIl5xTqv6Oya3A6sa8tWa1yeyJLQFoqkgWkzo+wcvR24ck/5tfspmV5zJ0vWPKIzgU4Mo9Tc8ZRHes3gLEMWGX1klyW0MAdhMXagORqVhKDOsatgWyrWKU4SlkMAsgRFEwwZ9GVGX4H6Hpv5H+6NYjLLtkhQbunsUzb6HIzjQzky0TVPdu8ox55vinOu+YRM9hy7kibr8dJRpMQ6zbi0X/K+cFyY9zyffsOjpicOQrSB7Twxxpp1PafLj8jKNUfzhrIK7F1BiEsGV7OxIyuZ0jmHjxYpPH3u2SdDnhnsGKlCJFFyay/YmWP0PwLReJ0pMd8z9w3Egmkv+C7Dt4FsUzLPT9FijeTXdHmkjTkX7i1NOoKUcWofWKR7dinDuRNUB+CKgoZTrsh0Qy+vuDbn3I87HBmZqxnp6JJhlyDanHs5YVtOKPINQ6Z4b4BEbyPqAqNYchJIpBVoXMmQDFYg4dhKRcoM4w/35wHhhkdksmOud7TJM7Dk2jW8m07xMzidbrkNicwAk540hy6HxXJHzJTCH2zxo1NS7sCD85HRJHwSGA+7BGrBRIHeQggYqwgREU+QnIQhJ1FoAxIIqlSyQkxi8A3v/FPq8iOu3ef0E2V/MSKmY1ic4uY5e/8ZWwpWCK/knDf2iMK2WL/n6GCuZ0vGNXM2zNFk8Cgjp2z5Esstjj0BTy8lRhMlG4pkGM0hhHYg0ZgJ73lCxwloQ8IzsCDpgpQqgsDInIyCFkPPKTkdXgwjwlpOuDIjM3+FFtB2kC+V3TG4Jeh8wBdga8NDNiHYOa2UvOeUDRPmxnFvz6FaYzLIncXowMbk3MuCuuioQ0dwnsF48lQSyNjrMVt7imNBJzBKRsmG0RZs0pwNR3ScEvl/qHuTHkuyND3vOaMNd/TrY7jHlBGZkVlZQ9bcA5vdXWRLIiUKEEAREkEIEKCNfoH+hwRoqY20kKhdC0RDlIgeSDUbXfOQ2Vk5Rcbo4eHT9TvZeM75tLipZfeCLKjQ3x8wGMzOZ5+957zPawhpg9OJkVyhU4mgQBfspjmTeImxFY3kJMagKpSqUanHklAERIGkhEstmRaICrQDFbCqJSVD1I6oNUgkqZ5OZdQ2oPyKQWeIUSOqoPE9Kkt4ZyF1ZDFtrTBBk4Lg6YhocLAylpRtn5XWOYGCpfKcFQ1qfMV40FL+uprIr7DmxjE0kQwNtWbgMypvWTPkJt/FTZ6QpsJ43LMqwKmCjpY+G9AVis73NCrjKg1ZaAO54bAbMrm5xKxyQusIKLRK2GAJlFwNt/YgM+xZ+RFJjXHdCpSn0jlrNaGhwCaH0p4kgagDvesJmaXKBgTdMhrWVL2myT1ZVzGdwuuJMN6JtJngHCSdqH1kZeFgWEHytBn4cc1mJnSFIYw29A5CvmGxI2TTmnUPtoLSCFELWvW0LrIZCnYsqAR5IQSvWOaCKztINSYmlCiiDkhKEAwyDFyPIjrT9N5yNtSU4xFXbgvQXdgNw5HCh0jKhKQjVbEmDYSgE2VZs1YRW0LmIloZareN+s5KWJfgnWFjd7iKCTdYMKhWtEaxzMa8UgOimTDMexb+FJUVzM2YJXfoRJNUz9IPeD0KLPcM++Mx11lgnC0ZjVsWaG6KI67MHktKVhzjpcegCLziNg4TV6ASXQE+a2kNWGuQsqcf1Fx7jXMGUTkoy0YirRoTZErPiLURlkrh2GOthI0aoSQDPIEbGg861yzVLmvG3GJOFmuiNWyUZ4gwSoqQQGGpTMZNnqFVR0HOFpFvKfSCIIFgAjVCZSJjH1gPwA1r6jbRGkFG/XbOUhGrLZWxvB5AphQ71fYYU2UMF3lLZxOqahjEhJbEi124XQhFEdlYYZR72szRSUJZwyrPUNkEazfoXHHlHb3aY+A7GAu5i3D4ms+PI7MmUU0Kbg9H1KMl80HDXiwYWo0BBEtnBTL+3U7UfCzHHBkYxJbX9YwPXx7x49aSnn7AP3235dv3/pS/m1+i5Kv8m5sHfDx8h4giiaW1lnU0hOUD/vxfGfTuu6x3Ltj50j4p7rF5GvjW/jO+fbDhVTrncfwqhJccyI9pq7f4yf+9y2Zlad68ovuNPcLZht8afcT17D3++8Xv8Okfvsv47QX/1aPE1/WHfMdeMTR3ePE6A/sd9CyQ31kzPJtx8yfnLDcn9LsZt04GXDUX6Ecd7/0XJ/zkF8LutaGII37CA1ZxQkivmV7/H/hJz79uH+L+8hGXP/2Eo3/W8639T9h/eU75+gXHXy148uSEj29uc/uth5xfntLPjng9VgzcHlOfWIQjXj1XHJWGrx5ecJsf8QPzHj//l56f/osz/tP/7jaXBxv+6uV9JF9y79iwqy75cThFDfYIVc5OqFgqx7PHgQ+0AgN75iPeW/6c0f/ZEIxgvlyzf73i1R/fcHaww7/cecQ3bz/m3dP/if/6jvCHhfC/n32DxUcJqYRyT7guA7ocYiZQ7cNof5+whvUCYthFPa0o7+fICdSfN9RpTi97nC4OOdd7xPGY85T4rLnHTz98gLr/Xb43+r94R16w28/40R89QId3+Dt/8AuUPebn3x/xh/FL/P7wHYaLNaYP/ETe4SMeMZeHnD5XrK4tX38vY8jnfPbkLj/65C3e+P3IbxYfc5cNH9QNyD7CkJ16iS/u8XF6g19eD5gVHY3fZf58Tv/6gotvvMv/ejbnn/ZDRv/DP0f+59dUS4PLE8P/8lO++z++4vt8wrX6La6XmvzYYH1NzQ55nFNQ0ccJT/oTXi2gG054/2nFdLjH23vP+OreR5yNd9jpDZ4Ft/2Szzljpi6RtuWza0HtfYcfvW44j7sM9gz3/YykRwzkGkXPn/zyy/zg87dpPl1z+J9Hjo+X3FafMDxOdNUlKZUMtGJh4MfhHm36Mj//QFEeGOajXY78WziXcSUZt7oV99uc+3LO3zn4EUf5IR5hKKe/6tng//fqVU64bbj1+7u42RIz8SxuHbNsZvjqitQDEeouo6ekcdcUMqSi4rI45KK7h15UHLz4mOwzRXudKH/3Li+KFfZoSj+z9INA3yw50QVZAepYcza5y5+Gv0MfhK9mv+Bb4a8o3l8TXgs73/E0h4Efm4fctU84kis2ynEhQsoLXtYlz/KvsRi85vceGiaffkzZN8iOons0wX3F0WUdPS3d2wdcmQm9XXBLVwz/4QE//YP3+L55RLQZe/UlpmxZYrjFOW3xmJMvF+hPlqhf9lQHhgf/yyM6KTgpGlZZ4ANmfODvceSvOAinrENkpB2njeG5y7n/u28w1T+FLmM0uMe1FJi0Qg8D7Fhisc/aCPqwJGrPKKsp2KDI6GtNdgV63TE/bDg7echbt58zvHtFfDjjhSt4zITdZp+DoxJ3t2H9zl3+ovgmf6a+TTHNufrOPrlcYnwFSiinCZcSG7VlZY3lmp3yGm8ynoYBxaHi3uEVMZTkpwPC+mP8nqP43T0Wt3Zp1p5yfkUaL6kHQ/wvMq5/8Tk7H2ztQi/fHnJ68i0YvyST56yHe/zMPKDXhm+tL+BLN8RbO3R/+YrJHIrfnPCpvcUfy9t8BeGYG477FSNn6JRlSc5zOWHUntHsj1EHwmUeuPdwSHEt1E5Iiw08rZG9Ic8nM2492GPwnSXlHcdmF2IPRTDUN5FMG7qLjnaqqO4+pJ7PGWQ9Tm/oNHQnBdl9z95dYfXbE85cx9P4JZ7HQ4K64R+Yj7j37CXLq4br337En6cJj1ROziH5xDNSK/p2yYvuDf4o+x4/0ff5svs5/+ytnGP7PvmzFjUuUP+x5wf9d7i0R1RtxexBz7E8ZVQ/Qfp9PuOYG62xytCGnn3dk+yK8QPPhVky0J7JAbiPr9ELjbDH47hPx4D75uLX3Ur+vWtMYsdBnhRcGdLPhC5UME5c/ps1+ev3yb8O/fcE8/XAsY5E9YqkjzlLexiVMeIVd/ycpexS6ZJjrtnnjH0W7HPFDp/xWt7gQ7fmRG1oKHhGwYUP7N3eYUfX/EXzJvfuf5ffHPyYsWs5P9hlKGcEY2iSAzwh1TRGaPWQVZxhVc6husKw4SXHXKR9RnrNq7TLQg2p1ZQCoWeN0dskmNN4xPM3vsS9e2tCPMc1wuQmImMPxqOOBqRXF+imgyyiV4rrxnB4d59GLkmrGpcrYg5VWDH4ypjya4521WF/aWEF5h6M88DRpOYyW6AI7Erilr4BhLnf5QN7xb3hPRRr/qx9l5+ah8ybks1wQP+lf4h7d8Kic5y3I17+KCdTHueecu+9Ma1uOQqv+Yr3WzaP7jjlhM/jjI317Oklu6HipdzmuZywJx/zZfcRTgcu4pCEZt9c4FLF83RIdCPWYcmZLnmm79OExDBW7JgtZ+u608RshOiCutfs04G1nMYBEuGuPcWbls/lkPM44HD0mkNvmd+6RfdOwc7fP6fXgc4pWp3zKh2x0YagPM/TPk/0bWoyLsXzffdljl3JfZ4xMjVlZ6jrCa/LBwzcgv3xDZ1z1KllooWFmvAq3WKddiisZyO3uFYH1Mw564eI8Vz2YypzQAyJW+Ylb6nHPDBPeMYBG3bZpJaH8pRRuuBaHXEVPUPT8lC94iQ94cqMeI0QVU+GIqqAFYumJ7eJIjUUao01LV4UgREZLS4t0MZwTkF3x3P3H23g/+lYLwLFe0Py2xPqosKXObYQxHW4vqBZN/h7gE/wVqL72i7ryT4vdbHlLnaR8zjhpTnB5gf8zjdKZpNf/Jo7ya+mVl/kEy1TwWp8QhEETUCY8lFfcPsP7rP73vss3hRuxsdc9prxdM1zdQ9JNSf1cwxwag+Zmxl1fMrs0vLqj+DwoqE92DDa2WG6uaB4tUYGB8y/dMLQNwyoqH3JOhbc3izw/TXmaEqFoGMkW17SN5Hd3cl247yMtMMJH5ldUp7zsIRFE7guS25PrxgMHnO6c5+df7LH4Gcfkl+sUPsOewS796dYfUj94StG9zTuOKe/u8vNIOeNbsXh4hp3u2T0PYh3K6ZRQ+vQ5470by/wv+yoMwiP9pDdnFla0Fz16NEecdij8wXTTcQlqIPgU0taCbJuUF8bMngv8eTOEauUMfnee/xSNH+lbrGRKTveYcqn7K4Tdx9Y9Ndr3D8+oP9714zmFnxG2MwpdxzKlNA1SCn0O/tspGayM6TZK/hYdrnO9un0BUfjUzh0fN4P+NS+wSexY+Ofc/tb8MyO+XF8i9o+YNL8kp3C8Ew5jv/RCR7Pn6VDGgO/d/cjsu89wb3xgL+w3+D98AivHKG54cjBtRZmtibUHfEi4B9MKX9rA0cK3Sn0SYEqM2IeMXbK6wBDt9106+yAs3jAa7nLORM2tqVmzXn0eFVs57jUY8IOs0lP+fZnXO8e8Gm8RWUHHISf82Y647T3XJkxgzYRP32MnQ1RByOM7chVRS7n5Baep4I5Aw77U0b1DeLH9D6SlYr0rmJ34jF/32F7z2icUU0zQhkZbG5g7XiaHfORHvGobZn+8ozFhx77HxpuH0Zy0+BvH7BKBiEne6TopIOrcw5MYlVa1kf3cEpYyJBrvcc5ExYSUVbQPkN1a7K3r/jSf7uP3VzBrOCd/2yCNAP+t91HnDQLvvrGiNdly2Xj+frbijQ8o+8HNFZwB+1fu8b/RqEmSYbSK5QsMFqRwpSNOHQ8JPUlI5lj4hxnVzR6wFxP6DVUEog6o1I5Yt5ioXKM7JOCpjWRNu3Q0IKeU8gKWCLJUsSWCZe8CF8mdPtQQ9EpRMbY1jI2FcVEOLf36bt7LM1jUgrs9WfYsGY2fMowfpMuKTrdoU0kT6D7ARVTDBEfBS09lW+oCgWuxXY9tR1zxhss1S4rZRGlEQxtmGLqAVLfRXcXWDSeRCaC7RO63SHGHTapo6FHpYxGjdAsGaWePIygTZjsgoG8puQjJv1D/OIuLHYxbaDnE9q4g0sGHT0FPZkKbFBEVZAS+CiY/gBUBoXg5YJpuMEuBckVZVPg6wy1sEip6HcesZSfMWode+0Tpv01us/xmxEtDZsclBaMbIFZsUysRGHyrQqdepCoCaolehApkCQErUjBkidFxgZRHY3WxDCA/oCUtnHqvrWwmtKbN1h5S6sU0h8RuntEhii9preeK3XCC+6zMfe50DMu9JB3+ZxB/Ck6jEj9LVx7xa18zZ6aAwHxQkePDxUuJmo9olZTGpVYqB0keYrmnCbu8sLfYx01xWpE1SrmacCsr9hdJ7qmwtsatALVYXRNJBDJ0OJRGBoKKr2zBYFKTacKWjlCc4bRl3Q20auGYDo6E1kg1MqxYUrjbnGhoHUl65SDrmkkI4nDSoulI6ld6iZhqh10fA0pYeKC0s5JsWUgjllXM/cdV/YuV3yVkNaofkAdPZ39jI6WRo3Z6B2C7FCEU+60zyH7EEFh4uUX9Kq/vaWBjW1oig0Uwson5jqjURllsqgIohUiCicBxwatxjTKsVS7zM0RY7nCdAYTgE5hUPQo5llOmxUk5kwwW2eGErAtNXDDHpUqWXOJ6z/DVgrbeHQj5LpnrU6I4nEpELWlku26Soy4VifcZDln9gX3nAKBpA1rk2HyhLcRCY6lH3Kjh+TSQ5pzXQSe5AMe84DK7DDJX3FPXm8/fHpOsIGqaMh1wneKJiZWR1e8sNtdQi1jFjHjlb7NiESj54hVWNVgdUvjhMYGrEnUUnM5SIRswE49QsuCaIQb77mygUmuKaWhcw0tiZgKTHJI0Cid2JiCJ/YWe/6SnQLIW+am5JojNn6HSieUSzQe5m7KJbexWJ7Y10yxGGqU6hnSYmRJSsKYDJIn4GgYcO52KWgY8BjRPZPSkHJhOO44n1Y8NftMBo5plwjlgsbD0GS44MnqgIqRyuZc5EO8MYQUqTPNqRnRk/PQr/Fakbww6BTlBpoEDSWx32GkMw7MBVfdZ9zSDdN0xdpNWFAyNxMqm1Pbms5YKrvGly1JDchaBT0EZbkyY6TwHJQt1mS0xiKpJ4uWXkOvFSYJBEPjMza5okjNNmEBIZoAeaIKHZthQa0TizjlpXtEry8J7SvytYJNRky7rO0uG2oqxkQ5Z8iSvo1INuHCvctjvsLUCNf5DwleiEZhnGPjNzzOjjmTN2llxVzXIHAsEcshc73HCs0QR5V61mZNp86pXE4tDQPJiKlHYJuRniytKkmq4G95+BwAEsCivrg/QxHArh0ozeSmYzDXhKWDvqO3isQcR4PSC3o3oiaR6RZDteX3kIMIw7RmR27Y16fsyQuCBAY60tGyQ8lNOuLSjMlMTS6GjeyydEOia0jZhoW5TSYFvRKq5EFKSh0R3dFpRRdzTIKBWlCr7W5qJKdMV8zNiIqcVjxGJvSqwZDQKdKYGa/ViFMT8VKRgiBaE/OWfqIw04Zu2TAIoFAgJaaLaA2bQlA1FL1B9+BTQOma3gcQcK2BXoEEbEo4aXE0hLRkhODCAiUt2hwy0SUNiioOeenf5LEa0aCpGPE6n1IxY4WlWs7AaegSQ/cIZxckfY0RQ6M+hxTRZFQcseYeHXsYIOmGU32bK3XA/dRxV51iVM/CZJT0jFWPVw3ono6OqB2VjLjhIXNrKJnTmCWF0tzkAXRJp0qcdyALRHmemzdAMjJpGOuKU+7S4dmoH9D5xLWAmMB+WqCjBuPolGdpCrptpjktO0RmKKnpDSx1yYwcJxqjAopEngxOALUFtG60JuJp6ehEE8VgpCZjRScWJwpRigt7xFofcWHGrJkQXAJJvMEnjLgkkwnnaog2LWW3YNSvuPBvs2YCCobdDUNZcW0H9MaiZBvnqwS65AmmRPgiqpuAJmGTxZmOjBU+LRAzQthl7QKbEQx1olCg3Dlt4dnkipFtyVWNSlu+R5QR6Gv4AsyMvyIaSys7NHpMcDW1KmgYk0zGmblkf6g5/HU2kl9RNewR5Yxaey65xdStmLAgMGBhJtSDBDcg2rJWO6y9IqKZyxGWDUmfI72jMkdcASu3RvUrJjUUywxXdYS0Zq/uUVViXQQuc9gJ8dYAACAASURBVMM6TxzRU6HoomfUKbI2olMiGI9NdpuG2SWkC2xyQ22EVhtWbpeNGjDRNUs6Lu2ICUsOjGLpDP1wDq7DBgWiaAshDAIq6O1fa0oEA0FbepO4GbYUgw7rL0hFQz3W6KBQ+Yas0fRakVU5g03iTFsWI03ZNSSXaLLEVZ4zkIaRarAWKgsZmjxEFAn0hsoPuHJDUmwZ0lNRbt8p2WWpOmr20PEK33VoDZIt6YoWV7doAloJ4ju07iCBU4pO5bRG07MGrahswY0MmPoNWmdoHFW/w4q7dKbjPL9hmDRLX3Kud2mYMTA79GKZm4Yr/z4XGj5Od7FSUskLJBdWZcdj94AnfIOJRLR/idaRVgUMK+p0Tq40SQdCLljTkbTQ+ppmEpgrwyrNaPEU3IANNGLY6AlXepdLmdLSY5jSmhu8KmkxdHqDdrtcD69Z5tBkOVf2eGtnjQ2DsCaaGSszIkig2BiyEVSqJ6iKksBYWhBHKyNa9onqCRaFjj1OOcQkWtfRDmE4nlN1LWoUuBkqolOUfSJ4xZmb8kof8tBc4danDJcFq64gQzA0VMayyXKEno6GLlkmK4WTROMD12WPU5obEucYnrPHhZqgUIwJZP4F5+6M+3HNSAVStuJ60tHPzjmV32YSNVFfUylD50qSD1/cR0YwHeL+Ha1PSsz2JTWKVgLoNZYcpTtaNyDEGbv9NWMU6ECFUMQRI0kEhJRaolqiU8SHfUIIjPs5rfRESVhZAR2CQ4lHUkGSDAho02297Fqh6ElGqKwlKMUgtly6jkQgGOi1I+9h0m1vdOUdTZ+TtNDo7dG5XHosFdEEJG/R6ppC96BXNO6GLlsR6TB4kJweg9bCQDeorAd7Q6kSA9ngZUNnEysv9PkcvZlQRsVCVtsEqDQnlwYfEzE1KB3oXcNGb490FTGg3DUyqkjOMmaD0gtKuWYaLxmoG2zaxpiKLFGm3wpHqQeVML0j03sMg6AzYZUJddHR+xYpA+SCMi8wdrl9fn1GliKZ2iBagG3cKuKJVhANiICBaHuUSYgySCYEp8k6iFGRhURBhWeBlkhkl1rNaK3b7ohLg1KBsE3gQ2lBsQT4Aq65IrmKym15EFF3aHqmqcD2Oyw6xX53zrS/xGhNo3pEB2ZxzSRVGBI+dagoqDwRtSNhMCSyuCaPQiW7wAarr7BGg27odCDYDtFCxgYvibyH8Tqyny+5cit6fUwXc5JyJIAk2Nhi9JqoVrRmg9gpoiNG1fi0wKsGKwYdNcM+cLxZ81C3HIeeB5vXvAyR0dShxVPJPp6I54ZClmjGW5uSqXGuoSsWiBE8HhGLkLC6xqQKhSBEylTRUXOlOsR6vFrimdMzISSHDx0+tgS1Tx32sOEAzxmBzb/3MPDrLyEXj00eyFDBMo4aEzqU7rbWEZVI1IgqIRQkU5GJUBDRLPDckKmGTms2BWi9HSbKFEElGjXAfEGztxaUaBIapSoyFclo0Wiih5B3dEVLrwLjcE1uO4JSdFiSVoiyBHpyFmTpFUNWqCjbFKPekAWD7TWD4FF9ItlI8IFSoAga5QwHoeKeXLDMO5BzCpageiwNJhlstCgRMIJVhsFyjGQtkq1JSjFqI7NsgTMB1SsMZnu2UlUMU2LcCNYYbDKUXaCLPaIS0SSMCLo3jFVBXgesivjeMTaaaetxbaIV6JKiSI7D0JP3YBTQwSRVDLgEEsYCUaMTeJZM0hljyZipS0oaHB2ahNdpK5CmlkKtyaTC02HpGKYNXimcGmL6lkFr6RKQwIhjQMakM1sbWBSQhO8tIQj/n8/TmAYncyCiyChST6Fqoh5i+gHjVqFaj0qWJAmdOkrZMIgrvGqpleYmc+wRMGKxKCCgWeFCi+89eeoYRI3tNG2WEfVWnIsIIsIwOsaVR3pP7BN5BBMj+guR3qSWqByNTNBpgQkrSJZEQolDpR4XIY8Wp4WhvmEWPydIjdeJ5CzRrBnKilE/wTvBiMYwIKQhqBWGDaP4jEPlOUgv2W0jtnGo0KNSxEfLiVxjw0s6aRhmgan0FL3D0TGxN4j1lJS4tGEa53jVkgWHl4TRYJRCRUALWi8p42I7nBr1t55RE4ynjwmlt9Ds2sAw6xGrabOEzSPGWGgyyo0jOMUoeY5CQGTJ1M/JpaY1Gw55QUHOWK8oU4tXFUklVmrMnIxGORrJUJQkZbBEIpFEwqoe8NRmBNoScSQFkOi1psGjo8eKp5WCtfEYsRg1pmZCS4km0FGylVgigkNkiJI5SjUkAzWKqDw25fg+I0YhmJ6oodOg9PYn/4uL09tE8IGoK5QkVNKAQZJCESCA6zyolmQD+I6UQeUhmoiXBV71RCyVHqDFbwWx2OJ0ROueQl1QcEUtOU72kBjY6JYMyzpWrGOOwZLzghFLRK7x+hKRGpM0BYqBbpmmOSkMWKEZqde4PjLpe96QJfu2Y+AFiR2FRDyKvDPs6JrcX6PImEaF1S/JeyFTV0zTgqkqGK4DZFN6LC7UzPQl0eW0cQviPFJXFG5DT2QmN3iz7ROOhiSClhJJHq0MFkPJBkxGzYDENlEtMKRCkVMhGDpKlO7pfUPjIkKiU5pOWRQREBoKGrZ9qVEW0KDjVgyjYiY9065nFIcsdEmrEwe8ZKAC1jhKaiZyzjBuMPELVjr1FuYpDqUCUTuCtmiJaAI9nl4Jhaoopd5ugKqMkhYvkFS2TeRSlmA8QgGxRPdCLwNW3rHRFUMzptclnYLKeFrjsF5tbVCm2YrCaEiKljGSxuQJEh2N8aylxKIYpCWTWKPS3/JG9EWNYyCiUVbIZUOWFohu0bQMVIXtt0K77i0uKYxuiSTK2IE0tLbDq45hXDIBPGvEdqwc5AOhyQ2N8pxnE4rims4LWnd4AkJEiCgJRCP0dvut0yiSKFZ+jNZzSunpooAonEQGYUO0CmFNriom0uKo6R0YiSjZMlxaJ2C3cG9SREuN+kKyBEGlhEfAtDQOBn670eZbQ5Kt1bzV0PsWfEs0CRsDZRygEKxEkAYbLJkusKHfKvEqkJJBVA+AE03ZQ7adMLdCJxYvPaQ14xTIxIByBB+3lk8Tt+lmBhyWZDqCEvCaGBMdBhVzUtIEE8EqIgGltmuzVUMyAScVIzknSEee1milUAoKlmgucfQoceQiZCknU8KsvyDpESRIKPKY2FEv2dUThgBywSQJO+qSoW4oU0CrAKogoVApkQvEXhF6R+YcjShULzgUvfFEpTDUOLmmIJKnyNB4euUJSshYMe5XmF4YNhu8gI81e3KKSmOKpBEyvETGqcGkjuAiVvW4lJMrw4qO7ewvjFJFrW9QKmzTI9WW4+X7iFVgBXStKaJGtRmyKdBO4VY9rso53Hga3zBp1ySb6H2D0S0hZTgsJgg6BoLpsTpSBoUPgM6+sP5t7ZzCmIBGs2GYWjQeqzwa2fK/kt6mhaHJgqDQ7Okb8lDRekuNAqUQk6id0GYdtdKgHXt/zRr/G4Wan5g3mckn1DHjwkwxd4aMNopG3+EvkuPVxe8w/tOf8OCrH/Hwna/yI3b58Gf36V4IqX7Jnd/NCb4j3RSYcwgHLcV3NBZNWC44Ga04UNc8DYlVO6axe1TLDUUvNPMa2sju2LNwDfVJSR07lNI0r2tSbvHeM89y5uqY6S/O2ZfnPDoZ8c+bgnXVMXw42PbtouFOL1ypnn7XIF93/P73DJM3LnnxQngwG7Ez+pBz6Xi6vMvP64qvX5bcCh1ur+Bi1xG+dJvZ7AVvtZ9z8PKKiR0xN0PW9y3slayjRuZL3vvSklV1zU06ptMGU0Zm9z1eW7QkZmLZ0ZHbf7fj218bMj18n+N8zsW9K8ruc37L/xyfnvD9/LdZF4k3XccsvORS5bhRR6YT4aMFhzsZ/vvPWN9e4/6TO1zei9gjw8FRyWVS5LcKTFxTVIbqXwXe/Ccb9icvCe9k8KHQP1bYkcZOoPaRMmmqdcv4uGf8aMArWaHvj8CUhL8EeQ2xucRctbhZznm6xfvyLULbcFPtE/YVH9eeoBx6sdmmkDzUXNe3WKgpgYj5RsZpHNF+mnF3veT9eMVCHBcfzfjwY0UbFrx3eM6JrXiqClaTGdw17EwfMzMv2EvC227Fv16t0IMJVdxh2ecEnzjcsUzjBaUuOD6ueWs2R7KI7fa5WEDVOL45VKz6xLPO8cMftDz4iz/iP/qDR1za9/hp/5s4lbjpDR/rHd70wog5Wq0YqDOOHw2Y/1AYjjX3yiseygcEfZ+1HuFDRzm/4He//yeMmw0H7ox3b/9b3v3q9/iBepub6ZhbouhtYJ+WXZbcqA1BRdSdHG80xW3NYLZhqjt2zVM0W4aSkWtu1IQax/10Tq0eczUdYCeWB4MLpoz50+sZanaHb5qfsdO/4Frd5fvNMTu55pvlhhuJv4p54NdanRLGbSK9XJHaMfoykEtFnO1QPpzAa4VxYJ1iw5TX3YDD4jGDPuLMDc5mDHygWLcYrfC/8YD3yxmFNezENW3KuPR3UPZzTCH0DThKLjliY3YZ6TWZWoOJ6KOEPYHNPlzpEW+olyxVxifqHVaxQGmhVD0u67mnPmBXP2NnfUb9cYNcJdztSHiwoJ0NaM4qJh/12NkGeTSnzxybZx0u3zA4OmVXO+5oTykX1GqXUwwtgVHbs/lgjV/nTGYd2TrQ/vCSvXcL1odrTs2EJ2aH2rScKcfUz/BNQ4tBbM6eaggvz7kpLKa1uA8uOBlmbIoz1L7g60T1L55jbizpdMu8CQsYalD9NVUnxH4bBTy4vOZe+2MGF2vWnyeKTzfc+50zon/NiXmI61uSMmwyxw0ZIyXM5JKcnl73ODaQSs7SEa3OyeIFezayNBm3JLLPirE45vGYZxQM7CV38HD2GDXJvoi97YkqkI175pnlmgm79YjrJw1lHLL6bIO6rBlq4Qm7nMbAJFV0NuPDeJsHYUz++I/RL84JK0t/ENDnPTuja8g2zFVPx5Dn9htsqBioUyIFQyXsqzXDZUUKsPO2IX8J60vD6j3LcDphb32J6gQvkWmtSD/qiAdr7DuekoR+vSR8LMjLHlVGwvEB7/f3mL7qGL74nNHbI+bjCTb1TKRmeRkxVWQ4URzJK3b0Eq0zhAWLhz3FgwL8nFtyxEDViMr4ML7BK5Px5vQ50m14037MQFc86D8k/OgVqx8mxiERbs2Z74046X/MrZ/+OXqe4MsZ5pZiuN4wuAykO4fc7L9BQ+Jh9xF74ZRuOCJPHaXdAAGrZRv7bSAjcMssMRIZq7/9CXQ2JFwn6Bb6+Zp8d0Rnl/iDAnvQ4daK9Kyl/xNYfNAyOFCY4YZ7zY858Bn+TguzhqPJNbeHr1i7IV5pnGkI9FwyReItzs0hjUypKOkZYpRwJDcktT1pts4m/GV8j2XhOdIvcGHFUdfh2oDKCjZlRlJCE0a8VEc8UxOKGMhVxqnaZalLjE5cpn2QLa9poWag1hBAqUBtMq4oWbLDut/lvK9gNGBanFNMLRIaktf4kymkBtoKZQQ5MaxDRbmJeJsTbAOFYN5zqIFCpmP6FxfIUJGJ4mqhcHc907ThncUlxIAZ7bPMBgyVYnT9lKN8yfvZgmf2Fk5a9qiZq4KX6j4jOSJi6bTlptyh/fIuTSyZcs131Efc5lOmas796085Wr6moOLBtGU2esFCz1iyy0H1FPckEk8zxmnJML0iSGDnxGJ2FLSBcTNk11j6iaPaL3jldlirK1rryNWC/eaK8cdz1i83yHiKpAx7U1MWa2Tq2dgRuROG+QaZBuKkxPcVg8UZyUcGowW1nvIiHnCTTYHIUDpaFI3KeCl7fJoOuEg7WJsT4oa13qVXjg7HyK8YqBVz7Xmu7mJU5A6fc8g5RLhS+7xWtznjhCfqNi05Y6kxVByrU77d/ZST1z/DVJ41nt5UTMcBO4j0A8WuOuV2ek25FrL1EjXqGalXvGVmJNaMzZy16uhJTGRF1D0XTIjS8F0+xoU1N/aIpAaYdE0m19RGc6GmOCxjs33Xb+KMkQ4U+ZDmu/c563dZjTNSEWh1y4oZV0f7jLnkm+aHfO3oU/xv5MTxHdYHLX9cfoNNGnHbrkEumcuYX8p9rDi+q59yLFcMbf/rbiW/knqv/wjiGlXAUC3xYUM0BUfqnEN/hc9almj6VjOQFbKVDxiYNUhNEQKT5px38k8YIszUNWZsOPjHE9I3d5h/fcLnyrEcnrB38ooyaxEXUGii5AxxtFpYznKsgHKKMRs6N2Qu+0z3I/rqmtF1QKY59Y4wY86ASKZahuaGmcxJ2vBkdMBaZnS+Y//3tvZqdaulmGja1ND7FusVugMpA5sSXuM5PILBPxhiioLupCCoFc45btwQuzvGHXwOPhCMoG9uOMgTcRjQHnKduF1XyPMl6mmkXgrutmB2I6k0dCONG0/x7YpRWnBqv8SLfgj0aOXYNTfsxZd4E5hnY+y3Cgpa0lue1TDHOFhJTrvr8T5AclTOUWVDNpLBzIBpaL1lmcYs1BGblLOi4I6as8cllkRQjkloUMlCHzi0FU6fM9PP2dVClRKhU+z5xDfjR7R6gNIdauqYhAt+z/+Qu/qaRIa1a+5s1uT1E4JdMFmOaU2CE49/sD2mJnNBl5YyBky7xvIai6NXhk/1FKMCw3jFsXLs62vG+pxW9vmwv0twiq/pU+5Wj3HPYfR8gVr2DMZP+Q/u/TGbjefduiWuKia+5p2sYccq2nHEjgQ5q9kfTNjsblCqZciaR3zMEQWzcMpgUeFXNYVryTaR8kGO6gLh/Q3rRUKZFsmuIIPFWUIte8bDX/BwIljbg/RkRWTy9CUXp1DuacqlZvWyoyoV2W/s49SSwWZNCgOq2SFL1XMUN7xWdzmVAwZ6wZE6Zx1LLrlFqTyFglWeE/ICIw1m25W5wyW6LPhhf4+PmDFNc/q7n3P7v8mpvrLDS1/SM+X+X7PG/0ah5ilHBC7QaISewrcMN1eE4YTH6YR1+CYHzyKTW6fM+ksu9Zd5Xn0Ffaqgus2gKxB3DT1IK8i6Y8Rrculxm479esmhPGGcvk2IEas7BmGOjYlOFMiC0i2Zqymf5MeM5YZe5zTNBKVBmcBS5dzoO9iLn3C3u+DuwQXRaGIAfEeYgLjAbhdYAqthIpw8Y3rvF/y/3L3J0mxZdqD1rb336b3/29tHH5FNZKYyU1JJSJQogYFhhgElRjBgxgMwYMwMM94BgwcAw2jKgALRVEqUSpSUUqYiMtobN27z37/19vjp914MPDRDYoAMWWo9gJu7m/s+a6291vfNs3vko2MmM4vRWzre4sL/Ci/dOXV/gimfMz5ZscmOaGaOOLrmfvUFR02J5o/ZkyPpFdbeo18XJM0VH+qS1+GWnw9zWpszMy9wacQobJk0Je9Iw52/wB79AntyQmZecOzXHEefMvPP+Db/nEXzjP9u+IqfZ79G4tZk9WuS5BFiDJFThq5nvu44+XRDOFLq7z3m6zinjWus1JjS0I8sd35E7VNOn3reuL3k0f1XhJkF6aBTQlIyxGOwgRxLbz3trGV/PkGvtthigq8cwwq0D1Bn2LpgrA1i97yUBc4+oWnBJAP7ekBDTNwLhV8TFztWkXKRnGGDwaV7Ltjx7NTywMTcyIRX+kPuyl9jdRlAItL5HQt5xY1u6KxCLrzFBe/Ul4xauO8+Q4JBVGllRhOEiCWprXDDkpqEk/w17+ifcMu79PIjapPjfUQcK6Rw6Sc0a3j/sxvmPxT+cLTED4YonrOWR3xsvofjS76nn2JpOeU1s/QSshiNB47YcqZXLHnJSM4xoYR9wzufVbx19b8ikwDRzzh5t+KygEyOMbKkxDAOr5mGW8aSkZg7sqQnzjZEZsM07jjVL3jb/1NyN2cdYlLfkMUTRqHlSJfs7IbgIrK44YH5irO+ZfCPvunuLzlya/byIc/CGRPZ8TafcLtbwuxvODv4/znGXDL3FcV+wLQBtw3YmbBfOLpJzCYRjp0eRr0lYUPKWVDywbCnxZiamJi8tpjBE44SnkWWUykobMVgU27DhLEIVpS+B3ULGiJi9RS6I5UVIxMggWaesoozEh1zxBfUwEvu0Q85he1x/o45r5nYr5joilkppLcj0rsNuhjIamUdIG4jitcdUeXZP/LEriC+NmTjwGRRcZpcchZqxvKcF+F73JkRGQ3zHuo7jw8phEBSDgymIfWwl55SDbW9Tx4GOlPTSSD5BnU5kZKzfk+2XyEuwu1TZld78k1JEncMqRBXhuypol9D1R6AgGOzZ9INCO4Ag2w9oQ5oPJAdb3BrT9hCuoGjvsHrlrNwyr5XgoHWWToME9mx4AZHA9phqcCk1DpiryOaaI9wGIQ5oyTRNSYMVO6YKwbGbHk3shREBCN4VyOUuAh613DnBjYhwXRjZKeEviBfNcSlZ9Ub1umUpVUWesGAcm0WLKMMasGsPHkY48oKu+t53L3ksX/Kw2SJCVuOpMQGz2AiRHvOqFgMe+IuYLUl66Gocpo6ojIJJo6hNag3eOkwgydZe+pdz6CKaItsA9k6JSoNxD3VNOdpdp93hh26DGhn2BIdJleDo9u3HAXPUWgw3S3WXBLFhwmF5dShErGn4ihsmLMjo+dFGHOVPGbkepL+Fe+GZ5zZS+75C2a3gfjlGWK/xuwCEka8UW85+nyNuQhUc0M5SymWHflrTzLe8PI4IIPnjdU187Tk6yzDdjUz1x5MQ2qRDvCQhp4TuSZFWfDib/kk+f8esSoZBjscIKkk0BqIMsFlYDqw65hZ3TG+8ZjlhMlsDeUNZIfXCAGMlrTmJZvxiE4yak25sjNKzumY0+kI1RG9xHQiZPRM9Q7BsCHnRk74mXub6/GYt1nwmGd8u7+maJekxAzZhK0ZUVnhlvtccEbiOkZ4tpoQCCQ07GRKhkVCSmNTBkqMDBgRFMvee7Y25sod0ZqaxOZ0UcksGXAdVPGh4Bk2geIaXIjACb0fKHpBVOnloKjvTnriAqK8pa/BtSPYB2S3JjGOvL3leN2CQumUmYOzrmGyvMLMI1q3pnM9JhSchAZjB66lItiWoCWpOFycUMWePXOOhoH7+pTf9P8bD8sVxas102oPKDO9oHcX7LOENRn3NyXxywGeCkQCnQcPOAe9h70ifYV+I1fwsXC8SLk2ByNJpnser66ZPNsiTxWdv4QgyLXCVOEUcAI5MAYUNDmY6+QWwtTis5Y27tmZMdd6TKDliD1BaiqUtUy5c/dZ64wCQ68O9R0Tc8qGkq0ZcWQn7MLAmhmpWlquELFgMnZ6zF5nDFJQM2YbxiRUB+6YbDj2LW9sXpJtDPQBzEDohbWbcJunTGTFw77F7qHfCRTgWHPKJabPydyeO3J6HAWeDtgyIsKw0IYdh4nVXGtmQ8WYlkZaxARaYkqm1FqwtDmNC3TJnOtRxhcsWHEfRwBaXvOQZ/H7HOslC9nxjv2K6nFNdbzjOrb8GR/QB89CXmIl44Y3eCXfY8orEqmJzd1Bh/53IJ74TynbnGAjcDs0CIMmnNsb0IrIJew0w3VK1q1xaUQjDU7WSN9x1seMyiUuekoPjFRhlLJ/s6R6K2E5OqPklGfmCevJjDkvOeE1lgDE5J1SUOFjpRKL0jBjRSk9lS1Q6yl8i9kEhiSnmrZEVtFwKD8LOlIa1sy4NQsappT5Ld1jT1YEkqPdQY0cDvp51QhCDRrozEArU+zYM7xVUSd7qunk8NvNAtcSEw2WxchDEIpKSNYeN1nRjR0tBxRGToe/6zBXKVlr0ckeGQYktuzEEx9DuW4YN3vKbMTL6IwJFRF7Cl2SuSvU1OyiCdH9Ab+E6Bz2zmCcshKLkBHT4MOIDTP2ZsqeCBcPbFAGInZ6zFpPaewZJWvGfM6ZfEIkNTULnBcIBqtKQceENff0a47ZcWXHVG6M8S0P6+fsyWhMSpkJk67mveQLxqah7AqSsOW82TC62dBmYNqIIQWdlSSTDkHxAbxpSV1P3A8QNlhjWeuUOzkio2YheyY6kLDmhI+40ffpzXfYC0z0jner57jLBvtc6QeIuoYf9J/SryH2GbpvmDdCIg2MD0ZS4wZM7bmXdOx9g7MrJjTM2NCpEHUNRWmQWwHpEav4E0FXMdHaML/y0CvEA1iD3sWEO2GWBhg1UACLlCirKF4PFNqTGkFuHeMvI5hmND+whKTC9YHQOrZmyg0Vp1pzxQk3esbY75jYmtKM2IZzEmJ6CdylCjLmpBdmNDQMHHHD0pzxPP4Wz3mLwX3J9ckvOH6vpz4aqJix/yvnaf5fGjU/9J9SyA0jBt4Lf8y5xDw0n/NRcs5LeUTbv6A8g74YGGKhloIBGM0ahsiy6RxF6pm8s6HijLSo+Pvd/8JJteGPsg+ICsVpiZqB49ELjtxTcoH9qMG+12C2Hs1bNpyw49uMpOWGM5KsYXy+ZTS+RQzc6Qg9jhm2SjK6YWqf0YnDlKewBvCEaEtmBnxa4R4Ecgbm5o75UYWfjqnTI9b9MYYchoN6Nhs1/Ba/T5f+7/zF0Yi5s7QplOeW29ygacVv1n/I3j9lSM6ozz/hW97zYfiIM7lip1PMMLC0x4dHl21hc8f95JK5veETOWJHwVQ3ZG7NWD3FtWdSwnTuCTZQJhH7eMGOBcN2jjYOl2TU+5K69qwf3uMiesRGHzFIThGticwFhg0vzISv7j9mMv0Sv9ywKFrsVUN6WtHMYmhBdgfrQueUPgnYNmJvB6L7QpR7cBX6xMBpxq0eM8QveNf8U/4FzTjTI5bhOe/FR5zHO96Q/4FYN6zyU2b2I/7l+r+gS2+oJJD4Nb9p/4CJvGI7ivnD6AN+Vvw6l/u32NYDmXP0tQfT8WHzOYv+OR+5X2Fdv80P/2DH+bWlez+D93dM7n3OuLilj3rSuORN+RKnEVfREZf2nFg33NdbUrWcJA+Y2yXx/a+5+AcFz/KE8Eo4/aMl/Z857HcjFvmWVGq6bcrd5j7RbMXe3FH5IzpT8YA7lnWILwAAIABJREFUXoVrmD1EE6UVy417xJf6Bns9RkgO44w5NFbIBkED5NzxHj/jNExp9QlLzXlkX7DgGuEBOSt+1f8T3oo+YmMSCk14qF+zCN8MN4eWs+GOrH5OFJ9xZ1PuZEbTT4h3FdP4mg/0J/yK3fBKUgq3JqhH+nP89T1iF5i3VzwaPv2bygn+1uL98Cmjbo2vQLuEECk+qRA/ICVIJoSZAdsy5pax82RaktSGWRyxcDVZaFGvuAGyu1vemgU0spQmp9GBM7lm3K8xvUUUwk3D99/+gomuiILwiC3Rcs9eCr6KH7JlwZPuJSf2gs4qCQlJ40l9T2IrClMR6j0TcURLj+lbiCE0wvRrR7GMsM+Az4GR4TSMiGYR+irGjDPevYi537W48wrz2JBFLzg2KdOhQ26F0AgaDGFnUYEkGMIXMZM7x7edcrT9HD+t2T1ck48GepNwhGcyvOLtXkjWAzEpag11DtORIbTgajBtQIr+oCC86jFdig/f6CR3Hilj+sEiR4qMBsJVDFtBhg4NPVIb8sxgWo+0YHcRi2XNj4tPaPUrjoY9431LslMYD+zGVzzoXmKJWXJHMpoyruF09ZrJycDIVkx5RYznHkvGvqJ93FM9SdHI8U63ZfrcMxopD2aO+WaLPFvR14ZWOvI2EK88s+aO6XjPuGs5XbZ8OHnGNnc88jnmm3UWS4lpB+QGPvzkOSP+kFOzYp/seOtsQ9IvedS8YFW8xWfjCGtKBoC2Z+QtXjt8EKY6IGUJY0VnAZEeh6H0MJiI2FhMoxBFhEmPbx1iBNP0TP0N2bBGakWGQMEtmQcpp0S3QnTRcN6ucUmBLzpGncLdAGnGcpZhpebMP2emOxam5nTwmNBwbEtibZktP0VGjslQ4XSDLUBRhteGh3/u4dMJw896zFAT/1nG4nKEXjfQN4wFvl1dIkTMSovJhHvOk1Q13e6Q4LFS5DDhSzHsOLdLiqHixD8/JEq/xLFyltw7stygccCMSvQe+KLGnCh6DNwKxoFEgZCVEIGkAokBaxDTg1WMHOyajVHaw6IyngMjodWehpRGR6hEOFWGYAkGEhre0qdk0hJLidBxp0c8je/xuNjRysBOIkSUI1ke1nqYspMJPrRE9Ey0Igk1iGHOHQVTivAeCRuclKjEZFQ8lIqZXOO9kKpQ6DU9EUVVQ9nSnU6pjcGmPfnIoXtlFgyxtexRxmmKK1uiFVgL3ijK4XPQeqgUaQIaGogCJEK7By85kSjJ4Alxwuv4Cc/0Xfb6kFgHbk3OHRNECxY0VKEkuJRMN3Qck6kFC530qOmIbYNtA34VY0zPEBlMLoi1mEgIYpFpoM897psVmiB64NfUKVofVjR9ASGGKFjGdU+IWyrjcGZPZFpMbBhcwBqLBCVEAZ+CJAaxBs0E6xSNA/voYM6ztxYpY1xWkcc9j++WzKqeqIixtiSMS+7ilp285F4YY/2e2MUkuuQdf8k75Ucsoh0X2TG523PqS+71SkxL7m7xNmITpiQEHoavmZpbcn3BPhgesWY07DiRHWfDJep7VBwiB7UxKgQ1GBJgTKcQxT1iBDvECDkdMYMtqDGsGbHRCYLB+p7EOnoz41N5yF2YkCAch58ybkvsLiaPeh7OLvGiECJqEwgiNOTc6BkjueZDViz1io1M6cUx1jsehhcYImoyXthHPBp/wSTcQZvzYfIxEXse+GtuzDlbpjh63givODMvkTDQROkv+1EEQG8PentMOKzISoyKYaAl10C+VLg2ODtQzDx7XzNNA3eyJFFLXHqqnYGjglFfs+gbJOsIcxjt99jtJSYpqfIYfMdYah6wI25uMUmOtRluu8eULeU0oYoKnHpy3xHEkLQt4gPeWESFrNmzKxyNsSyGgdz3GAJz6Xm3XfPVWHCmQgYlD4JWHptY0qWQtKCbQ7PCbQseXPaMx1dEThiimKTpybRhnUastSAFxtxiJoq/TQhPBdsoer8lGhJoeswI1klP/zBm3CjJqoKRQQYBA4lTJNS4QZjvej6IPmHkLrCSYRQKdnjToGyZakPRQOIN7ivHvV5o7gUKDxiPmgPn0yLEeCodsdEFe6ZEpiGmZWKWNORUKHtSPIfVxb8EcdumJrcZudTEoSHzDa2FO5mT+AhbVkRtQEagDqLOHJpcqlhtiXF4F+jEosEeTKCuY7gnBwtyFxEyj87B2A4jhr1YtrEhc0pvICKjDA4nESIO1NLIMVud0BjLgKVlRO8yolkLZ4JfByJvGcRSjpSJF6wXbO9hECgjpO8JQDX1FNGO441gYz2sz6snbhXbeTQLhJFgcN80vJWeQLIDcxODBz/xkNpDLuuVoVeCV0wdsJ0imYHmIOfxAVxroA5EaUPKhLi2mF1PqxE6BApgFJRjc8NgLRO/x+hhtbMyY2p6emISdRSNJb9oyMeeMNnzuHnNjI6yWLCxnoUsSZvAaOtxcsf9e4Flbv/K//hf26j5tvmaaCjJ3IwPzCU/Ci/4VvExbyU/4I+Cgbs1+jBhvxB2ktBojhglnHl6Cq5v4bSIWfz2gk7geEj5e/YLzsu/oDxZoKMFlWnZmZzz44EHsmIqMCSek98uuH5Zk02UPUfchUCuFTtnyfMlk++MmM0UK3BTCcPZFI0s80nJw3zHfnRC9clhZYcko0sCiTGYJJB955yx/RNO7J4H7+cMZmCtEcsmQlxH8Nfo6UCSD/xG+iWP37rkM3mTjzjCi2I/yCiZoSi/m78kM1/ymvvsx/Bu9JL35ac8ilte2RktU55pSyMx1kBfNhy7Fee6xRphqXNGZn1g35CwedYR7xrkbIEmGate6JI5e71H+UrZ3wTOPshoX93R7Ld0b/6YpzJhpVNEYuZxxSwvWWnFXQi0Tx4hWYb/YsdiHMPHe+b/WsGt6RlKCx8HxHi6xMNJTsDgm5rJ+0e4hSU0He57MdV3ErYvjmgiw7dGzyn4GXvexMR7sqNPOZYveaJ/jtveUk/PmPkX/Htv/2NWck47OCau5Hflp2RaIeMpH48WfGR+hc9ez+luAy42hBshq3reWj4ncV8yP6oZGYP5b5+jf7on/AcTzPuW82+nzIPSJp48tTxgT6Ypd6RswoQ1I47imsy+4JG85HFa8vi7kD6eMf/+Ed95MaX69/+I6v/ouTnfkX+rZuLWvLo95zqZko7HtCamVof3hvvxmgdpiZmPiNKSzsQsWXAZjtjpiM4meCcEA13rSOOYnoqxg2/zjNKOeDEUOHvMI3nOqV6RS8HxEPM70c/49cXv8ylvUdopC91hI4cVZWYq7ocl7uYl/WlOF414ySl9OUGar5gcN3wY/5x1+oT/k5eMbYnJLe1Q8OIT4YfhiHQMDxd/NU38lyUeypKk7WlXgjYHjpC4gIiw226JCyWMHSocdOlOgZbQK7E4FiSkdGgICNAtr3n8vudSR1RyTK8DZ3JB7mvwyhAgPL/l3V/bs3BfU7sFRSssb0vKxTEfRW9QkvOhu2POHXfAHHjQbghlSZMbZuOYm6/35GlGdTvgukNR7jceft7Sv9rCazCdoRwC/k+3TM8tbTzg5i3hRcnwVQu/CsW/HbMYVxwFkGWA6zF9o3jtKFc93kK2b2m2A7UZGPxXnIVX2DegdAPm7RlLd8qUNXnUMncxu5XiBeJzsMegeaDeK+O/tLlPFJzDbBpsvScMATONYAvcBjye6O0UTYXw8wZdJgza40JEtxY0EgiBuoai6klWV7x7f4eNocAjlx3Jiz3R+znlxOLajqm1fC4NnlMmfUAv7oinCcnYMZZbJvTcCxsK7si+61i+G7F2A/fLhvB5RXhkydKAfH6F/6jjbhVIkh1ZH2A14NoLDGPE5uhyxRvxlmbkeST36CqFxCLJAG1C+KLHfvEX/NbRJa7ZcnvS886jK9zygtHLhtcPc15nGZEDfE67uSP2CRo6pI84GgJ3txXMLP4kpaUDDG0N+zrmuDeYVtGxYB+BiZXhDtq7ltljjw2e0AJdT86e2AgMEc3LAB+X8I5neGgZXIvb7+FioJkeU09yYjtwEq1JqJnpK06TkqgzdINgbUy9vSBpPOPcMZgBM40JpaH+rCP58gL/jz1hDfF5zvCsgaRk8MroLGK4vCM7uiVOU3wTkFPBFVtsI9h9B/WAf20JARKr2LBkGlnGuueo2//SN2p6Y2migIwNvgikZw7zXo9GA/Fjh7mJCGUDYggIRAMaH26Dic0BuNtzKAQUkB4VxeKJgiKSEjQCrWmY0+mMJChWBxoDXhwT4F7YMDN3dBxAlusw57m5ZJGM8d7Q+hGJeAq5omNJRE8dCsAwloqRrJmaPS2Wh3zFwhyjes6gLc4EBrW4oBybnoSB/YEMTaLXbBhhtjXDumd/ElFLYDQCa2L6VxXaCToz7I2nmKT0t2viS4stYOg9MrdEAey+hBV4UUzVYHKDxtCsQW3A0BGbhsE5buMzXvljenJMWNIyEGzLlBuOCWyCpwsLIq+IMQQxTL9hyQVraW0EGyV5ETCRo/QD+XyMREqUxPhU0VlEyHaoPxRplSijWBhqT9gp8TimiztCJjgMtgkUztE7j5iOwQqaF/R5iUnsodmTWHzcYawgqcFPBCPgY2WfKGbISL9u8FpTPUhhGlF8dQmvXzN/K8O7nigOmBhu2XKfOyKTsKdgwpIf6Je8d/PnxFlAixjYcN+uSUKNtiUNhpfmnE0Pk2RgLCsWrBmbLb3seNveMgu3WPXkztJGgosEJ2AdBGIG777BGAqilmAGrFFEApYOT00rE6zG7CVnpSmFEQptiIeSXXTEM3PGRX/Em2bFVHakVU114fBRxqwQelshocOJYWtjNizY6IhzueQ+1+zY8kzvU8qChC2pvmTDGaUaru097mevSbs1hXrej58yj3a4bsNrHnIjc3YSOLJ7ppRgHMNo9Ld6jvxNxWAFUUgUhv7AeKmdpxVPRoS+7rBf7rBeiBcFXVdRnCXU3JFLTthV3Kxg8iQirTYUocVMDJN7juGqI3dXpGc33OUnbFXJTMtR3yA3NToXNAK53hLvFXk74W5W4ynJQkrpSgw9tYPdBLKoJvQdgY4NNYmpmWmF8QHrA/MusGQLziLek7TKsGup78WUl5746QXUYE4ssmlw5Y57Twz7qRDyCLftcbsO/2DCHRFTrVhkO/oTwT8PhJ+22BtLUEFlz1AA92LKKIYnkwOH5PWSZCF0KL71jC2YVkn3Bql3vDF9RRrf4nVOMCMabRmICSgnQ0myFdw+Rv90SbQ16MmYMPT4xFOjBIU8eCIatmbGJszQACd2ycyW9OGSVJ7QyMAOobOOQsuDTtyMCL4mYktkCtAxzkcMtbBNJxz1FfF2RdR3eJPhjRBFhxV1SUAZMKFHXAdmQD1oBThPembR3qLLlmamyGNBh4AfDENqGVxMF1pUBjqElWZYcRTqifAo4G2MDhY0gBh8fHgOMAj9EJDIso8imswRtZYi7hm2nrA2xDvP4GEYlHAqmHYge9aiSUCOI6w1aN0iAUwB3ivBGVwvRF4JVYveOrgA9Yr2AR0NSOvQ2uErxfeKLRSTV8jcQGPxTrCdwrZFN2ByQ+4bXAtsDPug+F6I6YlDw7GuUYSZrEnwGA2EYYtGLV4tQSGuG6ovt0zfVNpxIF535FnDbJxwRMWUGEuC3AaS2zVnx4H+r0Fm/bWNmkZSGgtOhJFuSWVNZitmes3UH7N3AzfjMT4yON8RMWDMDZm9wQqU4hiHmpSesd1yr/kTHvmXzIYt8/A1Ix34/k3KjTSY9OccZZ8ReYCI3pSYqESGFQqs7JwND7C8R9RNMFFMZ5QdMcv4Hq+yc7ZFSZP0JNSItvT28LBFOvKwwmmFC9CHGUOSYvUaJwm9GdHJAFodYEDDFGuOwf8CzA7Liog5UZjisWxjYSXKIMpIK9KwJTUTNhLoqVFX4UxHPhwOKWOPGBgh5OS90nU9FofqmJQrRv6G2kyZhjXjbs186DgOn3PEJ5z3O2IPNu0x2kOvWK/QdoQObpJ7PE0fsTELhpDTkVOZgV4clTzkMrvk2dSRZkowHZLUVFFG72Ncp8xaw85GRL1hCIIPgogHC7i/BCs5QHBhQtrE6NCQ2YpeDNZDKiWZNTigsTF7DrPBQs1M76jJqXVKR0+Qksp6dhTIMHDav6TRlzT2nD67osxXTLsp6dLwrdFnrIYpD/dLoi3Qe0a8YDFsyc2GlI6i3XESP2fS33FFR+p+TDTkxFGg1I4gKY3UrLOGZhazHMVEE89qpLzrDfNd4LT5Gjd+CeExtlMSrWioKO0C1QjHNTvp0WRAXYNKycAJdX9OJFA0dzgRbgrh9dzyuOhxcYfGlkCBSIRS0yts5YhWbpj4W879BUnc06qSyooOR6w7MjYMGjFIx8Qri2VLEW14rE95Pv6CZ+GcnB0ZLXO/5ZRXTO0VLigmRBizJCRCk6a0Tn/pjU8AZ3zMvLf4EINkeA9Z6EE7gq+IUQQllp4i1BwPhs7FGDqcbykGy6yLiZoI9hHjrSEsYxgb7pJApJ6RGcjUgB9IB6CcYbcpx/GSTjxJlSJbg01zpp3i4hVz/5wzLUk1ZzwIxT6ga0faR7jUk3glbmPsFkRbNOlpjRIxEPeH9R6JlWiAUSMkDXQZEAz5LiXdOMx1i5RTYlMzmIbaHSxKWQe+cyRtRB/1JI1DXUREAE0xbYpMLem6hTLHpAF8xUg8sSR0LYwA+5fvQyOS3iBqaNKBxkISGgYJGLFYC1XqaacQrRWGQFe0NBOhHweO8/YwWeQGghGCeBhqik6w+4LRJiFZGyTrsG04aHm3FpYQzQ1SCZaMRz1AhF31yFZw6wlPiBnLAXa7CAm2zTG+ZlJb8igjv/OwDZjVDJMrcbmjqxzxACY6gC1dKyw2Kd+Z5dxvM+K94WTXEcU1o03FhVWGJNBbJbY9IQpMKlhsSrRpSZIeWe+RssG2yqZbMZIpqQwYFaSHzCY0XjGDJe0t0TcYBAVSwHQNsUCPEgB1FsQCA6KCa+GsMjTV8rBSGQAtyP2eWC30Bwi0XU1Itymz2iOdYboxyFZpU8e2h5m9o+gGEh9zJAOjIJjKkeEQrxRNh/UH8K8PgLRIGpAG4h7ooVYI0lOEABXUDsQE4qDMOzBygDqqO0yGeD3c4BmBpLGEfYqUlnmlJNoS7xqSvwOHkTL6BnAbY4cAwR1YAA6IAlXasp8rXpRy6minI3QyQjuhczlmeoxOPW6qDNmURs5o/KGpc2cyXlGwZYyXnDvmLM2IhARnKgYqIlqcb5mGW078CyT0NK5l2SfMkjVJf7AAIgmqNbF6Uq0pXE1Cj1NIGZjrLWf+mr3JuBdu6LSlMqfU0jHXW/YIifakYcvD8JqT/TWPvDLSIwozJtkPRHXHfBsxTw15t0FNA0bJ9xliY9J2i9DTR8oqD4QkkGWgHOCfkvQURrC94JlTuQikZxv3hOiYpRmRJ2va2HNjn3DtP6DnATt3SUrgTiY0WDJaOlFambK1I9acs9MTCpOx4R4lR3hpkGiJdwMmFsQqLpRYTWlMgkaOJvG0xZ7REDDNoaiSIWB6iw4BYwNZq2ijmKwjC2BZ0xCwoSb1HrWeVa5I0RMljgGPH8XEeYLGA0OkZDYDpwRKnO1pkgMgU0Up2oh4nxDVDa7qibIBW8fMrbJIdqy5YGdiGg5nvdUdqfMYIwS19EQMBlLZYKkIOqNmwj46JQIK2aMoCkwR7tc1k2WHeovEDhqL7QfEKd7CIAPe9PSSsWcK9oQ2UXTUEqcxl8y54jENBZH03DFhS0pJReZqahVqCrZ6zMo+4VQcDQVl4mmijiiU5CFQ24i4a5h0JVVhuLUz8uCZa8U8LClCTRsSdpEShStyBWtTGnKgx4VA3BrckPCkE4q4Zx8NJLJjEa5Iw5Yz84KJllg70Lm/G6tPezNCbEwkgpqExifsZUZFSyY9XjfYALEH8BApxnckLsFryl4UH28Z1FOZKatoypGtUNugwZL0gm1bJn7JgAEqPD2RPTQcxSq1QBA55BDqicQTbIQMnnh7THwN0yHBjkaoG0jqnHmck4aCtE0xoT+YNpuOd/yYqEmRjUGqBrsPpNsR0WYgWQn0BvIcYyIyWsyVkLcDasA1NdJWzLYj3u6nJDYQKUi7JAgMiRJMIBEwHmhAQozgcQEif4AiYwNRL7gAaoEowmqHqFIMDYsh0JiMJRZPR8LA8ZCQVxEyHAxPKMS9YjWg6jF9IA+GDYYGT2r3ZMMFuU3Z6pjSpCRYUu0Z+yvK6Igeh1NHywmlzBnpnnHf4IaCyA3E7LBAqzP6sKCWmFU8x6un0ykNIy4Sy2jc0EUGr+4AHpd77OKaIbmhNzlT6zHpBjMEpD98F+Id2lsiO9C7wF6mNBLhJUIQnAY8llYiaiZE0jKoI9YW1KAuo4pjGFvsThkE0jBQELBtTeSnVDYHJ6T9AK0lloG4cQdocdMQDz1lYhmMxdET8DQmQyTBmopBPJ215NYc8pYQqPLDRauLFBsguEA1GhhUiawSH9j2tFHAmZ7SKjYSigKasXKXCyayFL3indKHCidrBgZWbkonKUEcDQmDOHqxqG0QUYzGpIMyacCuRphkziiy2CtIop5vS0oaNZA2pG2NUbCDY1Ra5uP+UHf/P8Rf26hZ+nN2eovScULF1js+i2bU7LhnntNNha++9SaJi0hcw7nZ8a0frXireUoWdVw7yyQYEtuxMit+FH/Ct69ecluWfPDODZPnK5L/9Of86tF/wg/+o+9hRjesn1lYLMjmW/SeJakzDDcsmfGnT9/lyP6Qr37yCcVZy+J3R1R2zJ/pG8zH30ePd/wJCTEw6xtWuxabwfhezHvFl2z8JdFtS/kXEdffe8i5fImzp5ThCG+X3B/vkWu4/L8K1nGM/xZcjXqS4Nm7iHUlbEdnPNMpFwJLKpwIdQ+31nBtJ3wqPZmccB0yIEdMxsVQcBOd87IdEY8nvLpN+Xm14HN3wm88fMF7+pyX/gMeugvO3RUn055/JfnndGT8qLtl/+qK9O1Ljk8i7D8xtE8MSZpi6pQv/Vv8zLzJTX/M5dWIWgro9kg6YprN+O/dLYvf+y7vRM/x3nDyO5bLAfhFhP+vV9z+bEP6L86ovhaGuyV2dIwOsLveUjiP0jBIQighXHbYL+7wR4HVJKa3nmkIrG3M9ZChcszYtcQmsNcJz/2Ud/Qlj3TDtZzwpT/moW1JtKETy/vRc37j7T9n9LblIvyQfyRT9k7Z/LNj7H/2Cf/wP/xv+NW3l9hf/M+wAqeGwv6Uf3D8PqdfXJHGL3l4dM0o2nHW/ilnbsUfXPwmDSnD4wlLH7h0T/g82TP61z/gIsl5ZR8QFht+/B//Wzz9N/9LvvN1zQ/jr3j33iWvPt7xMN7zLfcLhDXPwvfphgtOrLLNZkTvWR4cvyaXJdvwPj/5acGPn3jerHYYZ/ln/9Lvsv533uG8/X2i6BWfuJyf+beRENg7uDYRW/8+RdjwKPqM77rAV+ENKvOrzPQ1pWbMGpjYiI90ztYLv+YD+pM/ZnYm/N4/9BSmIf/evwHdDGtaxm3Hm+4Zn/EJR8HRvGo5+e4lf+93Z+ik4XZIeatxf9X//5cmfhS+JNofsVv1TMUyui+MJz3G7Nh0FVEI0NaMVA/0+auKYXFQaEeJJ7tdwlcR5R940s+VTeVZ/KsN/TuB8Y83nB5P2D9f4coW6ozqa+Afrcgx6GOl218y8lPkk0D64BU/+u0AbyqZf417LUw+WTFJQVol/By6qsX83ohobNj9TzvGXxg4DfCdmFHqkL5B9xFynMJjYfzVHrnIYREYfVuhnuH/qzXmaYSvAvvhjuS3MuyvT9k/HsgXx2SJgZ+s6B6Ae1+Q1YAsPcYPUFdcfVxxPYL+LeWNf7dk8ds5dDuyRrBDg7ZK4jvsoGRjkHVLeB4YxJD+ygkXtyve/OEJ5a6kv1WiewPZrwfyBMzXjv3ThvR3ZtzNOpIPz4n/xwvGl3ui+wnpPYc4kL2y/mPFVyWTFyXmB0rvlCgStFTCS0W+aggXwva2YT6d0nzcko8viNWwfxHwP7nGPbjl5NSincO+7nhVKmc/SvEf3eCWgt0ldF82tPU1OjrcymQXAx8+nnN3sWfpA6dXDvOfv+DB+WukChg3oA6S4inp+JrJVJHvLwi3t/CDCcnRmnt/PKB/XBKuA+47StOtsLViCzCnK05kRmFaMFsio2gp9D3E/zd3b7JrW3ad6X2zWtWui1Pf+saNCEaQDJKqkFY6kbYFN7Lllh/Gz+B3cMOAu7bbggDDKVgWJFIimayCEbe+59xT72rVc87hxqZ7ljomTNDjERbWGnOuf4z/+7OU9Zs1souwHNJvO6abSCIdzIEi4NMBt+uEyduWZK2ozzXFbcrt//yBP3+q+dBckJ0MwRfwzV4A4U6x+olg3uwY/YfIYN0QE4+7t/g3EXl4y5OJ5y6/41g5ml9GmusOM1EoX+6t/f0+vVgSCNZDLahHGpUI42SKukzhaMXgS4EvLepawTct2Tigf1RghgJ3O5hbeDFAii1qGMElxCMh1An+xyn1/7Qh/BSG/60mS2r8ukfOFH/smbgrn3K0TYkvW7LS7q2HbkZc35OMDfl/OcceFty7MVfxmNfJGdf+kDs15EIecaUf8vLmirmZc/XLnnA1YaoH7Lp75MiRvihY6VtidUw+DpR9h7EFk6xhpO5YyD1PzT0vzEu+2P0Ut+7wozHbgScnMgobbjJHou9JpCHzLVa1POYdUaXEOOAodrzgnhP/gTI547m/xcULxqliFT2p7jmPikqGnMg5f1b9Jw6+/UD8WaD6RqEqTXXsGTyNFL96R1+B+lJRf6VJpwXd3+wHCcVziIN7xn+5gEcRaWr0toFpz+wohZFD0VFY4cPwiDfaMhgXrNKc9/qY2zhh0N+hxxn/VP6I//XdV/Szp4zS18wXlovulCYOOEpXzNQaj+JOTbh+c0pzn3L+ZIrv2WWGAAAgAElEQVSdvmbMBwaUfPH9BvX0GpOmjKuGXnvuB0N+Ks/QTtBnmnT+iOeh4vjunOHtBt7tf1zsUQEzUB96VAkMFVoLSVgzieCikBjozwT15Xe4TwcYX5JFxXWy5MZbhqYj1YFeLDrdMVdvmNg1+X+dkylLLLfYn1SorxXuOiJlQD/K4GNDOww8/dOUPmvo2Kcjjc2WRO+Iy0htx9QmpxFhTUWq1xR5R6fgQ1zyy/6Ms2RD0l2QuEBpNFmlcT/tsX/bEioI0wq9BP0AONPoRNDTgsYm3Kkh590jbsKnXKkhi2mJ8j1X7SnnYYJKLZYSjcUCH8JH1tZz7VMqFlz2M76unpCMHL/gGa+SFU8/7XjSvMTImuDH5PeeJFY8tldsBiNS03G2umAcKqKvcXUHs0vy9IamVFStZbI8Y2rXFBc7kl9Fqts7WK0IXwjZnxtO1Ev+q5CRhZxPml9ywC3tIKO1/T4F8Y+8fqOfMB90ZLJC9JRVf8BGHRHFY8Jbzh549PuKrhNM3+MOBb2L2GHKtZ6iTw/ID+9Z6ZT36SPKmPCk+oan7bcMbQA9QpqWTzavuJ1kiBK0Bp8JnW0QAv4gxTya0jjF/qEOuNGK9HzE7n/5NYd/WyK3Fetqtd/EWirUVKESTVMHOiUkVhFXgu/u2b2HTASeC90D8L5mdGv4+KvAj9/DO6foNCwUPE4Un4+FsITFnwvdINJeX6C2l+xGsDqKPPpCI5kn+W/mYNeQReTSoNYB6zVBWsabNcObDskEiiHx5Q5jYHcIid7ubdGHOQPf0r4rcSdz3iYapx2nfY/+5y3l2jDoc5r7Cu0VRhJM2bJ7V3OSO9rzjsmnhu5QyPWGT7Yly7ThdfKMazXjWoY8Ntc8M+/4a/6ELFYUWP4xPOeNfsJfdf/I/HxDzKbkhxpnriid4sI+4WNzSOkmhMWMorvGhR3eJvzCP2NrFsxlwzP3Bt9teM1X7HRg9rTlOsx4HF/x77JvKf72Pc3rQPY4p3/ZIKVCfeLocrjwp5y3p7hcKPAsfUWnMy5NzqswIevOGCaOqdpQ4bjhgJfuFFkkfDLOOazeUH+oSceaAqHNFVf5nLntcDeXcN/ALMdce3TjIRXkYUqXj1hrjfaWfn7KSiZMux3H2YaNTvAyZbm9hV1NsXQUXzlkGKHsUFcBn2jG//lDolthSot+7WEk6KcVDFKiPeR2sGD6+ZrRvxUwCfdDTyH3mM8CB5nBjm94T84v9GdUakBLyi4ek6t9tut+hpYRSkNyXuPetXz470sWNzW3ShhO9mGX+SeXPPszcH9qSVUH5xD7HtH3TC8C/Nn/8zf+rwo1H9UUo45IBKze0boB9xzjUIj2+ySMyXewJGyZkIc1A3vDPP2WsVtjlCPtxlhJUbJmrCt8sOxSRUgbFh188q7n89U1q9WPuTz0rNUhPh4T44CgOkIS8URajtmEL3AMCdUMqZfonaIcJLxKRswSwbHlrj/GMSDtBXqDjuBUw1DOseGSLLTQWxo1ZceARmUoycnF0lEj0lF1mt4miHHs9Ke81y+45oROb+lUwmV4wRXfZ2eeIuoNyi65Ul+yDpYVjpW+Y8scCR6vh+zkmHsece6OuCuW3N6OqcqvCPY5NvyU1NZ4VaCco9QanwFmzZwPzOMKG0FHgxONbjS9V/TK4W1H5Tw7nVLGISEsoR+jdyN0yNkZ4TejS34x/B4OzU2b4icVshuRloruPoU+EryCRqGSDIUQcYifoyVDfIlSGhWFoe+xrWdtHvLafE6hphjbc8+Ie/OAiAbWrFTHtT3ggs+YRstUv6OLhpV6gGLBWL0nUDDknqX9lsI2bKJGqy+5YUgVDnh+HzhcvyKRBaW6QSz0TjB4ct0zDgFXe5bbhuehYvyup5iUzLc7XuUppR3RR0Mnx7zPP/JtMuCGnDUT1kXD7MmEqxy+bAOTuCLTKzQdmWow3FET8OqQUnmm8hana3J3xQP5mrP4gbv4BU09xPYVuY/siiH/PPuU6/wF38l+zER1vDfP+CjfITFCGbbs5JStOWYb3/FV9feA4UP+gl+pM57j2DBjpHaMsVyrM9ZO06kSVQq26ZiEa074mpl9xzoaRDyqFk7TVzyWY5Y+xfkGH0tCJtzbBe/Nj5iriu/+fu8G/59XKoLtehIBZRqqNJAnJSNdQd8gFlTiEKUx2hANROmwBkRp+t6Q946kjrito7huMB+WHM6uaduGgRiyssR3GohEURQN2K2mrzy2jHvafrSYvmfobyHueRJGNLYWIpbI70BmVQBJ6VxL5gNER1/0dKOWIoNQgk97yHo4Bd+AKUtkAv0kMpYKb3v26xfCaCX4GCitcFdEEl8xsx7damgj0RgqPM5ovBgMObEqmZaaDJh+PETtdmRecK0FsUSl8DZAIvgC0JE07qe6yihEQMlezIhuP4FGdcRUowtBEkHZIZ0DGabEVKEyiAS0TjFRQSukEWgVUoHZsuc+DBOq2CMSSUoLldv7qH1HshJccMSsQPmKdN3CXNE3gt102BvFrouo6Ehrwd0JqtFQQdKAa383L/aBmLagAi1AnzJY7ShMT4wRP0jpfEeqNEaF/SZSUtINwY1Apgl11pJLQiBAb/Y8JKAz+8NzWq0ZTnp0aEEcjc7ZJi2uBltZbAcMerRoXGdAgR9Bn+7h6TY4Et8TvBA7oILDKpLeBoaVR/9u3SlrwGmBPuI6MBXgU3RoSHYC5YwubInSMYg7sj6g7Yi8bnGVAaeJvkX1BmkgpEOatEfHiPUB7QztoIFRiTQtjAL9xJMcdaAUcQV1KozGO9TY0EZw4w478XR5xGRgxLDNBWJg7ntGG6Ffg6v24F29FZT8kfuegMYarDhMs3+Xt85TJI6gIKSezXjHzWnHew0vec63POJCHvJOLXndP2UbHnFX3TNOjtloCDEhNYpWeZQRJkaxdecoP2GZehq/QomQ6UCG2Z/XqidRW3SocMEwjjsmfUm0ez6ak5qRvMdFhQ6ezDYccE5JThWWpGwwZo1YT9QbOuvRdKRmRRoCSiX0WtFpg4hGQoPuO/QOBveW5Y3HjyKqseR3kaSO9F1OrRyY3zG+fIII1KZhPFwTikBvBAfo3NO6gBrsp9TbNOM6G3HBgISCrT3gLafs4iGS74gkvG1+wG37GRIOiL7kSNYUfk30PcbcM7QfUbGFeMi6FYIfM2222GDYmCn32Zwbc8tQC4vEU4tQknLrzvigP6NOU2oU82SDCS+x9UceZo5eRaJTULTYNGKsQqJCK8FbTYdFsPQhgurZZpbboefWecYIwQfubMJHckakODQNkKBxak5lFf2sw/qefAeqE2LYx7xGv7dPKdH4PmLF/25p3uDwWPp90tUgZ2MLShWJJOw4RKsRub3kiiUXPOedfAr6nkEaSVXkEkXmbulDjm9y/LZDZ55GD+icJUla1kVkl8/5yJgrHvA6+YqXfMImBg7VCpLARh/tOZGAU46UlqH0rLEIU9qYUZsjbsyIKzPlGuGD+j4ufUdq7lmYC9LQ0ugpvW4YmECVDhAVUDQ0piAP+16lYiTxGzLj8XFEE8cEVQCR2O63GGiEfAOxNmAL0lCz6K4QNSZq6GNOlME+4vf/B3WnxszULTq21GrINpmzkjHCPXOnwHqUYW/nC0OSWKJ8wEqkTjrWaA6yFB9gZzRbM8Lb6f55AsE2dC4yrUr8WKgkIWscuk1JnSGmCevQUltYW0cgpUcwNBSdw2w7qIWmtrDSjPsOXUX6TmNHClXuLS/W5aibGqkU8bVgMgMLIZs7ZBVQ9ynppqFdeaKGRGAihgUJh4OephTss4IklGSXoK5TGAitrYiVwo8jblhRpxHzO1tfCxhRpBGK3mCrCNpC51DBQK/IS8E4S5u21OOWYadxsme17GOYA0nrSaLQlIKyGiUKrffP3EbIe0HrnMG2RTc9w75iFgW77hmNPhCsQquOj/2UodQ85pYze89Q3VObHZckrDig0xlI3FuWJKdTM8qk54oxa5extYpWGUamJ0ORqIS1XfDeHLOVnAN1idWbfZBLMWKMcMEIYcv3y5fkNuJrAAddg+pSYkgp8XhlqcwUcCRck7getMaTsdGHrHVDpnaM5eZ3d64hK7fY96O+58gLuQC9ImhoteGuyDE+MI5gAmhj8LHDGUdJjx/Be6PxTDDGcqtH3OMQ2zLoItfO04gnTRSFACYi8x3tDLgxpPfQFBF7tmad3zO+BV2DGhvCPNAO4V713BJoXMuWipohg6gRbQl5ic93pBiGcUyQIQkVojyRjNQH5mrL1lgysn1/biK6UoxqSK9zFqEk6X4XHz6EfOvRdb//efApUYGphNHG/4vf+L8q1LyWY6pvP0HuKx59/yO1q1mfP0atPcdn75jMt5S7A7oKsoMxU/2eRqaswn4tK6jAGkdrl9yoEUNR/DotWc0vuDOWdODwlWJ1J5ifG5bHJf28ZN0NuD9fMj64orDXOKnJo2IyLclMjxs42i5h+3NFN5zx7rGCkSfzDW2Xkw+FJpaQKthBW++IWjOWHSdpTXp7w+3bhIv5F1wax0itOI63SIwMR9cUL86JJrLJC369+ZKr21NkUPB49NcUUvH2/Al/s/4zbs6eMUvnxLVm233Fprokf57zS23YoTh0a+pouatnXPKAt+aQ11lON18SrjWx3JCpSIwdW+P4BSd88fAHaHnFz+0xLznmmb6mylIu00Oq+RD1JNIlQpwkDI8SJmlFRoNXmtgn2J/12FcBeVRS/8DwcXbMj/2fItbyzhzgraDGgcmxcCUtokpCaUmvp1S9pRhusWcJq17RfQyoJEXOgZWQqhI/gZfuu/zvN3/Bo4lm7CK/rj/l7fUBf7kMPE9/SSIVu3DE//GLh8gnBXb419yS89u7R4ib8DAdcJzck8l6z+4hEKMmMqesUt7JJUfWMS9zlFfIv3OIV3QPhFrN2ciMtvgtwSbcfZtjf9oxeg/hhw3TL4G0Z4smiML3HSuGXMicjMCUj9zYnrdxwtHiiEQuqYMFyZC6pWxHXMhjRrxiGko+sCRVMJd7JnrFD/o3/LD+Gf9p+Cmzp39Fq2/oc8NdsmClzriND/naf87CHfJ1+YSfN6ccTWfcnJ9zO/o+uwF8IS+Rt//Iab1m+nnG36WH1MwJyuFYMzYlVm1wQdMngjmAfNGz1YqaKQrDgBKjeuQa+tuSxYsKZ7ZIYWjUiKpO8fkp/7H6Hq9N8kcv1FyoMS8GOW4gaOVRM0NfBEzToldCpRSZm1FZoVU5vsipMuFxfYsncjU4JM5yhpNvYaC4e6+5+XFkZg3p93rCSQMHKX0U+LXCOYGxgUOwRxE7Acqa0AT6qWaTj0DBJHqUDqjThGaSIhJwH1q0EpQLZGkgzYSYRxptMcMBTb2GxKC+qwkDTf0wgxEkiw49UrhBS7js6UcadwIyMegDhR4q+iRnpxSd6aHoUAuLnGnkWSA+0Pje0DQRtzEkdwnyLaw3kfjbhsN/38EjRS+OpEnJjiGMFWqhaUuQsSCpxaz3u6HJDMgaZM6eqzEO+wM2VSQLhXkAXbXFJzMu7IThyRJ3WDEZwFrnXNoxn00z3JHCDjXhqSV+0gFmP5XzkdgJZh7hqMUtNaiAPYM47pCR2dswgyY8hDDvcIkCn+LfRbRPEK2QI4GJph/kJK8a2AniLL4PtHXPdYzoHB48TeFhi6iePrXwRYF0HnUDSIJNS3znKRaKOLS8HT/Fnaw4ObnB6IAaROJSUEcZ7dBgZpZDVdLREW0gYNgmCd1RR24FvRbCTsFTQU09oSiQrSYsoR1nGCyDLMJUIe89uLAXVC6EV/+DkKmM7PsNftQSTIGqO3AaW2j0UsNZJJ4KsQJcD7lHPYBqbNiaAQmW4rBC2Z64mLHzBepixciBP9KEccRsPOq1oz5XmGWBmlVEHfF/kaKtpT8GfZLQZz2u0uikIx6An2eExGKGll3REhwk4YBGXTA0EZloOHGEE488ThC1B2kz++PnZb2WBzy1lzw+SgimJiyFLk2IM0cICevBmBs95EZOuFBPeRMfspZD7voj7nYTkmHKo9EtR/aKq4MTPsQT+l3D7NRQjxzNtiGdTujSlk4KutYxHGp6ZJ+moxsGUrNmxnl6xOG0JFWRfqVQE0dMIk5F5rK3E2jdUzQ9p+oGnRqubYegaZWhVJqWjG/NKQFFzwKltnQy5LU84VV8ilORVV6wnGhcCjZqlAsQFIgjZjUyMIRsD5aFGnegkPuAxSD/t+ViIKiJoy092czQZBlVZrEh4dzMeSsP+ChTtB6yUyOu44JbTthGhQ5zvjk/ADNDVSUvsgv+Kv4TvdqycSNyAhO5wtDRyCnvJgVlNmaWGRZyzoKSDk9jMsa2wPiW1Flu7JIPHHAtc1oGVD5iXcpaPqJNggor7CjSzBX9gSPonuyBQXaeWAr1YUpvLQmKiKfPHN6MEDGkakcR78jF4ehJUSQqsGNEhSWPitt4BmrCgFsW3Qrb95iRIZ5GVCqYg/2Wj3iDDmGfSBiHNHpC6w3RzrgJD5AQcC4lklBGSyMpH43FyynvOeZn4bv8cvUZH+qer8NTdGGp5ZJs8kvas1/RfdmibiNxDPYh9CdCOci4dgvO5Qm3ZFzzgG/CF/wqnjG2F0TtCBLZyoBreUJXK54mbzl0r4gqUjElQYh4dmR8YEHvYKMM5/GQuTRsJHKuFzjbsAoz1EQzpqCzez5RJOFDcczGphRJTT3ISZOKlBqcoKxjpxICY1QANBiXgBiqXhN8TmLA2YRLA7gUEzKUGXClBzz6g3aS30/NYsm4vGLitnxMUwKKBI/TJXkoYRUpX0N+YvHb/RnjV4FcewZpza11hAADqRlzAfTMugArkLmmm0OfGdKNpmFMRUJX1rhXQlQRJh6b9qhRoLQGlGVEzZAdIwLOdTAy0PakvUL3FhkGZBqJI4VKDbR7pgl5sl/ImSqwPeIE0h6ZKZRuyLZw+l6RxwRPz6EKnBYRtVDYpUEZT3Cgpg7dgAwFO1HITBPzCAOFdhrlFEprAgHxQrEVqpce90HR9Ib4pKUoUvqrSHcXKD7RqAxMH/C1YIqcoNYcxpJR1DjfwdAhTjC1p7nbp1TZQ4gdmA4oO0IHuvIUFx1pZ+luIXvYc8Q5me3J0zmjukR/XPHowSVpsd0DmemZc8NA70hmhqrQdFroVIaXnFYiwQZalZJRkkvHVs1JiTyJ33CkLyjVBERR4Bmyw8gEhWakAopAW2TEY4dOA2IiPgWTKvp0LxSM1UfGZkbHEhM3KFMRMGiBTCLKNuTqkpn6yEQLnglCQMe9gIHtkVQRhobW7bmoQQJ9ZvAHGlOCqjq6uZAW++UBIzlKBZx0TKKiCFfMTcPRLjDf9ahxRZM25EGBB1xABuyhyNGB70mIqJuGIlGotSADSxwp4u/sXUPbELt3zDqPCTVF1pCKRnU1XitiYqAzTHzFC/1b6kTY2oSgHfPYoUQxlglepSzsLYmKKCzJXGDbYisDMwVGiJMAU0GGCtVbvArEYYKZCgyyf/Eb/1eFmnN/xPWvv2Tz9Q2D54arUcPXr35I+O0Nf/afKQ5nt7zfTKnPPT+c94ztP/Na/pJbdUCqEnasKNWYFaecU5Gh+JlrMdMFO7MhHQ/YNZp37xIOfp5x+G92bM4qNteGuzeGg6JnOLvBUpPJhsXiHZYRdibsNgn1TyOkBp8uuc9yTNex7Nc8paJXNWT7FIW2bmnDgLF4Hrma/HLDZTKh+P6f8tG8Y2rvWYY76igcDV6x/OQSExzv7EN+svmEV18/ZzTXfP79vyGh5bfnL/jf3n5Gmz5lvFgQ3kXazSldqZk8PuB9MiELFywN9LHhrhxyqyd8LI55bS2jo5TyekV/c0EuHWI0K8b8TD/hRyc/pG+E3+hnvOM5a/2WbZbyPhlxe5gj3+lpbI8eKgZHY4aJkNLRqx4bhOqfFc3fQ/HDFvcocv9wwd/HP6F3go8pYhSMd0yeDLkSgdgjmx3p5YRIT3Z6R/Foyvp9S39RMBoqdhcga4hmRT8PXKgX/OT6h2yGHSeu5rfVd3j5m4LvZR3jVFPENVWz5Of/8IzZ8imL4T9wJxO+vn5Omc/YzdeMkoaxrPBKE7BESehlyHZd8K2a80k6YlYXRNXBf4johafOOso4YMWEZh7ZxgkXv0mY/I8ruIO+27L8yqNtz5oBFZGmb7BuwgUHPFG3nHDBlhmv2jmfHz/FNZeUPiXKAFUGmnLMVXzOwFwy7Guu7WOiShjrGxbJB/4kvuZ79++4zt4xfpSwvSwJRcLaDtmpQy7kIf8k3+OxuuO39SN+crfge6PnvHsfuVke0bmMr90z1heKs9sV02cJr+2UDQdYE8jkHY94zZANMWpa1ZKcwOCgJ+pIzQDBM1R7npJcWOrVmsMXOZtkS5cP6KWgriJ38zkf2k85yE/5735v14I/TJ3rxxxOS9RCgTWYIqVPAqZuSa+FzozIzJLgtqxVwf1wztYozlxL11d8HB0QDscUkzfoNLKuFOt/WDGbBJI1rDVUDya0vSc6cA7sQsOpJTkKxE7BXUOihE4lbMwSYmDcX+EMcKa4WyqUMgxfGlTjwTbkg4jKFLFQ9NGQj4+429YUw0DxXegHYOYD0uNIftKhRKMuHX2doIuG/MgSBy3qyJEYh5gFja4Q3WGTDUw97kwjLwSbCeiIbSNuDcmHwM0bxcfaM/nmjqPKYs409xZslWPfKVRuYGaJDpga/EBhV3rv4T0UvKtQixSlDUxgp6HJIDnwjJwQ7u+Qbca3ywnjB4rTs/cwCNzqjF8nQx4tHIMHQtIAzxLCZx1GRdROyHuhr0CfKvRpx8gq9EbjHoMaCXba0/mI7vaikJ3tp2CiUqoPARMStLLIQY/6rCebalgpVAl6tE/R6UrL1ke0DejnoI4jrIRmBvYvFclWSP7Ow87ic6ibwPSx4WYM3ySnLB/OWDxcMzE9MoqEY034KmWXW8CTx56KhNalOHq8SeAwYGxNuNaEncDcwbShSd0eynes6UcZEUs/FORYE38LOomgHf5c+Ph/vuXpX+SYf++4mfa0xZh4uQELZgzJSUQ99nAaURsgL8mPPOHMcTss9qwwv2Fw1mHHsDkYctUNmWwrpr5FP9vRzSLpG02/C9Tfdsz/zQKdVnAs8G8DslGsphnJSYZLNrj3OdoIYdFSjHO6ckyXJ5RFSxtzTHqEViUjsyEuNN0TaB8r8hcpvutQBaj5vzw1+mOpC77DtXtLd5gxmNQkiRCcRbKC1mTUekYZj9jJC671c96qU0KcUtYH7G6GLAvFp/MP++3Ioz/nPo7Y7a44eXzGXei5fnXHyeCYdVJig0Y1QjZO8BgkJkzVipFas4pTjDtllrxG1yv8LSSFxQ8Cog2jaGmNJ9pAvvEMq4bs0CO5sPOH2DjBqxotE96rQ7akjHXFQgJb5nyQZ3yMn3BkVnibYQtDYsIeFGwVRrGPcU0UdmQIuSLSo5JAugDaiJGIQUEEGTvcOKHeBtSJsCsKztWMLi65C2ech4fcqgVeCrY4rlTBBzXlhhNiveDNtcDMQX3Bd+xb/gv7H8ncOSsWCBmODVogmHO6454tIyqZo2LLVO6xsaMxFpMYVNWR2Jw6H/PRjLlnSqdSdr0nd44NQ0QZEI8egX1oqeYpXVORThSc9zRraE9TOick0mNVh2QO7xydOFKEQazIVcCKx0VHogOldlQyRkVhIyNas2MgPcO+JvclaiJYJcRESA8ETgXfWfLbHnxLl0AF0HWgC645oA8ljzAkpJwzZqsGRHLuVcorHvMb+ZJvb57Cx4TQe+RIo+Jr/mLUoc4MwxjhUvaphGcNYW64dxOu1FPexlNKFbjSU34bH/NN84RPC6ExkUCkJOVDeEa98TwfbDlyv2aFZhXntNqD2VATuQ4ZiWnplOKGES7OWeuSWz0jqJZrc4gMM+ZimMZrhqrD+IJre8DWKoZ6i2RzdLxlFlck0lLYLR2HBJngogItOLfnhFSNJgaHc54klIi2VC7nPljQjhs1/wN3kt9PnXRrJvcbBiNBJxoRYSAtmd4wkYC6MoSXoJZCv6tItIaPEed6JnNwaIxYxtIz4w6NZdlZ4l1EHia0c0e0mtC0lCqjDAn1bod+1aFrRVy22Cey/6EGshAYSoWNd+RRwFhUrhnbci/CmARGHWYcoXDgNKHbQ2JVZVBa0FuIHmIOZiDEEfgkUmwdjxGmpNwSmdjIdBLwB4I9hj7tCZmQLgO+7YkzhRyBHSeYvIdRhxqC947ECtEDXpFtFfe/bpi8z+C6oZWO4Y8KwlbwL3tYJKgjKEpFs46kpxOsrjmLK4Z1xPQQCkdMI9wI6jLuh1uPIHrBdqBWDeJBbRvSW4+uRvRrYTgX5mHH2EaK056+3BG/XnMyvyPmPSEOWIowM+eM7EeypYJCEVVFFzXCjBAM2jhsHLIM14yCsDYLot3wffOS1Hf8ki8xWjHSwlJKrntPZjQ5ngKhywzhTFM4IHiCFuKixw8iGYojtWZjVqyVY8I1IFQKUukZhB3GtoxY8cB+RAtcS6BTOT2aICXkgi4021FCnXpCVBS9AxfpDxTJFbCKiFdoFxhsIlI5ZgX0uuGg3+LaNSDYe4u6Szhqa+KsQ8oU3wN2D113Wu1B7kCiBW48xhukjJgHCTETQivkxpIkDfauYtmlqLpFzdr99ngtSKJBLKYO5OUd02zNvc24w+KUYq5qXIwsVEFNxlI3ZHSQZJhDSPsI1woOBGyEqezBkAOF9IpO9+hhhswjsfD/IsHvXxVqchTejqhyzUq37NSKMhshI8XOVYzpafUxWzVkGwNpvKGOD7mmR6shJUs6ldPJId4PuFWnvCnUHjJEy5u8Rz0y6M2OmBqiifQ4ggzRzRBTjammS671jp2ZEkJKr4Qm34MoVZchIUFEUdoRMbRkcT/9LbYaVeZI3EMOlUzxWlEEje17Yj7nHksvY1is444AACAASURBVISekpy10zQMUD4jYqiMY8cjYpgTvRDRVBSs4uf46hG+GlIeaNq8gS5D+QUrtaOwEyznKOXRTOgYImJYxznf2K/I4kPq/hHL+zPKfsPP3eesOAKV8o6HNHnPG37AnXxKJT8BAlbXRL1fa3U1pAZWxytUcs6ILTkbhvojvYxpuxlZmyHtDUE6qmzOrT5gVAuuSpDhGmUOUXFPjZSxZzUTyHsmyS0qYR+T6TTBdZApGCt2yZreQqsKgh2xcx0tYzo9JbgZa7ei0pDqSGsLxB5w7QZsOMUog8HR6RE1Zxh5RaczPvAZRjI2+gTvJ4hM+ZiOuZ1aHqqayqT8fHnC905W3HeajT7gjsfswhgrMPc1p7s9BHOwDUz9Da0ueMd38CrQxyk7ZfmovsuCnzBAccNTLscHXC7h02uIMsFzAjHB0BKUYcuUjZrQ6RwTYKDecUrNsn1Hvo3M5xucLalkQmmWJGZFa4RLc8KvshdEtWKrLJlp91F1JmGkttT6kly9x6iWiWyZtxdk6TM6d8pKTblOH/Fet2xkSrQ7buQfeDNzjCcLbtxDICEozZ0pGKsZohQHdWS+2nGhN2xdQ41mo065kueIf0G+VpD/Xu4Ef7B6o37IYforxkVkwY5MA6IQ3SNG0LpGSbOfDKDpbEaQDrAEcXgMeI31+yw+5QWLJyqhTi07Z+i1o1QgLlDl4A89embxxYDSDVESWLhbdk3E6JZaTzkvnhPbG0oG3CY5nWrpp5HBosMMU26zEf1sw+E2oRmsGemGoAZkTYVEjaLB+hVlBnfzlpkk6NsOnTrKSUekp8uF6aQh5LDOGnrlUdJT5x1mDOQBn8D9VNGnkbJVDAvN+VOhmwfSGzjYWGQ7pNWBmyTD9SNa47Aukrm4t4qZnjoP6KGQqMBgB0YKbKVQncB2xPTCIjEDWvSqQd0Ix3nOd6PjwWXKoFaoGkad5sA6kkYTU+iTjs1YsZsV9HqAJDniA81qzeIgJx3XONlQ9DXnC839cMFiOkFPPzLsSvxowv0wx7WRw85S5yUhKWmGnmakyEYNfgRxCulWkdqAsgodoIga4wOietph4N4o1jNwg5y19hQHgacqRW4VEY1PLX0KUQdeFXPqB58zt5dIVsFyiB0OqHWNQ0FIaRkxcD1R3eOiY2cCfVaRiNr77HXc20EQJGu5H0aCiwyDR0wPzkAELQpBk4SMkbQY03I/FjapxqoEUpBsQzsSrqaO4cyyzjUuDOjMKausYTi8Zaw8neS8dg+oh2uc3NFmlk482wy0HrIdn/LRdTwedEyycj/y8wqvoBnATvdYLUTraYeR9qDjdN2AESpnKIeg25K81xSdAwzia7QEAgFvO9qiZ5Mrslxzpy1SBIZpzuwP2kn+39dRfM+4r3CVoNqUvNW0M01nepQojHgG3Y7DeMGRnTEzml5dEVXJVjRP+oYn/B3z+JFUct7Hlld2w5Jb5n5H6j/wtH/GfSwZ2QE7f86yTTESGalLZuYVWldUkhHtQwoUhX5PLhdoYKvHtEozjBuidpR6QOE6BqanM4oeR5RIZ1IapvgQaXVOpxyBNb2BVqX0BJzeEVXkxh7wPt9RLD9iTnqSOtLNFBOnSDYQR4o6KfHOsbOGcj6lbTuSSSQrGhJjyO7GYDUmNjgiqdcUWhNVhXP3ZH7ADEUja1rJyfWEIYadGtEnKSbZEdMDlHRMuOOY3zAJr5kwRumUrKuxytJjQfdcMuTenCEhodCaTjm2aNZOoXJNqRe8sY+4liO2zNlxxFXq0WrFoRpzly8YzNfcNR394JDoII0eJx02EYLSlGbBtTasEAZSk+qOygT6vmXZFix3CU6VzIuKMmqSNKKkxQnYaIi6R6uGSSnMdhorHbGAqtvbTRMXQLf0SUaVGno7ZK0HCIoJ94xjT2crdmmkRpPLPYaEUi/QFHRkdGR7hVv14AZ4rcAlJJ3Q6YzzbMl67onBkLmGbjKgzC0VlnsSKjQ7LCU5na0Z2Lc88L/ec2OMUEbFoNvQ+cjj7mvm4TVBOZJYMhFhKwK6IcPvxWyg1RkdI270AT0aTc9OLdgy4ZJHPOQ1p7JDtOaeB0Q3YtNvUCzp9RytM5wJnMsJTRzTq45VphjN4YpItg3czzQkCWIDtTJs3ClXZHRmxJg1WtV/6Fbye6ncN6ReUFGjJZJITSIdih0iEfqcpAcVEkznsWWGCgJ4NCU6ZvRmQKUMmpZFKMnanNaDDhobLa2C3kRQFcPeMCnTfcBH5yAzZFXPqu1p0g6lhJlvmXQNoc/YDWvWDwzrxDKcCEVb007/L+7epGe77ErTutbe+/Tn6d/+/fovOkc43KSddtqZlSqTNCpApJBohBDDlJgxgSk/gh+AxAgGIAqEoApEFZnKdDZhh9MOO/ovvu7tm6d/ntPthsHraZlBpmQl9184Oktrr3Wv6wb2FaU0xDU0LiBmSx2BFUF3kEw9JUIIitY4JAlI4VmMPDdhw0ICmYJtbvH9QFQKwQSiAARLm4AtwBlFPxjw4LVjlqY0TY+DdMG0EHIlRI2ibBWy6ZFVgWArEEfsA/ESaGPaeINEhk3q2cRD5tJnEM5QYQWA04ouuTsXiyyYJBB0g+5ijAOM0AZPgsd0CrEaEwLKdSQukNiKZDWl2W4JW0e+XnA7MqyUZuBPUKLwZsqq8LRSY9HMZIyEPVpJkBCRuy0mrPC6w6kNMbeU7prUQabnWAXWz4mYU+o5bXAEHZO6irJtiGwgaBDRYIVNHDE3GS1C4aHnNmxNThCNQVH6Gh1uaYPHkSMhpnQNhd/iVMytjugQxLZ3b3GtmeoeS4lJVYG3MV62dJEHAxJZOpNSJ4omc2Ay5qZPDaTxhowaSyAuU8SuCYUnNYrIKkIHeAXWoxKFjx2bPGByKHSLspouCoSoxkYBb+5AyUllmKwNhgSqCh1Fd+4X5wg+wugUaSrYdtAq+lqhvCNVgXLbIa4jzVq2OiZvhE577GDFlw9hEntUAt1D7piNO4LfiSnznNHSouzdaXmVCyrt6P0r/vHfOKg5Tk6wP7xl/WbJaZFh4gWjb9QsHvTY9oZEnDLp15x1I17LE6YfvuaVL3j89jFfdEvIDhj6JTKPePaThE9tn/xHQ6p4zq39Jo4X/Bf/7Td5+ld/SbWvmQ5yLuizXgvqMmKVDHm5/xCvVjy3b/DqNKM/2sE81OgqEM+F1XrL7l7EijWtBxU0kSvwH28JP10h+wUBzdY7rO6xISFEG46+EdNEc+wNrPSQr4qKn4eUxn+D7nWAg5Q4mlKfCxI7vNtgo5iTkNJxhP5nhsE+JG9vuXk6J2VI88WaQXJJj1N2zTkJa1Zun85ZTGTxUvBjfoe1e5OLs3e5/t9O+MkP3+HLp5c4UvoYbhlwuXmTz5On3LR9Zq6P2a546lYkr5e4lcFfKNp3UuL/9ICi3HIkG470nMMnOWe/O+DLv1GsDDzsaXrZGdrDoFmSzVPC//qMyX80pmgsXDyDNOXtP3nCix8IgqHfH2ESRXJ/h5aW3kMYvRu4uBbevP46NvoCZMbOGy25uqbvZ0wGMy6/vc9l1jBXhlJygtoS3rVMexE3YcQ9dc73Hs345x9PKGPDsOi4Jecv7Pe4vR7QC4HpjcUXBV90c57+ydfx7qecccz00X/I/3T73/O4F/hCPeAv52O+MXtAYv6C99906HFH+wz0ZcVEZqjB+/x4W5DMGloKLjeWZ+P7PDRf8Xn3kP99c8Dubo+3/stvIP/8A5Y+Z7E+IixqiNfEYcEJuzTsUHSXjENHP5rzfk+jXgir1xDv1wwTx/PnCedPDxiNPiLXtzQobqRHIsIkv+bfKr+iihPkacvbvb/lMP4577Y/4ehJi+823EtP+E78mpXK+Yx73IYxf1p9nxfmKTv+M95Tn5D/4I/5080OeIOnY+kzXqiHeF/TPjVk5xb5/BmP3sw4LTe8NpYuf4frzwv4mwZ/aeG/+nvpCX5r+hv3Fof+lu8cFKjhLZPYsQw9qjhm8o8UZTBsX75El2PIA4nU7PoTlLWsl55eXHG4EuqTmrTNSaKGZezp/aDP8usJm6hi0nRY1aH2PL0fgvzxQ569FfPaZlRyRHWYMLHnvN29pO9uudYTfizf443hKV1naExOGzaEb6948J0NJ9GW1/oR5R/uI2efsm9/QZQucLMl7pliOQyMvmdwsy32XsL1eIcViuH5FYdHK3b/E0MYC3kX0KR0TzrceMaEjpFyJO9rfBahe5rlqkb94WNO0h4+DDndFqRvOH7v3k/gvzOsP7ti+cGWxb//lJPQQ29SDkcjovECPREOdmKcr8mfpkQxdNMF5i8dzfMNaRTwSaD7uVCfCVsHPglkNhDdKoJ+zt7BM9QG4kLRVI7cfMqTCMLtgOyJwNMI9Yf7/Kx3xI1+j20/A91w+MgRqSlF/JqvqZfk4yWrB+/xL93vIyHij/Z/wlh9ycc84ZP4TVQ55UdHHf2rc9Txmui7feinZO0aGW7x9w1yu4/7n88pnWblhAMidGXZ/mpL9B8c0R4YfNjhyu/xq16K+X3D+GZDfnpOnEVs9o+xxnEUlvyf8WN+8rv/OmM/ZxjOUIVB2UsOzDkGWDLGuJrJzhUy9cReo1VEknki05CNoetSqqsto0GEMivuv69Y7QiZXyNVBzZCLUE6xWy2JS9zTqOK9psjxj9wWLViUGkkt9iHMPq+IP/ud/j0QYfN5sTFIX/efJeTIuNH7T/j929+juuP+cvs+2TK8rj/gkO9YmguKb79mE+2D/lA3mYhwncHF7y3/1OOpi1+bXk11cjhgPPBmLfHNdnZKTOx2Kc5yBr7VeBqm1CrlIP+huVfvKIYpuhDjVpbfNFgEdIhpPua7FHBTOcs+2NC2udEKX7w2y4mf0f9O+F/oXx1RvfjFcmV5jRyRP9Gw/7bhldfVji95L2nYzarj9g3n/Lm8LustOZ8uE86VLzFObE/pVUjNvIr3r1/xfmDHeAzHhWnjMdbZvw5K3ZJsKiyoU9FnxsE4RpDi0FMzDlDXso+SzfgOzIjCXAedohlyzicENjjMhxQ9zSjMuKVHHPJMZFeEqRjSoEyczK2KBwJgQ1CFyz31MmvN+wLPuEB57sjHv2TM978/RMmH14j7+5w9S9v2LsV3Bsp7X6FTzxe7vH83ft0v1MwdGdMkks4vWXyP96ymcDkD1Kas5rIzjiM5sQPLNX9Pnv6lEoNsXgu2eOKIxx9zlhwmcLb33+DL/wD6mVEv1DEBHIcqIqEDX29RduANZotOV7n5MwJWtFKQSMZNRkLclZxwZfhAa94zJoRMcJ56HMaEgocK1/wKj5gfv+A1zYgkeceC460Ze03lDuash/zXIb80k+wumQcOg7sBcP4moGp4Vcr2jMHtSN++DmP33uLBbCDQFDERrByzqFdwT/9GKIA34T1bkQHjHoTXHOJ6yybyLA8HnORPuA2HIHTPM5X7PEla2lZmglLNjyUGzrxzJuSNE7pyxUZNYkq6T9dMXg7wfkaJ8Ku3ODscz4I73NV/ttsYmESLagNaLfhWJ8wYknKBTX30DjeUB/xo/yWH4Ufc6+7xolnGxs2cUpUxCBwScwuObn6lJKEL8I91txHR5c0CDEeRUOuHddhwMccMpaOvm84U0e8CAOeykPe9B9jJHDLLqrrUUaBtY9p5Zhf2kd0pmCjdhjJig2n3D454ia0bGXMqK5o0iHnrmGoMs4Y8glvMg3HPHFDvmU+5ICL33Il+fuRVp6m9aSiUN2MSdLH+7uMnNZplq+nqBqia4skHkJLPXFEE4OTGRPV48ZGeJNT0LBfLfCX5xDAzz3F1tLFNbEKDKWmfbVG/XhD+ATa9A78GhlPGK1xXy9ZKGHoFclJoLuqGP2b8HzvbT6yv8M7qxv2rv6acjTktrePu/yMeD4l8gl1FJg8TrE2R7+Iaf6HK+yXDXGvJNptkIVHPznkrX9vw1tv9DAbTfenF0Q2JYwq5HDA7OMbWFjMwxHJaEF6qJGjHF8t0Qj2Epr+LqchZXe/Yvy1HW6vbjieBcoUfLNAnBA7IeAQwh2r5nIG3zfcDBKWOyXXXY9axkyNQdLX7ISO1bwiP9CEU48NYB5luL2OMBOMEcIwEA0VapAQXrTQVOQPM0LqCXWD0g5zsUHdemwH7sUZ2fEBU1lwz8wxJKgkpUkG4KCmz3OeIqIp9J2N4FBdUnBGB/Rp2OUSu2mYu5RotCaSJaXaMmDGRL/GkXMB7LhbBp+fI19VzC0Mhw7fGTrGvA4jrGp5LBUT2VDLgJXskIUVh7JlIy1bFd3VXNenCwMSvWGilmwogZT2qwXrnwai30u4ZswtY/ptjNFTShoiH2APZEcTdjIu44jNUcmUCS+lhyblRgcW2SEW4Shbsrv7ig0rxjbjaBUjG4gXwuxzGH47Rh8b+iONNRVhIjStx3aB9CBhRQ04jBFO/6JmVEfIPZheekavGpJewnzr6HD0pCbOoLXAyrOYrNj7+gH11TXdWUdkBHY9IW2RvCC/J2yTwOPfuUe0ytFNxSovsO6Onfo6V8T1mm/84obFJyvyIkIXf4d4bhOmlOYXZEmfIDkeQ6QuySIhlVsKt0Tkmiw+R5QBPyB0D9iGEcuwgTBB6RfkkhHqY/xW2AbPkpxb+SafF33+VP6M6OgeJ4M9khQaPF41uLjGRUJHTotQ6wE2GAgOZ5aEpKRJHKr7kqHzRLSsQsGgmzN0Cu0bQKMDeFEsTY9GDtjqhKBa0IJ3muByWhEaU9N0QkNKpQpqVdCRgARitaVnnhNCTSsTRGpU+IgJb9OFExI9Y+AKNvolKgQ8MZo1EStMsKjQkIQtid9S6Qm3sktjajBzVuqIKV9nSEC7lqA0a+nT+X06N6ZmB0HRtwtyv0G0B9MxjRR/OXrCijESIsowo1WONleEfocUQqk/ZiALrCvphSmlzzHdDrQ94k4hXqMixTKFduSRzQytOxLfkKqGVtegFI1J8KOWi2bDIotAzch5QUlN360ZhksSyYm4pnSWCYHSL5HoEisFJjT0mTHxX5A4y649I+eKV+xwo58wi/aw1tO11xSx4iwf8De7OzythcY4ztRD5vn3MWbNQh5xK0c0sotXhsvS0xwO6GdzKr0l9yuC7bPSI/C3oC34DVY0PihWJmchJXkauJisYOKY5yWdG4NvkbAkkDNnjDZDhv4GowINBUhBS4wVcDrC+wzvc1qZ4FSMti2FacmlJg6WkTvjILxkimUhmj13xvt8cJeYlsB1r2Qba3bclKH7io14LIGFOWCrCkIwzKOCT0n4Uu+xLzNGoYYQsVZ7LELHZnDIND1lEJWI6rEWi5cFPU4x3S50jl7TcOe3+4erK3nAQn+CUwrUBuUVqB6V0tjEUYQW4wKFBROEWgytGGoT41VHbrcMWn8XRUxGg7DVGzZphTWeRgyNKfF+hk+EbQ5xseFFdI/P46d4eqiwJJOaaH1D0a7RBUyTY55HEUY3GInxIWGRNMz0jEop1qRUpdAvHKMF4GBQC9E2oR879LJkKHOyckwXdjAqUFZbaDpc6nFJIAjo5s4JNG7BoMmWniYKNEVDzxhiD50R1tpRS8ZtOUD0lrf2b6CfoLTHNDFtGHCtBvQS6MctPvXMsh4SBiS+RrFGSkdyZSjWgvbm7pbXe1QLZaUpN4IyjuAdbmtIgV3dggdrIrRVTDYV4wBsFSETfNmyKDSfZe/yQv4AH3Vk4ZyZcuSqx4SOHWZgPM855OPwHioEnqpPyEPLKTlfyhNsscs995pjA6JaqqRllXWIF6I0ZjFuKKMZ29wzuIWgDAFHLKCdUEWak6IlOIepe9RuwCJpue3NiTREEmgjgI6EwKv4Ib/IvstOeMGDkGBkh6E/ZYCnk4gz9YiBXGDVMzAtJnSIj3HisboiScGIJnVgXEunHFXsCPGcyIPpAnhNp7jjK2hw3tMqaHKHN5aeDWR1xaJnMLFlXnr0YMFtluHMHo3c42U8psZTNgXJNkenOetszMxk9LD05QVl1LI0a24CzHROjWalhWXq2DWOuIOyNqi6z8wMiCtDsUmR5YA6TlCVgqpltBrTbnOKDfRnNcalNLnGrJaEaUabaEQ8XbylVRVWjViZmJUaspD+b6+I/D3pa6uXMHdE8xRmfXajGcwT9Kxg/2aJU0I58Qyqmn54zh4JN0PPhAGlbHmXa9rGc5Me04QTdn1gonYAxxN/yoQlK2KmakTsFQ4oTc1Oc4aSki/UAQs1InYxNYZVvMvK7NBKSoankSGdaKxOsBJRhzsHhtEjrnmDGwr2qRlaMNuIMqoItDQ4RMU4Yka+YxLO2CqolcZSoqWgEcVSt+zqgBIh6UDaXzvRcEjQdCHmJolZpxA6z4CUxA7prRuyCPSyj7E1aaMwIlA6BuWCONUskzV13BL7GUO/oiOlZ07od8J5tOKagqWxKDWjJmch91jJCEWg1nNQji09WslYS482gJG7LX/kNwxw9LsMkR5F6JHojgE3KByXYlj4+6iQ0+kRN2rCUmecqUBPO2qfswkxS71hFN+g1JptVNIyxJGzNhVrCgbMyd2WpO2Iqpik2tKzLeuwQCQnoyG4u16rYMb9hZBeGcpcaLsAaAIWpxzWBNARTUjpVIIETepB+YYBVxz4U6w33EiJc4593yLMeW2vwQg5Cwp/dheBaz9lX1paW1GZgqGu6dkXiDrgNh5wpQJtlABC384Y2GvuuTU913ClhyRyxX054Z3uOb/bfsxwc0vINE3Br+OHoTI75GqfTs8ow5RYZ2y6DSuj6HPC1I9Ap4zdNT09p6GPVSUSZvTdBRsfyFRCK4pGazo8jThSZe96a8mYy4DGHIPEGDYk3JCxxvkEFyJU0NRRwDnPwBYUQGGF0tTUVCTeEYeOHss7Mvw/cPXbLcoHVCuMVEfBmi0xnRb6VU5eB2xXocTdwZSxVImQemFQaZTv6CzESjF0lt62RRpPG6BTjkgHTHAEDKVVUHtUJ3gbEFGoIGA9g0o4rAxlSBhthGw9JdoafANbPFfFiomZcVStUL2Y23zDILMMW03TdSxST9zTrMKUtK+x+R1cHbWlyy2+DeS9BYudOezMGGaB64FnqCt86SizGC93kN8k75imDjOwFLmg1g7TCrrNyaqYUgckQNAd2gZoIhRbNolDxYrIQ0Cokw7JIEERVSmqF1NrjTV3Z59bIpZ6wDjUFF2F1oAovDiCCRCB6WJq5WjjDjFCpDvqxBESR5wluMizCQqjS4xoXLyiKcBEjrjtGBvNwNakrmWTKLwoDCk6JPhgSKUDXxPrjol7wb3uFlyfHbbsYRmscqzEqKzD0NALBhNq0jCnMx0lmoerLcN1QG1icidIFROzQnlH5uM7Dg1rbJjTDxmBPqnbkqglnSiGRBTek6iaijvIfu4WZObuxHOwUfTWQtWOqFWfLX16AjF3783Eg3hBTMB4RdJmeJ1S+gnjkGC0EAtULqOQmLHVDGRDL5Rk7ZB4vSGE6d0ZmwclDqc7RFkECAISFFFt0OsxpVuhXEQc50y25+QbhVpnDJaayAoS9eltloTOEkd3kPKghNAlJM4iXUsUHBbQYog6S6bAJIYQFF1smakZIV6Qhg0naoT4jFrglRrQ9z18V9FvQbUeE+TuDO9fod84qOmk5HFywe5ozmsGLDiipxxfL645MC/Z0zcoNhT9ryiV56v9wFcfvMtiJ8EcxLT1lLhweK+R50vCquTqk4e89lPM4x6zYoe/jr/Di+572CTmrerHvJm9Ynd0zfCbLb70iA5EbCnVlOOdhCxeoCJY6Yzeg4bH3Yy3e8841SWn+ZBHySWPjeWXD+4ht55iAJtfdpzbI645YBs02JSLE0V6MKDT11Rag3McmxlbXqKyNU02YqGHpPdiHuyteZKcMFYdS18wub/ij//zisWbjtexZ1fgvvqc2dGWlRxz5YccqM/JOKUXzdjrB+K4Zl+m7HCDMn3ib+VMYksy3KBXBt1zlFIxkhnOeOK1pbuOmOmUqCiJ9Jb8YIEuHQdNg8lj/unmj5gYxVYNEaPIg+PoaWDwJ4q90Tn3Dz5lyoBX0YBE1xwWnvwg4uxcUV4q4ICgArqO7gCcbYLTlr5c83Y0pdkzFLrkUg7Q3xb06z7rSU6sGg7UkhRNLoYDteHN7JJ39C3351OGi2eMdr5Lf9LnKLrlXnhJSUcRNfze4zO+XfwthVwRsc+o2bBdnqOzfZpmzoMHhsvB1/i/9Pc5Kn7GcfQRqNc8lxH91qOijO7SMV9vaY8yXj14zOo/+zb/6I2/ovTPyD3Yn6+wRyPuDRt0tqBPy57MUGqFCR338yGGjPXAE31/xM3QMF+Au0ipb1pU2GFBQmpzytpSDRQ3MuJEHVBFP6UYw0wNeLHug9+idKDSJUHlTPyMJ/qu2d5LF/RZknAB5ZBeWDEgQknJy6zP5ZMDvoieIC7mjeiGJBjmwEqtGbBi6F/TYZjjKbnknlyS0hG5KSjhfL3Lj7vv8Pjrjmq4ywsGqPQ+E73gX8v/Bb3jjvoPhhysa+DR31df8FvRFWPaokdWZEi1olMlczEM0JgGQjSgZUHmhSjAuRQ8k/cps08Z7Fa0xtI5S7MRlmc157OIahyRvXmA9Vdsww6vOSBXnkd5S5Le2cO/Ck/50H2LXX3L79iX3PvbFyQfXRMPW3b+sSBmylzl7EpNERbEbDmsV0yqBaqvadMz+n7Kve2M7Aa6k5p07pGuwa8D2w8qurOAZYPKLToJtKeWzSYgZUD2Al0X8KGh2wlwWOGBbW3RBOKdCFGOaOtxr66496jHTZxyrUqWcczlD4/Y4Qmv/usP6Z0GkkawxYbrNOat/QGSNpxEY6Y8ZFCf8Th6QWlr7NZh3kuQxwF51aHWGr9vkTdL+OUKd+7xaUC+mxFmK9yFBuXgXkCeRtCvscuAGfVwdoaKhEaNedm9wV+tDnky6HjCBTU92hDQrs+Fecis3eeFMswupAAAIABJREFUv49NBiSyZGsNle4TxLAl4pqHvPRr3jzYg8sL8kJR9xzzLEHVPVqtiPWK5IdC9/kuNx/e4IBBP6LLAl4sCodWNVafMtJLSgKJLOn2Aux6smgOrDnlgOfqbb6cD9g3DXlq+NWqz5OywmrPlQz40L/PwxDxg0mJmWm0XaCDsFaGcifBDTpU7Mi1o/ItK2NQ93ZI9ZYoNHh7l7LFowxbaep1YHbesDNK2TseweySeAw2irhVOwx2HW58wSBrGEnHa464VCV79oR35l/w6Fcfs1l09H4Q85Bn3LKL8TWNCixNSuEbHpq7s9yOhjfVFff2FsSPcuxlRX5raT6fMkzWbG4s7aXHpmu01Cy+sqiNx72xxO1tWMwt+nOg3xKOIbPQlAHZscQEZFfIBoGt76jEMlXCxv8Dv8EE2n+hmP3MMnjm6K0qqm2gWVnkjZrpV47ekcKuWhoDrfHkowXjR5oQC0WhCOuKMjM0MiUSTx/Pfr1lc71iVzf0Bcy2JTuo6FmhMSmSRhTTDtPOOCo0B0VFut6wm+3zWbyhDDOMCO000OaKOh5xHY4IIbpLz3QJN/WAi/SAKTUPa8X4o1PGv2qhdbhYaCKwAtooRJu7ZLBU45KOIL9mQUdbhnWN/MTjb9bwsYMLj/uoIb5viEee6+0tO+WENHgOpCH+6pr8K0+zCNhOiJ+taXsQZZ6mFcKrgF0I+YFmmXWY3YTjDFbbE7a9uyFMolJS5izlFbeJRaspJ6HP2j7kZXhCFRR9tWDTaV5udzguVsQR1GIYseaxvOIRr+nNThlceNrzKUfJJZPvLbiIFTUTeuGaTP8Bs/CUl+6AkZkyxhI6S0fEBXtcuF0q8TzVnxLrl8xJGdste1xh2BBLQ7edo1SCNxCaDZIGoqFhbQy15PiQ0mroScPodEPyM0v7eaB7YLAvPUp1ZJnHyZIoE6oAEqcMbUNanRASy9LE9P2c/NYiG0WoLlGNg7qiHBme3Fsx0x3jsOUQoUR4V6fsb2tubOB8uEtBzX25JG5PSNNzNrqgFwARhmHN8YtPuXc5ZTcu2Nlv2ZiYZBWjPnnFptog/i6i2RwVbLcb0iaia2sG5Rn5/YIgd+6yd3crJsWcpUw4UW/QEtOXW1JxCA0ZjvtyyUP1Ce/wK96XzxA0GTMgsGJBrteUNNzKIR2aJZodrtjhhDEXDLsV8UdXDOcQJMbblsqsiEYlae7ox57dwZpl2ieXJTvuhsz8/2BKAySXa9rLgF05tAok+RQXRRSFYD8E+aUQNwo/gvBWIGQ9ctcSTjr0OpDoBdFmw/ihZjxuaF5YknMhzQzh0NNkHcoLVZESrzXN1hMeKkiHtF/MiMoSPfKoxpH+2S1yu4TgaSuPXLdsPojo37/ke2/PuRd1pK8cvlgwymvS64btOqBGhsIEVl8G5A0h3Rqms5Y8iWEQ4wcBKUCSiMKAwiG9gv63Aqofo8OW8FVDYQS1GyFvaML+PjdFBDpC/eIrBgQ2y5Z8ecuhb0muOiLVsZ1awg3YRSCaaMgVUkTYWYt5GhHGPbbPF+i/sMiBJ3voKXZvqd0MXzhi39JdbcmuILhAWAhGC3bp4FbuhigPA76IkM7jrYd7KW2bEMcOl3su1RGX/pie2tIvFoSBIi9nDGqoP1jjVp7sDUP+wHNdOUyxQ5ZU3JMLDDVeCmo094Ll8OMll//PjJFOyIYVa9cxGDmcdlSLhqgfGLhzbLhEhhmpVQyoqG5awqUjW2v8osN+TUi+tuChU9iTFYNey7oXMTY3TLo526rF9zIMjofdBYoZnQYfltB5kqqjLFckYkm3G+xtYDsPLL1hbjr2aZhUc+J2gbcCUU5zVsHrNemnLWFl8NsNvWGO7deQN7yTTpj+dM3gsiVvHNuVZz67IeoqxsMAmSJOofMWbaE9g6QEn2r8c0/4lWe22dIRiGmp4nAHhdeW8mSBexlYxsLg6y3djSN1AQ8wAd33+Kamlwck3tDuKNoywRAjiyXxxhPCmu0aEp9Thg5UwBSGIoJxuyYES5MpXNenmTekQNyvaCqIf8MO6zcOaoSMQ/MVZa/Du8d0HFCoW95OP2Q3fEUhMbFecU+u0NLQDvfwN5rtMqI4suBvkGCJbIS5XhKtEranCbfLPcbjhFU54id8m6l8k3G+wIdr3rOn7KaXlMdLWp2hlCYLFUO5JksKtHLoJCLkGQVr3vSf8K77W/rqIUpNeBRd3KHlhsfI2CMpiDcsu/uc8gZbyUF5VgtPHjRCR6cybBCGekrCGTbpcCanMo5yOOOh/yXvJR+g2XIq9xnsX/C7h6ecS4SV9xmEwFvqSy57OT9Rh6y6ETr0KXSNlTN20ymJ6nMsL7nHGa2PcccRdr/DSR9z20P3VkzcjD15QatjorrDL2BZKhgkaGqywRYZzhjJFN/W/Hn7I97XF6SqRYBIPOnROdEDy+Pwcx7ZL/mCd3iNpydLDqIE3Yuo5ynVuYYwRqRCWYU0YCmobSANDUfmS4pewspOWEQT6qeKSlmaLKWPZxyu8VIiYc1QveZhsuQovOS4WlNc3pCPZ+SjLXv6hmN3igoZWTTjW6PnfEt/yNrHJL5HrwXqK3w2JPgFg2zOJ/H7fFh9h/+4GLOvvkTTcOn3mVJjnMLNNXZlUEd9Pjs64uN/8nUeHLzm6K+/wLgB9uUAyoh8b4nNb+gF2GsXJLqmlY79uKbCUPU8zdsl10Q05wK3EVJpuiDU9KhsgbSeVsMlu5zygHmS4kq4dRNu6wFD39FpT60jAh0jznnES/pccmgqsvacMQl5PCN3N/SoUCQ8S0Z82ftdvpB79NwF99VnGJZMraKWnKEscWFDEzKU3Hl8JuGCoBxBKhrJWLoBfxp9C/2kIZWWv62POTIl3+j+b74ffUAYa+bDXcZhDvzR319n8FvQDWOaOEYiBR04PaAmJvMW6SAkhkYFku7OCWEQLuUtbpMX9NOO3LZIa2iamHalWdWOugfmvhC0pmaHc3XIrmux+pYiFmptOOUxn/A1mvAL/rGvefDimuKnC9R9SH+oaEWxlgH7fkkhK3I1Y9BO6c03EGXU6ZTdTtir18haY69bTKPxdcD4gLzaknyuCecb+tEaKSJYg9YCpcDQEHc1ElmSXJEfdqA6tPb4J5pwrMA6zDqQXtTs7Uc0ZkFQLet4wsmTEbvFmNP/RrN34zmqI1IaakmI+3vUcsOFZFyFt1kjHHKBcQ229UT3LT449DzAUrATSN6rCVOHnAutQPY1aG4EfaOIzF2kM8eekIQ74mVZwBS013RhyLV/wBf1fcZljTa/oBNDF1KU2+XKlIRQceUmCIbIO2wXYdX4jrfgEy7sPldyBeMR4fMLzCbGYFhoizc9kAE6/4LJm+C3sLCWREGS3H1PtEdCh8ZSy5pCOuLgKMXhB9D0IIscKnR0WObhmNV6TJrFtEnEl0wY6ApHxZwBn8k3iEON6yV3bJ92QUafFZreIMXna0TXRKYjhI61KtBlQtTURO5ua6mCw4w7XGFwa2G9tvQfxJR7nubWEuVCVaZM1ZB0XNMmQoal7zVrnXBJxlN7xvdvPmb8Yspym9J3gUO+QilP5is8ijpMyNpb9pkyjGc41uxLQ1x2mEmKP2nIFpBsNpSskLOAzDWeBgkR8oVFIo2/bPGDOyClngG9LeFYUEqRqA6OFfqxxvYEYzxZJ7g0Z01B7QvQv+Vi8ndU/DND8tMt8a1CvKCvLfFMEV8p5KUje9ehIzBjg84Upt5SjCxZsSYvMtbVhrQYkFERSUtftZTVhtnZmn6iiOKCeNri+5Z+12KjmMr2yBcVruoYiSFJKwaLS3b0krlEODqCCWyrFOtjNqRMwx6JqwlRd+fqTAa8UrtYOyXdKHY+vcX81ZYwjQglhNjjnENnIIVAlhBSBXlz998Y0FmMaoFnDtoGcxLgNkFeaZJbR9pYTDulxwoC9FxDcblicB5g6QkW1GVAKY0xjrYOtIsItfIk0YY8baHcpR9V9NsrpqpDiSMKCSIJMynJJCFBeC1HfKG+xkfyHeZkjGXJusn5Zf2Yb5ZfUegrLAXHXLIrSybtzxnNZ+Rngv9loFeC+UZLmQQsu0z8C6bqiCve4SoMWYWCXBZYpaiDptEJ11GBVTvkdByyZmYH7IQlb3QvMaGijgoWTYOPY3QU4zsLZYAE1hi87+Ec3DE0FUdXDfmnKzYXDkYZ6tpjsgZzT+NUg0kgNBZTWuJuQ2Y7Qu5Iiem1G7JG0NOW+HKLrgUaSJoNsnfDeaEYhyWZdPTlBb0oZeem4coaXgwfYLA8ac+wbk4aXSN6SOICDZZJaBicndF/VhPyBbucE1IwtyNWP5uhN55Up9jcE1Uxbm5Jm5JsvSQbrciMJSiLUxUmWXNPXdDECZ8nFTMSMlVhyXB+w46f8lhf8ki+pNeusdGnzPQO06DxXjjillhtMdRkOK78ACsx+8x56E5JzYKeC5RfrBhdBIgTWINLVui3KqTsGOWOQ31NEHXHnwhCK+n/xwvoH4b03CFzQTYxBQ1BVaR5Q5QrNh9Z9Ks+mAyXb+iOYNPP2L2u4cZiTqBYwf5sSREMJhHaWYfMY8yeoS4trfFknWGRQDkTmsbhDhwqb+leBKJC0eVCVEHxyzXZKZjkjsnBDejP9K+TjWaYXkBeCyEOpLJCTQEXkCDEncK+drS5Ruc5oanR2iPmzpVrtIdE0Nwhl1TmMG90yKRDbcE970i0grLDH1tWT0Z8pUbIxrDLS6gcTjryuibb1sgSor5Dbz2hUdga4p7DZv4uGaryqMeBcORYfx4Yfgr5vCWNHSaHJYE6SUmcg1UNG5CVh9qjNUjdIesIX7S0u5omD/RvwYmwGkesQoQWS22EV+aAT8I7HLDgYXlFO+qIdcX+pebwZxX13EMvwxx26BmY2JMka/aAwJaOfYyPKLsN5WuL/z9mFEmGulexzDzR/SHZoiNcVKijgtKtyUKH7ETsdB1ZqmgaTbj1qGWCv3RwrIhNTeZO8OeO3AqSZyRhQeoNrxtNkw4p/Jo9e4FOArf0aFxAdTHStZS+xWDJ6hpdQbvRdD6mCYrSw+Gmplm2SJQBEXK7oTdv0GtHceWo1wuyoyF2sqUd1/T6Nf0/WxC9Alkk5GcKphXyRMOPFCQapcChEKcwq5YQBEYR6qLCfOiJXsxxMegIUELYgabnwVn0swgVN3CgcDNLsDHaBnzo7pLCGv9rpKBnNeix2Y1pWyjWK3otdHSsPYx6MUXd3aVQJSmjyLHrNjR+RT/02Ngh1QJiBSZ3rHyg/A07rN9YpmI8iZyRMiV3GUbPUZzQl+f0/GvisEdCQ0INTOmFjLhLAEX367jSRvrYYMiPpsSLDXr4iEhHhEy4NSlePWK9M2CdBW70PnM1Ztv1cVVgR1/xlnxO505x6QHTbsBGcpbSp5UKpxcg10TulpQ9otCBt0RKo8WjRKEboI2IEAw5iy6ldZ7RMiP9MiJpdkiOAq4IVGFIIKJUVyBrGnIO+IyhfkHpX5KrmAsZ49SKQj1jtzOMZJ/ctAzlVzgZMORtZr6P9urOBSMrxvISbRUD/4yDeMqlP+JaddRmifgVWmuGzBi6Jalp8F6QzP2/3L3Z723Jdd/3WTXs6Uy/85vv2Lf79sRmkxQV07IGGzACOQkCI4mBPOQpb/nvHASwgdiyEyWWbFmWSCoku5s93/ne33R+Z9xjVa08HEJPtl4kgJDW68E+G3vvqlWrVn0HZKQ0ZWJVjOg4IO6miJ+zdhu8TLk2D1iI4yB8zcTWJAvb3FOzZJuuUdtjGKjYcjCsOJADxIJRg1VQm4gFtE5IJkGAaDKcDJRc4ckxWJxu2dlIR0cVGsY48D2GDYfmkiYZXtgZNSumdcbkpWX8do+ZvERYk6kjkMh5zol+y/30LU/TIyJjOjNBJ4k2E5wd0+62SLUXkrr1GUnvccu7rHXKCqVJhyglYVRx6yu+lXv8aX6PHzwwZC8sfZjB1R30WukeTejYUsqaMuwY3AiMp6JmkJxn2YismLMZhJFVNEIaBhw3eAqQKU48a465bD5k1f6Ib8wnPJn+mC6vkJUj2opWhAxIfYP4lnG6JZc1lax4d/uCTGteZyPW2RJjK1qds3OHXJuMhoIzGTjhMxJLGj2n0XcwYnCiRCZsOMDRYzVi8ay7Ixb+kGgbvi3u8hPzPTzwijF3WOK0p04TBjPByo6Kr/5GCoJfZ8xlRVldkQ46krcMkf0iYiKognY4r3hVTrctPryAWDEtV+RZoNr12EYxMyAfmPiW6tAQ/IJOEkddjy5umduOvDUMKri4YaIDW0ZcyTEbO0KdwVXAkXCbeS7MPQocYgyQs2HOTb5lmkcQaHXOGzdw6Fp8uwGU5gDMylKkhJKQUhAx0Hhwjr7cIBmIKMQCSpBcUZv2FChvSBNhqPZUA987pPHkmxJZWw5cw71wQ0EkG99APiObRkztSHJEzRbRMUvJ6PwhBmGWbqlCT1BLVGU4gKFKRLVwIlhVViced1xSv22YXis+toQTy5M8Y/qW4QShHUfc1FMXhm4QjpKgoSD2CRSMa8hNzUh2HMglDRm9WDKxJCziE5W95VCfMUo3VLbGMXCgC471mhdmTpXWyNCgFcSxRYNwsnV0beB61rDLIvNOsbf7PaYKSAFlC92l43QiuMZx8nLMwciSjpbkXUuKih0SYTBo4aiomYYdMU15yrs0dsp1PmYtFR0zSpTjdM0obkhu7zYhLmBis4cx95amlb/8flnXczREhhhxDlpv90XEVtFGISm5KKUHORxQd0lGQG4MNgiT4w6X75BJQlKNS1NaKjoqTHIUYnAFJHp2TqmZ0MUxQQIbpvQcMDMDxXCB6JgLzsmk5dRcQ2gYxgYTgNucXC24dt9waz20GZgAE8VaD9sMugHcALljLxCX71/yCMgVa/Zj2FiDaoGaHGPSrzON/I1E1iaOerDWQC64yqDJYfuKg2GHawJm6LFGMTFg2gWuVvIMTAuFQggD0VhK2zCrG2wDh1HIOgHpMSahScAAeUCpMUUkqBBcwvoEhWJsS05DYx1d5ekHwUvLiI7c7PYCiSoMrqOlJRIxxhMzhbHFGUEyBRtQ9k4ZInv3HGy3v79RsBByQcY9GoCZoD7txTptJJx4QuaxKTHSgS5eoaakagN+AbYFCoMUigw5WS3ItCWz4DQhGjF2w8gDqSb1EbWKTz3O7HWPAgHRDmXMTu/RScWFPeelvsNlvMPGrEjasdu8xeV8huUZI2l5j+echCuONjXVQpDrHHMtjDtBbxInxZZWEjkVj9Jn3LgDSl3xFi8ZscKkwDR58gR5Z2iKu+R2RScGzJ5a30aLj4pag5IR/IhdFjFnBUU5sMkPSRwiCMY01BxwI5Y+f4XJO0oLtsmQTYTFiEiG5A2xUEYTC9WaXloShpKWWQhUEaINmDyQB4FNgUqDzTYcqyCbyLTb4QtFRoIXKFQ56x2qW7aaIyZifoXyDWSo7LDaUplEaRXnFdyAL9i7csQLjvo9HVeygcwBtMwtkO0gg8yDcVtwCWcBk8hTIhsGRu4NS5lQS4aTyIGuyRTGuiIPPWUciGbL0k4YOCCJpcBgJQJKVMVpoNAtY9vhZb+GGoUyCK5RYgLpHcZ3GBoUwIKRsC8VoiAGbIq/lvzxNx2mdeSDgWixGNQknFVElVG3zzlh7InJ72sK3Qvrt5XijcEtx8zrFnGgyVF0AekHovNszQFRApYdPiZsF8lbxTlF24hVQPeuRtQZrgbabu/s1AXoLDYY7DCQ+j09SwfFWJCQEPUkG9Gyx4hggiE6ASP0gJYRRhANGKfgWroB4gCTecfWQz9JlAHySsnz/d4vpoYgG0rjcYPBaYTGULUW01YEacGAOENQBc1Q6Qm50qNk1qFthxqFcoc4MFtPuWBvW74L+ANHmwwmeMQJyQniBDpBejCFkPxATNAZR5MpIgMVdn/gHCP1qKAjkKcdh6wI0bBxI9Rd0UpOwFDsIq7fN7MIBksE1+NNTQ4oHYW+4oCOsV1ikmO6S/gmolPIVTBNQdbsDzVNU0LcYYxg6gE3JCRZ4m4EfYQyAQrW4DuDZUAzEGvQmOhUSGQ4byEOlBIoTQ8xkGlOm47opcWzxXVK5mXvDgiUfeDhcst8V/LuDUjnqXowpScOnrwHs3OwrrDNjtQksgb8TnATMJ0lawXXWWgF1xeMQsAFiA5MMsjWIguPFo7BBbw3MAg27X83XYHrB8gDYgzaONQGxJT40GIiSJ1jAqTMoKXBFEBMYBQtlGQtgZxWMnIX92MWgxGLjwOmjmg3EKtEmzcgNfiBWgsWYhDvIFr82hGenpLHBl0k+N3//Bz/Kxs1SYWoU0pjmBuLoSe6ETFNyGKFtQdcacc89ByIZcaW2Xli3W/JXCT4nOswwxWB3/zfJpyFb7jNbhj0PlvZ0XU9xBnZQc/UQ2YTT3TCF+ljLr9Wfv/4F/xPxf/Jm1z5A/kNvrjZsRrd5dn2mLFbMT3MaYYTVuYhT/Qe3+p9RtHywCxwk56A4+YrkAaO3BOG9Io/uYjUmzsM/7rn5t901Ccdj//XluJkx7PmhLvllA8P/wzjbrnuP+K+e82OI3rzkLt8yiOdccsxWw4JVnG2ZFAhmowxwoyBJg7cJoPJhWNZM3drkhHmmhjLc2b+HVzIGMuczFyTHS6Ys8JaYcM5dWqZHLaM3QavynL2Pq/6I14+mdD3wuuzR1xHh5uMeMkpzj/jkd3xMmS8To/IsjltfMrSBJYcojhi7FA34M6U1CXiIejMQJnTmQwGoFVs2eOlxsrAjmOS92QxsvnSYS8r5ufPWc7e4ZZzMhbcMxtu0phaD1irIz6Zsvi3LfljRzmdExF2cYbaRKLlwDZMYsJIxo6WVG6YlZ711ZYwnPLlN547c3gnu2KdHBfyA17EE26WAxf+kNYfY+xrsu9bvskO+Kr/iM5+xHaec/pPj/jy/3hN9xcDYTD88sgjs/vMzVe4YsfKHlF1DY98zS845ovmAeOyJs97Dscr9OAYVsJYW+7yDG/HLLuCp+kD/vTHD9j+POMn/+SHfPet+7ThlqkJDHlCY2DSWqIccCVzUqzYSUm0PQ9by/qPf8p3P37Atx8e8Bec0KVHiA1MecVLTkhmxkyVSm54Jve54A4Ow5HcYCXnigMOxDOiQTng1auc7lHO2CyI6YD/yG+xGEpGV8/4R4dP8IXwi/SAT9L3mMuGs78Donm/7f4j33nrGXN6ZDonhsShW3IcEjfPInLHMDJKXO44zzz5p884tM8o7+zIR0q6WCM7w+hHY+KF4+83kekPPMObhunUMI8vOP7pF1gDiTNMzDCvb/ne5DOOyt8m2IJBS9yDgvh7Bn3fcjs17DTnntaMuaYWy0veQ0V5OH6FqwwvZEJjHccaOFlekR+A/eEIuaMMn9Xo2OI+GhH+cIN7mtA7ifTeHnrLL1pSbEgfO9KHFe7zhuGqI3s8wfzAk+oF5sbAtWP17Zaq73CVYTpSHl8+57vjGcXjnrHr+OCxsv4s0aK8Zo5Rx3VWUptjitTwnfgVgWtSFwlDzvAbQpc7TJqSHiomthh3wGf2nOGDjO/892+Y/PxL+I0Jaf6Y7X+bk9evKT5/RXFYsHugXH63In99Sv2HnzHJC6yueOh/zn9zp+Sj+ITflR9zzZSdjrESmWm3d5UwL/muPKd0W47iGya65JgrEMfE7fjd4Vt48gx5x9LeFerrmsOfKIUZ6H5YkZ962j+Dg//7hpNdTlMl5F2QzYD+7685+E1PeHVF8y8/pyzh4H+eEI9axh3oItA1PfXI4KTl4/IlP7Nrvg336FPGw7KF1LLgjHN5yT81/5zCdhRNx+RYCSZiY2TcBoq1cHMDOqkY/A5fd1TPr1hfD7QPDcN7B1ijlM9WyGXCWkc2Cjw+E/LHFVG2aAR3lWi/ueHg3Q35o1PaucVsbygnBV4hTw2zwsDMkN6H3BV8Psp4rndo9YCp6VjphC4K5/FLxrJiaQ75qT7gUQwcLAZ4vqX8uCAlT/zXO1BPes9jcwOfdNgva+q3FP9fj0g45E8W+Bzk9ye0RcD+ssOHSP+bFvMPHNz02K3CxNCyJWNgpjvErH7dqeSvHTrOGO7t5S3MzFB0GfFqgGxLyiJRE3rkSe9Y+qalxJJqS58PFK3QRmFjD1hay2FooY1oK3tuPxY3VoZZh04nLNyYm+yIOgVORztyHahtQWcNcifSS+REr8E7iuMCbwfuultEGzLbchvvYHXKKQ330iWZPOXSGPqDCD86IjzdEluDLS1iHSkamAqMBqQAzQwpHzAGXG6RSiAlho0l1B3T7wnm7pjiA0dzNtAn4dDXFNvXRFNgn/S030bGNiP9fYvtEv1yTegT5VlJ3Sf0Zcd4bGGk2LmyG5VcFSU7K2z0iAVzXgwnXNjv8MTd44aSPracAmJ7+m3N8tqS5o7RZoP58SV15Uh3Rpz6Z3zP/JTvhc/Qz5aEZ4L8sid9EdGJw/3cYlXJjiM283yv/IoH8oKTfOBsuMVoS7BCtuiQmwZzNGFXztiYBsFyal8To+UmP8J4JTMDZn7GmzAh3bf4eyNaGr51D7nRGcfmGpWaFd/hGY57j1ecrK7IloHuRU980iAvI23T4MaCzSPmI4/5qOHg2NCihNhSuQnSOvqRYiowlzl8mujeE/y7ht6tOWwEXkB/OGFdlESnZGVH3/aQZqzcIW2eOLErGjNmrRNmJpIj7EJDdS6E3DN40LMMs9hRVGO6s+1+43puSDZicoueJkwFaa2EZMgfTRiqHXHkGAwE50hGqZmy4B5rDjiIA3d5w9wtyWip3QjjocOwomTLAR0ZycJMO0qEpVSITdyLT3mbBdEuqRnIDdio0EKMYAmEnH2DqQQZO9JEaEeWgT2qZl/0/h2IRUBqMFmEiaHpIItglmn/iNoiyaO3gWrjcHILCu6OIV6CLBpaSVRnOVs8ftFRLBL9B4aZyydNAAAgAElEQVSX6YghWB7nV5TXS/QqINcBVgLPO6pgoFaaF0q+7LBLCGHfmNUBpBtIMiAetId0aRluDO4oElGypkdPwDx29N8EtEsEq5BytLSEScS8UxC1xrsCrhvK5Gi6CFXB6FgY1OCsUkx7zJFl6CJmk7izWHBe1ch1S2WAl7B5E5ictcjHFr2NqM8QWkwvuORR7RgfQaoNqxcw+U4GVeDgviX9fIcuE8NUGA56/NkcpCOXnLYVindLwmcd6cLAhcH/g4L4qCEtlaLOwEYKY8iGhP9iw8gI6d13SLLl3d23vFfU/Dx7REfFPe24EEfjCnCKdWC3LVIbtONXVtg1iUBMGVNZcsRLiugZtmuiU9wA3dqSTA/Dgo5Ab8D3W4JJ9EkpYqKzUNWOcJnQsZB/PyPs1lRzh1kKMSg6EeJpxaosuJQpEy+M+hXIQJtl1DJh2q1xMaNzOTsi5QBNTPSTkvHYUYw7ps0ND/7wkt1/6onPHbcpkL1tGU12bHdrZnccNMCmIaIMHgp2pNSTOtB6Q1crEvaaVVghyQCZozlQ8q7FXpfUF1uK351h72S0qxb3JpBHs6eZxwGNShLFFgoxkLqImC3RJlpgvOv2Y/i+4j+csL24YuwsNkU0B3UtlbFISoxJlCOFIMjKkF8IZrlhYEAKQ3a4zzU6GEI2p5OCzDbIcIt+Eqh/esE6N+QVnPy7//wU/ysbNeOQcBpxWcDqgNOSlCYM5opWlhgcrUBnxyQMmbbUxQ6xG6qoDGwYOEDNjmi/xGafIszx0VCZZm+vG47xoaXQhqQ7BmMIeoQZpoy7jvP6OVkqOPI1lkCSHMIUq9DjaZgxyJROCnbG06pHCRit95oJuhcRyrQh1xtMiqAVroV88LRlRt4/pdJbZp3lTkrc0dfgW9bZffLo2HFGzRZ4idH9iaBSoEQGCpA5TXqPISTIDohSsbXntMw44DVOa2oyai3x0lFyhdOWoCWFaUl6Q6UtmEhLTp9anNmSm9d4liQiUQqIE+gMqXUkewcbhNaMiGLw1DiZkuJ9bDrA9wdI9gqbOgoqogm0tBjTgO0ZjILdn/rboPjo6IKQYkbQGVFP6ZjTaY4NGVIb5t2cou3RmWFgwiTdcjy01HpIY++y4haRCh8EnwQ7lPQ4dmZCpSvmqWOeOlxQkhOirXFywdFgkXTCtR2TomcUloyzBbVMuZGHdHhwGzrzq9M+1qBrOjdBuvsgx9zIO7xwl+zsDAaB6Aic4VLCmmcktpBGiBiSNASUVo5omWNp8O4C7DFCRqmOKQ297ojWs+KMEN8ldWN29pxbN6MfhDxFOhkYJBJMTisTbpmxklOiWBoMBMGqYExOp3NueEjt73DAJTmGpDN2sj89MqyJQCcTAoYgFWBQDC71FBLpEFT8fpOQAomGngfs9IgyvcGyY8CxlbdY8yFermj+DiBqTvQ1ZXgDpkcZYUwiT1uykFEEg/Q5VsBEQVrLfAvql5jaginQviWJ2ecEByI9BINvPW6nmCEhTSLHQO5IapBWOYsLzoanqPQchQ1WbkijRDfOCCYj73tKt8DTgEwgQiQSrcHoiMiEXiAkAyGHMKDZjlDBehxJAUbZms1csbcJOwqECk6rQPT768Qq6mv6XFhWMKoaxuWA24JtHSkpKYOkDj9kTLsdVQsubpBNDmUi1z0gwmik0JYsOkoKOjEYHZiGNX2/ZUiKqtBlQuP3NplDFkjagC3pzcBWOurRNToKiIs0ElhODJVCTo/S0xjLWhwxQZYyXLAUoeW+fomNGXfbS+7754z0lBuzI9AxjQEniSp1jO0106GmCh2VC+QsOTHPuCMTzttLxkEhGXyfOGgMozojmZxpC3ltca0gQ04ZWzq7t5wEmDQOthVsItzWxHbPKZfSY/oM3JZ2MGQh46j3nNobJvEZzo6YdTfYaksZlxRGGekSJzfk5GQhgjo0OQzgUyALHtMJkkbYcItNBtNZxu1A3+0hwC4Z6Ads2KK/EpRzTsEM+7VrsNBYRqqYVsnanD4aJO6F+Cp2jNMVY3mFdyvwUGeOIbMEGUD2Z1l7UXuPQ9Ew7NdPm1HbESEWjAdQE2lKQzNKlKGnHwkyMQyzQDGB6x5OZhsIjj7fFw/VbEtdKGksjIdI7QOTMpJswgQQHCKC1ZZpWDEh7MVO/haHGkgCahXyLdEMtJlllnUMRaLPIS8iqYRdhMKWDPlA6y3ihK1VVr5gZRy5ZPSuJ+UjFjkUCJPMsvAd4u/wMqvYmimaWrwrcKZGNDBWYZARkR2ztMVEsAaiDMyCo0wNpMiAIRlLQaAwK87iLYN1WLNBs5ptpXS+x1cgBiQqeblHyrRVhskdhXZ42dtF4/bohVAALSCK+iWp8CQpsKHCtMJk+NUmuPF03QDjgv2xe2Dwid6DK+QvhTlL79HcsskHNj5n5Q5ZSUZHxU7O2Mk91uaYnYwZTEZIERWDkPD8CpGTIl7bPSJWxwTpEBaULHBaMwBGhczsAWJ1AWNnMEAwlt5CxS1FqrmbHLOhw9oW7QfyJu0RAk1PO9RcqbCxUzLZ0YqhFwExeCJOE1EsK59jXY8JW3bWEgQMAdsJPstpk3Cbe0JlcA6sCNJlZH2i2BmMBlIfITiaGIiaQVSyFIhmR7QVQXJM6rECvYPegjWKVXAJuigMZkQjYzpyVqZD5QLFU8uIrSvJiOywNJR4nRJNoDSG3jsK2zGIxVhhMJBlFauspvBKVkU6oPKO4Aecs7S50BBwubIpPF0xpRbHXpp1oNeKlhlbmTPWW3J25NySgL3UaUUngmqBi55kLAOKihLYU5bKWHMa3nAiN3v6iREsggkCweNSgZgOGwSi31tUi6MHOusJqtgkgN8jxv6WR9ybiCESEWMZHIjfu7HHHFzW413CRo/vDS51pFigKYKBaHtaC6VPiAgG2YunigNxCA4NllGAlIQA5HE/l6IAurdyHhSih6GE4APJK0T2elQFFLK/zpv9mhQtdJ697b0VBhGMBx8FxP7lvTIDkkCCIL3fu8y7BhlKXCMU4nChB9NB1qO9wTcVk20HsiMkkOj2L8kEkAABbNr/7x5xZfd7CwVREElkuq8hhBxig0hCQkbeK2YIROlw5Lje762hzV5wFhWEfa7sbSKPYHuHGSKiCZKliIkWIZDjY8tRN+DMFb+0U/q0l/uYoOQhQ8WgLqFJkTbHtz2ihooa0Y5NyimkY5IajBTUDtoxxJhoij0aclJ0rIHVAEMRwVgihuSVXpUqCEkgFQNMIrsBDmT/zs1gUDzJOQKegZze1MxlwEVDUEMyBSZs8TaAbfZjMRmCSSRraDNDymEWA0drx2xtyLeOXgOuEZyBSQemzVEC2EiMSvgV6E1RjABp/zy9h5RHYt7S5kAWKZNgkmA0UqZ9CTXk+w/sgiWIYTcaoApk0dBlkCrFjdKv9OQi7VgxEUYM9Bn4vINySWsTmVcsinpLawyRiI8Ri9I7xVjFGyGLIJ2hxECdEQaLRxESmJYRLaMwZqQWCTBqhKK3+O6/zAn/Kxs1E7cgSwOkhsK0vP6q5+LpGdc65R99fMLBnYJFMvSDZZrVjMySj35rSeauuJ9dEWXFK90RisT37Qvu6FNWMnDPlAzyLVdlwY18QJ5HMAsOzYIJLWbZEL5tKfKC7GmE9S2Hv9fTH01YLArSHzWspz31RzkH9x8wT68xopyZiAsbCm8pW6UYtjA7pauvGekDCgOuALlekr2pWH3yLenkgsN/ZjmTV7wzfsXjxS3nz3/MxbuP+WQ247a+x7PnD3hx+4LTH52w9JbncspF5xnbMT/+eYHx93hB4Ob1LfrwPt2B45tuyuvju5zar5G+5no04cv0HvfaX3C/Cvxy8TVZccaDaYMxTzhBmbDllA0dhg0LXJF4GF5yxA3bcBe5ruE2Ej8RUvYG/f4JTdOjd0syLdg8i9x8Grixgtm85If/w8AgbyiB6dAzcpC3K8aPhNNSWE6V/qZB24oiGbpP37B9fMg3D875ZZpTyBmjsEWlg3+1w5zWZB/ULMl52Y54FAdmX/0Zs+pHLEaJ5viQuvKcHSsz47j6D695/f4hv/nWEb/Fc97afcM75ZJBG0KIGKMcyI4zt6U7yvkkRvJiy/3yknHasYpjukx4x37J6eGWSgLL/IIP3ko8cGvOY8urf/UXfF3+Dv/i7PvwrvCZfYv40KKjRL0JmBc5zckhu6qgkpZv9IBWx0xEKNIWT82EBhsamAuIUMlAjbK2GUXZszBKcMBnO25+3/BNN8W7Y+JuwB7k6KRkp3C7HfN8/Jh/u3rNYfGUdyYryoNb5LdHfD075pfpnI1mYBsacWziIb0esohLviTjQXFIFwZWDi7rhzy5Hnh//oq3xwveSrcUzQ06nnDnNOOrEGFwHFUrlMjYD0xO12gW2IWH/It/3nD1nXOKYoO/dwQHf/2C4NcZM3qyV57bHweO/p5hsEtGscPdOJafKrPbG/wItouAXS4YLRNxBOufJMQ3ZLNE3yXss0h+KRQfzFA3sPvjhv7/ETKXkCzSD0owL8jGA3ahHK++4X95+IdsxfGOXONtg5yVvJnc5SYZvu+XFKZmPChHwyXn7pa8eE2wjqXOWOgxqyjc3C44+Hyg+KFhGy3Foxn53ZKVVGyC4ehjKHcGkwUavYIWzGZL9iQiGOrbAfvxMcXDExZHO4RE8+fPKF7VTM4t05lFrhKpCsjbnuZmYHSRuH3WkvQrZq3jatVRff2cHx5Fzoec8meXmDuJTnoWT7bcf++Q15trwqXHJyXQM+tL1q8HJBOmh4Hm+gskd5y1LfEm0f5fV4zuB7IfnSHPa4ZPE5vVGnvXMJFA8dUT9MVAGgvTessPJk/4WOB4qNFPV+RNzTvfPaSbtgxvGsajQ4Yp6GZF/rQl10Q4aPA+Mc/XvJc/YfLkmviNsruO6JuWtFPabxI+HwhtIpRgPkscXjXkXjgeG9KrgAq0b3ripxHZQvo6UgfFhh3lPcWfCMMPhFw9i//QMXz1hvu//Qm/8/49cpY8crdcUSFuyxELDqVjB0yoMc9r+tcR/8gg1MQ6waue+DLBlxuGOtI8SeRXkd6DbgO8vmG4AL2A3Ssw/Ybly8TosERXie0fRHQmjI+VzivhhdD9p5fYomeVhOwePEqvOLc199oX7FY1y+IubyZvYbE8puap6bHS8m53yajrOCzWdKZimyquzBGXacbR5XN+8AWUHyndBzPyf/aA2Gf0h4atKKPfyMhvB+6yweQX2Mkx+e+MkRhxxxsmJpD+4QS3HZgeX6PzRPtlIN0q8UnAvw+nWqOrgfTiBn74684mf72Qz5aYBmRsGG4T4oXDt3J00zD5vTOiucI9niKHHWUBZhPJ7kSc85iu56hQOmrQMYNxhNISrafNDxhsyY127ArQOOZFKJj5gXv2iqSOS45BO7wu9ra8qYTbFQe7lqxNDI0SNjXt60RhlOr+E/ox3DmFpfO041OOZcadNyu6p7eM/+GckQrJF/S+wKWBIm4ZSk9dTAh9YL4uCV9ew0lFqzWjQ8H+cIy8bjGveuIuEa4iZltz+22DvIKiSpiZYEQpzxSKAX2hxOtIMc9p3/I0jw7IXcPkYYY4z3pW8tRNuUrnbIc5wQasRDZa0RuDNSvmqkyw3LML7shrKp1QV6+Zn0fu+9fMip5n//gDsqOXdH7BOUtIFZfphLMPJ5T3DPa7HWVj8EVFf6fkTdazcCVGAmWqOdkI5ecr9GXPbZvwM0VViStF5gM2KrMTSyxH+02nUWLqsVLh2TENNyTvWaYxN/2Iu7ZnzpYYA70ozlmOWO5pR7Il3ikZpKP5ekc2wLZRbi9hdq6kCqoPc7JRR33VkzuPOxxQl+jMjGDmDHJDfpyRHUayWU+wQvIQAfP2iKG01AjXzFlliUcHO6bpmnmq+DydcKWPuDFjoh5g+p6UGR6HV9zJF3iz3SO8VnGvwXHHIf/dA1zXkFc1GYrpE1pl9HlBDCVdNHwzLngtGevhiFY9hWmYsiW5CU+bGZfZPe6bFSf9K8q0Y5vNwQR2IWNrDgmm5NBckUtglUoK2TKmp+SC1mw45Qr7xUvOzkaUM89kLaz/vRL/JHATdhzME/49xdpAfEfJz6esq4JNqikN1GnENQcc/bqTyd9AmA8drErkTQ2SGN+ZkO4VJO0oThNykiOfLXB9RF/lXD+DWd+zRZlhMD+cMisU45TRugNJNBOHmc8Zff4t9sgis464jZjeg1p05Eg2sLuMjO5a/MgSjOIeTLFHSiotmh0BK6p2hkwTNtth/ugNMgDvF+T3MvSzFZI7ZD1QqhKNo28D+nbL7L5SvALdDhTnkf7HDf4nsJso4V1lMqwZPKQjQ78QFj8LnD06Ry8vuP33DfnbSngbqpMRN9/UHBzNKB60wA75ShheQaharFMohdh1iBjikOgvanyE+KRl8Uw5fBPxvVB/O5DdAZ/n3OIZJKN9vWF0E+j7SDdYRlXaN4Nqt6d8KrCuUT+gCYY3geFWiQ9zQt5hLjs2XwfyI8f8ccLqFZUumexyrv/fz3kwtfBRTrrTw6pjeBUp7m6YnMDIOjIzxV0NZIsOzlcUvzehenAH0x6Qr9fQvEE+OiZlI3bDhCMsk/YF1EtM31KGFj43pF80pPEIyozJ6S1SRegj/UoxCG7TcDSP9KZgTUHdzxgtFpTVhunEYZYDKSyZnyjjBMNF4PTMkYaa7G6G/LAnFoc0f3SNPE/ki8QwgMkCzCEYMOMOkwtU4A8rxt7S3O4oJx5TBKQLFB9m8J3ZnprWF+S3HrNZkV5uMdEzrBpaC8VFIHs3J+x60jW485zqf5zAbzjMAtw7Bn2QYbzDywirFfk3V5gnN5jrHeVhhalaqAyTc4MrJ4gPtGOhz3MUg20CuESaOkQd6aInrBRrhe1SydxAmgVwkTYpxemKtyTDX7RUW+XNDbQ3gU4CuQgf/Bfm+F/dqJEL+hRxdkQeBsLygO3FW7yKOdfvT+i44aV4gjngrn7DyF8xmf2YO+kZ35MvMBL4sX6Xzpe8LT/h7f7/Y2Hvs5Gn5GnDcz3jL6ww9Rt87DiRN4y1xyZP2lrG24FpNzC5bvhJt2U1yoiLMebLksm9itu7wvP773PX3zDRgWlUMhJR0p5/qhFyYFhzGDYsqVGTYGU4v3aEi4ZN33B8bbivX3Nqf8nvrL/i/pML/uD0Qz45+IDGnrBYvUu/MNywZW0WPOERq/zvMQ9jLjYVJpvzPHuXer1jtDknzDsuh7vc6mPgZ6hkXHFObw2/Hf8dj4c155trVox5NPmW6fDHHMuIuaxw1vBUzznlimm65O30BRNucapILbAD81Q4NCMWD8ckI/izksIY/NIhLzxNENp4n2m8opc3dOqogqHSjGxYo+Nb+nhOLDsQIYY9j5HLnHBY8DKesik/JA/v8k74BXMa5HnFIQV5t2YhOQvziOPuF7z34gtk/hGkh7w+OWFl/w1vZVA1Ff2bt9jcfcRCfsaRvuad7Scc2Y7XMiZFxaecudnynvyCPnvDi8l9zDRwaK44Dre05pQNnkf6CxJLbuwDFnLD+eEzDtI3HKWe8muLKTxfV/+Efzl9mxfVlFgaLAEdEnFTEQ9OWIsnZ8O1eUgrU071BUXc4dgyZcHYtuAcmiyVrikQtuLInLBGMNHA0rNixDc85l46IA+wzYTGKzYYmu2YWmZ8mn7EaTpkw1NSsSKeFXyVT/icD6g1Zy41Tcq4DIdsswM6Wp6nh8xp6STjhhO+1o/x8Yi77k8Z81Pm4YJJWhPkijLb4DAkJszMQBauKH1Eiuc00tBuP+Dm+WPWdypuZw944v/2c7EP0gXVFRQXim1zsnKg3CVkkTG+DngTMAczps8aeJOQweCqitkbgRTgLaHQGn1u8PWAuxuQGBk/ydELg5QB7ik0FbnfwX3HsMm43z7jtH/BTZEzpkJIrMcTPp9+h4XJeY9PuNAJjo47zddM7S3rAnbFnDdpxo2cspJTts0Wvf1zQLjG4o8jax/51h5yzYS39BX3ec2gStxEvnvRwUmLXlksCVzi8n7PxcfKUz/l/WvDvc0Kv9rAuZChsHOwhhQdZh0wL8dUi4ikgawZQ98wXi75zd2C2XqHuUzUbkaQnOF5Q/m2MKkHxmvHTYwkekappV0NmHGg6nc8WCzxpcUNkDpl+kIRXfPyt3oIlvwCMpPoo8UMa/x1jV8mhiaiw5rvti84Sp8jK8/6uVI0kezhipgNbBdQUbIYLcn6HdM3DomJUAzoznAy2XIcP6F8HdA1sHCIyaGrYRHBCEfrtNfZuC6htRjZYq1HVxbClLwdIKvRIdLdVhCg+FkkfyWk/8rStDuqlDh9EZE/b/APf8ntR4KYN7wXOt6YB1zgGbHgWG84YEPJjqPlBv8mR04No6pnWCtcJkZLkKVl9Ab4LEduKop5gxY1cj3AtUW2UyZPt2DnvK7XpLli65zZqz11iHctrqwZ9Tna9cR3c14/gLOY+LD/hp6Bg5BYDSM+Pfw+Xx495B37JefpDU84IWrPu+kFh8NzNmWiyY5YxUO+5T2WPOb73RJ98wtS7Hl1FNgcG3Zi2RkH/P/UvUeTpFd2pvmcKz7pIsJDZaQAEkCBhSLIouZ0s81mxrq3Y7Oexfyv3s5PmO1IsxFmTbLZZAkUgCqIRGYiIyMiI8Ll55+6955eOKxX01xwaFZdZ+/m+opz3vd5S1T3PGHL83RHv+xpTwIP7MnZcSxrIgV3mvNxc0eq9tDmB1bdVpG+xWjHafs99T24q+F3v1GzTJgCohF0ABXBnxkarxTPesKo5NMGLRUXEhQt4cjgo0OWA5lNaD8SyhmdCI0JbCvLd1nFmF2w6jskm+O04Hut+BHfUMpLlnLJK/4MZ1oKlmxRxCxYDD1Hmz35u5H53sCDQX8dMGWgNjuOpgOTTOjqEo5HZkPLs4eerAvETxpSobyxJfeuoKDjLLZEm/NSCmxSFnLYP4wKkmDIDVcXHae2Q+4h9Q6awLSD6UsLnwvpsiA+2sMZ9BUw7UhvLG4PZmF5mFvG85Lcb7lMG3pj+I73+Zqf8l3/BONLKtZUqeEtcxpXI3Qca8Bp5JLXvMc1xTBl70rm2W/4sXxJkY20jzssA3lYcylrxMx55d+jLW9ZnNxR6B1OEjvm3MgHvEsfsZE5x/I9P9KvudhtcV838MJT7gPyyEE5RbcRGVt4PODnwuBbqpRwZck2QbAVysiUJQM5UT5gI3NmKJXumVLSi8fKmpl+T5Es0e1YzQsWYqlWAdfmjEuhvBuZ6ow2XyFjjcqOrAnkztH2Sl8qambsJSGuZTEX3LhFnNJmljETBtMTZ3taPDEecctjmlI58+94b3fDWfnA32R/wK18ypopJE9nDZ2tiPycvyy/ZJ8BpaFaHSwJ9/OezycZp+PAk9gykUSzge1xxZ07TJd3YcqV+5AX6TErOSdKTa4rzuItj4h8y+/x1v6EvwpfsujXTApLw2FCvaHgWp5g2PHcfMHF2BB5Ri0tj3TLKS37EDkde+zDiE4GqkoomwnyraH87oiu21GeBfI8g4+E/lnPtlC+9xURzwkDS7fg1/yYP/+triT/PLV6kpi7gN5GjIf2KLA+NwxZz6LumW32jDeK6w3cTynbhNnnVG6PPRe43NBMAl4cde+IAywnwmXpeP/1HjsIMSrGGWQQnMoPypAS2+yQoIhPjEmpHvXoRy23Odi6ZMI999XAWgbe7xL13wGtMpzs8R8MPHyvnGeKBkWSAUpgC4s7wlFAXjm0DUiu+I1iX50zPb4jnv9wDqSlDkp4XcL3PfJ8DuEG/71QiSdMe8ysQPYN5kzoTg8qmvyuQFcRtwuMBtQGNCpWcwiRtB8pnIVrOAoBP+aIeor7Edn3tFhusxqflLOuJ1spqchJhAMPKQG7hBsPfB03GtJOySqH2STsGvZG2ZmGo84zf1fBmHH8fkfmW07iknJTs3q7Q2awf29kt4CTtxnZZmDS7XHxwG2yVtFdZHoNzBuunrS8edKTjZazVw3V7Z7txy3/rj7mjZ7yCR1/tltztFyzWx/SGnlt8a2ifQmqDFOwtSLp8NotFtqWyaTDO8/SPOdIpzzaLfG6P4B5tzBrI3XWURUZ+22imnakiaWddHRPEov9jmoF9mEC7zIm+gCzCtRi8h0SIOUwukA2Ndhc2G4iZWkw0aAmIucJqRqa0JJJQ36dwdcJXVmkqvC7BgjYBsRYzFbwG0GedqTfa7AV2BuIfw7b57C1R7wkI6bIk5OWD3WLvAPnCmI2oFWk65SUj5RzpcuF3oCkCOPBGrsvHSRHzUjWCcIE20TSKuJbMNYTh4FqptT5LWl3i+um9FvHpjuiYfiB9fv/Xf9oo+ZHQ8sutIgZyWSCC4AaUizwwTDRLbkcgD2jlOzVsWVBLWv2co5XCHJJS8mG5zy4HTcyYTQzqmTZhQkDE5LuSFowUjDSohFA6UrLIEIe4HE3cO7esgsf05viQJMynkK3zNId0NKZU6JVFEtyB7mlGUHilKgTBgkUQRBRmiISyCFV2JQoY+SUxMlOqIOQ6QHIl2KLUehixdY+ppMtk3RP0Q/M9DE+OfqkKFPUZuxyi2hFLRUmRobccR+P2ciUiV5R6TUVJUGPWcnHXAuofU2uBmMhSc7SX9KTo7pG0R+knyNix4MxXpTODSSBRbvldFhi8g3OTiAlcIePpxwiZ2XHKm1pMmUtGcFakhwy6616YmwOgMcfIGM+OopQsBtOsVLjtKYcOXg4gyeYimg9jB22bakAoxVwwY4j9rmhy2AoMpAJJpZkmpNSOMC21FF2ORMyvAPndpTpLYIg6QlmPCHkPXsgk5y9nBCoEJvRMwEMqj0KtOLofU8QBZvTpAXRGhAwYojqwUeSaxGUOiWm44beTljLEaVZUmKIZIwjoAlDj3KwGFmT4eMJ2VgwaTxdB6o5vcwR48j0AWxBb0a2MiBle5DSakmyc4CEZyUAACAASURBVDaccp89o02JB3nKvV6yJ0dkSWkPQOO1ZDiZs5Nzem6xCFYjjpEgiS6N9BhaVzJ0jjwFalY4XZHFHpcaRMBppAiBhc3wwR1+B0nAOFpT/JMPAf+lVBCD8RYiqGZENUQHB1GkosaQbGJf6MFnOxrGMpJ7ARKxishgyMcCjcqY9YxHAdNbXJlDHgmVgItkI7hkOci1BT8ajvxI0W4wHeQzyNNArYlKOmYUJDvQucBJcExHQcVRSKQwS0QteWgPMEaF3IzUo2dwCY8ljyWZ8VgGrDq0Nwd1rgAmw40VbrumDoLTjIyMyWCYjP4g906BoUjYcsBkBmMt0Spk4yHlwvTglGgVJwE/KpIsJkXMGDCZwwhIl6g6j+ktZdADzE8SflTM4CAI5QhewWAZY8LoQJmUi92cei3kg0FGT71f8MjssQOgKwyGLAXGlDDjgZ5fpoDrDaY3mB5mvSJdoIgBExJ+TDBaTAw0BtQ4klUKFw5yZVEMSrTQZpDljpRHzHBYL7UYSJWQm8CYgww7lENyRPSW3balE0PMDa72GPoDbA6LDCCNMF8nnu42aDVwNkDHikjiLHUUxrK2HiTHpgaxkExCE/gkiDUEOMBcM0usEtm2x5hAFINkHGC940CqEn4XyDsFJ/TVDg1K5irIoasVZww+WAwGVYtJSp4iGQbbQtkl8jggqSOzDVO2TKQhoyWFgRgdRgMmtZTacKo7RJYUsgWNSLAUUWj8jpqOIs3pMQTxoEo2WGxn0OgxVsmBWoWgMFVLBsRkyPopNBEXD9Y+iYIQ8aTDf+B3vNQJOgi2FyRXequkdEgHzHzH1iluMtJPLUaVslduS08+CkVpcVnFJn/KmlOieCpnWErJ0lwwUNO6CcgJHTk9PRlCETw5lsrsyNPBsCvikdEybTyut4gbISmaLMEZfCbYAMUIEg3GOsoUmIYBp4EOJc9y2mykJ0Px5DFRjJE+DVgPkUQ04+GgGAQdHLavmbQDtstxKaJDgYsjRkcIQLAHmOvgYCeH5BbryMZ4kHkI2BgoB8GKJ4olYAGHaAvZiig9kY7eQYujx2NIJA6q14RhFMs2K1mZE5YxZy3XWLNE0i25FJQ6kqU9yY40WWRCYiSQq5BSQqVBaHDscQqGPTDQ+YCZG2TuEQ3YPEG+J4yKtQljIDglGiWKIYpFxAEGgyPpQUmk2oEozgRcCpi4IxOHl5IiCEPaUNrErJuRdx3EJckGukrorBJ1RM3B+jyYg40lTxaPYMQRbEJVcXhU9weYubfYkKMIvXPsxTJKDrFGKIGBPY69m7A2UzZSsqdC1WE5KIcbEfZGSSaRB6AtD6ROBzYJRSwpw4iPDTZEJoMwjDm4CqHCRUtmlFx7crb0okQZCU5IkijYMkvvyNgTUfYohkSWOkY9IBQSEYtDLJDsIe487AhqsNYiXcsAJOPZSUFuK7p8g3MDvYPRC9aDt4KLUIRwuNAL5CbiUkdp9ofz9O96jRYTHKpy2Pd9xCU9rPcpQVISia5IFHmLNAHJzAGUe9hJMRbUgebQ5dB3esC/6g+A1sHR+khlhV4SvjhwfkYLuR1IPpHGBLZn8EpTgC8Ej9JLOjyLHmxszieSFUQ9WYqHTgYCmsBEssEiQwZEBhnJXY4PECIII5IE0xvEWTAGUIJLh732B/ufCEhSkirG5qQIicBgldzCgSScsMmSJIATogE75BhrMWF1CIjQEh8VGQMwcjDZ2QMUnAESyCgwCGYvFKPFKowObJUY7Q9vzQlqf9j+DtxZGCNVBDQwOMXllkTAj4HJxqFDTj42SJORNULlwWyOKPuICYINiiZPrpZRRqI1WCMEMvamQo2SXMOYK2NeMAqo7Og08FAUSFnS9iNdHDn1keQi6ndoBb2BAnewtkoEetQJyVrEGDwjVYKsjZjsB1uZJHwMuHD4/qwK6jhYUCVS6mGo0eUJqUfsPhAUbDbgC49xIBpRHHgOQotOsAJJBMlh6A4WP5d6klEGHzF1ixSCJsXZHaNPdAZyE8g0YJySfMB4+U9rXKwgqcEmA2YkSU9nDI3tSUbAJpLZM2rAaH4QStgBdYqajJGDrTzmHusKOsIhvMdHPEr2w72B3mEbg2QlJq0PqYc2/tB46Ug+0ZqWnYwE/59nZv2jjZr3vv5rlvNjzLkQbOB5seWb7xI2PPB+9w3P+RmDPqFJZyRrcO6eIT7nPgV+YWpE53x2M2Mwc9r5exTdh5TuntO5IdCxczM8isYJmzZj4y7opccNAn3JC3/Czfkp06+WPL7+OX/1oePOfsTq6IS+t/Aw8LS95g/dz9laT0dGph1GhVVrif0UtwaJE36jlywpuCgTpXxH/+Mfc2ROaNeRTb0mH3LKv2uQX2W8HnPEtPwF3/D9NnI7brB2xHQRbw2fxm/48dXfo/oev5H/kVerx8xXa7q/+QId/xXytmNiXnJ+dEvYWd6+qOguLceniVm8pdrOkP0Rn7UXLOcT3vMNP9JrpsZR6kCFstcND87z1j7hhhWDgEkDhESaQT9TiHuenrzjOH9NT2TfV4R3P6huJpasbTnOvqQst2zjO5rsAwaf81ha3hsi395skbFAQ2J+Dvta0V8+kH1yiZwFGNc0THl9uC8htsX6mgFHDCMzO+H+CtwUbLulVM/mrEH+pWN/vCHWe0x+oJUvu8khynLVsfx1wC2U2Ydb8rznlMibMKG5nWHME15+8JTo1vwo/ZIijnxhnhFsxVN2nLFhkJy+94zVSP6HGSEIWT4S/36LeXmObAz5UWQwI1r27DOhizMy03Nub3grx3zL+xy5O2rdso45mCe4uw3T3+8ZrDAwJ+w8DzqH+4H9XSLuDcPLntmHI5KvuHz8QKoLfAqsmDAUJewjxa8H6k8GwkT4TXzKVTzjl+mnXOslDSWtO+apfMGR3AEbNsOE3S4nnnS8ZwN/qf9A7QU7X/On7jc85SvOeMdslch2G/7VxTfszP/OvBr5F/wH3tmnvNKCS9lyobdEPWU89Ckpip65ewt8+k87BPwXUj2GfhxptzDpKja9oyxGZkeWzgiDCeQfQHqUSLeRSMHuvYT7WQbbnuEvPWaTkX7Z0feJ7NMF45+N2DcjfNlDrYyf5BAV/1UGMdIuAzbOaW4CbZOwKwO/GJDzDT+Rz3l0OkV3jtNiSWVvya9G+tuMrBaqesvlxT0fHzl2fMNZv8JcA/eWqu+ZX7cwz1gfDWSy40lsuQgjQQJqPGYpxDdgl57hQei+MDjvOP7Ecp8tmSOw3JLuBaxHnzpCaLEbxb/5gZX42JAaOcQKiuH8QehfbYnnSn/vMHcDYZEQ3yODwjuLvoTwm0T718rkX2TENtG+GKhNyXAVad/C2Ao6GnwL3QvgpRCuleVnPdMrpWoj8X8OMFj2v0yMLwQ7S9SvlHGEZuXIvi/Yf7Y9XDQfz9l99w5zA3beIWsh7mF4k7CjoLXCs4PvurdTJlnPnogTpbIBPVfS+5agidQo4yrgH80wtxPkf7shJSX8iUeOJuh3DTTD4RqgRxTrFhP3pNrSh4DuHbqMbG4Dk3tl+eUdF39q8BeB0Ct1CdPmhov1huHsMS/cJRe64yT2FNUOOc7pZUBSgUtKMypkifDUMqYed2SJy4Fw6rDHDp0FqCE8Udy/21KPCT0rGf+7Ge2vVkyuRrIPPe1zoWwd9rPEfttT3Bjc0rHplXHlMHc56bbj+cdfcVzcUdsHaPd8evSao3GJe71n6DLyVoCO88WKT+ov6dMN5/0L2AdkNWP2fcu07LGlY1V6vrfnbGWKi4Lv9mRux5CUheRMU8dULUM3MkkNTgKjgsaI3YzIq4itlfR1IKow3BikUerf7lLy/7+SRd7CcJfIf1ow9h3FvWdqSmxwVE4wpgA/EmaQpGQTT8hM4n5S03HMNs0pieyl5mdxRjLHhDAycT11CqgYlhScyC1Pdvf4Lw2nw5pPF5/hio6zscEPJffXb/CpxdQFDzc9M6+McaB3CSuOuDF0PUzOcryOPDHXnMgx5mGAt1C+sMhZz3m+5ywq1YuB7K0lXwx8+JNbTBHJv+0Yv4BORkxu6L+JTErFk9G/HMhkZBwiwQm8jMg1DLuBdCtgDPXC0RiDayI2t7hzx6kbGX51S3ku6OWEpSlJkrPglmC2POgJHUfcMSGlnISnl4wDWaRFTcGGjDU5WxZsmDDyhgtu+Tf8HNQRjDJhwzk3VHpDLgaNM8q1ZfbujkVIzOd3LG1D6gt825JlW8K5o/t9pTgCcyVkdcKUA4yQCktalIx5IuqI8S0WiyfgAa/dYfo8jtR2jRPHcVxRdSvKLGMlMxq9REbHUf5AOd6TLzP6hx4/s8hFjvhIfgPxWLApYadrqCwEGB8SfmOY2AEp7iidZWRgP49MflphZwkZLWMqeUfFvX/Ku7FmpzM2HNOGjm/4hLf5R3xuf8Qv0o+oUWZ0VBoJGO6TMOqE8d5hPxMiiWbaURxB9bDnbBwoakFzQx8AJwwIvc5YyhFYpeaGD8wNg9T0OBSHKEy04S/cnjt9IHcNN5MZtYxUm4bpZmAyJqz7imKSMaHHlgbjIr0oD1lNk+YgBlOO6PPE7viMB59TV4L98Vt02VFvEuaiJi4GvAF5B/bnA6ezB1IVyc8S5iQgvv8tLyT/POX/BsYxQSeYXMneBoQ92XFB9s4htx3u3LD92OKeCdnXSuw7Oge5B3Nd42+28MfKOBmRj2pO3vbo1ZruAYYA1UlCNSFhpDhWZB7Q0wnmWOBRBo8H3F2CwqO5YoqC2C7I7IYnnSOuA+UNyK2D3uDfBKgy/G1HLAwhgrcOfI/+GoadYXItmDmkSSLtLekmMbxpWb1SskGo6gEpFe0VexQwHhgaJEJYJcJE6S04ibgIhER2kRjCwWZOFWGWcJ2i2+HQJ2oTw0NCtwLHQn+fCGsoF444i8QLizSJfBOZbpfk+RHjJqP9bs/xmEi3Srw+DAt5kiFniuyAqUUTBCz2/Yyh7phEgVdbojd0v6+EIiApYV8ou88dNoyk3wjNvSd8LsQKmnVAojAo9JcWNMPWATsJxNOA9WDjCUV4xGkfON1dkZZC2GU8mSambuB4CLihwm0Dxf0a+8zAZUa6COhT0AtLegNpJdgLg/qDO0WNMFAgCSbmivm+pP9qJEQDM0duA/HYEBtlcmfov4Z4YvGnhuwBwi3oE0f4N570qcGvcoatI/cRFy36Rg4JroXHeIVCkCgYATWWNFGoDe5KMY2lPnKk9yxaDsidIx0P6BMhrS1mUSCXHUwj8kxINchM8NZhjCGOSvGQUYZIPYPi6AF1M/SlEr8yqK9Q7bAdmKtIcVbQFz3j3LP3czZ4PJFZGMhUicZQJHcQHxyDLAfWP4tMri3DIMRZT/lY6F3EO4t5nXAKiz8U8mAYUo6r/vP53P9oo+bTr37J988/YnciGP+aH1d3/B8vBKM9z/orPuEX3OqcX48fEsySib0maksXA69YEOT3eHW9I7o57/JzwnLBp+4XLGY7rulQqTmND4RQMDYZXV3TmYTbD9AWvMoec/PoI6abX/Hszb/nz55n/J/yV1BAvHHwAIu249OjF2zF0sZzXOxxtmS78eiuRnYgYvjaXVDKjPMKCvsS8/wTJotT+GLDfnrPedvz9H/9JfNvc95dROrY8Ufpa/xDwf81bA8guJiwyfBJesd/f/XX7OKv+J/0f6BdZnz0G8Pyf7khn8MwG3CLa6Z/1MNqzu5XFX00FIuCReo5vr1hsR252kP/4TFFNuOMK5SSPLS8l+7x6SUrHnOtR9zzmL14rAgHeptgq4LUrHk6ec1p8S0aJ4T9CVzl8A7ce3MmyyU/iX9HvnjLz8eC68wyZJZj3vBE3iMubxHzETruWRwPNLmy+aJhdwVpntG+iyyrip2F0UPpAyJKEIs2A496i/1emb7X4M2GbKxZXTa444TpdmD3WOnRpIxthl17GAa6X60wTwfOHt+j01vO0h2raGkflN449u+fYswl78VfkZmBFQeGlOeBCmEt4RBrm5SjD2dII/R7x8Nn54S3CxiE0keQlugKBmpiqCmzK879BpFP+F4viSajkhUxPSamM/yyY/Y4El2P6ox+X7Caz+hXG9L9gejdvfYQMlz1mucnX1P5BaWMdLrA2ktYC+armslTSzzr+Nqc8Lf6p/yD+Zes+gxVT+sGFuklZ+aeahi528+53dZ0C3jsVhT8jFp35CX8pf+cx/Fvea4tp/cNxIF//fgznHvHuQR+yi/5h/DnRGt54l4w53te+j+mcY+ICRbFSG0e/jnPBb+V0mTRTUI2DpqasTakXJA8Q6Mhpoh5BjmJOIe8gPYn8KjP6G92dH9pmC4nDP92w9grxR/W7P+bJae/NuQ7gUlCfxqIRjEbBy8Nw7uI7AzF1R69VszNCfJFAWdbzh5fcz55YH8VKU8Okx79KsHLCnNqyY4bTqdrnhz1BBk52RvkrYO7HDt0mHbEeSUdbVHfkA0P+LSnryGog63FvwHzAPF2h78fGM+Bcc/IW4wpcNuetARVS/HIoa9AryqQAuUBzj3y8hCpS15ydtOx/66nnBjMbUD3wEUgMxDWIDcj7uWI+cyjhVJ9UIIY5Nc9VmtsNcKtwb/Lie2IOS7QrzryBwv/94rVyxGdGkSF/OoWXYF/U9F/J9ipYl4ZikHwb8F+VWE+j2jcIWceuxJsX2InAbsJDIPCK4WUMDPwF0KTG1QzZCxwfQPRghTI45Hq44RbAy/gLsLkE0E2E8bPbggdVP91hA8S6f+NuDcCJqLPLOkqYb4FpKDZ9MSVQe4M8Y2iVx77s5f85L+9xk/h7Q5Ojp9xvHlLddPw/fEF77IjnECXHCnP8FNLvwc1E7IojJs1xIh7epi0+WLCsNkjU6E4NQy54j5J+GNw/yGShsT62cjRv86IrUPvIuZHBfs/2DPbCPZlSXrZ468U7hX3EOFbwb45R5YrJtktlx9tSW1DaJST+mvcasB+10ILtrUkItM68Hh6hZMti3aHrEHXFe77G6YzT/fIEaaBGzflSo/JTOSULUfHkU22/6FRtqUcR2JIFLKizyJbnwiyY7ID+13CzhT9dsTFDN4kxP/u0ztFPPG6pzWJ7C9mpLbFLAfMqQUZmAESSjQNDFMLdsao58TQsnNz3qYj6hiZa8t3csRnfEhiyhNeM9UduevQcU9u5jyVB57c3zH99ztM65k/7whnDXlUZLmg+PyB7FSQH52zfwVHj8DvBlyrWJ2jY3dIb3pkmYWGYN5wVrfoTUt1DRwnnB2oFxukb8l+PcJnOen9xNHzDdaPmFcG93kOqw5mCZUWmQvmtCbcBTIfkbXBiKDfgP0u4jWQSohpQJ7PsN2GMHXwieJPlUnuWH61QrRmeDzjRgoingmBoCMDliYuuDcnZNERxNLbnGkcmY4ted4gtFTjSOX2NKHlkXnJX8g/8Ehf0xvhXnIUx9G4p1BlnV2wTDXtzjJ90VBs9/jLDbPJCrsu0ZueWI3IxRx5GpkWCZsUjEB9mLJSJsJU6Z2HJBSyxjEgCDmOgjU2DWTaceQagngWY0u9viUuPE0GO55Qmp4P3IZis8GsHf1mwNYZ+YeWcjFgloo7MvRriJMtWZlhgyNdj9gNzIqIMVCKZ+kGNnOD/7SgGDY0bWJLxr2r+VZ+zFc8YrA5AIMZ2Mhjlkz5FZ9yHRd8KK9x5hWF9IQ4Z5lOWdESNkfwBejYoU8txghy11C6FftjQcyIekW9si2UteS8ZkbmlEu55oINnnuGpCRZ8JDOOOKGhW+40hYjgWt3xGXYcrxqKK6VvE1k5o7iXCEfUAe574iSs6Sgp0QF+jxjflnwIHPucZyUyulHQnUfqVaKHhnGTA9U3buEWQvTbIeeH+DMfp7wfvPbXEb+2Sr/h4SdBsLMIJngtxH1LcOkomvCIVnpqaf7A4c5qShvGgTLQS5lYZ2jux3Zp45+msieKWWK6LcNxbVj3EX4JJIFgRgpZvrDxVfJ5yAnHr0QRICJpa88fb6gSwsW7nuO7/akly18I3BfQ1LMuwFZdMRNQtoBKwYpDFIEshcOczXiW4FHMEyVsffoTcS9mLLZ3jHdOqYzg0wydLDYP4nko8AQyEbQO0FKe5DhmEQ2HOLA3WOl3QJ3gs4izBQXhdgMqIKMI3I7HBhzJ470ELGvAtQ1odrBo4Q0YFaW2aolO4oMD4b9t8qxWvi2RaIju5BDUtrpgGaglaDrA5R3fGwZ8o7pfcK82RE+Llg+tWyxLFZC9m3E/G3EkpDPE+OXW3xhcCkd7GuP7AFsvlCMybCLEf6gw/5XCg46m3EVayq3P1ivGkiDwadAzSG2PUpExoFqF8lKxSwiembRE4s5KuHrBm0jPLXEUvDBH2DtScg0UNFQDJ7wdSC7AXKwjyD+cY6UPbwRihdK/yODe5xhNyPNVsnOIvbHEZOUurcUVwNppfh7R99BGBQpe6RUpBZS6hneQe2EMIX8KKEbCGtFjh3+U+grJXupmEKRZxY7j/hLiz4+gKyzp57kAulIGKaKrBWxgvYG3o74MLLIInme2F5H9MUIz07R1KE7g7wNmOcF7XSAac1KHrHBs9CWanhH5vak3FFFT6GCVKBLQ/fzwNFroesM5rxHvGMMP6iovlEEz/QPI+dFhD7C/J8IEz79ImL9yOuPlIYB7Bvs6T296VDb8Hxouele82BOURGa9Iy1FxxzXHqENk+QviFZoSND3QX7HywymySMTLBppKFHHUSToQLW7zDVjk3tebBHTBpL/cLy449a3pOXfD3rKbsrwrRgyi0f7K7ZycDL7Dm7OGHQHPEeyQRVUPEA2CBMuw7HmvV0h9oKigPPZpEaHg0j5ajMktL1N1yiNKx5zz7irr7g2/oJc73h+fYtF+/2lKZkfrrGTCMPJxn6uKY5Ap1HtnPHupgy6Wr6IidVloncczl+x7G9IJaBFAoaU7PjiHt5RqclG2nJ/IZBChwWpxkjBUkMWjQwS0gLWMMJe/7i/nN+Wv2M28l7fOle4hYfEbIKd3zNo92ey2UkGy2/KObcT2d0VYU6yyZmaBZAl7i8p5AOn7VocY4dFB5OkV2BaI9YkG1ipwX39REF3/Fnw//D7+9ekSHcTXOiqxjsgpX17PJEEwuSmVBI5CS8oU7vCPkR43CCHRKLITLVJQ13eO6Z6x3e7XjwPRP/lizdsTYfs9KfsuISNY6rVDHBgn7NnoK1OWdvQMoEOJqqhhODxJ5tnvCZ4Pwdl+ELPh1/w6fxC1K75OXsMT9zf8JGCtZmRqfH9MaRfEc0I0hPkB1iLXa7YPrmmOrKcL2H1p7zxv6Ec17wUfh7aj2mcGuy1PM0fMmlnqH1Bus3bOWUb+SPeOk+ZsU5e28gKIM0dHLEnoJBF3Sm4rp8zta+IJF4zXOW5jFz88BOJlzb93AMmEngaLwDtng9ZjQjAyOd8bSqBGnZodwUNRyBrbY4d0f/uz/DZiXn9PacYfwNKgk3Doj1pLyin2wOkl+XuC8zbpLwvlvw2sHxqWWVoM2VunWUjSfJwOhaWt8yWMXOEw8zYTwuqMKWIrMU4jCZQStljILNwfol7QT6OZRlgdcRUwVG5zFSs6t6yqOeqjikmqkdmTGQdQHb1zCNMEk4PUj3GVtO2h3zneeizzBjRj0kzHZygAOObyEbsGbADWAbOF7D7/mK6bdHaLenr/f4vMdXhnYRids95TSw9EK86El7w2Y+ctGt8dMMHZUsJagCfQ8uGaIR2jn4qiMVB2lmHhVxGSmNOBEsMNQjca64+xGfLBgh1uCagewugx7MVJHskDKV/TBt3biEycHYgT5XzGzA1Suk+CGNqdpg9gkX99gc+lLpCkhzpewDPldu/Zw3/g+IqeTC/go3NgdpbxG5q4V97an1iOPpwPiwRH1knDasJ5FcoZ1BsVhz99iyMVPGzHLxqKV1Ayk5nvUCI1grGAw+gWkdl6sAryOSjXzQgdiAvEvIg7J4ZKgqISCkCEYte6/s8kTlAzEzB+m+CvscbheG5709yIEtaBkZUiS5DtNadJcTpKOdDlTFjmRapPK0E8N3xw4h5yzrUQGpIZaJvhSsj5j6CtXIdlJjs4p82CE+sbcBn9foJFBKwNuIBLASKNiRI0gW2E+hsIkqQBYjOjhO7iw/8oHMbTiRPecPU/xtzsVpwbJKnO5ryuUxND1SN+R2Rl04uDaEbgeTLf0UQqWYOOAyJdPffevT3bOe4e3hADybDOwUWHR0TwX3nhJ7OC07VpljbeC4zFmajGQmbGXOO1dxi6UmsdMjGrngnidss5IeS0nLkC1YcUmd/g6y12ih+BTQ3NDlggOKdx3nDUjuobc4L4hTjNHD72K2xfTpkEKROXa5IZOIsOPuJLLtDB9Mhftyxqo+Rj2czt+wmHfs556r/BmhhMuja6aTPdIohc+R4TB8IfHDwKTGhh68YSjiwfLQKUktgUPySzFCr4KQUB2R9YLjtwE59vTjQOVGJk11SEusBhaypNoryUVWfs7WlmQkXDpMVxELahjMjLU5Z+WExkxoUHZ0rJnSMCGjoJGaVgYGekqGQzJd2aMa/lP0rctGpIR1bdn4OTf+nOkkMj9+xzQlTN4jbiDUsKkmrNycREIZMOwoESwGJNBbj5oMFzusSUSNqFMsBqcDFd8zcy3Huy3lqwLz7Rn17hVDPhLLQKyUYQsFh4TCYA6P3fuA5JEyF1bGkJUZdZfxeF0yYIhFZLSKj5YsKvlkw0S/Y0pkwzEWqGWNIcOLZ8YaCQ0n7oFjeUvFlrWLOCwmbolWUC9oPKS4kUCWFedxwugTRk4wdwrWczTP8ZlQjQlrHUfecizg/cCtidzkGUu9ZM4NR2zYjSMhK4hS0llLY6HIBI0DyUZS4Wm8R7OSVmo6SgYso6kJJDo5QoCWmiVHfFdE9pee6fuBq8uMp3mLiSPHi0BM4ORg7SFXVAzFzpLvMzj/rS4l/yylbF5zEAAAIABJREFUKWHUICmBT6iCHQXfCqNRxhowA3YAS8G+MMzfFUzGHSZ3UI/co5zk0OSGWCT2S+WoiGRwsH0MIBWsjzyxEop8JM96ulwpNGGcsvZKXrSIKB2Jq9ISKflo0lNWwnCqdOs9WQQ7g7oc6Uuh9omgiskiXQ3GxIP1ZBJp55BlCe1hqEAmLb6F3IHWI30VKKaGUFl2c8VPOoYMJFdwEedAaA+2LkAaKCzg95igB6vAcGjGqj9EivvekGKCLBKKhBRCphHNI91ponpQUINVsClQREMaQcIUQ0ssA7tKqeuOwSYsBs0M2yIjd5YyDPSS2NY5ximaJXYmZ8OUCqi0wfge64VuDlqDD4pDMEYRObgUDIpkDdbBMq9IuXBid6yIvHUnVDbwbKI0M8s4ndPYPZluaH3Ob/wjusmM4vQF1Ib3p1NCtUSLAwbDpYNtTUm0pSJjwmeeII5ATkAZHGipmBxcMWLLQ7PODAKmJ+SwLXKiW5B0QNPAaApe+chOsv/I3Zv9yppe93nPO31jzbXnfeY+p7tPd7Ob3aQ4qEmKNhxIsSwkShwgBgLkIn9KkKtc5T9wrgXowoYtGHYsRbJlsUmxR3bz9JmHffY+e9euub7xHXJRvAx5EwEEs+4LqCrUu/DW+n7reTg0EaOkBt9itMQagfLgc4frbmlFYim3NqfQ0iQW8kDIwWYOkTmMdNgYmu5W0iA7DZsAw6Qg+O1afXARQXicdrTx9vUqFijlIHhcHrjIoaOhzRVNX5DuTFjHlgi5XT/1isYLYkB5RxdL6pfMjaNJugRltj+uLKASMEYSIoE0khixlc22kl4AaRxuKHBtwybxyEFL1bZEv/rM/2/1Gwc1m3+pefDDS7rf26XhKlxV3P1fFUoYorbH+q9PeL13if924LG8wWnxHdZmjGWH4n4gOodQ5URiiawK+rsBVJf7bZ+Tx2CTQ/6+qoiGE+7m0HiolURcsez/8wx7eJ+LCvLOMRf/xxOS6TE//F/OKf+HrzmqZnyZaa41z9h9tmBzOWP/u0umtsOGjGrYEt7L0Q1Iadj3cypf0PNyy2m4bejGgrMHkswaWhOQ35HYvYx84DgcLylkyd1vnHKVLv+aP+HnjPkRit4XX1L95xmX71zje3/4lBfxPXxzHfnf/wC/u2AmEyJ/l5d8xuFRYOePx6Sx422+4G1TsBxYwtUx0bMUbTrMOeZrugi3x/2XNaPjLjvihCv6hOu+IcGy0pL+t/tELyNEEIiJ5IMdw4df/B1X/+5vuPM/fYvF92+w+eCCr+KM99sd2r9Yc/pnNe2HORd/eosXSrK+8waPi4ziZZdod0StFlx/b8wgOeHqP97hQuwz/WmJ+qLExQ3Tbo4sgXsTTt4Z82x4ndf4hO8eCF6bPKd6f8Sju3eY6SMWVqD9EEHORTrCDfY4HDd8I/maA33BJPqQx58EDro1XbOgkxTMREpLRCImHB1rvIl4X37KDl9w1vyI/+vkbcpeRLeX83TestvzvBvNWEQHfO5G5NcOuMEJZbVDe+honKJrU85+Lji4vcvR3k/4r/h3/Hfzn6I++ilHR4I6v8I98z2WyvFMXOdVPWLtLeJGjo8FrU9o1Zoktmz+TU31F4Llv9+g9zTmZsovyh3ejrv8OJ/xnDkrCg71ij+O/y03e09Z3rnJKyyXYsQZu9AarqoXLHTOrNhwnHiUCzyXu6yjjFwLQrZHEVJO/ID/on7MXAy4re+hEFzYuxzokj988z9yy3/J5+oan/B7pMBC5HzFW5yIK6T+CQOpOFGS1//blF7vCV11webXHv/fnXrir/HYP+H1NBDMFOU9q2yfEGdE7wo6ASpreDK8wdnuHutCcyoqhq+39N5NceGc4qMC/8uGvWua8wcX7H2rR1kv0D/cZ3Mw5nlueKe9R3J9QHNvwvCbKdPbPU7MmMM7K7L5JfnGEw2GTHf6rJIWsWt55a6zISbet9xqTlHFnGbhacY9dFjTPV3RSS3+D2P4piE34OeSRG4YfL2BrxWXTx26L1CxwD9d0FkskT1gvwEdkEmMXl3Cv5zBC8vq6SW9cUTyPYM8dDRVifyhIP7xNoh/0B3ySht2f78lSSuirwR2s8fl82fod3Li6/tM/vKcg6t9inFLc+Rp0wRpEsKrGnkd1iNPLQL9bw4QC0f8owTdU/CfJeJnHptUDP6kQzgJiD/3dIaaZtcSvp8R6pJwCjLbZfh4g7ir8O9G2LcE01qw/35K9o1LfFfi7yToSYmcWsQeqOsQdEp82UE/m2O78OzGXf6C91E+5Y3XVgzHL5Fdh38noXy9yyN1gybb58qblyTuE3brgMxbomuGy88Cxzduwt5z5J/c5uP2fQSCq+JzFDOecY29ec7g//z323Wxq4r0PYl82cVNNeW/qVFCUceQfafEXlYkXjK5fMjRP99nrgPeKRzwUiviTk6yqvD7mt33IISaOjVcvnmFo/Mdqk/PyAcGe9ugXIuuB1x+NKX/peXg9i4nakrWCmwn4N/qUl07pkh6XIx7DN6dE6kV+puGxU3N6vUddt4JcD4hjWCxM+DzaI/joUD6OV/IN3Gx4eDDmqNqhro8x9BQZTWajFxZxu83W45JNmO7eh2wXxSYr+5zvXjO4c0tO6T+WaB8LLDvzImvB8oXguKjAEag7yr0umbzFOQ60N8NqA9j5A8DvJ/QKkH8oiB81fzOYyF6/9sY/VcJ4a9OUe8PORpUhBsJ5mpKkdREbcmFjnmkYyygpGdKYCkTAooFNafcoRAJ1yjYDSvO0DwSV5CiJgqeF7zFJIzJOedbu18y+K8lrY+p+mOqyJK3LRRrZMQ2ZVvN2ftQYeOUKmxI/tEYd9giPl0hFxnT4wGrGwmdlxPKvM/pjwyIQFFJ7pt9TuUQEQn+4A8k8huPUd0RP+m+ybnq8C9+/Dn740c0Pw9gbrD+23sYIVDFhkgrghLIroGdQHolI5xHhGcW6QJR2UJusaeAAV8AZw3l5xeUf9vS+W6J2IE7Nwzr/zTB3Dli9VbKISf0LwtuZDf56d43cYzISShNwoqEPj2kqDjVh/xC3GIhR3zECilKdnibU/bQwbDvF2RyQcwLXuc5Ry9f0JuAHEO4KyhWIGNJuOVRAaqsx8/FmAfidXw34vjtE77BGfvVSxLVcKYTnsnX2JDTYUUnLNkXJSqU2CApRM5GxAgZkYQtk2WhBe3u4ZbP1wpe1+dkYkn+WDD930v0Xz0jG4D+rsDvGqJxTPlihTxryboxs0VLVHfYDNZE1wLBjFiMDKUIHD9rUH92jq8C0Q8l0Xsdynszuv2ao6jleDDhLX3IC3GdAs+hmOJD4JRr3OUr0kQhCQzCOV4s6XLOWJxylXNCZwLfjuBCoPY8YgSv/qwgO32OHzvy/R6zTzdo78nfi0iqJxyVgWQvpfN2n1V1xugbfZbXu5wTcSZyhqHPmCXHZkkZalYiZu4jssM9TH9C5Oc0aZ+H9CjViEBgE2IUEY23VCGjUdGv7vUlc5lyyi7LUHHtn32A+ieeM/U6V2c/Y3f+S+RRBK1DlB5ig9MBUQfKv18hJoLsf/6ttpJ/kGp3tir2oDTsO2SsCGee6rNL8sMOZr/HxWcLuhloOSO7rhBfbGAjqLOK6MMRsV3j9jvYxDDRDYM3NcJsUyVuskQ3Ef6qYPnaMTYq6G0usPcakt0YfT6hiQP7b6d4BUlVMo48T0XNq7BHtxtz/O4E9V5Nt+2gyoAONe3Tgp3v9GhWAqFXOOmJIokwCXIYURQr1BWPShKYzOn8KCF0BFfuJ8h9g79VkbxmqKOG+Epg9IZAdTxCamRicB1PfOggFtRdUCKi/UUgP6ohC8hzDTMHSOgI0oHGP2xQNZBKuNYjjNaYa12ECCSRIv1Bivt5Q+jHBAG4kmQUE10TiLzFvN0nJC2d6zUcSaSAyGqWUlBcHxFVLc2zkkh1eH68T5kaOkzBJzSyz2m3pvNelzwu8ENF/laO/dcboirDB0/QBrEv8Hdq2CsROzG+JznpXuF8MOT3/cdENkOoPjasYFeiR7vccxmR8xz75yzkHn/JN3i022Vv7yqtWSFHLXtvexhfElxJJ9KEhSWsHfm1LZNwrRNmUYeJ7FOFEjta0/+jFD8DnzrYE4SNx/suqBXRH2rq969yFjR79pzkAvRixEn3Jk+zEcVqw+jyMfWDFygtyV4bUz45Jz2WMJBMP7JkDwKxzGnqiqgviIfblFXQGhEH5p85ej0Nbyqipsbc7iDaDaLW+JOK5iFYGnoHGrwk3QSs8MR7wMxuZUO9LsssZmoHjG9X7O1KRFYwSDLaBw1+2sJ5hS4d3WiOFzVZHCjqlpPxAS84Jm42JEmDPVQM4oSwdBz+NzFiZ0iSrnBCQTdB9RqqK6A/6KN+ruFvFkRvdTH9NUL4X3vGf+OgxuuUXiEYLVNeDhJy+ZCR+neUaob1Z+ysNIN6Q7t+zKIfsw6Ku/VnTOwOz9tdghAosUbbVyRe0ZFrYh7R44hJu4NQliisIYxoVE1FgqXLOm5ZsSJRBaXyNPE2EbNflOw1DzDiIzASLQRJOCcvA6/NLEU557HosxCKIhbYtKLogU/PCfI1CpHhtcLLgIkqXGIhvcTrho3JedSPuHroKCNPJ8y54gOpX1CGNZ8rw3O+ye3iktcnlmwNRlTs+r/munjFRH0f2w0ovUaEIZlqEXZJzJwxH3PLNtzhC2QVQDXk4SGjpsNhucsgeYqUnrUfkNLQbydkZoYQJSJUSBxWKioT8HHAeiA5pye/5I35I44njmlZkcTPIfuYhimNe0IIFb2NgeWQQbUmEScEXYLoUShBrRVICELiRUuTX1JFO+CvMVgpStHSIDEOvA8Uok8tc47CS94oSzo0PO7CLF+Q1Y/YazydaE6jIqxqEHzFrq/p8gRnCmZCcp5J0jwwFufstRnLMKIU+2zkiCA2jNxT3uYrOu0Zl17jhSalJK8XNGGPueizlFcp5UOCSmhFRea/Quh9zhNDoTqIah/S019pML/kpv2KK6sX2NITlYJb9jlvth9x0rZsTMaaAUI6fNRQaIMRYwIJ0mqwoBx43RLigjpKSUVC1Eh2xVNEWLAh4cg1OH5BHP2Ck3Cbrh2zju5y7nYYukuUPKMTcoblBW/HHnzN0wgOxZfI1tJ3KxLl0AgityYXNf1wTi4LZm1GRIwQgVJIVnRpQpeuW9MFlACrFI4OyxAzNVeo9Jrb4msO21ec+6u/flT7O1Kl6GFJkAFEKBFOUKoOVse0RkAl8L7LWvSYiZjcSKwMLOMWtEKWQ7prTekusAriRtGZ7yI3FZsDx3neUMhsy11XDY1xiKzmMvGcyw5tNyUoUPmaTUczyXNKYZBC8FIe0dCSMmegIXeWMg60skGIgHYWqx1N15HFHuVBBpCVZLzyMI0JM7uFcCYKphXBanzHUmmHTQJZWmPR9Gc5/ZcFbATNvsNHLbGVmCKjbRS2UyKiFbNUM9Up3mwvKgfSIlwLXrJKEppBzFkGg0hSGcMcSzAaFSekUUWrBXOjqY3ZJu6imrprOekJ+scRvfstjfJE+y0L7zB7LUmAJoFesmGRORoLe2rGOgedt9DxvJBDZvkeq6Gks99Q5Z7hSFMQIYSmO8qYZ4aXsktvYLm5WmCl40W8x1N5k5Fo2KSa1ECsA8vYc6FjFqJLqfso0zJMFb4sUWVCXCXgWxqlKEzMyyjjQRphArzyCRuhuC8rDt0W1hcSS9tZsxw2JAczWjSx1aSNAucwrSFYRfCCxHu6zYK1VFtVASXSS1IMUZCsUkdltgmSymecqR430hgtQIVAIwO1FkQbQ28do5uWkC63iZlVH1lVNMpSmpxATGka2rzAG48ygUsTMzExbScwDhVlrHicdFjIHgJJq3aY+jfwLFByRhQvyRNJYgOVElvWmGipkxpiyCOL3FKa6RQSlh6qCuZsydbzFOYOph46Cs6BaQWRgosBbFp6F5ZQB/ywJWQV64FkknVwQtLraeJhw/C31UT+gepiMKNzmLAeW/azmjaz+LzEJQqcQrucYEfsMEJKz0BMudH2uFQpQTkGLpCIwALBNbEislMsPWbScNXMEV5RhxpvXjAQU5BrytRTKcs0F6xEl0Z59jqWplMRaUeWOoL2uESyToCsxPZaQs+jm4oi9hQyYBQIHTGRGY20ZJnihThk5ft4IXmoFyAnTKMhL9Ut5vRYRI8J3ZYydyhZ06itKUS2AuctIatoI4eKAygI/YJqAKr8ldtAtzQaEgc0ElH0SSuPXi+IS42uHGolyBeCsLaM7ZKhb+nPavLmBbNeD60vyULMVGQsZMRYbOi2BWNxzlV5j526z65ZYGREHPro0EMpiwyWyHkGbcSx7bJzniNmHtfzhJ4kKgPGp/iqxUqPrnL6csSu3aUhJlUFUk4wNka3CYnqkkddFJo+ktwGeqr9lUZcUquMVicYWiK2PdtKTQlIYRBWElOggkO6iN5GotcQep5N5DE6oINEeUC47R3aQ9ymFG2FlYGGiJYIEUp6ZUw8jxB1DQXYStIvt090k7XCxAUjdYJUnqX3XDFLCisotUCGMwbSgovos0aHmk5jcaEhd3OyUIKpECogVYqwEXnV0FlFEFcwCCQt6FYQlQl7a4taOZSpaYsWWpC2QWuLoyCIAicFFkkUAloEZNgmpDZ4mjQmiCFWdrkUGTV9BIaagAwRTgRKkbIRY1C3aMQSJywChQ6BRWJ5FXseSsndqiYKgahnsV4hMoNRAWxNpxLktYTVb/z78ztT0ktE8AQJiIAIMbpy5LXHuBq8Im0gXhlUbXGmBi8wVhGcR4g13gSClygXEwuHlg2NLgmZZJMFcpNgVcUiaXECvO+g/QzpWmQZk20shECrWnSrGNeGfVEhRYqSG6rIIkRFHXu8UYxrgYvACEuIzRbGGyStDPhsQ5YUtE0glltuiZcQohqXVlQZJFGLA5QytEi0iwnCopwD7amTEp8JIqHQ5DRqhUaQNgK9UQiTI8IGbETQASctMgQkYOPAKhYk2tFKkPGaWEha7bCDDYtBYC+JSDagI49PChaZp9NZsokDQns60RaMa5VHSUetNBvh6Knt2k8re8yiXWZKcBACUdimjyoDl0mGH8SEkaNzuWTdC2T5io3Y9u9sBL4HVQ/SpETFio2RFCLBipi0Cezpgn5okU4jvST2nqwt2WVNEiLG1GyiHq2MAUurFhAvkdYjmhj8BjzIRqNbh00bapVSSEMhI3CGWm9os5LaBdoYBl1wXhBKT4gDmzRQiYbYZcSNJLWC4GNahjT00V6SVAmmkQhvIIciggwJVUan2BBVZpvyFprQBHARXpZbyPUmZtA4iKOtuQsBLmxX8AjIypCXGmcbRC9CZSAagWyq7V279WAcwmlym9M0CVqUYApCZAlKbuUQgKpjhrrBlJIhFaqWxI1kk+dsVE5eOjpS4a0BqxGmZNqv6YzOIBEU1jOOHVYJlnEgS2rypN4mFWVFMJYgPL9u+ek3dqroj2Dw9Yr+10v2j5d8S2r22j6vlKOrXtLPuiz/fE6iF9z6J48hecRu/SUP4+/y5wd/zP34gFu5YCee0fQziKa8zacc8gLXeZeQazLzCX89/ZCFSZkneyzSrxAqx7kEkIioQt5N6LwNcmfBOFrTQxD5Q3qsyIWBVxXmP5UcxffY/0bOFyNFrXbZG7fc/nHNK0Y8S3qs1T5VnFLtHbDTFBy+I1j/aZfmypINCf7t21THa/rB4V/NOBIK2wRu9iX/OP2U6zzh7Zcty381pXsPdr8z53Y84wecIMUnzHWXJY59vmZCxHHYMC4X/Gn8MWf+JW9eXPDkPwaOPiz4/fHHxFcLeu2anfCEeTbkM3XJ749e8YH8jFcM+Zh32egODkHrMzZlB99KsIJxR/Le/jP6Zkn1zPPy4wLx4S49M+QGMVeFp9O39HSg+fgTvvVPB0yCZ+QnVF3YuXILf2WP6emQ+TLfKgWiDlmnz6YrWM8b4k5OmRckr4P984xQWka2YWdj6U5AtRXXrpUU6lP+RbJLG1lu6vtUsmXEc/7HNz7jzmBGrCo29BEsuXaoufIWjNo5if+Kltf4gm9zj3dhuMvd6gV3pl8i/YZud83B/pqBvs9Ncc5X9g0eLDs8SI94NzYM5BLtdshkFxl65CZC6g6JrDh8a8O13oRrYs6w1dRTh5tD3oPu2XO+P/wZL7uHFMFRRprYKCwti+mU2Pc4f2SoNwZ7OxD9ESgGtBdzZAbKNAxNQ8e+IFbnROWI5mdr0uOAvBNzxIRQnzAxC+7JQ4SR1BIakXPQe8nt8pKX9DBxzTvia/b0BbXKQMFhmPOPWCKIORbPuM4pXikGVcWd8hdEackvOzVj/5S3/DN+dPET4v0BF4wpQuCV6HOvucW8HDGon/Lt/nOe6PU/4LXgt1MmBGIv6AqNRBMbSWlbMhmoS3ATgWgMcfB0WTMKDWUQ5KFACUHRdjl7MWGYCeSbHaqsRPzf59gTT8gk5rhhyJSVUByJFj0OiKt7ZKHkoFlw6l/jfvwWcVjT044NEFzFyDmc8BxHKzpuRt56jNNE0ZoyTLemub5HJSDzDJwjRIGmtri5QLdgxlA/cegXHr3b0vYsujLIS0U8d0RBoXoJgobmWQmlwwwlqu8R3YTy1CF/4ShsYPCBZ/MabHoJUz+kFAZlFdn5S8YvZ/SrwGbaZ73ok3VnW3V3rWETQbdDtS6RIcU1UNg+80gwiku8gUIYPpHHDPd3+L0bz2H+gOnOO9wfDbgVr0h/eUn28WNU3oXv9lC2RZx1yU8XsN/j1eCIB3aPZ+F7fNHkHO8/xGQLdvyUKrGsjg4oXYKoYiYmZaguGQ4lvXLCZbvHPOpw1D7Aq4RVIUgHEY3b4TJorFiSk5P6bYTZzVvspzUnf1FTG4f1FVOTsxYddqgBwVMGWNEywNKpW4o6oFJJ1JF0Pugid3fQzx3mr5+izreWBRG/wrwREKXAJAU93XApUogi7LTi0Ce4oqEqBzROoeyMcFnhig4NGcsip19AmLbomacdKRqnOf8kcLUS8L0YcaPl4t9O6d936A8kJ8ZhMUTiHJUUqFSgk33qtotVipnv8sC/w0kYEYJnaNec0GdJiiKlGy4ZGsegLFCLAhnFBJmzoiVCEdYKU4GIQRtNfW6Rp5IW0LvgnaB5EciqCnXoaaWgfhrQZ4Gko7aD/ldrpHe0xqOMQGkHxuD6Y9YMCDaCqCa/Vf7OD2oEffxtTyfZxRYO4QOmtEQXFc0DQfEV6OKCVC5wIlDYhp5sUZnHdz170nAon9MYQZpUYDSD+AkuC/Q6CzCad/Q9NrsNN/Upo7Agk+DoUrsxF6LDNCjW13fo/+GEHT9HyO0lm1bR1xKzlqyqDuzVxCqwF1bkTUU7VMxFhPB7REgWtmYeDUHAkpT/wnf5e44QjHgljqiD59Qdc6N9hG4nyL6i01XoU4dzIFOQhxK9E5ApiHUgZBB/uI88W8FTjzgLuBJkKVgvBMaWcOG2rJHKI0vB6qnFngWibEWyA8snnuxZgj7yXCm+5sp+H+899U5OqRz7tmHzeMLx6AXvhS8ItWDEBfvpjG6zoYoGVD0JasO4kmz+w4r1z0qSS8fq0qP3AsO7gU0RSPMK7wJ+EyjMnP39z+k0z1ClIDUrkmyDVQ3KCPJ8zcF4SpXAeKyI1AbZ1GACup8jdIYnAjxS1MjgSUQH6Roq0VCYIYWQRFKgBo7OcYDOBjFOMUOLkBaqDelegFgiDhKiXoVarOjU26FopS29YOFyRngocQVUQWCsJ1puUC24i5bGS6ozEPuQ7luCdjgnqdSYtRhToulQ4WVDG2q6kw37n5b42RKfFES9Db4OaAPteUvTSFICflTRXtPE35H4EYg6hrs5nJdwqiA1MBYICaQCvCenYk/OkQTOQxcZRggF1rckQlCGwHN3hbncQQfJWJySqzVTv8taSCpyLB2WXvLcX+NUvoVaXfDt9D5XzWNydU6Dow6Sflix6Q2Ioj28THiuh2zocdAsuLb8inTa0LYCjyP7LfeSf5B6EZA3tsZKdwJuaYmkpJYCuW5QvkNTQrIMqGmg3YCaBZRw2DKgzxqkgnTHErVLyvUGIx1GBuhLsnGPpm+IfEMiCqI2ZvWTmtEJyMLjThzFK4+4Ygk3ob7w+BdTdrot8TAl7yyJipLIQ5xmlF4gQkAmFU7WRGsHp4FyE0iPYnzeQB6RnDe0v/BERw3misY/D+iZIckFYtNi/t4jHzj0IOBGDtPx0KvRKiA7CW0UkKWC04o4F6T9htK2FGcwGBpa96vebSTSgt5Jt/eznqKzE4Hb0I1ARQo2DuKUYicQ/94Os4cLepVADRR25EnvauRIE+8JOK0JrxziwhIOt6pp71s6IUHXDZtLix+l2BDj2Q69ShGogBhJle3x6PiAQXTB8FpD9zszxKhLngZUWaNnC8KtLtrUaFfDGq4nC0auRbuGsDrheBgRVyva8wouGm7d0VQ7a5qmJD4/5wP+ljJKSXUDUcN+WKNEAY8F9WyDyj2iD+LMM7kIjL6fYYIlskvSXNKGlCrpYUeWJPbENAhfkHQM1AW0ls4o5WA2pZnO6F9WqDhQyjWxueAGK65RsLg4ZYDAr0taX9O5ZXCtxz6r0ZeBkMC82JA0KdlMcjGpSZpA9CpQXzSsXWD3rRo715SvIJ7V6F1PJRxZR7N87jD3Pe6nLfL7Ct4J2MuAOfNE8dZaxvmaQVNRXl6ST1rc0qF6ASsssfcILSmfl+g9QW0EzcYj5wFRWpLslL3eJaM+MN0QVRZRW3At3W930bsaqOkYucWxdFNMVCCcIuyMaexT3FmD8IFSQOfXHPHfOKjR71jyzxri8xW5X3NHbbjZdPgyijH6HKMyso9rbr2zov/9Fp8u+MG65m87a/6y9x7Cvk66N+Moukcpxki35l35gF1O+WXcRSeBq9HP+OvmD6jriNKMsSTEBNIJlXhsAAAgAElEQVTWknpBLWrUFXBHQNLS1Z6YNU60v9paFTSzmPyhZefahL3rKzbDDpXrcSV9wa3RQ4r31pynQ7zc4TJJaPsZQz+hf9jBqxllXjPXXe4fXmEyXvN+WZPePydeb1BVzEG85Fvp33FVtBxOjmg+X8IMYl9yHE4gTEl4wiQ6YBoU33Jf8Ym+TkcmDNwlV+TPsI3heLLHs3sC/W3BdflTDjtfsvPiJV0qnrrrrPuGN7Nf8gfLj3gQv879+G3WoQ+kVCFhIzp4FHhBJ11zo/sJRmyQE0H7okvRZvgksGsLRiwgr5BJIH94QTaruB5uMWoKJp0J0c415CiC84RlnRAYExmBTGNCH5pyQ5p0wBQkVwSl2ppw0lCzWzqitUPQ0B3V3BS/5I+MpQhLjsUJPkT0xIQ/OfhLuu0Z3VBzwRVKYRnkC3qHK7LFKT0LF7bHX5hrfCJv0/UVV4uHvDn7lCaK6HbPGWUvuMUn3PUXXNLlfn2HhdploxP2Qk3sGzq0pLLABctMRmi54njnjCvRYw55Ra9tCauWUCjCRjKaXvCB/zvG0ff5qTxkbSIQAd9k+HlN8DusLxRtDey01G+C/DojrAq8tmi1IrZLctbIaEFeO+r7NamPia9fEvQ53XXFRTxFxC/pstWcViTcMs85nkz4Ba/R9CQ3xSPeVl9zIsZ8wjtEouGqvcdARwzDGXv+IR0pSGtLuqmwSYREEvuSYThlfHHJzf1zVHCsQ0bLiDN9QFsbRm7FO72vEb/zywaQUBDiGptAkIHEOMp6Tpb0oA6oFmwAiSMNLV3f0EETixUOgW9GuGlAmoA8LlFxS/6pxz5tKX6wfcLXtyUrOgRd0XSh6EY0okIIyYv0Cn/Le3RVyVvynBDmeApQMLcZV8IrYrtAiAwbItLQkv5KMkoi8HHYTv5Fg1EgvSBMPVpDyCpC8MiZJETQ7AOqRl8KZCOhKxEdtmD0RYP0EAyUmUfmCl46zNeS+LJCjCTNeJvgKKJD1kGivGHPrtkpp4gWZm2fhTuk371EqCWEiNKmRLVGNdsdaIumbbvYoGm0I84FUzPmM/lNdoaH3N6v6NiHnI4O+UnnBuujR/x+d0n3yTZVM3ndsIo3DB/W6AG0g4hJNuK+uc0X4fts+n1u9I8Z6TNu8ZiNEjzV73FaZQxMwErY5ynv9h+jmLFgTMkYo+5jQ4L34AiUJFRkxCEiaS1StEgJqobmUUTzS4c4hrQBJ2LaEMjClFZkTMMIjWM/vEB7y1JALCSRkVQ3PaurisF9ifsY4tOAt2BNgz7W+LWH1tPzllg4Wu1o2pKBcxS+pSYQvCCtgIVENIo2CAofk1pD2DhUtYU5tqWheeYRXiNuVOhBIP0PlugisPjuillSEtjD0CCjDU0S8MmQBR02wMzs8lPzAc+TuxyLJ2j7Bcso5USMGbk5cZBEQdBpHaFyBK1wxNQipfIZoirR9QRCQEmBnCq41DTOQwSyiNAzC87BYGsWjS48ahkIRuFDuzVbhIAPECWAAmKD7OWsiKjEGJlYxt0Fx7+tJvIPVNb2We7Oibot9mvoLSJ028DGkTx0xB8F5LylHxYEBzRAUhB6Ft8HmSqEeEFIttwHcoHv3oO+IAw8MgcSSdMFMoXQjjrTlMSsRcosjKllj9lOyf64Qdk5ycyhzgKysSR4fAuV6dMO1yR2RWqX+Mqx7I85d31qBkTBs/GCNTE5BbVPeKjeY2LepS+3bxux4KXeZ6JHHIQJZA11xxO1CmzAy4DoBeSuI6gE4QS1qEheX+GzArHOEE8seeOhtcg2oBMBq5YKCG3ArDuYco2fC+KJJ3resn4QkGcpsXZcbafkcoEwG2xHYaUkKWIWJwv6Ysa3rUBUDiH9lpHVBIhPaGPBIg2kq4zRz1vif9Vg2n3k5BX+KKDaAZGfozoWOe1iJi3Krjm4XRKaM8QCRCIgD4ieICQBsQP9FbQ9iFQCHUu1sBgDIm0oE0fhBV5lBFpEqNAioSGilDGlTylDTCwVy86abNQgM5Adj8y3hiXE1uzpOg5zXCGNRRQladNSjRxBlqQ+kM/rLcizTCiEYCy2PCCQyKUgdhprKlRq6YwvkaYhVSkzr2hDhAoQiYogCrJQsDNfoL+o0GcTbA/MHYnTAqUFehaQE4+yEpd7bA+Sqy2t9ci6Ib6+phUtspW4KCJ07NbYKyUaQ0qLCRc0IuIVIyKVEocazRqFpyblVF7lTN/C2Bl9NSMPSzYhoSCnEENK0Wcq4QGv8aX4ASI84zVxwbtMyJn9imMT0wsrXiURwQyxZDwUb3CeHFH7ZxxVJ4jFBG/D9rv+/0HpZdgyXYRFTwPy0hJGXULcEooWfIyy4DYOGk1wLaGU2NzRNCCnKVFeo0WFrmr0hUcmipBI2q7AjgVl0pJcCJQo6RUa9fV2QIA3qEvIJh57IeGKxk9q9LIi2Wspe4rMtYjKE4UMlKRVAZAEBdJY8HYL6J2C2IuQSY3PYqRzhJd+q+PuAwRoWnQmcDMLpzm8cMRHIOcOMXLQBfYFcuzBWKgktAWkAXRNtPG40sGwRW45w6AlhfD0+gFEgziE5KrA3mtRAURHE2qBEBFVRyC6Hap7r+gswYtA0bFE+xo1bFE3A74GdV/BVBMPfzUMEoGWgCokbiEIPUWhPCVQh4iw1VGQYdkkHb5IrnKM5rq+wLz5guaqww4M2aXDfQn6QNBaB2uPCIphmDD0msgJOosVw/QRbeWJph71rCbZO2E9sEgP8bTiTfEcbwIy3iaWVF/QSAHnGrNsqN+BoCC6TKiXDd5mxKKk42qsb9kIzVJJGKYMspqoWRMCRBisa7AhYLRnsJrjzx26ggaJl5auXDIOM/a9ZbXZIIVA1A7rIb6VUm1KmDnkWuNNTFuXJFYhFhJ12aCURk8NvLB4BxxFhJkmetqQ2gBO4vsWug5ZeOLHMW7a4m9K7E2LnniSc4k/ABzoeUvUtsQnEDWKehYQJGhRIQ0EJQnrFpHEeK3Qc4s8bTEriVJLensQ3zBUT1viQiMBvxdIX6twQ01oHbrnWbcOl+SslEUhiHsJrQ+wUshUIkXz68/4b2oAL2+MMddbuvnWS74RgVieIEXEGgjZgDQBqpLdtcNuPPnjiuvuhO+lf0Mb1dwVUw7dRyQiZndS8nvFCbWOeT8kROEJB4sv+Ib+hKuy4ch/TEQgka+4aj4nFWumHPBq9Aze0DR7u5zqQzZCIKNzVmQ8FEc8Gb/N68kLOrOGnbpF09KNC4wP1Eaw1qCoyITjVDeYay3Jztf01Su6SUmV9DiPh1TigJMkUOqWO73nvJWe0UiHVCssFUmw9OWU5Z5lNYox+wEpLomF2kbHmDKkJpNPMKQoNSIPl+xNLtgmyxx5I3DLlF69xOgXdERANJKeK7gezhifnyIuWnpHK66qh0z9CCE1S9mhTC2m6/GLFXn+kjh6SbvnMUNJEnVZq4YLscC5lKftDr8Y3yS/8oybLy/xjzPStwLOaJrmkJVQbAYzGKQ0NqUuJIN4TpKfsY6PEYMKdbjCZOdEaozwJVaUBN2gRI2M15wqRdvtUUlFCCtKanzoUboeK90n4oJEToiDQQJBxKyVY5Mq8nkgvghcbRpuHz1kaVo6wnGNZ1xbnaCQvJf+PVG+4Z3lT+ilmq/lHYbyGXfDBbv+FQTLFXHCoXtIq4Z8Hm6y5A4jdc619ktGTIA1jSooBppX+9e4HO3QTx6yK+c4+4jPQo8ibK1Qaunwmx3WmwSvIZbgdUPaWeN2KuxgwpU05VB+QRw2uKiP5yVOWHSkEJc147MVrg9yA2lvSaI1hwHSdsk8Kdlv5vSWgRt2QjF4zPXkEQf1K3xcsPAJqTV0mwqTNph4hsHD3KEbj8slJ9kuGzR7NIxsDQtHZ9Nw1HtGwpSaiJgNGsfQn7C/eUCZODD/3y8Ev826JT9mkJxRmwofauLS0StrumFFW1hELZEzz+hyTldE7J1EdInQucWOYeeRpXsOGHCipTv1iLMBplhg5tB5HPh/uHuTXsuy80zvWd1uT3v7uNFnn8xkNiQldrJUJVsqqIpSGWXYJQOGBQOeeuKhB/4HBjzyvOCZB2XApTLKYA1Eir3YJMlkMjMyMiPixu3vPff0u1udBycNTywNLMEE/Y0ODvZgA3uvD2u/6/3eZ6fpoYtAXAa8BJHBudjiXN/njB1qagbZlDye0mPJhRzxAQ9Yq5IH4Sm31p5+Da3XrPtbnIt7XHCbg2TK7fRTCr8iXwdYJqhWIGSL0AKIhPua1SAhjgvsVkXbNcSRoIkZtoR+3xFmmnqW0G8Vqgys7rfkvUBZRdqrgmqZ0jyXUHSU9YjdHORojuu3iCQQD6DpNNPhgPPhELsy3K5aYkioEAwM6NgQkw5fdvRDRVGnKGWxRUfUNdvhnKGqkNklwXn6zSVDXSHEOZ0/w8qAiBYfVrTMCQJaDT60FOslt8KMafoeExMZixu21yvG7TV5CLRlitQ5AwU6OLb8KYQLurJjh2NeCVvc6i4ZzpZgIRqHkpfcascUbhdXr1DZnHLRwccl8ShjEAU+ROSF5NYoItWEONA4l7NzPkDGFcW4Ic49wkS0EbgYmSrPiVJcHvTQr9ziME9YqjnFbY/Z1di+YFW19Nslu2lLks2QqQdniN4idU3SNuRtRLiCfF1zuLxifCMwHchaIeaCFIM4zhl7CUkkVNA/SkhOegi5QsaK3ecV47pld6zRa8W6iiSyYq36nIseNRnVoEFzxL57xl57RmtuMRElKzVkT56gqw59I7BeUBU9PuUOn8QXmUfDA98wiE+R/ch8W2GsZl0NWTnLYCTJ6kDXtKzHlmwbAhKfS8IO0ItEETAVWKlwTWCoIyKzoGtkuCYiuZAlTarpcLz9G+4lf9/SocHWLXohyW40cqERSUfsJGYS0IscuYhEFcALWBuEtZuxIAVENqG6/rPfDnCeCBuBKwIWzBxCSKhLw9J0LGmJNMiwpCcig2pC384JxrLOJHocgYhuBE62iMbicITEQQi4tUT0ShJnGbgztAl4Y7kXSsbLigPbkA4LyuDZ7QK9StJ2M/ayU8yshgpi19D0oJ+AVxFXQtKXuCBQLtAQWfTAFBWrNJAUjtx0SANh5GEuEMEj+hLhJDoIxFVO5iNmuUbNBeFKMO4CyCUKRSICWglE9AjnEW0GXYKSYLoWXW9CQIWEkGtCUGgbkdEQvUOtDHISCFcprR/AusbMO5jl6HSGLCJRSvCGrPWoKYTgiY1CuozoNq6naB0yUcQIciUJMUNuBfLZGqEMKskpRQVpgFDSxAyhA4IKFxPSIMjbGWiBkzVRdiwPBfHdlHIvILVFrRVeKZAO5gJmAkYRHyWyElAo/KglCQn5chP2Hu5odB2QlcWj8WXAhIg2m1NsUouOESkFK+fxUpPFiPKXPGiuyZ94skkkfa4QnwTUtMWMQOz36DIFUSBqAUgYSIKKKAPRCfTQYDsQpiXpRfwO2KQl6QlEkITUE5Qix6PDCU5u0esUiZyBcigBydJRto5GXJD1I8JNGIcJmbccxAVed1TrFUFNudYQreeW7FNPPuY19QEvtFP6J55FFbF9x9gtEXnLcOSx6Yrb6TmGlmF1TTpXxJOc5ImE+d9OWfmtqiCI1iC8h9QjSk30ESnlZpTaRTAgTYBUYy3oLKEums0oeZWiHRsRv5GIGYhRRmssjYwoH1C+IQq9WYPRkkgIUmN9ICk1spKo6xR1lRBvHKIySNcRxoZEaCKe7jPhdOkjPZOSGkPuW4TZ3KKLgIN2GMC0yAykAdFK6DRt7EiNh+6z9d5tPmxDG6GOiAgyF8RE0KoWr0G7AEEQAGkT9JVFWweLBj03G+KBFngNUUfaHGIW0dZiJYSegMyRpRBjhRV9qrzA93LkakF0DqdB6kDqNKEReO+AiLjRpGONnwjEntwc2ylJTBLWJmUmEyocrXRkwaARaCytXrEkMAUmeUO/CHhTUUUopWCZwbCsqLwnaSCxAWskUXqSSrEzB5N42iBQdYKuLdwE+lsBYQ3caJASmTeILhIUkH72DlkQiUS4QJCSKDOMdCgfCYlnJUes4g5GBmrmeNMhY03mHQEYoSHROL/EmA5hI7KF4KHZ09gskpLifMTKz+i9NiI8GAUsAsorvPOAQcwTijnopSauFP2VwEgDs4Qwh1HiYdFDVi0il4igYSXQsgEdyRxInWyeo5KUdYClhy5FrjuMFkhtEJ0jWTpEMCjfQR0JTsBQE11EW4FeZyjhEKsInYBakJiUWHWIVSRxoFoFURM6R8g9055HhYQujaA8CTWtcAQpGJsKOdi8d0RQ3d9OxPw7hZoffPUF/mSQY+MxCznkitch3Gbuwaprql2Je03AKGXUKuyja9xPDMNXjvlv/sN/y3+y9x26UBK9p1iccefZlOGvWp6dNfxXf36ObzrM2ZytN/9n7vGYtZD8iNdYJxO+sPOYi7jLlDc4KX/Am/95wQ/DHt8t3uGMe+RhyYV8k09unvHu6ytefvAj1NGKreAo5VMejLaZXh/wUXydRa9hHOeMWHCkn/LgXx7yqjzlNu9TDx9wbQactoJDbVmyz7fbnPKVr/Mw/GvWosev7WvMSTkUT/FFS/Gnkt4LPVZfClyIMc/jQxSagV9xIBqsPKChRynW7LSe7rsCP00xh4ZMetY/mjEa9+j2Vyy3A8ENcd2CL4TnNN8+oX5s6P1Lz5f63+E8vc2sfZFlugWm5XC/o4onvHQbiAXLr+cUyzndXsIcQc09lpef4397uk32hYp3/sU54uhHLP/VNfVeTvv7iuZcsxQR8RBEKPAtzN4/4ve/Gii3hqy2d4jv3Gfw6hqtNUwlMEHZCRmb8L/ZoMeFuUstJ6iY8yS8ynPZw3MEwXIa9tFhSCOH7IkzRqzAGWYyZd3ro22O/0HFeHTKn3/lf+Ubt3PO9Q4hHxCvPP35gv9Ifouvuu9wODtl8cILPHnhFXrJx/yLfIbjhKc+5yU5odCPuPZ3eCbuEsQOu+ER76hfYik54ZBH8Yy911/jBy++zft2hy+nH/Fn1TfZDo+4ZYbE+AfcnEX8pytWn9/h5sklYWuPcWKZxIoXbjdoenyyuOCf37GM8r+h8BVncYexzPA9Q3y4RfbdY0YtVA8KVKJwt1KEiszcAK81ibgiNSV25gnPjnh725N1N/jWUIwK3jz+hGTqSHqCcBBpjcHUA/yH5zhl6N7c44m8g+aS3xM1d24+Qf6wYat3wj99d02uT3nKO/wg/jHLKjJKE8TxlNfv//aTVv6Z+B73E4tXICtPZiGuG7LsAp62RDEmfueUgzOLn0bW/8fmY5i3IL2ncT89QX4IIlXEG4m8ESzfv6HYV/gfX5N+4KmfCfRYIO6lqFyQ1JG2v8t53KMUnnf8JzwwE97w77MbVvxMvcEv1es4EpJVxfaPrlCPz5g/GHL0x+/yb8I7fCK/wiEf8SfyL3n75Mf055E6SgqniQeS0Eux0mL+MKcajJmzxTZrhvURtehxWbyEkzDUl1irsDLlyg3pd47y4hPMsxX+WeTJDxd8NIP1jyPbRY5379Mf/ZyHX/P4dyMHf7QHbwvSKicxCucqxre3sL86pm87ysmaveE21awmyIzhfqS/fo68ikQHYqB54Gr+6fqvGcqCHV/RTCPlX7/PN/Y8vVuS3rMW1WjiuefO8yl7Q4f5tSNUGnW+5uCnH/FP+sf84YP3cANBGgPhJ0v08Qq5I/H3f0I3VCS53BDvqpo03KB3Uv6R/hFv8yHjdk7xwxPUGkSSsitu6B1dkjSn2CixcUnxscd9M2Xy7z5mGCS6jIgPLpEfrdhTcPDmEFt7wr+vkQ3wAFoCuwqQgWo2xxTbCDHiqhwy+C8PeOIaElHhdYdTAStBuzlKLLjrK8pxB8cQZyXdekmWgVy2hJuI0DXDo5bXqhnJzx5RThycG/hA00wr9KOP6BHxpSD8SuHmguYnl4w/D8mxZuujj+k+/RTuRDjMiNeO3pduGKptjkQf5Q2vcsRAvM8bzYccTC4Y67d4XNznxN9lK3aMfvlrxMc15n7Kswf7fMu9yrf1H7JnF+zPfsn+BMqvKuafG9G+2ufUvsA0lBQiMo433BETMn9DIyQdAyIpxBahHAiL9R0dY0xc0y0s8icrMrkkdTW9sKQJKTeM6Wn/G+4kf//ansxZP16jn5fER0s6E0hfMEQXqT6KmMma2EDMFD4GRGiQtYHC4zqQn5HFkAGfSpxTqBbUyiI0EBWxE7ipx6wV6ZbAjTxaeaSoEKLmwFn6Pz5GXzfo1wvk/RGx71kPBMPhGvV+Q/9vLsj2Pfm+JFxE3CywXVQUi0v0lUcdFNzcVrzYXmE+naN0j1frv0GNMpInK9JjgWsDcTlheNIijyXhZspgS0LpMX2NuLVB3PpTkEuHF4HdNxLC84C6Eshhie93KAe8ISmODW3TIu+k5KKg+fgG9eQasV1QVZF8JmkfS3ThSQ8Vcc9zmUe2D3dI3Ix24fDjETYvWOXXjGKKk55WWwolN5to4QFFXLeUncT+2nL5KDCZNFQc473n9rnl5aMb1CGIIdAP1Cc1zC29zYQqrgqkmcN2HpFA1wSKqcRhCVrQqQbzUCNrj5DQTBaYz1nu3k9YNAnruI3Y7pPGKaae0xdL6CraXkIIgVx1pN8wyP94hDhr0N+rsO9L6nVH+WqP5tMl8iIS35LUviKfKuIkInodhUkJx2BLifrPhmQXKzjtULEhfl6DT4l1R5U42v0+U73FjCGnQhPkXVwUFNKRXwvi/3hJ852IdxLdJaRDRXVgybY9tnAkQRDnAgYO97pC3EpQytK6iuztHZYG1tdL8hcF3ZcTbExRQaOl5yaLTLFs+5xCVmRxRrJy4AKrHQUyR340R580vPDCiHagAEcmOnxjuedPEAeacAF+LvC3E5o2R07/HevlhLFc03tU0/6rivgzGH++x/qyQo08oz/KUF+A3fEJHoOcd+j3GuxfacIvHKGJ9P6H32gr+Qep0ABnAt8G1EugSoWcO0Q/EO4GROzILiBsS9jLCWc1um/pvaRhDXxwDknEHwZ8FbDHgdI4QtuRtgKzjGR3PdjA0Cf4Zoa47Ymnmm4aMK8PsEczlh9W7GSW9sKjrSBcC2RiaccWfU9Qj2AmU2rZxyK4NYr4owtU0MRMEISFpia9B6wcwXjUMMKqxV8GwjzAOsedB/wiIs8dRmwcFM5GwliQ9lNEYRGNJLECIQLCWworkEcdHEc6D4l3CA/+XkQPDXraEr0l7WcIEVh+3FIkeuOmcQ0qB+cdyqfMmoI7tx6QfPoL4jWIVJImOfFRRZgmiE5u0OcnLa5OWcw74p0UteVpTUV4UHDdy2nigIw1iZhhZKSIKT0hcLFhjxn7oSG9aslWEq4U2vkNudh4RAykdUROQFTQpRp5x8CiZn0B+SDHEnHXU+RNhGOPHShUK5DnHkykyxVpT1AVjp4EPW03jjwPSZdS1Q3aNOweBMT8BplJ5r0tjsUBh2GOEEvKqBjOBHqmOA2R7F4Pk68wXYBa0ckUN+nABpKv9FnsdHQiMBM9jjLLwZYgnEVipYlao0/cRiRaQnAdTNb4MxDPGlCW1TIytjXirKaZQX5X45c1Yd6Qfi6nnlVkYZvuoxrzQGPxyBeBTKD3oVuD6QA6/IXDe9DWIU1Gdx5IE0U3B91Acy2RtzNkbEiaCKslrhc24qGDUEdUBVEIxLbGWgedQ9YO8oifJIRS0g4SOpHRd1CsG7QGVwoS1bH7EhgbiGiWZ5H0b1njf6dQc5Vsc96b03cla7HLggcEdZtONEgiN6bhZmgYlpHBJpeWRkHqLWN7TBbPuYx3qP2AIszo+XOybsB47dlrrwhmBo3nJfc3vGyPuabPJ9ktrtSULAqKkGNUxCaSWRRcyB43qsCSomIf4oCWgstsi6dlxn5vBiwYxSvKeMVU7BPYRnYZA9/Qj3MyecxSr6lYIJxFRUFDBlowinM8Y5bqPqdizlyXLDjgklephGSXCeukoxoFmp2GWW9EFV9hxRsEscKIZ0g0FQMcAkmLEh3RQ40mpIY2gTwovC9p5Jo2cYSYUBsYhzmmDSROElrJIM6YxAEg0VhKpgSuUeIGExo6kXHZH5GOZizTFiEsKSm23eGm7bhMD3jay7g7gHAOmevI4xoVGoSIWDMnpgXKGvLqkMKuUaKl02uc6WGTAKHDRInQDicrOiFZqB5teosbeiAtXdzlUr7ORCSsqJC6YiX2EVJj5DUZFYKGldilEiWdrwhoggQfYOQv6IlIjWIRd1B+QGrn7DYX7HQNRRuxoSZlwn5ccsiE61hh/JC9WHGvmvI8FozVitzU9PyagjULelSMmZhDjtWUo2zAUbrFbbnP0u8zWt2w5ZeM4xolV5BMkbJEkhCFxptA3i3Y9Z/QqglafcJD1zFoTrDmkIneI+EJVtVMe316aZ9xnJNYQVXAWuasGOP1Do4Wg2bFjD0xY7etSBeOWkcWShNjj8KtyXyNCCkVCh8yem6ErC5ICsU89mkYoFnRF2f0Y4NZQlJ5Dt0RSXLENOxQMCHGgk5onNIosfwH2xT8pmrEEQkjatjgJz2kXiFViowtgo6iDRRLAVNJWHisBbEQJEsFc/Be0GpB1kqigKA9QkUGFYw6iKtInSmETRBpiw4LFDtEIKWl5ybs6Qljd83QrynlGhkdUShi1EgvMEisEsylZqkGzNkmTfaYyxIfFUQPEpzRCNlBEqiip+g7FoMl50qSdA1D2xJEyaKItKphSyyokh7PtcDFmvuVJZlYlJQoKXDEjdW8gyERYSNjDfcvJd1Uoa0EvWFmK9ERRUMk4AlIWmTsILQIKelMIODp+YjqYG4lQhiSoLm1bBiYiLSeNkCv0/TmHbInNzk3brMJH641oIhdSssaHSPDpqOnOmLtaPNIEjrk0iHnAZFmsLzGZ56oJCJm0AZs8IigGMYLUs4Zd9CzDX8VRqQAACAASURBVCsiSkSEtYzi5n4CHaFzmLXBdT2Cb4gmICWYLjKQ4KUgWUfksqOtA2atkVNJUA6ZBaLwgKATOR0jZjrSJY7OW3KhOYgBE1qclHgEnXOMgyQRkloCym8QlcGj48aJShLJOsHtuSIuDcF6HAFlYVgJRGNwYtOTdaMoO8ECCBGyNpIuIawDcS3QlaHq2o1dObak0SG8I5cz9jnlVndJv6spbU1BBdIjY0PhGwoH6yhZm4K52WXFmL5qaLXGS0HUklp7lgqOU1hGRR9FHTVGe/p2jZMJM1khqUnocB4yKei7GXORoOQKGWtE6fA2MFCSJFiKGLkJGVb3f4Nd5B+mskahVhLqBF07CHKT09FG0i4gAjgRcSpSi0g0gIyIHGwGvkixCkzuoZQ0iSFmkV4pyLRFmYzGJATTkqsMIQQ2KjqGNCgEFaVfs9M2iBa8VdQh4EzDSkFPGTLnSNpA8BFpIwSNihFjJcZZ8tYR60h0isKtMI1DGE/d3pCEHrltSJtArFtoN/kyeIlsE5T1dNojpENqQDtEECifkoUW0Um02KC0JZbaAIXApII0aalCRBWWQnS0BnQGMm9ZadBS0ypHlcCgB11eME87MjWgFYJl2uKSLZwZM0kaekVBkBVd19IYjY9Q6ZQklbg0YGJLKld4AbWGuegQCGwSicptMgoMKF3TlZ52CSaRuDZsgpfj5qResWmfBIXBgd/oQabLCHYFWpC6TcCk9g4TLHnsoawmUR4XLUoLnGyQwlE6QdYGgoJYzBBpC0Gjndg4C0Ik60CuS1QXUX6FqiXZ2iA6D07ihKHTHXk+pcpayCAlUicBjSf1kdqkVEmPtcywnzmHoUDKBYno6NmEZAlyDlFAJSC4uKEqWQBBtzE0EJOAzwNFEQnC41Nw2tLqBKTGaKhTxVTBqBNkXmOlpBGQByAKkuAZuAbahugyYkjIG0/aemQ7p2slKkqkltS2Iw0tHolsA6pW2MYgao+enxNXa8RKQJ0Sl5BMBKNJjr1p8WjSeQ+xWkFSbUbbW8BLsOCbiGr//xEmvMhhmHlaDcoITLREA0FDNIEYA61kE6YsHW0G68KhDRgDnYFGCbTURGHxkk0AcZ0hlgaRSGJTETvJoM3pInTCkQuBDBFMRVcGVCOhHhDCHGRAOJAxknQCbEbuChJyHBoTu83a8hpIcMLhBeDNZoNnDcpt8NDCR7TTyC4gYsR+Zu4SbFw4Vm0ea5SQChBdSuLlhqKTBqIQxAhOS4JxEEC5zdhSK0CpBOkl0idQpxB6lOs1MgiEVGALYuuRsiHxkphYrKkBkEEhggDhkRYKqwhNgvAVEFDeYEJEWUsbapwKOGWQRPLg6CvLVmcxDqSW9E1H8JH9YNkNltI5VBQEL8icQFiBFxBlQMeIDpvAdqcEUiYQO7rgUXoT9J7JSACcVHipESrgks31jc6xOhKlBx+JApyKSATCGjJnEVqgEMQuQzcGnWSkUlJ4iCqQCEitIamhYBPya41DKiAqhNggu+P/1S8JaOkQ0W6mLKxGBg9B0Qq5cUl6qBV00pPIz5616BBhQ+azGkICTQI6cShdgzAQFAIQzmMajWhLGrFG9tYECYY+0Tt8AKU+C7p3IIUgqICXEYTFy/h/u1zC5v8uhcQHlBOfje4BCXQyEkooP0O7EzcOGREgqzNGlWKZSbROSdpAGj3CCUwrUbYAX24C9tHk7f/L0adfhgforYf0OOVZ7DiqvsB733yZZ0+O+Maf3uPBrU/o/cU/Jhu2hOIZvR3gay2UGhGWDBvJIhkzkyMGKpCpgEw6irqFZ1PM0DF5TxCmUy7mFeXrPe5+bs0zHDOZ05MVfS6ZyzGfyLd5Kj7HWTzglHt0K43rZyTj2xzbc7pvvEwvKuxuxlBosmyJUyOefbOP/xkk/3XHXjHnnlhQxYKKLY7xzIWhalYMjeW2WtOGNVM55Ke8RbP8EsvkLo/CV6jkksacM7yVs/jaO7yf9pFqj2cfjPi3Tw+xX3yLof9r/nzviIHMeKbvk4ojlFqRvh7JMoVSnp23Cpozh+5NIa8ISHoyIZErlF2THmgS6wnTC/ZbzTrd5tq0BDTCbLMUI9SeZC49j7mHa0/5Z2/ukiiYqx6X8R43jzTV5Tbfmv0Ou9tP+N2/cIx+8H305/qUZcL4nqR/Irj63hApS8wa5v/7MR++8C6TYHHGwKXgso3Exy3L73W0TwXJVxNu7JiKu0zae5zbhPFwzAeTA749+TIXbcf+6+fs6o85bu7zre8d0A+P+JM/MMxI+HD9daqL5/y3d5e8OY6YtyAZSdA1cQLlcM5jsybuHGB/+QxZplhv4bHDsOTg4BoRBcVkxVa8ZH9UcP2DKYc/f8Yrn5vye1//NY/D64TYRyYF82g54iV+dT3C758x030acZfvdgPc7JS/GHsOxJz78jmf3k952t+FJ47mYAj3MixrHjDj99ffQe1+kXiQI771Iwb7E3791pv8Mt/ld9x9xmHF0f3XePE/fRm7/DZKLNF5j3M74Jf+LY78C2hnGPsP+NPiffa2Zswfa57/7Jrd/+5NfrXTYv1tvmzXDOQau51zVcKV3OZudYj6+BFbdyS1LvhEjGnY42Xbgj5DnMFHf/kJ+d0+5Z3AQEV2xZIXH5TksSaEgvNMsvf32gr85mt37dBxQbFvkJMl+XaOmtSEJ3N2okOWPeK1oz4DnguySYmxju5XlupRTT6NyHXEpIHm5x4jBYNpgqwkYWFZiUg50siVpzuvWd/x6H8uaaUnwzNgRZ5VFFRkrcQuPXa3ZKV3mNHneW+fL76Vk4yXjA4TkIIstJSyZhETbLKPGqXwpSGzNyQH1xZx3kAPwlbGp+U212KLRgSE3nyANdUCny2ZDwb8mh1KoakYEdGs5BXbuwK9aDFvjDn8cWDyizUZGucF8xhwleHBxzWPLgMPDiYMvgjlLgx3LUUSWcnIvdtDktCyv69QcU2aCpJSolSkfdqRT8EsNsjmpluSLT3utMGdRLiMsF5hu8Dqrzz6BPrkRNVR/2VDq6G/cuilQz+DsIKwA0pVqArCJMDPI/EE9O0OmoiYghwE8A3dFLoQKfSKcV/QtoL4U4iPA3lfIu/ktHNYPq0ZZA5ZBMIJhI8NH304oy4THrwxROQ3yMuKOEhozzvE1ZqUgJlFxImne+a5KgO3/ottYl7hnteYPCGOJUW44TAElqFkKUpaOWEklyTtgnGoKasalhBuAsWDBFl6zBzitSVREXNfQy0IH0TqqYOjQC5KxLMV7UmFXoJcGHwq0KWge2Ixi5RBN0CdrYg/3zhQ5Dyjs5b2yYLeawFROEo9Z4+GhYwUasWOnVHOW1YrzQ1b1PRoRI+VzCleHiIHU8xeoJWBldjilH0KATobovuKeGvIUuTIkPA0vktQlh0+REbJqb+DCilDLamDo0QQpadSgSR0jF0gtGtU2ZFrizzMSdIdlsUCryOlW1CqMRuuxm932Ys1IQaMXzI58fQS6KYON48UpxEh+6itBvWFHuYFQ9xJNxhQIakH+1ybEVXsKHWDRzMXOcq0bKkTyvac2Cu5SEooKtQGbcJl2OfE3mMhPVvmOaXvcMcgTsAna7JhR1W05GWKvRGEy0DZGZplizjymEbRTj0JS/wq0lxB6hxp4bAnAv0ogP4seHYskW1EnFvkDJACey3wZ45s6IkjidrLQAZUz9GIgLydY02Cnzeszzp6Dwx21KJu5xS7mnjlkVkFWyWDwhDjAt0I+hTIPRBjz+7eHsq3JK/uEpMVdjhgnWeMteUkZpzKW9hRSiIDrjVMH3yd91yJ6hlMCEjZ0QEzNyDkPVbyhi+op/yTh4954c8uuHdvgM9eQpydo/0c89W76NEN2CnCJ5iXMkI7QL93jq4Eoh/AeRQKWSQkSYMwim4GCRqTa4JvkTsJ2EA3i8gnbESxtmMoK4xekSpHlQbyQ2gbyFKFsp7qyFOfBtIB9AVUF57SSepWkC1r3DOoP6zIKlAJXJ5ahq842oHAvbZg/KUeZpXjZMfwYQIv97jODae9EZ03DJKKRgQ6CqqYs6JgZYYoWobinCETuouIvwZ/7XBSELSh0D3CzQKWjvzNPZhcID6/Tf3mFlYtMdoT5JqgG6KxNEnG1cEtPgqCedQEthnLJbfVGUEITuM9VnKP3XjM6/YZ+sSSr6HUjnZ9g3zsEDOJlx459MhEgY1IGZE1RC9YfQqDhcadBdqFY+BT2jX4k0hx2tIdw2oFvfOW68uOxVPBUE3pS0/2lZTF3JNPLOk0ITaBWEeofvt7EcDoz7ZRd8f00gWOGbHq439xQ24F0exwk5UkdyP5ck1cB1b3D0lHJbk8RdYVyf0xKk9Q4xZ8g7kf8O02T/+nEwZnlm4E+isBNQKz69CjSHE/RwpJZgLywFG83CM+WtFdz0gPFV561DineRAwv7A0zxvqz3ekr86JRtGqhMt+5PCeQl07dAL9tx4w+eQ56wHsZRmddmgjSccDVudTVBsp381IH4iNm3MxQuyNEPsNiATRrujemzD5fsfwpYx2WxLaip2XEpQzuGNHmqSYLAO9RoYE85JjVS1I+zscff+a7dsWc1Mx+elGqDWFYLmM5PugXvGYpub2eIoLx3DHELVHrMB/5Ii/jpiFY7qO9AKkJfh1TZYJ1PGM/UHOXHYUPc9BeoVQNaubU7acZPrRgt72kP4DmK2X7OaWpMio9iJSRQIB5QK4iCoM635G3tcgV4hguDm4xzM34j/YuaT38Bnr25KpGnB3aJAvL5neesBHZcm2bNneabjUL/Nht89+esPn1XfAzonaEG9vhPlw2jE5DSS3akZZ5MmPPPZ+RP5eA/oaqeb0uxuMrelSg97K8E9WVNcr7GGfbjvhrjOooxWy8YQAyw/n9IJiO2+J4/ssE7j/1i7u/RM4FiS3CtxuhSKjvJfDuUMODf2HEnkXYr6id8cgdndwZxW9VcS8dIvw4ccQHc1NIL0/wJ93XH/i2VUt5V7EFpFiVCJ0jSocTQF6YFDCEjd6G3Evkr3Yh0VHcuEIvUj6O2NEt8CgCVceGXpwvSJ50COer4kHBv1uHz+qCPmQrEkQtoP1EtJInSxpc7E5aBFzOiFweZ95UXAjLC/VOY//l4b9x1CU4ESg/O//n9f43ynUfKDe4GRnh3E8JopzTs0f8/76RepPJjQ3T3nvpTlXnz/kXQLj2QX7hcBvp0gBwm9m02Te0kZBh8GpFKUFNoBfgZA5xVXHG9riJgF56Nm1J2zJIVUsGcpLtnhCRcGlusUTeZuZyFB+yNJqtKzIEsXzpOAnr5VYDDeVZhyX7Mj36fnfJZw9xJ6OSMVjxv6c+/KAcz+kVjtcoGjlDq6RjBLHXS6ZBsNSZbwnfofnxrFM93jK7yG44D5XHA4/4P3BS/x78TovhNdoV/DLxYBYv0WS7/DF5NtscYsbxghWCBmIO5qbkSBYz852xF9HmkxzrkqsEOhQboJJZUFTtqTbG1RX7jV9KnQ4pi9eYgvLyq/RekWMHafxNm35Cl/OZzTVGV4postIVjVNI7mpbvPdg6/z6N2fcPfCk5RXjOMR42RO1u4jrhP0wGKcwN3scr6+zyqbII3BzMA1PZInr7P184TL9QUxDyzJOVWv8kn+Dk0M3KfjY97k5/4rdFXBNccUPObYPeTj6h+RNp/nofgxT/SQn+W/T2afca0+oMk94pYkDgNiapCLiCsTPi4HPBzD6xGGNiM0YBdzdOXY6SYsgqIfAvn6Apv2aZ8LXv6pxZgL3v7dv2JHvEoht4DNJngSH/I0vsEt8T4ZF3Ts8GHyGrM88EdZywP/b7in/oZxco0iRc4LQqvAgJM33ObX/MH8r+nKjp8nu7x9dsmtzvHtdw74Jm8zkFMexmt+uP2AxztryirnhWnFPB9yKg55pL7G99XvEpMR95uf8kAGHg5OGd40HHygWDdv8X01IxMPeZMjvLym6huepBln4pAs3mavGxG6jpXMuBQHdLFHLa5AG1hZ9hrP1mXEjiWmX7MrnjOVlkrWnJsD5sHy1j/s3uD/84pdQtQOmXmijxAyVBth9ZkibhwuCNIrSbwp8Z0hxgYz1UTn8ULhWSNbTeIEXglCkhC7BHUWyPOK2NPopkNdlRQmIrsekcDYL9lzS7LkhD6BoovEVSTbMQSvsCrjWm1RbacUyyVsB1pfkogExYIoJVEYQtoRDyoejXoUck65COikZdUzXCR7zMUhWbwmigsQkqyObK0b5sUtzuUWe8wQokTEgDQapwMxDchth+81FCJicSyVZhpTJBq5jgxnluykR/zqDDdsGOlr9lyCSHOWZUEWLMKt0a6mkZZoNDFEtAvEVYmpHLLpiNoT5wJ1lmJOPHHd4vsScRUZf5oSGw+7CtEo8hNJ0UmETgjNGrpADDkYh196VC4QFxpu8o11tQ7IVQKJJ0aHcAo1kxuHWZci15a8koiLSFzn2KImy1bISlLO0s0ssVptrMBXA9r1FWsVYbwhWuAy5MqSzyVqVRCyGmsdss0xswK9PYWeB9NRLEEsNGGkCQpkdAQ0c5FSCsjFnNLekEsJ7WbcwruIGm9O6b0VmHVEEnF9R+gU4jolu/C4Gw+jFD130HVQKWKQeB2JuSYuwK4kQgFeI+aBIAWEBLHKSCuBejgnxAV9Edm2Y3RMGKpztuIpWeepbA8dFRnt5kRaFqy2C6RZsuqlTH2JZZclGTYmSBERSSTmklqklEFxxWvk4jlDLklEyXE8xIoEwQodKqyASCCGAK5B28DAN5iqI3Ud61LSDIfUSpNFGDFhHUebkZ/f8lKdJFqP8IJsDenCIBcp/mpJWkE80KxLS35rin8N4osCnyiOXML5cIdfiz4thgE1Xci5FluMRI2zax6sLlgVhl+mBX0Str1AR80Rt3gS3iCojr6YIsV8k71yk6KvG8QyMggKPQDVGEwTETNJqTt8JRAuYXTjSE47YsyIC09cSbK2I041cd6BKSh6DSbmONY4C6pJkGiShYVZgFZgtUBnEbpACAKRSqLxiLTd4KQrgWhygmqpdxtEsaTIA6GBYBx6mLJaeXpmhXCbsPQwcIhyTqda9P0FVwnMCsOVLulj+NDf4WfqywQSDuMpVjc86b3Lh/GARPRJqQkEZjFhEW7Tyn2EOKMJ/5qv7ZzQf1ix6ASj/BkxmdA40C/dIEZruguPDC3yrkOtW9zTGhk0UUboPF1QpMUm+FjJhmAEgQQhAl556BvCSmxcRasUJn10u+T/5O5Nfi3Lrvy8b3envf3rX7x4EZGRkclsmcUskkWKogWWyzIgybIAwxrYI488NeD/xoDtkQeCu4mNkssyVQ3L7IoskpnJjMzI6F9/37vd6c9uPHgCPKryQAWUymt2Zwc42Ovsve5vf18e96TWgoow0x7ZC3Sv0M4iLJi1Ql8Iki4ixBCqBtFGxNYh+gSzbG/hsC8GhDxCns+JtjXRRUx1UNPd39BGErOAZOQ5H605lfd5xQMaIxmwIEIgvaFDUIopG2/YEUt2wiWHYUVUZbguoXSeTfDkyuCJ6aykDx35AJoFlDuCi7uCVkJsA971KDRKCGxQnKod5mqGZUTwE3p5xURsiEPHTX+Xq+gRMZ4ovEKtHaISmBL0qr8d4Dc5vqghdjgkFD3agKsNsjCwaKDKSW5qoqJDpjHxsoWVRcwH9L2idRt8Feh7SbIJDE8D6TrcqnibgL4xhGpIQ01va3LP/w+6Efi3b1jvBZZxj79ueWhSXOQJRlGnGWdZzGSgGFaBCsWr8Q4305K3SxjUjiadU2YJIlhiYWlzGJ9B/lSy+3SIzQrUbkR70JL04jbOfFzjcoHLwA9bxFaPTz1RoUBbNsPAYDdQ5IG4jsjOHckdSSgcdeKYx5qahNqUqNyxFjDNAsUzqHxEoyM6LUAIUpNgKkloHRx0FG+1NJFnVDZU91d8PnTsBTiaa6JfWCZXgWxfUQ/lbZoxTZBti4stYghMJKUK5E1HPwa3COjOkM89epQSXfRsPXNoFyMTSVZWaC/p9hQ0FaPqgo1tIY3x3pIWoOcDwnULwxhd90itCbnH+/7WflbH6KUmG4AfNkzVGcNesCpadntNetKR9iVyEhO3DXfCORfZgCLpSYYCUd4yVtC3iZi1SmiNIZkUCNNymY95Id7jg3TJIAu0ceBKDkl2K4xzvN4d8hvxHvvccDc94RfyDf6cj3iTJ+yEn7F9A3Yg2MSCaC1QX0SMCoFrOihTRl819Img7gKpXqLEBTt1ielgnbW0xjDoBHod8/pgxFlSk9uIfe8gVLRouIF86TD1hpCXvMgNm1HLtuaWMSQkRX7L+xnHNc42VD04l5AMDCECud2jj1bU9OjOY+6tuXrlyVSEd4E4B5wlqgJ6pQm7jip3yLwmGIcTYCWEGKwW6E6AV3SJJ7rT4HSH2kCfg7vr6NY9s1oQGvC2JlQBPWioBwEXO+QbBYthSycibHBoVuRlz9RpmpVAIRluBDqGvodgMtYiZxFagp8xfubY/U1EMlK4Qf1XrvG/dlCzR0lOYC+8QrFiIa8YPJzxXvgzPpz8GI3jtd9m5W44zY94PfF8Ov06231NYhwbrbAup5KGr7J9nhy03LdrTuIThm8dsCc27HzwmExcIxsQypPJBXf5jKmv8EGTqgu2CDhh2FXP+WaoEO5Tfhk/ZMefMsQxDB2vxBGxgoyWe5wjfI8yf0H7YAerXmLSFzRaI1yAPrBRKZIhV80+teyx1GT+nF2n2NELLtkwkBoXInJRsROecix+ixElNnRMuSASQ5Zbd5l0kM9OObY/Z2ZvqLXlMDzmILzgLDrgaTThsX7InWjN7+z9KU2Y8mX4ff7vxS4PRy95aF7ywrzH2MHRwS9p8wvOZw/5jfwaiQ/cFa8Yt3/KkIJn+hjrO+7qJVNR8Iy7/JGU3Et/yzv+EwL/mot7mm7WEwb7DPVznoUJ0fGUreSUb/Ovifka9XRA9R/NqeIPKLNHYHbQLlD5gBwJwjKQ9JIwsyy/5+l3ZpS7HYmZcykMSz9mT18yEJfouEelDXtRSRwaSr9HH1uGxzWj9oIdvcayYCOPSKefE8s1J4MZp/GUq+QbRKom7+BH5Qf83L/N3uRH7Hz0x0Sjkhf2DsXsmPnekC+idzjXOambs686cinZ1RuU84RKEvc1j9JPSf0YETxCRuzzlFFS8Jb8HBM2JGHFKOwyiq5Y40DEPArnvB39X3z5vSO0GRPv7XEa7oIUzOQlk2rFVXzJ4I5APzlj4DVlGPOaY1buCOmvEaplzjZfyndwg+e0keFGzLDecCC/Ytw7hrLlU3Gf4+w9fj/5NbuXa65flOzca5i4U85n+xQhYpPkPBY71Oxwb7hm+G7HYjel0IKtcIokZq17vsx3eHjnjPHrBvu85eb+LlfDAUPmpGGbp/IdsuCYhRd/g9uCv5364fi7vK9esqVfwA70By0+leRTSXkH5F6LPTREY0/YK2nzGDdQZCceNh1ub4j4LCJ+HPBHMeuPHO2oJT13DNeeJvaE+wEixehVg5YOeVVyvA8LI4mVRQpLwFOlYKaSXJzydf8LzrjDtnA8ll/jyf4CEW/hRUoa1hzzlDvdM95Uv0JuB0IaM2sWBCzVjia2jt0rhbq4Zp30mKxg0nm636ZEXxmOdWDy4IqLbUkSt/jjDW7TsHdaM2013VrgTAkfSWItGMcSlzcIMiZdg73qGJ9I1IVAnBuM6EiTkolcUmPxvsP2OV1pKOOY5ihFTwPqyLKsU/JsCy6WRKPA1X5KN5UcRZbE9RRFzOq9OxTNiofimh6F2i/xs0BoQVUBnXmqyqGHhjCzXG8rru+/TRNl7MszIjZURU4/0yRTg81i1tkAEwSj3SWtXSFGBxSZIY0ath5dkEcdrZCYGfi8p+8VJlN0IwOyJ1t5FBAlAju9jeiqXUmxBdyPyFcVK6V5PtliMrfcebpEO0uIFSGJoasoE8FrOeKJmnEVIgIdWlqkt0QhUIiE1+nbXIsJsaw4br5i317hU0e7ZaAT5N7TbUG4a3ALgXrZIe8rglsgpwofIgIO5SD8UCJaKN6JaB9ZxEqRrjVmVNHspwTRo0pH/BKimae3CucDmbwk7wKRaWh0xmLqEbJnh9/yUQjM/IqhcDyWD+gG+/TRiGW7x4H/in/KOW+FZxxmnxLyHrno2EtvIM35bv+HTBZnvFc/x+Ypg2hNKEr2lKeKWtxIE7mAfNUysQ498MTWEl0bZCkwwx43u2bcGOw5MOgpR3Oktvxdl9DZG4HoJaK5jU4TUqRKwBSEbQ13e8JEIjLovaeIDX0UE6IeREfpB1xxzJXosFKwIWctakZqzU0qCWbMpc85F5ozKdGiphLgwu39lI1ImUeB2duOeFQid0Eqid8IxNqSVA1WtrBn8CHCNx1q2OJ3DH7cEURHN3OIfUWXpZhDi5WKNk15Pt4jiSfIA434qCBbOPavWpJNT7CG9iZGfiLoBy1GRbgrcNoRHwn6zsFckmlFuBaIGpJcQa7YaM9oASoIrPMYI2hnmnbiiccBnwbaieNGp8QjReNvBxwbJpTkLNUxGz8lqJSz4LHacMkBMCKnIGPBiiGF3OY87DEJMUpAqRLWieFwKuF+oAq3w5BWWNSdghD3rJVhPUnZTkvSrmb5vZTsRJA+bpBe4bc09qDHFpK0FrgZNMMWPRbYkaDatvRvjMC3DKlQu4ENDmJNvPQQSl7v5ujRiCh2iLRiVMeoeYS4dNA7GCtiCcFb4kjSVQG1J/GxgCRgxpL4UsBKQu2JNgH784hRa+i6gK0t+dQxiRdE6hl9LHBRw8BrQt3TDiSlvuL4KmLnumZkCsYTj1EtzX1L6w1yS9DJhmZ2jdM94R1JmJXUhWC4tvhPStpJhzQdpjaEOpBmiqPaotsVh7bHx3O6gSaVG45kgRMtj4av2HWOg5uXJL4jTCV2LAhG0Yxj2vcDqq7oZwnrQY6Mhui+zytFlAAAIABJREFUIHYV9JrJ9FYTbpdLhFC0TpHEBfbSodpA2OopRcAPBf1Oi1gb0mcQZha3ZRBJg9jx9CaguopKNIDEzd1ffwD6O1LrkWSRSmyImS4lFB3dSFAdB7yZc9huQ9lgR4JuZollwTAssK6hD1D2UDPAKU/Hhkj2iMqhehC9R9mAcCmpb6H6N1fI1iAXinASUMIjiLEnDZQSey2h63Ed5G2M8hUOj3id4lcaWs/+aACRQroBIi1IVUBeeSa/jpjNt0mGEeb1EmcCnAui1xHioiV4Q1or8lDfQob3Ut54I5BmHXIpb42FrwOEAcnzFjWWOJfi1G0apTcehUMEAVKRnlmiawiXAv8bQXM65KrouV6UTFzOSEqoWxJjiKYSexGIhCA7BRKPGmu89XSiJ9wHc9jhXzpCqwgHOaVYkRZgtzViq0P0jmwNQQqSBPpzAdYQX3UopxEmJllq1BC2H3YIHTAXGmrQ4lZUEO0GRlGJriPSpaTTPfvpCU5rknJBdA0TazGqZNoIwlXAbJb46HPGomIUrVhN/5KQrdgK5wy6AvdSIz7LGazABkl4bVCXPWGd4MyYyROP6wz1Vkt2p8ekHulSvHVEdYPxNboKqJOW/aQmxDWjTNGFBj3TuE4SL4G5QxxCUs951G6T/UjSlxAeKmLjiOZgdx0u9VgLqgRUwLc90gi8C3R1g9QK5x1hdkN7D7KXgXR+y0Xz1qBcg1gLxFIjyh5vFSKRqNKSLIBlhAkdqjPURiAPLHIZcKeK8IUmmUXYyCJ7SagM4qrHO4W49Lg4xheOsCUwaxh3IBqHW3t8q5HzQKiG1F96zCgizgKJUuR5jd91HImY7SJlsCgIDUS5QgwTQm7/yjX+1/apt/0F2gfe0K/ArTjTn/HO77X8B7/3J3zH/e8U4ntcNse0/RXPx/f5ZPR1/tD8J7whPyHWETduyp59wY6Z85U5Yr19wAezZ2Tvn7IxM+6Hl/zjNzdMTzasPQzGOTr0HItzrPZYn0PYMMLQhDnHKB6KEyZRSxp/n+PwhJFUnNpdVu0Rl+mEA/kZE3/KTGq6nU+wW4rx9yUDd8FKjKjVHpt1RhsPaXzGSTEiy3t8EAQpUNKxLdYInnKX5+SuwgXD75pf8w33S4zeZ9v1vCdOCMryZC/jaFLxrckP+R3xBb4znIqUN+RX7IcrnkZv8j/Z7/AFj/j7/Jp7Dx6zeXCP//biP+SPlx/yz9/5CRv1v/AT8X3u+Bv+0fE1Mlh+KP4h//Pqu3zbP+e/EP8d74WX7MkVT+QhtZwS+QYjA5/6b/FDecz3lOBd8Yw9+X9w/u0jRlyThis27HFpJ+w/+oD99Cnf1M8hHHOy/WMe/FcRT+oDfvSn9wm7Q1ToKZqWwd6A+tLRXztG73aIH2jEr+4xHI3JxRL8Dq6HQ33DlFcMsw2z/Cm/M7lgqs65cjM62XH04Vcctl9yh9+yT82euSHdnTMyG17Yd/gz9R1+yrfRcQtmwM++ekATBrxzfM33f3DERb3kC/kNvkw+5LOupojepNATXtov+N1I8r48I83m2FzSuJjOjXlLnpHJNVWvCdGE+/4Jh7OX3BOPscRsiXO+xggfGxrXU6kBB5Q8Gvycv//PE9Z2yZVwXF3PSLKU1PV0FWx2Rozfm7C6dGykofExJSkrOyTynmlUcRYe8aT+iDDMSM2KqstJ7A3fjB9z7C+48cf8Jbv8PPqQD7ZOSNcnhKevOfh24FDPebL3Ib18gJWBF9wH7ykGJ0S/P+WaATdK8iZnGCG5ETEn8Udsf6Nla31C8dTx+uMjXm/v4unoVM6v/DFWBL7pNv8fK/3f/fojvk3mNYk/Z3pPs7lbYw4E2hoUPd1YMlwpxL0O10L2tuJqNkT9QrB5WjP7zoj+f2jpTj2jb2my/zIQHQjSJwaeBJKkQT2UtLNA/8cO/YVHvr5i906FPgis5ICaMS5UbPIeE6dkesXH/tdciQWxEPxavsPFaJ/9MGfH3+rbd9jw/einHOZf0e8OCGLAnctLdCqpDjOq0569Z7B78oLJrkQdScS1x/8C/J+X+Msl+Xtw/Cawq0iGGfPTivQXgdCndCIgJ4G97xp2v+vwhwYfOcx2gv+iQ/yRIP2tIlwu4HFADQQub5nlNYv1NUYqumpMudS4fYV5Z4TuSuykpVETunLI5HlPGwkuHxxypSSje2cY2VLeTDj9zpsEcU0Q10RDgdiHfgKiCYiFQI4EshD4fYO9Y7iIRvxUfcxlt8u3jj5h74MnGJlw7Qc4PJUc8IJ9otDzULxEs8UrcZdrsccoveIb31Y8+PoN7tkNYn9I7Rbo+wmN3KMwVwx2F8gNuACDoUTtRQgpEO8NOB3XPPhIET61lNWEm2+8SyxukH/5mK3XPb1v0WaASzvWWrAIOc/FW6wwzMJTHjIn9hLvEtZmxE/l+3yavsFIXvDP5jWH7TWFcrgdhW9u7zGHWBA+nlD1juxkRX4dsJcgtgUmVrRRQIsU94sKce7Z+vcSrv9zEDYi+4WkualI/0FEHwcGZx79K9icQ3etEPczYrNhZCSVG3OqU8a7HXeml+xydgt2VQtaOeKZPeTMT4mJQFTcC5/xA3nFG/oLhsML3Egg5jW7fUfzNccP+D+JzpakC0c7EUy2z4guLGHp6d9IaKaKtJL4326IekF4W+CEwD/pEScd6l0YHXqibsjiL1ak93MOvmHo5PJvtY/8TVR30aFzgeotQQacbvFTResC6ihCvq1JI1CJxrSeOIzoGLHNEuk8W73h0m/TK4FkTSo9Uirm4pBaZiQioe8V53pKjCMTcybhmt1wRfAGTcJaZRx++xYa6r3E1j1hHWi8JNtYQhwIhxavB7SvWvK7kDzQLDYtWR7QCdSRplRjslmBflNyqQwX+h5lp5kdj7HHKwZNyeSTJawqzCSi/FFF+oWi/b4h2clovrqhv4L8cEy52dC/sMQDj9MFxRWYtSN9b0SvbpBnBm8Cvu+IDjT9UUa81ZLMJE3rCOMcH83YWM2IhqgVRGbIkglKDRi1c3w6oXYaL0YMpMf0r7mnLpj5JZdyh1ZGVC28RU8UnzKQa5xKII3YObYEvQPZDaluUHdSZIhYJ9A+2mNUXiB8Q/YgZ/hc4V/2mKDQbyeE6YZoFcFJRDwp4Y0A+zGZ66iHAvHeBN8u0EUFgwY7ypA+xT63aO+Zb09pzIyjyNLLjiEp3YsC/dohbUB0oCNJL1t0HrGpawbvp9iFp3IFk62O7NRjly2iNfRnAfd5S+gt/cCi7kmyiWR/eIlIL5EzRb+bYBpNfbMmHCf0QqGftaSfO5z26PcMQdbo72ju/MHtt6dpBMlMwo0gnkWIwe3wLX5pyX9yTv6GRR0r7DymvqpIjlLMixW7p5fsBghbAe5IZBRIbcDG8P77n+Lcc+QXc0TkiN8ytJFjWSnq4R76HtCckCY7LMQR52rANmu2+zNiYyhFzzhz6DOPGATEtkJ1Bp5ZXAVqqNj/WNN9TRBhiBHInzjc2KHuG7yuGM6g2QczU8x2BRwp/Iu/4xPjf1PjwZAbPyTvIpJna0Rdo/cN2aMItyoYL0v6ZQuPDHrqOPTX7FY9fuXQVqIbwSDaZhM5FD15aRFFf8vz0Cv6MehBB6m/nbFXGnGpCK8k9vMaVQGFpXsd0L6nB8wEcB36vCVEgjIOZK8KwmND98oRdipUbAmJQH8oMVseUZQ0f1gjL0+I70ZE91rad8G/XuOe1vAc9IsSv3CItYQuENIVwzcjxLsBS42pIubPe45frLFdi73nMTdLnHbYowwOOtSmIY01yATxeYm8kfQvC179NBB3Sz7Xkid9wz3Z8RCJso790rGdQvs8ItGK9ZeQbPUQRfSdoxQN2x9OEXGNvA6Itod37iAulrhzQb2X0e+UbM0D6sTjkIRc4c8kPsRsLkrGwqE2a9yZR00EkS2QscE+dQgZCIkg1LeclkFioa4QJ5JoDMc7FxywIL6sUCeC8KsFE7lAd5LmwjO+/4IPB18BAbOr+N275/zu8efIYElXJfxa4P7HNeFUIiYt/ahCBnB/Cea6AetpFw2J3WDuB9yBJGwPCD4QRRJRtcgS3PmK5HLFUQ7mXkMnS8zdAf15S/u8ZzBIsXfAFmv2Vjss//tL4nsS870YaoF8olGZRG4r5I3HnEnCJKKtN0hjkA2UF4okigjWIkJg964kzQL2sUWMLEI6VAR+3SCvI2wlCDMNIwFRj1oBK0voBEwlRVMx2JEIHeN/XuE/Ab0vMb5HCgcboAzYdYtcgdo0MAI9FKhTTdK2cF3gXjW4c0H3vMc3NV/+y5rRSPPOWxJrO+THmrC/wswhugDpX5OlEB5YunENk79a+vLXHt86AOmReGTfk8U9hgIoMK4i1msSaemFYcGES7PNpb7DSJ8wpKcgRYt9ejnkkn0KlXOBYUBCQU7CFk+4gx1qLiZL3ohHTN2crCppZMlGOoLpEEKghCQLJWMKdrqCI/2UbfeYrUjTuIobDlmRsC0Ug64gj3qm8glbImGAZthdc5PuUZDTiRzlJNI3oCqENPQMWbJNoXOUcBgKhCgRqiR1FQPbEjlJp1Ocj0iwdErQGLB9wcRfMVXnbFRG4o/QwROrll4KruQBF/IRc254Ft3hXH3Ak/QBq82AQo1Yin1qn1FoxVzuULDhkodcybdY0SEJbLcXWBWoZME1RyirSJRl3F9zlky44ZB74pxd9xq4YKJaYu9oGXBjUhb0CFbs95fsiVdYB0ElnMq7hPicMCwp4yl4ewuWoiQYgTdzyGNCMiWIBhkGeLEHJCixJtDTihxnPEado0SBk1s4JFlYk4YTEuboPtDKEnxBLaCUW1yLN7gUB0hqfBhT6hGqV2yiA56aR0w55TRkvNS7nIsAHFIww+kNbfgSHWqkXtFFjjb25KHgnnuJCgNeugEaGLdz9uU50+iKnpgspKxEy1JNcR4yC4YbxupTBnJIr4YkIUGECo1B4KgizVIbFirmItVsGU+vNI6Mpd6m68eIELEUKZfpNof6FBk26OCYuhVjLknFNSbsASkbHXM2gXwKqQwIl1HEG0olMcJiXENm3e27YEWIHA0xBJi5NWMvCXabIor5an+f68kZWxLoFY1PWMsJhRmyJmUlRqzC9r/dTuDfgbrgESv5EmSOcBHSeawqcQGwmoSAi2ExENjcMhmXvEp2uTtoWeeeyaCiSxtComgGntezjDqHw7FDTkratCefBfzAMTAB04OoBkwqjWobiBSljMisI7MB7WIUjsNwBXQU8ZTGDOgpUMGBU2gsY664Yy+JqgbRD5BOkvQQRRraEbbtoGqJqkC0EjAWUPVQGSgE1B1sLGwEGA3LEeNFS15bcJKh1wiTw0DQZCXFBDaJYzgOFHsesSfRFy07DcTB0MpApwsmvUG3AZ8ZhFSoviN4j1eSqI6pp3AmNXEmGWvQPqJhzDWGlblhHEGhYZ0NWLmKvTxlJ/Wk0qGEoIgDbe7ZiQWUniA183jGY32fx7zHJhlwlysCawywIMGFhKXY4RVHJNTktOSUrNnlmns0zDhVNTPfYcI1Pkg2scTFMSokINSt4tgFDGBNR0hX0HtQLV5CiDTIHm0N1sc8HQ1Ruwn3C0fkNKIPOHELvbzjNjyvK0y2hw8JPmhKMeBaH3JDQi2GBHJWZFifIfsE4WIi16OCI9yiFkjw2LhkkVVkpcIrD2lHH3c0CUQhpjKQaCAKXEYeESlM5PES+shSpJbUtGgNWBjVW2RlSpteEwWwKGIhSIQjco6AJVMLhO9ZiDtIESP1hIoIKyH2JYf+lONyjm8MnR0jrWdS9rRFgrY1uri1bBjjIBKITQxrTyg0g41ANglUNaKGsEnRWqCqDmqDLgN0IJTFSVChQ4eaPvzdT9SkpcLn7hbeLUDQ4mJPlXCbeksr1sPAeGDpEmhMzVqPsC6nIKeMFcsgkOS3/+qJHi8irkVEZRJy4fDKswkTlFijLeTKkDpLG/wtpN/PUHWJqBpEpxClR6wadK8RbYS2K0Rt8ElEpSBOLFJZqgx8DsYYCpmyUSmVTDCmYknGmoxWj3DCUUvJbhxwqkQEwEkGQdyaLjpDsDmhWWEyT6gykrojLR1SDqF25GWPqnOoJakB+ghpY2K7gd6jbU8IgFNELsJ3Mak0NM6jrSWVkllf4JWmkZdkRFg82kuEqJFyQy17ElEg/YJIZyhfIYSjkyWRWDBkRYwFJXDa4ZMlNitwKqAiSywDbSfoJfRC4SJLE9ckiWE98AhjSYYdynhi46njHpU5ZAQIj/aOrBME19K5W7Kp6BSDThGsxNQCUUfcGQxZCMnARDgz/n+NNBZko2DkQQcEAawG1xGiGmQgt7coAeFvrd1UA9Kix9clEklWC+RqCMQYt4G+g9iQ1BpRR8SbCIqE0IIsHKLkViFea5yHXvfIyCLjHEqHAIIMeATKBrJWQB2RFz2UAUpFXyaYukZ2CXHbELfy9rlNgERAfKu+1sOWpLYQaigdAYNoNSYzJL1ECkWJxKuUsYWJK9mkHqUrRKhJncNoQ2ugSTtUYm97kdB0aYS1NUlSE0RJuQNZZ28lFQeBJoFpahHCoIKkiS0hlWxGnmTSoK7h7z7aHEph8BiE1LRaEGSgVxr8CNk3t3BeAa1UeKnRoiXpDaEaIWpIQ4l1NXkQxF0g7gNBttgY2hSKBOK8pJ9AXIF2ChM0KIGVoCVI4XAIcClJ3YMCYTMYVOBi4q5C1wo2OdNVB5FBpC14oFU4VyKcYVgLQhMTNSN8uwBvkVZhOo3oPCIkiNYSvAY8aaMQ6xG+aCCyiCBwQeLDEG0lpt5gygipPKqK0K1HhhgXPCGqESogg0c4dXtFiUBqeyYBkuCRKmAl9BEEA9oHgu+wKtArgZHh9qznuSVuKwEhYBWIRFBGkMtAahVRG6FxONEiQ08IjiAkrQmsMsjiCoUA5ekktBEMhMH0iiB7QuroFahwC1xulaOPBcSCVEFme1QPVJJ0E9+Sop1F2wZTDzCuutWRdymz2iEqy22MN7pd37WDSoES+NgQbI/rA6rPcK5FW0tcKVgLGEtoPQQLTkMVQRmQrccER+IslBolFSLWGNvivQCfgHRktkZWKZOKW6OMKkEatHN4KRFe0nsgcxhdodQtJ1H4QNIKtIxoqUFGRP3tfhvREURLiDzW3AqspHJ4AiLu6CNBE91qwFPlbrEktCh1C4wXzmCsRNpbWDs2Q4YKUWlEr4nr5hb8W2rS2EInEL1C9Qp6jaoHsA6kmzXYKcL1qHZIshYEcYOoUthAsmph4whpzCK2yKxHjntswl/JEv3rrU9yl2O+JBHXIAU7dAR/wx1hSMIe88UV09E2jbZ0lIyDYcRT9sIFd8U5KzXkhCMeuxGx7nnLfcKj8AVD6blyPQTPp+4hP48/ZOvDEuQXfLx6xvRpwVI21Hf3MIcR1eKcvZEmEjXjMOeoX7GJR0zUKRNKDtQVOnK8IiVXgfTZK7bHHe/uapRKgQEianntFZeUiIlgyBkPdMU42ucVM16EKZ/7Q3xwRGrDDhlbWlMKz65eo5zgNW9SMiVEPbtug2XATtoyTMYM0WyzZiLPECFnKDyDcMO2h4Go2dQN80jzRXjEL5tj/Lbm27MvGakn9MTcE6cIscdjd4ipKkxuSFbn3DQr/GQLcerYmy7Y5ClSbjDUHLAixCk/pqcIhnnI+Ug95313jagnPKn30OPAtnjNI3HB7umS8UjwtfGv2FNTrt0OZ9GGg29C+v5bxDkMbwSptMSHGdVOxdtvBUzc8Pl3AzytqMWYmhwZNWSiQABFJ4jzjJHURF4Q+8ChWTJkxd34nDviBqliYtFSGkNNzI2X9LJi4G5IVcWmL3j/jcCgv6TQDZ907/ChLTlIlvw2LHDtDqiCRmgSIdnur3izfczeWx3RVkwiHWKwIPXX+D6QpfcwISNOIx6519zhhM53YEd8KffZGJiGEv2sZpDVvLk/p5MveM0+vSw42PdEdnVrYnh0zEnfsckn6B+8i6u/QqseIxTXSG6EQAsHLOnjOWM/ZyI3BHVKpj0pBcEEClHxiBv2xQu2/4nm3sERL+MbxnrClUiZuWuO1QUjdcN95ggi3rIv2a3m9D7BR4o7rBlfnLA32GcruuKTb/49Pho/RH/2v3GcXPNrjnjGIZd9ypa5ZhTmLH3yN7Ql+NurX4o3+U7/57y7LJjWezTPK1wWMcmPefaHr9kZlIz+wUNevbmNlJZcvuRVOOJ464ajaHkLqzsY0u42uG98wI/zLUrb84/3anZnT9BRhWcEboVpWppnFZGAdbFCvQUHbyvctkbOW9QLiA2s4gVH93IY9dyEjg/paPmSxKVUchchYBQqeNLgfglNvyJ/MGb1CiZ9CxfnyE2AXtD80hE6QXgrIMaQvA6EE4XKY8LG03zqqc463GfnJDNP1wRkXrF66RjJkpsoMP6vjzl5MOGlus+6H8C7jrfuOt5fXFH9Nz+h7SB5Y5dYvCS2Ld2ritW4Q1Oz/mLN3ckDLl+eMPpZwP+nW7za2SLy++zOHaPlFd0052Z/j41YY7Z66kXEaTfiameHve/O2Hr5jOt/+RnZ1yLcHxyyvi+ZXUTYn3xG18ec7r/DL+OP+I1+h7S5xmoow31a11BLjzAzTptDnvh7DDKF6WG3f47MRpy3BuQhsV/woGtIP3uGFDfoRwPmYsS4FYxlT94Cr3seTWPEv+/QDzT+JxbOHepMIQ+2sOuS9RdLpm/v86+GE748fJt/pgvaf/G/Mry3YvsPEpx9zsdoXCr5JVCqMaVoeB2WXMk9FrWmjyXHakmtJH6jqC9bmmnPOBvQrT2+ECw/DTzYbZg+MpQPtpHJIcsf/wYz1kzeSXHzAnFpSHMBuxLuHfBCBWjvkt5cslUuEXWMTgbocgk3mvVvGuLqCn+T4CYl8RHs77SMpMefN8Q11D4wOqyYTjxKDOlcg4ksn/A25+ouwkFYWPynJeWfCcpXjjQMKJyDz+fUjUCXnoEt6QqoC0FQMD6IcDct9Y8dkd0gLx2yBfdFQRiCfRGI61sAYz0UzD7uST+OWcoBG6bMyf+2W8m/dYnKQBeQUYJcN8jtlGRPEu2AHBn4vZTh4TVWD3FaUcZr5kg6vc2cPU6IuRKKhhyFIEfQC4kMgVzcsC3WpKrBE0hoyeQGzZpea1YYLI7dRnP2L+ZM/8JTNSATkIsA+xHTjxPOvpDMZIv5OGL49RH62ILsMKMR85CgXUJPzvNe04tDcrPmNERcyjsEhnjX4cWUSXdGLgv0tUD81uC/krjrnubTjuxyxeLEEbWe9E8u6D5z8FnADDb4c0NzDTw7R9cRT28Cs9clYlHhM4/5OujGUucQ8gYTg0qvUNvXTB7luLaAeWA3umK0s0dk7tCmd+mIyKMaxZKOKYVOiekQcU9Hy0BJUNsUeO7S8zXOGcvnsFNjh1DGBeZQ4QvHRmyIRhFylqGE4DI3IKHznmZnyPQ/GzPUBULVdK8qwlbC4MMAIRCUgXlP88nt7+LlOckx9GuPLT3dtMfsVYiVp/wkEJ9+yc5DSToTbF71rBaByTjgIgidRwxS+usKswaimKqpGb0Zo0ae5tMOrlpYa4pzS5T3iN2aqg9M0p72LND9Zo0ZCtx2QO8YrnyPn3bc3Rkwf9Eitjomuxn1Z6DPLekdCBeO4qJn2CpEL5DzQHyq6GRNmATU35tx+uNTzIlHL1acP7Ekza2pzp7WrC8CW7nF20B7Lcl6Q7vpEReC6rxm8kBwvu8ZjySi8PQvJINdRx1ZYj9m9ZMl228WqJlmE1qGmxtEc0J2mGLxTEJArx1BOhbJFu2bI2J/g5YdXTohfkMy2NwQtMPlIwYPAmnT3BqevpMzjnsQc2okNprSD1NOM417Z5fjUUven/wtd5K/mXpuenpWBN3wxrc8nEKXagrl8QIG1Q02kyyTjEZESFFQnS7p/kTADcjvBjbhJTmSbAPNDWTDioN/OkY+HxHvbBD/scblBbo4oDu/QJqGsDtAHwr01zSMDeLPavzjDqctLgNl13S7gTxz+IWnvgokVw3rVx0hqfEqEO2DGQucgvjVgtVl4HLekKwajo8myIslzesVyXNB9TiQRpb5puXFuqEEMgH7845hHWA7MH40ZP89+NG/uiILijd7QbIscOOAq3qqtWf4saWfGcyuQ+xr5GtJUls+/DhGVAPeKDqCS4imEfKuIYx65CCFQY0/KglTx847ILpA0A5vFXLpYFAQ9mvGA27ThIlEvyeZIOjXN+jTmKpqiRNQY831uWP63R2cTDj+QKBdB5c90ayFOzF8oHAnAvnrDqqAHEN811BZgYt3uNkBfQekqGk6i7homEmNuu5pfm4JfUuvAuU6MD2vqI3Ha0e0XVLvS8QDjzAd0yXYn7fUT7jt8yEw2JH4POXmrGG9rImQZF1ANA5zBPV5wHyzxdyF+qKn+wLkS4FsAuTgR5AvV8g04OwKcR3QuYS3MtRuQfsEws+e03ZgJgoOFdQ9rANh3+NzT/y7AfkPc/xfFqiFIEw94Sil+llFVPW0jwKVK4iDxBQBNwD1bopM/h/u3qTZsuW67/utbHZ32tvfal9VPTzgAQ8gCVAUJdISwxw47A8g+4PpE2jgqUMTT2xLoihHSIQAECAakq+tqld93XvPuafbTTbLg/08pBwhM4IBZcSZZewd+2TmypUr/41h9mqF3ylcKsW3BfmoxM0T02VN/ukOvh6QnNCFZ7kU9ElB++qWwgN3PdvbHemvBKtKcRtwO6F9r/hBoBwwM8ivRuv4XVbs15n8qzX6teH6i8h1esuvh8RkWOM3MHNw4Qc6PyAHpfEO852G+Q9b/O9fQinIofs71/h/sVBjgAmRksxelWl+j83vmdk35BxosrLo9mRf0LuaIA02z/Ba0JjMNg0Et2Bj5iw0UIrDRUM2MFjLLi/Y+jv8uvqAu/KcqHseXX3GvW5HqYKLFpOgIXR3AAAgAElEQVRhGjZoXrNjhtWBKnWcpZc41zILO+rhDUfNt3ihx5hU4oMjJYPXxIKXdCxZmzlbFqz0nEHnFOmGY/OOzijvVNmZOU/5NnP2THJPZV5wmt4zSKYn4MyWa3dE4IgywTQOdPZAw1uQPV5vmdCi+RZvtmQFwYAmVGuCLjgw59o95IV8xMHOOU/PQAx7LrEcyCSuzQnqDHs5IeLp8glduotEg0s9BT2WA5XsmKf33NfnNOk+q/JjNnJFJlDmDaVGTgLc5orWGmadg7ZimBocPVZbjHiiQD+5oq8P1JQ4MmIWdG5D9GukWmFtJNbfphMlSEWWiGWDowc1iPbM9YZTfUejHZZEwxXnXHEev+SoWDMgzACbDdZmeilJ4knqiRxxwNOYlrl9ydY2fOE+4Mw8oxTPjTlh7R7gFFpzgmgmSkGVAtr07JfCu65AiwaTWiSvmeYtc64orNKYF8zSGk0ZEyLv3QLrLYkJIRXEMMfFCYUTFAVapuYFnkTIxzytn/DSLLixx/xyuaSbOsRsOdbAYDx7F1loz0IsiXN6pgT2TFlxFN7SmMQuG7qkBO+JTnh7CfMPrwnryEl/j41d0lvBseNI32C1J5iSgg2dqQja4JKhkQ6bE9Fu8RT8dH6X9EDwr3uO7IGOOddckmTKPF7ROGFvFn+fecE/SNuwpNcCr4rJAzlmIpakDc3BchaFTZ7z2XyO0HKnL7mWc7b1c5ADqXY0TsBG1lPLp9UjDtbyvPicnj1iIkVfUeQDajsyIKFgedsht5HYW3Z5tOA2w0BQwbqMTS2LBD4qhU8cjCfmTLQHCiI1gaKrkMOBaRpdLKoBilYwK0MaEtEY/JAxt464T7TTjDeObANDBRSKDYb5jcC7GmxPchFRw3SrOF1QTm8JhedVPeNLc8G6OCWKcj39ivPZCj1LzLOhEcUIkJW6hxwyLgntpqHpJ5SDML21aF+iNLR5jsQG6TwuOhTDwUCoM20RCc5zbSyfHiU+fudZrsHsDF8Xji/qzGlZ4kNBZzyviwVviw94rw9ZOFi7kkEW7NJANoqRGdd2wtos6eSIxtxiylu8VLwvjujsnKW+YadLjvZg998ckowwMaMN5GDBmIQ1A3ESR3h1AvCYPpBkyk2zo/M9ZQ/vOOXN/Jjfbbd8tK2YbRKappz0W2a7r7mezPnMHXFICyqbCVRszD02pqaQnhlbREcUSxksOoD4DNliFKY7oBttb78uDXPnMBFUDKkZiFVGsh21O+rEMFNeyQnWfMg9icwxZFOwk4p5saURAx24TjBbwRaGmDzZgE0ZEzwhFJjU03TggmKdoVBDgaNnSaunEN9RpIgJULXgNwZpp9iwpTKCbD2RTIgJt4f5uqCvEkFGrn+zt5jgyaFHQ8b1BoMl9gMxOfwe7NahKTPUA33KdLKgJf9DhpG/l5Z8T3CCGKGtIFcRO80EjfhJwk4zN4vR7tgGT5VrpjpjZaYIllPteBhXHKRGNdJI5iBKawwio89smS3RdEzzhjO95iJf47XGMeHWCZPYMF2VTN501J0gtUe3HUNjIDiKHoqoxJDZVwOmVmSw9G7KNntqdWRTc6DiYGqSZA5S0GlFEgfWkajo7DVd1YFXBBhsSZRA0Vqkbah3O+qtYDYL6t2GOARyLrGdZ3roKTCYqyNOr98yXRv8O0+eZFgLci3U+4DMM1JaqAoa35MPgbwTijUcm4FU3lB4BxaieceUFTatKOwpt6kgG0drHJXuSElAS47ka35PfszvpN+wPOwhejRbBoFYOLxGZoMlBIuxBU0UjNa4NCeKZ1/OCUdrKn9D0SrZCFYGmCSGYqS9WTWYAXxXYaqAOzXIXjCrKWUayHUPyaNt5GhtyBuFAqobg9lUSBhI5YBaxaEY/Qb8kA1ZgSKjtdIbQxEyEisYdtAVFIeBpBkpCqo2Ut8mJHpymdDacTRkmpixOGZbiysTRZmxrWEIlojHBocNA2KUpHm0mW9LxAy4WUAiuBaKXYW/nbG4vYZtxg8N5gCTtsVkQ5LRhtnGOGq5JYu7tbhdQRFb6oNBb0EGgyYwKWFDzWy3pmgTGvfk2GN7YdKDpBYZoGo9MYFJCjPLygozPBMMBzKhHkZUlljaWrHVjhQ3KIZ+EjgCdKuoZpI3dNZzoGRwsKsijR/N2H7bW9OfUnKgyQMuxtG9TcDHyYi427djzigNqp5p7Gh2Qn1j4KqAlWLagMPjWkPuOnRaEY53+MOe7XmiPoPOW+ZmRbgdMDEhPhCdkouIQfEZ6AvcoDgSEixur6grcF0PB0uMBa1JVEFo+ohtR3H2olVkqIgaCFicRIg9TVBiL8TsGWykTFD1DgmR3igzDJO9ZbES+tkAPnKoe65dZkieTgtSCLiDYvYeuojtGdGeyUOZyUXAFANMQHKkiJm+V1iAHEM3h2JZ0xcBU2V8UaMGrFEUB1kpYv4GYeJQm0iMxdxgCrLrsZowQ0ndWsSB+oKV62A2ZW13FM2ByzBgt5l+CmYaaX1kajM2QVJDVIMzGdTTuSlXpUHMgak6zvaGya7FZgtm/N99LElkzH6g2hl8kRBrsNYyawK6FXAG0wnEOCL2kiNpZDCjtXZnDDdWqcVSxojvHHSGahjIwaChotwHylXCbSx0GVQJknGtQdRCL9BGaDJUO1I5YBWktxQK2SbEKxrsCGQxoOII1uPrTCgM3ijJKsZ4ih7qrcfsDKkdn6PJ0FcdRbNFvCdXjEmXGJIDmQ6EWnGlpbeK8xnNIGWibZSqiJgMVgErSID5VhA1hDYhucB3AzYZUMAZ/CGMwvlxFC6WnWHYwKE1KA09I7pzD1QKti2ZDpHYJnSmqO/YLjPl/Ru0Usrbgb/rSv2/WKiZ6oq/3v8+z/Mjjiafs9ZjPn3xmGf7Bf/DRWY+OXDlZjzVS9BL3mJ5f3XJ9eklWSO3XHDQI6SPrIY7/Hg142o65eR4z7OuJrgTfGj58vU99GHFF+41nzZPKB9mOhyrozlF7inrS17oR/wiPqEwga/9c77O9+iS41jXVCL8Nb/H03zEscDl3U9YWccvzQ9YpWNkd8t8UfIunvKq+w6rp3P6m/c0f/Axz0yi0i33qsgbveAlFlKBlR1XxuD1wErvsY8Z719TEvhi+y1ehH+ELhuuLWSd8pPhR+zsMQv7kjV32MdAbysaM3DIkxHySUHAsJeK68M55vrbDIWnOhOOzQseccNUVnxmZjzXx7zPU47aDe/Pz3h+cYH1kbc85AUPOcjAa3vJDRc8l0e81YfkGGhtprDv+UBajv0NQRteccnPref65Jhf1/f4Wk9ou4d8+cszPr99gHx/QX2xYYgdhf2Aw5WQXYWEGV+/WOGaBeFXls1TT/6kIReOlCdc6znRZDZ6yv62Ic0nmLKjNQe+4jGfbR7zfV8S7efspOZNOmWRr3jCLR5Dh9K5BW/WS24Hz2ziuL0ZF9X+xLDjR3S7U77gn/D+baIYLK29T1FY3t1/wG+aJ0QfsKUhTnqcOaLVmt7DQM0bPWafZzyVC+7oC+Y249KCf3t1yadnf8SNecbs4jUT2fCf5A/5tzf/mHexIs4qbK0EOta+4m/zJbfygBevZnx98W2sXNFLBaHgzWbG9fKcJh54fvuEW3uJX1ju5N/wxLznA3lFHy2dacAGVlrygj+i5ZhwmZiernjmJnydH3NrC0SVnGveccw1c2p5QF16VnHOjAHFsp6e8a75Fu9lwVMecFSu+OST7/NiNuNLHgAFmjvWbsYzziml/3tMC/5h2n/Pn/FJfIndBTQZUgnqMmoPUCeSUSwdM26JZFoKWqkYypLoPbuqwLkDxUyxb99xfNizmx7zShokL5loi7eJyutYub8E/daEUN7g7tQ8n33Er+WC+fLA0cO3WOuIxcBxGUiaWZsp7+SSd2mC8wU+W2qFrZ3x8s4l52cJ8/wWCZntkwUaS2bngR2ZJI75aUauW/qPaoZjKE+VeCSk+8dwlvGrFldGuAT942P6+QH7rMP8ypKvAtZm7Fe33DkruD36molucWqJds3Gw/n3l5ina1LREmrQ3pFkhG4SilF8zUbc1EHTUw1bnhyeMdlH6tv3SNzzKD5jODzjjqwxNnJke7735i+5iMJxcUt9c0vuMtxm5rs1F8WeKnZ00hNcwNs9Fdec8J5shb+WxyzY4W1iyAZDgS16PtAvMRxRSUeQAkVQBU/EsSNIJjrGk7B4IiV7dcxdiZsayrMD+i2B84YQDsRjKJ5UqPbsjluiN5RvMiebn/P7OfKX4mhMpngVyb8x7K8stheGIzj6vSv+6J99yc4eWFDyWhaottR2D9JSkpjrFaf+BjMFP1e0PSCmROYenY5Q9E5hh0GdkAeIwXHrPW6e0GkkXGbKqmC7WlOlCzYmEM2A7BK9znhqJlT1gWa2w3+g8N0ZUmzpz2Y8u7zPu2nDtIuUxwnRkj6tmc8z3jm+lHPe2mPW+oCkBQtWWDPwYnrG7NGG5sEGvzqMN2FTR7iYYHu4kWNaSs73a8rVijRdcH02wbiOZThQRkjtFN1GiBmphPDxBBumyKsN1W3C3ngkDizPOq7MGvPfwNEo/2FBXgh5a9A8J0wG9MGA4McOJlG0Orp/9JnmxFL2a07qA0s3Ou580r3h8BJqU+BnkUPVMkwLWuuACqHktR7zZHjNB79+yvznOyotqWeediKcR6UIA3qckW0NhYXTEnMWyLJncjIWVkw54N4n8mAoJg5/3LGoOuZZ2V1t+HB6QrDXuJB4aC1X9hXb5hFrrfHScspLbo8d8U+PqD9qyT9sML9IuJzI3YapQrGDYdOhH0J8MsGkATEZ90GDaQeG6wOzmwpigBMhbhR+5ZB3Bo4j9o4hVQZtlLg1mFbIe0PYKWoyZhdYHK25N+2YnFbk/S2aeoqLgmBqrjijGyZcsuJi/+d8/83fcry85WTylDu7a/gFdE8dhxPP/LuGYQ3uleK8Ys8hFh1ebpgGodslXAk63VCWHVkhDiCvDDmObl96YjgclHqTMScWNYZkwR9g+A3wacQuYH/ikYOhep55j6f6KuHOFDdYhq2MWh8kEgm3t+QspAeOwifcLeh9iyZFVob8+YD1YM4cktuRMlIoOhOIFoxAk5A7DmYJ2yrmyEHdYR95UpHggcBRJB8s6bTGTkbjyDx3hIVFDgGZe4ZVQM8t5bClWGXsG4HB0xw54mmPPjBIHbFLkIVgKoP9vqIpIlmRTcaOd3hUB8FEh857fBFJJ2CiwNMDZgV6leDHGbdShgQhGfSZYIHUC9ko1FDPdlyWA1XX40WQMlC4hO8irgFut9TL0X3mVbng5NeB/Vc9bm8wlWCO9tSLgYvGMXSZ6jaR17/9RWOAo3/Z0/mB5YeG/huHq1qV3auW4Znjs1zw5A86atmyvK3wQ+Lw0iAvatKvhWIP+XVGn1j6WtAs0FryDtKCUfjZGoaqQLseWySMF1g63JKRFmkz/NCjSwuHAq3AEGGZoVJSaNCdYkSwVyV0BklKanrkuwZ7NIpq13/QcCaWQizuQYt+IOQ7M9JNxHwP8lBi3w9cthOWERqx1GmAR8CdDB9FvIMP1+BnBnvXkVuH/fSA7BR5n+k+g6q3DK/ANZBuMwTBfFyj+0wOEL3BznpEgF1C7wruKOLEkPcJYy1GI7JVtLWEN0L8a0O4hOKBUtxPyPN31AeBG4GlEq8CqTcU37MMZWI+F5r9gVC2aJnQDNQOs8zEueBDxgXGmHlSc7jvmBRC/2aPEMgUKA1ZLWx2pE8T7rhE6oz+voWpI5dgdwrHBQYFSXBak04KWFroIua2RX84x90EzDqTHyxIJx3GJJqbhvN9RkqDryvSIiGnEe5Oidct8VOPfw9yAIwdC/oNmGOBqSf1EdsJslHMQUlfDNhZxPSAUdx5RaZD31oOzxw8h/IiEz7L9D+3HIqSMvXImUVFSYdI2UIOgv7KkD635FaQFbhPGnLTIXHALDyHLx38FMoziMYSTgL23GGvhO4tFCWYAtwEaBPFtCSlCG2mvGiQM0Mu8igFckjoh54kJVSC2A4uCuz9cqR7zxJ6JtgvDbMz4aF4vF+S6FmmSP1IYGrgjSMvS/jQYO4lTD/q54QTRRb9f12hppItfzb8Cass/M7sfyeFh/y7139C8fW3uVdmfnj2H/gyV/w6fY8FF+zyM27flqxPG7bmnG1+TJEHyrjm/fa7vHx2n+t7xzw5vuKL1uGq+7j2Betf3iGcl6wmp7ysHjJ/rLSypbOGJnnM9BE/09/jx/KHDFIzK59xK2esZMLEbjg2LTc84MpkZrLl+OQj/iIt+Av5F3TyLardj/nH81veZs91f8b1Lxqunp0w+8H3+dK/4LvxU54UA89oeGlOWVDSsudg4VtpxUt5xC5vucszau345f4J/z78c/xRyYV+itdjfpZP+Qvf8235BQsif6vH7OQBP5Rf0ckBazoqEUQjEcN+2zC8fcyLYkpzXvIxnu/xNXd4zy845lk84xArhl3PlZ3wq9Mn2JzYm0d8xWOe2YJGOnY0POcubVqyyh/xV+4T1hj+0D7nn87+M711fMY515Xjs+oJfy4/4i0lXfeP+PzHNcPnie9NpviLgbdxT2UWdO8j/qJCh5o315mmdoR/B+Hawf88JTlDyEtepgtCOeFt+BbPb094P3sL/IReev6GH/DmcMG72Ucc5N+wkku+NB/ysf6UB/xnCo0oLVk9766XdHtLd+IJV9+jHHakoxM+l0fcHO5i+yXx8zVuW3CwFXU9Z3+55FfNx7zTMy5j4GHxOfu842u5z3v/kCCRa53xWj6kl5Kl/YzH0lGUF/yv2xOuln/Mqvkxnxz9R2pV/jz/Ef96+z+R9j0PZENdWbb2DYfSc01Fzz2unte0849YF8+5LU7ZpSO2qwvW88eszJ6fbT5kXzyiX8J3UC4E1F3xLh6x8qd00vM6T/m5/ee81cc8Wuz5oP6Kv8p3ecp3iZKpdcDLlM/1ks95QNbAkViirLnDe3b2lK+WF3xqvs2BklsesNav2D35Dr/qC17xAIsh6sBLs+Qay4n83bZvvy3tfwn/Gz/q3yLriMaalA3GZtBALjN7CzUb7mfYmJqtNgSpUXeE55qWhkMZOJlD8fyah1c73kyPecsxE/0Qq1cM9gC5xM48etyjH9VsBeyjir9ZfsS/0e9yPt3zuHmKASyBO2ZNowPPpOSlecAbXTIROJEdoj3PZcrhAn50v+XisxuUyFdPJkzEc5EdGwn0wIcfg7+KHO46hhKOzpX+obL7PuSlcnITCGtLLAfsnxrWx5H0f2ZmMWOutti5Un2x5oMnBbv5U3rT0AwF782EznqOv7fgsF4R6p79rGQqJb05EHKBqkFzj3U9xcyRZy02b/joZsN0t6JdBYyDe/1XHL0PVBOPTyX3U8+9L3+BXhnMiVBeBfaDUqwy56sdC7+n2jtyhqYPnKY9x3bFHX3ORhY81Y+5m15zx8EhgUrmjn/Ph/lvINV0TEk4DMpsaClN4lx32F4IBeAs6hoSSzZZOKJiWhZ0y4HqY7AXDenmwHAE4QOLXQ600xV1NWUydxyv/5r/LvSE8pQTN8O8GTB/DsVPesSAOY5MNy/5J/80cFO+Z8Ulb8wf4PIN5/6GwXTYXPA4POe8eMdhniiWYFYJkZq+gf5ovKVzaYKhhuyxPYToCDrhdG7QGWzvQ7UQeLXmoq+4LRNOE+5ayf0pT2XGZTFwf7bHPlb0BxPatzfs70z428Vj/sqcclYJiyLSWlBdc2kiNsNLmfHW3GErd+joKVmxKiw/MR/RP3Q8fvQ3XL45UBwNHO4I8oOCgYJP5QFbbfhR+g13t5l2XvGFfUjNCuFrKnrMYLFXGddHdCJ0YmiCkP4s4dqAXheIV6b1htK+R+XOP3Ak+f/f9IeJOBkT9umdjKRAXyq11xG6HfIobH57YMhglxV1fsE8GwoRZqbiLFfoF2uaysIdyPME1rItSl6VF1ybO2wQHqUXPPrpa/K/arFmSXV2gNmAm4BbQnsChU9kG9DHYKqEuo7iNCMF2CIyeQnydcI8EibFNbUXJsHhXw88uNih0pNvLc5nQgGvHq54JhcchQPzbsduuaT9Z5nz7SuWNy3tScT/PDF8mSmMgQH27Z7ydwzNo0B8m+hvM83dUcjy8B82HG8XxKIlXo4ucNOvAvrak+4FjAq5iOjUkFYZdxVJXUadEKyj3gtmvmNxb89pPaF9vWNQw3BmuCmnfMHHdPGI77if8d34Ey6e/wxvCvbNHru3pJ8azH+MmDstZZqiXwbkDbhlRC8HpmlEIbjQIC8PmDnY+xvc5ViQserhfSZ1AfC4wjFcD/S3UJ4m9GRguFHqVjF/M2D+U49MPIUHuVXsrsC0HcXzGnOnhbuJuBvQzlFfWKJN0HpCFvSBofCBsgTue5CW+o1ivhCkCLiZorGFXBIrCKcBUwg0mVAr5UPAGHIr9CeZVCXcecV+11E+MchsYIiA70aUjgW5BD0DvU3YEvJX4C89pm+p1go3A0xbhvtK/AD8Bwf0ToZjYA4JJX8Ag4C5UfSt0IVEOURcryCWfJoxHlIF/o1iXgfcCnin+F/12NeKmQx4BCseoyDSY1xGToSZ3zO1B0wfEWcorcHOHciAPTf4qsMpdLMFV+W3OP3ZM4p/f4sNgiwz3N0xn2VmXsm9YFKBj/4fMoz8vbXyX76nm3bY/3FGr5nCKmaTKP6P9+xeWt4vOz562LDY3+KuAl0X2e8NxVsDv9xRvwH/tSJ/oPC9RJgqxX7AXyfcWUNVBWws2NsJx9IiBoYSisLAWxAj9Dajv5uQDwa6q4ypwJaJVCheE0WhdKXip4npdUZawQbou4R7kIheQVuW/8hR30s0g6PdR/rvwOSPE2ETqF5kin4gvomcJsXswBaOQw7oHRimmeIosfDCJ3tFPwzIJ4nwXOk3MPk6YK8i0Sr8v85A0wIJQpqC/66lawM2K/NjS+zBfu2oXiumsORTsGLp+4CrMrkD0w24zhFfK/b/jsix4v5FwnwM8tUV08+BhcV0Qt5H8Er+kaOtWk7mgl29xc4yQzU6VZrGUqREmiZ0cKCC3Al030u8PC1ZHmryesfEBDwFAzVRIR1ahs8M/rGDeUt4pPBQ0SmEfcLPBoYhM0hCz5WNm5B1QnG7ZvqmZVkKuknELjP9w559FdFOuNgrZ62OFxNLcKVyqDL1iUH/VUT+rzUmQncB7l5JtpHhSAh3lWYakasIA+geXHLI3w74M0sdYfAd5UODGuB1gflVh6wy9p4jf9ZS/uuMbgP5dx3xT4Ac8S9aVN2o8/MF+J/OiH0k/vHA/OOKQw2yUepFxf5WKL7YUp4LxhnkvqLJwTuI76A4KaFN+EMmtlAcl3QmYbpI+ZGn/cFAnCp1grzLaILSBnQJ2y5RzAS7yPS7cc/3fYSvhPJSmfUH7h9bupBIeyX9aUY2A/1fKt13lOaPh1HP66eOojnGLHp89V9JfbIpIswIuUJ1gWhDynMGliSd4xQchsyUSINgEKlIGIJkesmIKMEA6pBUglZkaUiUWJkSOSPrKZ3p6Bn1S3bW0aJkGS0Wo4EDA71mOmkQe0Kf5xxkjhFl0ERPQ4vSiqXHkO0RB5ZsxZOqgo1UbClpsyVHQ2UmJCbEXOKzYgU6LThQ0IjBSInoDMeGCAxiiZSAkEzNYOZEU6LGMyRlLxOMrdlLQ82Ggza0zGnFgDVIZyA7krUEY8hqkFQyyISDFERKrHqyJlRLsqnQZCmyQyhGwT96wJBxJJmQ8ChTIiVBlGQdB5kS9JiD7NlZjzEJjyXKiDDoWdJTsOeEQSeQWkTdWBaXgJWM0VGAKYoFLXEBhl4AR0IxJuKJII4oFVAi3pB8wubAJGZcUZCYMmhNlopARdISm+14W4KgCBaFmMFMEQQVR8qRPp0RyAx6hDEGMRU5j9QLKHBqMJS0UtIWhkEaMgMpWwZT0DJlJxUHajJTglnQM6BApxM6Mx9/CKU6gi7o5QiJW1w+4JERBaWerSnYmim9VBx8orXjFxSDoYuWoA5HRdaaZCs6aUjJITaSZUs0U4IqgqOUml5mXJczrqTgIRU217SuIIsyKEQCCmQmtEYoyBjbk7Sil0SLoZeaXhf0LNn7iq0IAYtQAs03IpcOpSD/N0A3KFkx1w7NYEIiSSaLQSkxYjBGR8ipBCIV2TqyGjrtyG4AnYJY8CPU0hhAhV6E3niCWEbsxhTMjuQAM2CAqMqttdzKjBmj5aujoMhbjA4M2aKmQLQGSjI9hojmRNaKRIlRh00gGdT0gBBsohflQCT4jlICwSids2hl6YtIW7XkIpJkAKnAJbzpUTMgmrDJIslgc8AYxRiwGAyj4GLMFa0dSH5PEnAUZMkI45rPJmFJlIMiUbGiEEGyUqri6emTAAaTlOmQkTICBm9He1R6gTxC9m0GlwMmje4EpIYibqhtIkpHB0TxdApJGpQFUZUhR3ADEKliT1I4uIogOo6Lsah4ci4QU5K/mdJZIZBx3iJiUbXghegSRe5AQJwleSGkTEMcb2byeONXaAsEIiUaoYyWcvBAi7aBabR43SK5G+OBGCzf6OFqxhEpEVQTUilIgeQEQbHGYDKARbOOsvBSIAqDKirQpYSTkuAAmyhsBoXAKF5sNJNzJohj8JlcRjqjqEQGD70338TgBa0NGDvQCUie0slAaRKGGeSGZA2KQagQBdUDqsVItYigomSvqInsjGcniY5A0ogCvdNRa1ATSSKBAasFxgEpYgFbGEQjajJgITmigyyJqEKg+q0XE8ZnclESQ6TxA5IUzZCKQKih9IIPAgmCBVUD2uGzUOLoCMw6wfcd4gRNChlyFHov8I2Qa2KNj2vqIULPmAjNMjRAAIKM80wzwSRcoWPc10AUsN4gZkTLGQUEnCQGATUOl6AYtiQDqg6XMzYrng2GCWVWfHZsckJcIPsBDCMEXcADohZN4HPGakZkQGaW7MUAACAASURBVA30YpmYjJGMTQLZQRasMZASNgqaHEpEEBzf0AVTxuUC0/doGOO17WA2NejQ44ZIoUoWIUmH4UAiMohgCczilvmhh85DDmOCm5sRXdiDyYLDQTLYmCElXPpmXKNAK/gSSAkkUQCmz0jM44HCKCYLdbZEHYVINRk0KiYJPoMEkM5gRCAJMpRMho6yTeO4ZYeJiRQFwxjD0ITNShILJtHbcT/T0dJjtBPWYcTmRwMyodAeQyYKeBRnQDWg1DgEL0oySsoJIyCxJ5lEKiGbgSyWvgQxkUjGSMI6B2aUPSA4bOqJKYPrCCRUR+HY4PI3cTCDGUfRG8U6RXzEWMWmCKqITRibIIPtZaRnRINLYKLFtTJ+Wq1k/QaVkxxYM+alWdCQAYfECHYUtyc61PbjO9LYDx3zdJMMbhB8cqOie8ijuqg40je0Fzt+5W99a7aOwRmsVkhsKVOC1tL0iV10lL1B2hpf7JGYKcQgfSZGSx7ADMUo/jpYNATCALg0FvEkkUwmOSWIkr+huakRNHckAVRHKotJiP1mfnlIAh4BAtmCViP4KzlGcdgI3oAzQhQwoiAR8RmJBrWKekF9JFaBVEKRM+oDTgMqYHxBTGNciiVkq1iUCAhxfI9TjLFIcrg+YYKOOZOM9J4cdZwbtoMijPONNCLWJBNUKQqhb4TSGlTSOOcNOBnfZwAXBDtkCCOF0ainyoxUmWRHLTfJWDNq36roaNajY6dBoM4D6pUkjBRUHREdYgBTEV05Pi6O+aWaiCPgsmKzjFQjUZJEvI0UDjoBWw0kk+mzUnhhsBZyoLKHcY2ZRCwTbU5UpcX4SI6CuoS4MfZFD9kbcjH2NxFcMmNcdwl0wBjFOoiiWB1djJJlpHsdIkbH2Ft0YNNAtobegdVM2SsSBE0lJgxICOQ+k1NDjqOQfooRbyqM7MbCScg4tXQ2gu4RVZww2n5mxSY7ppUJjMlgLCWjKDSjaTxiLVnH/qo6VkVsP85DP+4ZahUU1CSSH1GWlRHwA9knbMgURhlExqe6iBoYDFipCCahTnEukG0iuwze0XoQF8k2YIu/+5z2/+H6VDBZb2luB1I5QZgxfbmhfv2C/Lsd73hC6o45G1Y0C8/TUJCDgVxxam9YirLmPmuzYFm9YDJfsSwOeG2YGk9jHL27ZntPWFWwpmZnGt7qY67SRzTa823zFRNWFNyQ9BaTz+iuBbOe4E8N0+aWc/sKdSUHPaGQgloLlofAWf2O0nRw81c8PJ4QJfJSf8SBgeJ8Te1ecinvmfk1nezopKHVBSFvmOkVk/CGnTnlkBdkjeMhXEuqNjFf37I4bRjcnqSW+fCOo7hiOrvFpZ4P5TNO9a/JGEKeUhooJdBI5CTf8La4QWZ77tRPWWQ45R1eWhqTODVbKtlAM0fJKANOK/bMuNIL3sV7rLYL0gEGEeTkjN47ysOB5crh9oniSaItD1gmxN2E0nY0ZaAaBmxcMP16oH4b6cxAVxoq7Uj7TFCHTq+YL/Y4XzLoM5qmoV0esd5m1m7KQXbsNDDJSs3AUXxH1a4o8i1z23ORb3nYveb9i4aT+VccP35PcpEe4Tx+zowVK+ZUalmy5ah+yb5YY/2UPO05mqx5zC1DzLTmHkNzh/ruF/hpxpvvIPYtvXEkLTnQ8Ou85LVUzKSl3X7A1cpz9DBiTYd0EfPGU3NMe3KNa245TbekV5FyYeiPTmhFaXaG6dOWyl1x5+6nOIkUyVNlw4YjdrZA68Bt2XOPDY/zV7zOT/my2aJuz9Gw42h9zZAv+CB/wTSvWNkjnofv8uV2Sj//kCCwaQvOZq+p+Jy93jBgWA4DZ1zxyt1hS81OKtZ6xE2YYumIZsBnpdAdU1lTs8AMLXNVQjllneEN5+yTYEUp05YzAjb5MeBn+G3PSVpb03dbisO4dxkAUVQFOxhYj5X0otgz18j5deI7+gXn6Zay7DG6Yb7KI5xXA8vDC7734sBdM7BonjErNiz3Dhkc2ibSDJgEqgS9swRKhMCxXnEZdqzcEbdas7HHTOSAz7DMe2TYcmZvuftuTfnck1LDsV/z4Pke7Rz5deSTd4qViG8c/WROVkvVr3DGYLhEs5DNCmpPGx+jSUnmDd1jSzQ7bFqyGCoat0aPWqRM2Gzo1qDXmSVC3BdUrZAXtyyqDW6TqYNg1o65NZghEItAnhboLuOnBThLTAZpG2QfGYpAI1PU9hjn0cGxd4FJsOQ2MgiIqUBKdNdT9I7sdlBB3yuHtcG3gW4JN3NHEGFJzysGrBSc85Q5a6YCxvcUsuGSN0zdhpUeg+mYyoqSA9hTtjJnb0tEDtQCeTBYCSx4xcnesOy2FL1FVpZyyKRCGZYjp3i6abnbOUhKKvckn0lXBfJywslJy2LTk5Owk8DOrqmLgVqgf+3xPzniWJZUxYx+8YZUWer/h7v36NEtO7P0nu2O+2zYGxHX5k3vSCZNVamqS2qgCwKkdlBDo+6BNJcZaaT/oan+gDRRA2oBha5qW2Q1i0xSZCbT3rx5fcQN99njtnk1OLc1UnOiAgrsPYxBABE4Z5+917vWs7rEsgR9U5GiJYQMc2VwOzleBXwJWROYL4DrRNY1zLqEvpqQJU0hHtutyZtEvNRMaoUWS49nfnHBG0VJJRvSgWbSn/H2YsV89QJMR1YplFXoStGbnC7N8GpKUBc41aHSmNrv0GQ1Rq4wJCZhwUxdk3Ut8zPNzvOeY/WC2+qM0TOPBI0qBJMbUpxgGLGnNE0UuniHczPHt1OObGCsIoXKycRhQ0lUPd5qbN+iTUHHCOt66DtoE26kUL1mRydOssXvvFCTtRbRmrTRqNahNp5cK7S2SO/po5Db4fJOL2RLh8QS6QxFMiTjMMuKsKwxPiOWgjLgcTR2TJIRShRz3VJEQ9crolZYWqQPSKdQpiRbecwqQOdQpWCVEK1GhYRZJWhyklXEKbQaii6hLrOBnWcNPSAqp5kJvVVUQRNVwtaWSdHSG00qA7thSelrxr1G9TPG6RrVa+jjkG9RkGmF7QysHVYFbKZAleQ2EkYBUS0SLEoKbBeJzhBuQLOfmEwMKYEthiYt6Yc7t5qngRY68sSUMNriq5zlTk/oIzux46a/pLGf0qpn3GtfMF0EYmdRi4ysDHAh+OeBeA1hDrLu6S/N8E6OFPHfl+JoUC2E2pCCA+dIncb0S5IYwrWmX0ZkVeKfFpjtFlcHZDVBVhXlZklMGX3nQQmFi7QqsEWTWUvtEgdFQ9Tg1g5zaVAxoo6GSy19RG0NdqRgqpGxGoSIlUFvhguEiga9jqhkIAgpA6ZuiGe0PbbQ+LklThNd7fATR5crsp2cuhRMdUAjOefJgiqZ6AY1/pY9bWmZsHBjsrFme/yIwxHoC4uuLaoNSBMZlQyRhd6hywhVhFGPFAanHE4MYdSh9xTZw6FdyiQFdTsI1tqhJUeiR+hJlSapRB8EU0PoDD4TsmlAtMJFTawF3Ua8gFGaUGt0oQemxdajsmEA2nvBrMfku3Dn9VPyuKITQXcRqRWqU0ivCF5Da5DaU07ld30rAmBVGBY2Mtc1yQ2T/7breGh7npshKhZbhT/IBjGl9RRbQ+s827nlhS6QuuG4FagNpU5I64iLHipBRYjXgcqsMY3Fn2pockw2oli3SKUHodUDbU51JugiQ00j4nIkW2FUwiYFfoKVhMKjQjeIZYsCGwJh1WPmDlv1KMkoLz3xcYZ+Y0QZBLXWqDihGi1QWRzsWZIx0RHjDFmu6CuLObLUtwV1sEeqMmajmlRf0G8Ma1ti0paZrQlRY1MLLWRo1NLigkfHHJUsKm1IaRCW/DoijSaMNNYPwqleCckbVGNoU6TNejIH+VZRbDTeO4JvKTaKuAeyp4dBdxBMzzDMXeUoH1HGIzobBtVtgxI9HHR7Ia0N1UXJofaMamhWDhPGWBXQnLPTQ97VpFkA7dFbyxiPnpcQNKNFi85nlPWakQSMyxjlLWI6snWH9CB5jt9L5I89+ukuVXVJKCJS5IjusTNQWtC2IlM9amOh21K3Cusz/FVLfgiqsJg2MW7MwPtMmlCDaQfhJiZQKHQEtVToc4eTnuiEeAlyrdCrYfB6VsKmFw7XgdG5xUkkiiUTh146Yhfp1BYvkOoM/7SgaGtiDzEJeprodhJqJ2GnMogmdY/qGIQ5SUjISb1C2oAYi+k0qRscjsV5jywc1Dk6RvKiRe9YdA+7jR8YR9oxqhvU1qDSiCwknA0oNLLnCblAnTMuBL3N0KOeqhSsH8MmQ20XiN3Su47st9zRfqtQcyZjlqf7PPysY7NzlzLdZv3PFf4q55s/ussj9jkLEybba+azlqtVRoo9MTqO3RV76gW/SDuchjnv7H7Jh/PPeaYP+Uy9ycmkZkd/wWXWwLslvdrjOhzwzO7y6/Q+n7T3+ch8xlvuS3LTcaFu80V8nz6+zsXPr2h/kaF+mPH6dxR7NyKeNUEc6zDj0pxwbXOu1Q6pv8PVXy746BacuC/5tv57PH+puft3P6DPnrBr19zinAkXtPIBT8MdlLrgfV5ibMvHvMvP1++w4y7Z5j9DJeF6ccDqC9i9N+JM3aLzM0xbsg0tkt9ivml4a/8h1l+w5QStxuy6a0r9nJtc8L30gHb3Fpu55Q/0Y26mJywZcaAec4OvuJ9V/FydYw9LcvHUqmadTvhcXueb9CHPNm/x8FNLfNBTpZbb/+CEi8k58tSx/dM5myuN/u/fIOzdYWRu8ZdP5vzg7pg1V3y2fo1nzw6Qfybw5xF3W2PRlKJpzkasMo25qTg+fEhY3+Jaw929nk0B8XTMi/aY0/KaU3NCHxJ36BiFnnhd0DU3sNOeeX7B7tYR/8/Ek9sZ4bUxl3rElewTMkPGNXPRHKY1wT6Gk12syXnJiMfTm7ybXfIj81PO7T5fbXeY7za8tfuCMl6y0RWnlxsWao+vJPErfsiX7S0K95C7Vjj/8hZP/tVz/pv/rmdTfcUXz0549n/c4GB2SPWjA25/UHMxusf5T4RHN3b59o9uoys4395g/adLTr6rOPnRlkw9INljWg3nUlGLkE0cEkfsa8Ut/TWnsy95ZE9YY7mrNP66IYuBu/qcjdL8kh/w89Uf8i8+7Zh/+D3KSnF9/jlvjDbc0JE67vCZqqjLHSyB63SDJ7Em2D1+Ir/HV81dduySm+aUQ/Oc2/KEhSgeme/w6fo+N/tvuH9wxeenNZ/MPuJlCERlOMie4PQVSc24UEe8UL/7JZQfNd9iz5b0a4VZJmY7ICmSqwbVRvqnMH644dbVhlhD9Q189/yUkVKYG5GoLrFfF8SHisms5b1f/Iw3Vo4sarK3Pdk8wKOAGIe0PeXriv6uQKlYmJIzTliFPSrzhJlteKIP+Vm4y9e8yff5mA7FVCfuuie8sX3G5McXqP8tsv2soXxXI/ctch0In54yrkr0LLB4W3HBLrUc8KyyMBrzyNyhksR855zN7g4/5w0CY17Of0PxDzyFOeFbYCQdb72ecH/Y4a8Tpp7S/XhFphbsm0vW/84z6hXj+xo/TvDuIUXnab+5IPaQxo5uzxD3J/SLAn0/UM9q2uuEX3bYS0WeQGJPZjpcqUiLFtZCVIn2ZaQ0ln7RwktPOBf0FrIp6JmQfmkwaNTuBdl7OfHODiMCP5RHqJTzzNxhLCv21XNO2KJVzViueE1ekOk1j9Id1umYmbrGanihppxRUsaWXVZUhVD3mjLBG90lkycNPBkOmOqbCL2gXIN902DWGi7OGTUOX7XI/orCCOFXnuzjz/nu/cTe+DmLzrOJQnuZOMgyCuvY/tMa+fXzIRZw/JjDP4jsvl3iHwWqfRj/43cp/AK17bn6NRy7Bk40YvrBFn4hyJdrJreE1xaQ/TrQXUcm14HyW0X7pMF/vWEaDelxz3SSuPvnn3Fn/xFZitjXSvTjx7z3ZWJSGHQyzE9Aj64Z72m+GVd8wj0+4W3ela/ZkadcM+MrZuwQOVKPMeIZ5x130wPeXT7G/tk1+t9AeLREnQjFHcPSJvKjFvO64YEqeck+kjJEj/m37hhvMmbtkjfVSyTvuVSWjJJMQ9xXRFVwcBG53B1z5eHduxPM11vUM8HNFHpRc7N6zt7oit/54qfgyQK0jUdcidSe/jqRbTQmWbwK5DNHWgSkFaRfkTpBco2ew2xsSGcbumc9efTEy4TcBNnVzGKDLnpMvsXKPtW6IT6J9A8t3TaiziGfWGIB9igiXjCNp9lJZDctOs+RR574sMO4hrgEecMRVEL6CN8E1E7C3M0pe2Br0btbivGYcDVEAw77K6r9JfXMMNZCtmxQuaYzGpf3UCk649AhklnwrocdgzhFIqCPKux6QzgIyMzSryJln+DCwtxx+VPYKwz2exPyoxpTGGw0yJFCLnrkXMEU5H2NupnBJuAf9CQz4XR0wGavZnKxxnaOSZ4x15dUbslr2y3ybcQ/AXOViEsNjwoW/zxgHyXIIH0W4S8i6SIg/1mO3wgGiyoS4WVN+4kMDS6ZwueR4liTTyObZ5rrzwy7RcZ1vaCaCNPDiLkfSftrtrZgKgn/aGDw5FphUagLxWLj6WewazRyBelSo880yfW4OwofQXpDeh7g0CFzz2gkyDoSv0rIYyEnI256pAVKB7ZBv6WQ7znsJejME29aLr87J9wyiLUs0jF96MktbHTOlUw5i1NW7haNwCEP+OEdg2prnsgR35b3iHfhzbcch5uHNH+xoXw6Qh6uIPWoOfRJoZ2Htwr6A4+eWfwYgpR0MUeSpWwt6mJL/KpHt6CuBV7XpDJh+0ioe9RGGB1nhHZF/8jDxxXba4XfT8zesTQ+MB6N6V8ucLcVySvSOdTPDG5X4w4jftNjXAY6snzQUYxBf6Q4+vsb3NcReahIC4vkGi4jce6HC5yH2PTIH1T/UQg1Z2eDU6JPOZ4OQmTzWc+LF45nUWH6jvVyTX6QIfUW5y2bJjLbjyz2Ij/7fMF4qdk7rlB7gloq1Ocd/VOF/tCSjTVh3TJ7C9JCs/2LhPpCcHc13Qiymw6Z9UimyNcQv+1QOxArT5wbfIDJxBLHIEULU4NsoG8F5TTZC4/61nPRCYf/cAqTa+RlSXoWWf2yZf89h7geaTT9aEP8/YrgG6oLS3rSEWaG/lijjhyNjZh9T3k849n8kG8nBR8dKpR7yfas5pPacTCGj96FtNZoUXQPAtaAqhTBgekTagFdP/C37IWh/bhmfJgTU0M4Bz0dEV50xFWi3EC9hqvaUnYjqs+3ZO8L4aLGnppBdD5JmBOD7kEnsGtwMsJ/ucRqhdnT1JWn3C2RZkMeDLrvkY2hfx6xhw2zSnAXUD+G7kRj9nvmZsV0aemamvI9g15p4iNPXcL4viI4zyXCSVXRriKuX2LCCoqIZ2hfiDFi3q4IO470f12QvjyDw0T7rmb0lmPbePIjB6uOOPFEHSkf9PiF5uJbzahXtDW4d6G8nRP7HpU7+q7Bdhly2sG1HiJLZxp9Bn2AYqlRX3nSIyFViaufOeI2sHOyohjnPF4rXlxaVnXL25OCbD9Rl4HiHqSXHn2ac3URSSTGjz3+n3n0RxVbV2NTzfR4APN3RlC7A9jcPG9gY0gpDeDjswbjLClFUr7AtuBrYCnImaFfBsxS0JPI9r6hPLTorkUZIdQBowUfEsoodNWQDhkck23AfOQY31O0ZUnsOniRSJUlvZmIBwrz4w3ySDHrLGsVwfyH3/HfKtR4QKUepEabFZrTgb5jXtI6QZjjqUAuMKxwugPTYoGZbNiRSypqLHMyvcCoJwQ1wqec3DdMs44mDi02HWOCWKCnN2OW+jZeP8XJAquERh2w0QdYyTFsSHofZSEpQYkaLHZJEUzBxiiW2lOrCuN3KcIOVVhTZqd450nO4eSaWbrCsyaXFY4FTnoSEPRg8SNqohrAoDZGNBGbAo5+mCKFxF66pE01rdoh4rHisHSM6RmFDc62CC2iDE7VZCwwak6iBmWpeMlMPaGWPRzbwdZGgYhGiSaJJeLotWPJjAt1m4W+RcMrJH+6ojMFIsWQq1A5KIXSS0TXRGXxep+lSqAiaz2l1wZjxmAiyi0xqqMUGDUW0T0uPGef52wkktQ1U3awWQ/uDKWhEsFES6NnNCzRBLR0A/2fRCkLxpyjw5RRXGK5JuHQylPEnpxEALxqgVOs2aDUlKiO8aahUC+ZySkbmaLEgQhOJZzqydQVmV3RmB6tDL1ybM0+QW0I2uPNGG8rtqYCKSiVR0tN0AXClAZDrytIBSpkdBQEHEEy6MfEBA0JS0cuijo6Ct2TWOEwVKmmosWaAK/Cehl+CHLFiI6eUWioraKnJNkptd6Q2R3QEUWikEsy1pAMOEsiElWGjyURR1KJaHpaFwgqYdIA1/PKUJuKTqb4uE+narwaEeU2G7lPrZbk6ooJT5nIOV6O2aQp2oz/Go4Df7Nr4jNsGqzcpAwtCkkJozusCDGATo5ZJ6gWTKvJ24DVBtUJvQFSIjiwEjhqBVl3iHKozqBaBdGRgkPTE5TGaI2onF6PAMfIB3a6noMEu8YwUgZjFVFZnPTstz0na8XtWmHqFjrFqAazBRPMYP3e5GTLXcQ2jFrLQWa4DhG0os4yIo6878jFcWUyWsnIyXDB0FpNm0fwgRs9aCJ+HFnvwDi2rxysllIE08BeY1EbTwPY3iFaYZIm94MtuLUJ/SrKEDLBkXBKSCqiQoYQ6Zyjti0j19HbRO0KYtgjcY21LSunSBSovEb6iJOBa7kxmtaBZAptzeAKpEcpj1IBIRLI0HEHo0oyLqnSc0Z6AQSSyvACGVvGeMaxZmk9RjzogaUp8dXfQ6QKEYIiBCEK/HsLmVKBaIef5bUh9wxWYgEtMI/CuBEy26EHEwJLA6ZKg801wrxNVGtBpgOHYla3tFuFnggmJXpxw/OCgB+T8ETTo4Ii7wEEFzV7jaBawQl4DKYvyPuOKBFI9Hr4IO+vPSrbUluFHkOhBBrIrQUrdLYfWgsM9KLo9RjjC/a7xA3doHTOVTtionLabMzcn3O8DbzeCnfWNbq+hk1BvxWk1ahXbWcAXgeMKFxSWPGE1GB0SdAOmzoKaYb3jQIlBqsgxQTaooLGhQzRlj5vMC6SpQwbHa3uCbkjuN965PidWJvcMvE5WT9EgFSyFJ1GtxOgwaYCpcbYVtB9i1pPMb6FaHFOhkezSaheYXuHayL0QO1gNcLMHGvbkdWOWb1Lqq9AeVqdsMLQLlYqWjvEykufBu6CyYjWY0RhkwZvcNFD0MMFlYIyQtl4aHLKbUKZCeVGEFVA69CdQW1L5plhnDQ2BFQjiFJo51CthdCjo6HNhghNUwiuiPQlxCnY0YY2xEEoMsJyLIx8R1Mr8jzSFImlDcyqljYHW3kkgcmE3kHIPW0puCpgSoWKilWuCM6xzEbURpimFaaD6bbgIM+ZByguHVznqGWOCgozjsiyYtoukQRZDWab4UKkDwFEYbxCO03vIq8SOWhRFMlgQyLrBdvl5F5T+pbKeFItFAacB9cLMQgdGieGFIXGQpcnUhLqTFiOItsc9vLh3Q8k/Djh88Q0UwOP5VW0SnRCMiGKkGzPNoNUQHIRlQ8pUzXqqMpIMAprAimLpEzQevhOIJpG0rARkxOVIaBxSiij0BlDh8FJjksZKnQo4/Bi8K6k1VOilGTSoHVHNNBZiy8TvY1MX8WvTJuhC4eUgOQQS4IOaNWTnMdbwQBONDo6lASiFnw2RCGMEoy3VE1ENYbYKbTXZBGCgE6JzEPWAt0rkGzvsY1g6gxZ95ANJR3ZVYcLDrVKsHRID1sViCqRRY3pHRIDTdKY4FCdoPqdv8Fd5K9vbcqWPoOQBWotTF4xZLxL1FpBAW0eyMwQt20Q+kygaPGFEB3EpIZ4Uh6wGWR9he48yTtcnch7gUahOsO4rdG1oLophVmj6gmpb4dId8xwvUcFhVegoka9qtNReojWRmVwrUIsxMLjMhAUUUCKLV0RcaUnGkEFIAAOlBqGRKGI1K6nLBN9HvAVZCbD+il5OzQeetvSu5ZkHb1R9DlsrFCbxNYOz5+VRNDD2aAIOfgxyjYYFVGxwwWN8xbxiYCgVcD0mj7JgPJQAxfJqCGquDUCmUdbIYlDqyHrHuwQsVIu4dMQta9dTxYTUUGRwIQhrpUyYWMNFRlIHFrjUkL1Y7KmhVCjjCW6SNIagyMTTasSTQ5OeUyEslWDE6RKOA8EwXjQEfAa00Cu84Gx00eST4hSBBkaKZNKQzxHB5wStAjeRLAZpBLE09qOVZ6GGKeFLhNK16CbBF2F9QHTl5jgByezEaKNGJ1QAtEokklkCUyrMHH4HwYF6EBQQquElRuGAVMSKYIYT+cgmcQ2EwLCyAwCGGLJ+iFa1+eJdiJ0BvZsjx8Sayg3XJV1n2GcIK2jrDzaKXrNkNWqd1DbiGtrdJchOpA3gmrH4C1EP/CylMUEgdJCrhDxGOVRLiB5T1tCM4ZMAmUW6MeBbQlVlpGp4VtuNzPGdUBU/x+sofutp6aZEXa/t+WH75Xcm67IRXj3f/597GbE+NYDTpVjVRTsZVuSapnPMxwjMhsGO6IUOB3YGw0591YVFHjy+DU7OdxQPWVYMhsLl2yp7BkBS9vs03ysiTPD5LWC5ldPuHuvQbprJkdT3v1DxafvHJEdNbxu1txTWx6QE1zFImrW7NGGxKLbMIqBRRc5j3toY7C7MP5bGR/d/ARdbHiiHPvJ8x2+pFZ7PNJvYF41Eo2Uo1UlsjMhyQWGjplbs/tWw97NCcXeiu9ySgSeUvKwO+JFU5PPAlfMmdp79My5DAVLdciC13gernlpXuNK3abpO7qswinFDbVhTM+Vr1i5KSWPeL/w7LcrGnJqEivZ4ZurnKACt79nsO9M6bfCeWjYy3LUrRWjf1JShpL96lP+tnpEKzN+Ut9gyS5KPIURdm45yj9yXJ06Dj9ccnB7jU2W4uohR0tTwAAAIABJREFUf/xOwbZccW72WFT73J5E5mbNW/8ocuc//yHjyb9k4wuemHfI2bLf/ZTD3RVvf/+Ya7Pgkoqphr3qKT/6H36P94LjA/WSA8l4Ef9vvqt+g5WWZ+kmT8Ihh2VJpRLeBxpreZYZYLD6BqAsak7UA+7wG0q95BIop44irdml5shd8ljnrF+OaPdrDt4OVCc3OHMvsLLDrVsZ2T/eoygLyANKb9k7KLk61mzdjHN2KHnASGtYwmx9zQlXnIrlsX+NaOBEf81IXdHeepfnXPKJ/oB5uuBULPsjjaiK5+zQ3XwNs2fZWrjkgAf1AVVxzJ0fgssvmamW/E5BZjpWMqO23+c5kdpHkp2xVTts9T49HXPVcK+4ZJ+GmRISBVtK8tigWeAmkct0SJbO2Y6Ep6JYpDHvyDn/CQ/Y0494mGCtb3P9HwFM+E9Hb/Ene1P88lNyG3CF0GwT0XoCsHdbo1D4FyAbqIwbhIFa4GXC7SrQOXbHIiOFKA864M88OguoQ7A56DYRL0AmmqXd0mcZ1zIlqkvuFx0Hj35N/Pmn3HvtEeaD7/ONlLwIN/nO6mdkP/kN6oXlmwdrji4iO+eW/qLAnBeEPQHt2Xzm+eyfnnL8tpD/iaK5e01+lJN9uMNLncg55USt8KfXLGcj3G7PTD3muPiaK/Z5woSRzcjDKbSX6Ncr5v91jl7v4B69QB0ZpA9UE2Dp4Npx/dAzllNGfzyne3lNMRpj9y0qg0wNoLx+P6MLCn2hyccK7s54dnNE6HfZLRcku+ZsNuFhdsxuUNw/yenPz9AffshFiNyXZ2TbEv3LR6j39ihvlgSVcJue9vycoumIcziTkpUa0cmQR39hOmpabumOW+qCg3TBVhSitzil2JMVaZsQ51iR08gImoyXz+HmnmBNoG48YgYEka1fsQqOpojriKcdrhaCSxil4MLDgKXB7Jek5x3yHBiV7Nyrmf/tXeJHI0xKmF9tqb7O4IGB1sLG05wvmN4bY6KQrtd0TPhiNuaNXYNar5GYUPs5arMhPYOwhHxmoRX884RbCHFfY+mQ50JYC5ZBZHQK9MSBUfTnHTL1uNcKaP2Qh+5kaH/Zh+1rnjTWaAU31BmFW/NB/W+Y/uLHHM6OuX33DZ6aAx7GYz66fs7sJ79AznK++M0Ft5aKycrirltSbkgq0k8T2VbhU0kyhpnekomwxOOUY8qGt6ozsudfkk72ucgqMtbsy4IoCa/3CTPI8kv2k8Xutbj3gc4Szxqu5/t8uvs2D+Qm/+Pf5Eby17DaSUHxVSK9DMQykF5C9zEwuiK7L6/4MQ1tGZmMhOVPN8jXCaynOxJu/Imh8xEZKcYEWh+RAOYysn3guRwr7Aew+qtTHjxN3DvSFP9IkYtBTSeo2KLf2MM1HWU5QiXDVC1Rt0Ak4B87skIz/NKC5onQSyCfbljWiRiE8uaGOEr0zy/wXyZC3Q12/CC4nQ4/Gg7tNoHLE2oHMIrqWhEuI+VrGeMfzGFH4w4K9NwgVQM7GlxOtgpYCZC23DrUGD3HfnmJrBL3/6fXUc0K856hzDzqooNsD1WfU31njJpOyLNr1F1B6Ujcn2MPRqyLiq2N5AGyc8WLPztlJS9x9yc8/6bj8qc91TeBvA9oLaQCiq5h3IGdZTSLgHzZYB3kJ9BftDBVuNuG/D3gv7pB/itB/a9nqDim3Vxjtgr0IFo3OqK0Y16U6N0Mpg2YBqUNmepIVU/2h1P26hG62SCF4cjOOBSQmwG3q1HfdrBuKe5b5IMCE1v8J1dwkFO8b2AcUUYx8glzaZitNBxOUEe7qK4hm9Tw1i667LDZBbIncAK1VYy2NeZFS9dBNgcjF8xHOUEPVvyxztgrK9bZQ64Z42hp6KizlpUK1LGjMEKlOqyuMbcD6p+8TvVig5oPoNLytRV9v6D/9Zb6EeRHiuZICFWLLiuqWc9y0XPwoSEdVDDJkZOO5b5QZzmx26W8tWFPrUmnkfhpT3MkzD7I4Nc1+sigP4BqWiBnEeYFciT05z0uE9ImIAKyjmwFRPcUytNkCfot3TPoft4y8VC+B0pZVB+RUQ+3hbyKsOrQX0fS12e/bYj9O7O+87+8jqwX5B+V5DOLO4MbWeDv/PGc8OEJtI8ZFy/hnYK0X6FGJdVZjTyM3LwxYe/EY0pL8fct8k6H8gXhbMLDP3/BtPVMT4VtA+ml4saRpu+ET3/Z4X72iNGNyN4ykH0vcdEljtYev0hkRSLPLd0qkaaCzDXqsIS4xW3BaEupIkwHdgxhxPzlArMRsmRZ/XLLaFUyzXraFxvyD8BfC3lbwa+W7B6DtWAOFVghKs/2377k2Z8qyiic/J3EzmtrFJp9O4W/NyLNJ9x9VGB+2KJ+VGN+7eEop9xVpF81rD+/pPqeRd8IMDLYZY7/uMUoQzF21GeB6r7FHAaUC5ikkfsVoQ6c3Dvk8G9F9J09tD/FUmPu5fChHUA84y3sF2it6eZzUmWok2fyezvIRQ9hi55nnI9n1JMjGraw6Cijwi4Tmx9f47PEzk6i2rf4GeiYUdYV/vScap5hdsekx0vCJVw/EdznK/oqISfC1Vdn1FcJFMzv9oQobC4CrhMmM7j4zRlOYNqB2UmoN6boekXzq4Z4LfhJGmLY72v6sw459Uy+63jzzRN0NwZ3jbnT4M8Cmx+vyeo1jRVcsWZkNWpP0HcKih9Y5M2GfOTQJyXJbdFnGfG0Ye/7JTvvHWLeucZ4z4/ym3zvq4LENeM3POx2lIct6k1NcauE9ybcmlbIRLAPt3RfX8C+wx2PqM8axu/sYUaBardF3xhT1R5tMvTjmvSvYfWverSFfB1obsLsB+DuaBZ/FfnqX1/ip0I5Tdw/7uh64eJz2H/SkVwiXAxRrSoL1B7sfiS7rciKRLwamI1umZM999jcY2cGmkCOIWwVtm2gm8DnKy7+9yfUb0CnhTf/2//vd/y3CjW7BGT8S3ZCw0fpr3BO87O7D2lCBtoQuEewiZSuGJGYKYfWlxRqidNpcHmIUJgGKz2egsCY0golp8xpsOGa3J0z8gqtLimsR0KBbCbY7AazGmYvIzf2ApXp2VEPeLP8d3x2XLJXXHK7+5jDdMVjdZOgDa1U9LJLlwyeKQlDlBGtTJhHiykNavaA4/zPMEqzlnvshOfcM1/wkhk3/Ht02Rwho44jcFusOkeFlxR07HLKePRLZHSCURve4V8wSS/4RP+nXNj/km0/pbMv6EWw2gEFIY7wVIgpaEJGa/YJMkfLBUYMxkeO9DkT27KWfbycoOMFB65nErckLK2yLOIBTbpDclvs5FtkPDTE9GGfSreY0RdcToSWu9wKv+YH8adciCHjbZp0j4kIiZ5QPOVqNiMeKOTwjKJ4guU1xvVjPsgecmVXrNP3KUzBsf2WHXnB+UlPGb6gUqcgb3FNQVQF31XCWH3OTi6o1ODR7ATPTf0Lvjms2Ol+wt3wGbtqzYEPvBs+IXHISm6xDHu8LR2mv6CNa67UnEqNKdUaVE8jgqiWXTnjML0g0rHR16AqTICp3XLCI6Zxy7YtcKnDjB7iR5EtjuOoGLkvaI6+Yav2GJGRyZo6P4NxSdCa3hygmeFfkc7y2jMLS144zdKeULPlLbWkis9oXcnTWPKM+2zUBK09uzxmjGerdtmMWsrJmkhD4IALdUhmxtx0LxmHT9k1gcI0Q5wtjlmZu1ygSGbJniqwkpCkMDpypJ5RpZfM9ABy9eKBKSHFAeyXLVmyx1RmbM0uQd1kqT0fqM94I/0lr+tndDLlS95g59VE4nd5/WX2Du9NEnv601fAZyiiGtiKSuhHiSIFXKtfQQM9vdPo3oEP6KjQOhLyBEVObz0OQdUKvcmQ3UCYDnBJnTRahKAb1mqXWo+o5Al3JfCd5y/Z/bQF/ZAbbyYafZ9fqf+Ck6t/ybsPl7iHU8KTEmohxIxGOVSboVKCZCmWOXufr7gbFbw+pkotNrXE1zoux5GKZ9zYromNkGWaImw5tGfM5ZyWnkzeR9Ez0g3aJ1KV0G9ukLqh3XTYKiMZhR9BnkVMGDNeQn7ZgclwLbjeDR6wTBhHIerA1SyhtKNaVqS4ZjEzfDHdp5UDXtcNHdc8nB3wF+YDJrxkMn1BUfc82N/n8zJHOOP+9YrwIlIcbvj2huFCT3h9OaF6es6sjTyPBSt7SMsBQk6PozYFK6kp8RhlUcHR2hnXcoQho+ieMyKgg6fLczqZk/s5fqmwgf8XgBwdaJsTIzTaM6m2SK6R1mIaIZOeNFHEpGGjwYFMEqEwmM0EpQo2s0T17kvU70OMitRrwsbQn40wZYZCEBS9coRimMDHrOLzqqTSwu3uW1RMpDyRFhrVaFQbwEWSKGgyEMPWJiZqqCCW5EjKYwREIinTRKfBO0hhcA9Z9YpREWFlKfLBzrvGYLRiN33N3bTgj64+YferDWr3a85Gj5DpD/lC3ed4ueTdry+onu2z/U0gz3OCErYOjFjGXg01oEERdIGVjoqOXDZkakQrLTsCr/XPMRvPwjtObYZGUYaeFAxrm2iNZhJbKt9RWkGmoM63OK9p0wFfZt/lF+6jv+mt5P/36lWLazPYgNeQbTTlCwMZMGcA7m4jodFAxWTRkv/GQmXxvsMuZ2y7a+yrPVkFhdpoMm9wp4mxAmdz7n3c4lcR92bB9U3BFi2zquW6h/FbKxZPIjemW5Iktj7hxp7YCMSIMvLKbQxZbXAJdOEo6hZfK1SrMZmBtXDwyMI1KOWI1g9CUwn0gkTBTA2pGSCLunM0XSKNWvo7EI8Do2MgBzGWvprQuZzOeqq+JU8N8UBIzqPXHY2Bcu8FC10zvgUmQN3CaBSpk8LudujjmnoaSWWGlZxnznI9P+AijYg6cDNoqtWYnW+X7NcRFyPbr3vSzwPFxZjW1diUyJVDUHiJdHk7wEsbS+882g3sch0F6KgnEN59RhET7Y6iilualAaXoQZfRRYFHGkLVYOMV8gIRAtODE4CXQFZtcV2G7omEouMYiyoboO8FlE7DtlYtG0I9wT5MEO/hO5Lodpt6F1NlgxKMqIC0wz7gFQR2b0Ev6WfBuxbhpA1mG2PGE0YK9oxVCvLdK0Z9x7rNZPoGR8GQoDUgTINcb6g089ZWMuWMUbtsiQQVY3VGzKlQZ1ibc1yJuwfnVPPl5gK5ATsTYV/pBifOvKzYQqehUQ6GlwwVWHZNh1xJxKyDc2oZruv2JQ5a3KCmTOZeKK8ZNxpZKJYjYTpdEWsImmkBq7EfkPnLdoIcSLU28S8aYkOrNfgNSFGQmnIBFxQ2KBRW01+Mbhx9EwRVaAPCT0COzO0uSKLCpMb9NO/oQ3kr3nl3/8N/WNFOJ6yOa5xKqBPNI4F5ftPUdsAS0M37VgcKuJYcawXdKEgW15R3mih1MhRwebYU4UEo+Gdzy8zyjyRbT3xVMPYEHuhWyRmnWUehezUMrky0G7Jlw7nu8ERFobKkBQUwRn60cCBGwcFpiNmgs0SMoO4XuO3ETpLLop8FaDJ6buOLFiUKGLssCpRLiwy98hOgiQkD14L0+cw+0kEpeA7BYfTmhudoCaXhJsJfX+L6zPUgae7JfAYZKfBKeArcOfggmU9VTDzTJIeaj10wuZjsnqN15EwEorQEAtFf+CRrmYy3rKsYPLWS9JzIX2bo6tAf69DG9AB0rTjXBlM4cDuUKsL0mHDuPeYFfQ24/lol0s3Ye6vmOkVpA7bwri2Q1lPbLA3oXWCloJRE8h8oh4l4niACluvGa8LJucFYpakpNBxxmy9pTE9/w93b9IzaZbd9/3u9IwxxxvvnHNmVWV1V7N6KFEUKVJsW5ANLQTIsOClFv4Ahtde+HMY9sJLeyMQAgQZMEDDJtlsDl3V1V1dmZVZOec7xxzxjHfwIhrekQuJdoM+q9hFxI3nnjj33P/5/+K0S2YF2dsavEe3gcOywSAh9tgcVFpjVpJ4G8GNgbWl7tZ4bQm+hVYgRg3iwSsatzOO1plEX+Wkq5ToUpPkK6o0oI4ETeZw/Q3pvqbpe1QPZLtlOfSMlgEXNOyVmAfvaPcFtob45h3xvqZ2DYwNYaRZHkJytKuPddTQ3r5CDgRiq2heQpyC78J2Db0DS91fUx23qL6l3ShSvcAQUF1BZyYQQiI7GX6wQqhASB2iCvRmknIR6I48Ko7oVBV+G+iOJUQBMZXYs4BOEkzTELcGkbWEQdiJVKxD2JiosMhSUQ+gDYHYJySVQ0YeYQ3DBYhnESMPQf0HUp+G7pIfyM85lTO+a3+CCy1GPqHSoEKXCd9lEQIP/bec/LqN8W3nIQPe0QlTQoiohaENO8zYih5f1x9yLU+ot19xmE+5VBlvLj+msR/w3finfDL+K7z+GV/f6ROnb8iSKeVYcNGJiP2C74Sv+Gf232HEJTJ4juVL9pWgS043rNkXW4biNUIc4DZ9qpud/Hxg3iN8wsZD1e1C5LgXnmHCEsOad/Iem5BzRz1FK40OhqU45SP3JR/or8EVHDnHUTHnn0Q/Y21GxFHKB+EX3PW/pBc2JEHyXg6Iw5aH4QU/bP+SNprwjD49ueET/++4pb9gIXMm/hYDztjjCqcFJlgKMjZiyI0/5LJ9TCiGnF79hD+8/4qevOKpn9I3lm50xan4FRARjGKjKg7DhgP/C1r5nCl3eOw/Z1K/p4nOON67QYmYvnBgVnTiS9rhI6Z9SUsLUlHTEo8zjHvNI37FnrvEuowjeY0KNX31nA5L+gEeBs+GLqWG3L9gIipS8Zf03JwPqks6Rcnd8RMUKw7VLzByhVWayHmiZkd82JfXHGlJLAJN9J6CDjIM+ci13NWXBNFSyx6FP+JFvYdwMa6ImIYJyajiWDwlCRechlc85P9k2I14yBIV5mShQ4ecu/4pR+EFDzxso3vo4BBuzUvxfW6Oak6aFSPxnjl9rkmhH1glHS7UXTp8wyO+5FXokTPlNLyjGwIHrecgCpiwZSUSoOGUOY0Y8Vm2QOsxd9wVXVr6bkE3qonCikpJZEg4CRcciHM25Fz7t3yoHIIljRtzyAH77jkfyW8RInDjcjx9HJrCG6TO2dDH4NjjAo9k9s0j1hf7NO2QqH9FpCoaabgIXTZSk4YlB3/7Nv97Eb2gqCNPuydACrRKWLuagZGEArZakJ/02PYqZGlJpeKbu6dkW1BqQ69T0hla2twSPjC0pzE2j9AJVIeG+anBdmPiTcugmhGtLPvvUlzUYoeXfJRNObyI4H8TVP+XRq4Mplfw+IcL3vXesFe1ROcQziNkuSI8hum+5HohOZRb0sbjXkakyT6RWSFtgAuFWUnkO0OyUTw4drjMwTbBvxEc9AMcXTCKpgysJlKCXJ1jfE238vgzie8H3J7H5443PzhiM7jH1pZEcsbdT0r67Qr5RY2OA+59jexD03Ms0xxV1ejVijSyXJYtsqsJVYHcKHRh6YQCEyri2tOVnpEv8Spizi0W4gWHMZSx5oV4SEds6CbP6Ksptg1ccsA34gQrHN9TF4i2oRGGSw644oCNMxSbMdX1MWHbsh4+gf0JT80L3tvb/JX7fTpuTTd4Hvs/ZxitMb7lWhimakh/LYjfKFafpFwNOlQDTxwyVoOAyFd0aGAgoXbUSrDe7+LTlO2eoBQRziXE+wq7P6XT1hyFgvYr8N2EUmheD3tsPjkizqYc3Z8Szi4xHUN10mH6kWa9Tim9JlI1uCHWSvLMI5RCVRbTxIhK47YbbK/L+YnEa8VpYsmFoDjsE8YddDODTUD6BnsQ4z9wyLxCXxiiqUAUUE00Vc8TB03kDHVVkc010aUhOYKD4RW3rjakf9QQ/hx4IEmt5ihJSPZqcJ7kRiDfQJRIwg8iylHC2yKiY8A8b1BXQJxiWHK6KkmsRtUbjF8zqdaYWiEWNckq0K+2fJA0JG1NvIrBafqpQJUxJoJGtIQQkGsHHUVrHcKsuCW/RYYFiH/9G84m/3GRO0995RAXErlRuOeSzTcZG6HZriEVjv2qJso9bSfBPQ8UVxHOGIqtZO9uRD9o3BuJ2GqMqBDnEXWZULxzWKkQL3N6Xy0oZMVylhOrEnXocIfQqwOyCGQe3FBhrySd0GJFhC4E/trtZOxyR/QhlwihwEFUSPRzRZhmOK/xLy2X05h1DRZN5BvifId0EtaixM7QV3c9UQxRI0iWHroeH8AI8A6ajkAkllBu8FkFcbOjiC0DTQ3J0OJqiFqBSDV1TxIGMUkt8Mc1IdrQCnCjiO3QsZSaPEzwomROj7ckrDjAY8mUJfQNKt5Nf2MDXQEoCBq0EkgpENITDAgT8F4RVIzWu1kDIQQiEvghqCOB2ldsdY/zEyj+S81+ZfFTQVRrlK9g6+n0DE2yoRpq1LBFRQK5EpitRS7Y7ZGBpx446o8U7TAg4yVtEWCocbpBfiyQ5xBGoK3DrwVJCXJqUJXEdTzydkkxhkWwyDsJwayJEoc6A7cB0xYsaOhYiXxiECtN71mNXXR2Rvt5TRgqUttgY41oQW12hskMGtJ9yHMNytPogqNc0JwsOe3XFP2EHhCqmCQUiKpC+V1DTa1BPJXIXwnaPxtQvwAzDsSTBsbAQCHGkrgtEbd78G5N5gzpUNEZJztz8mhG59STbgXpS0P4QqFeVpAkhIcefWIxuaZZFUSxhBySbYNyEmE8cqQQG8B6OpHBKU28EjQbx41LmF+ZHTlTVOhUI0VAOEscgXyhkbFAbiXmEszcMf5NJpK/owgNOB0QVzVd53HbQLvnCYne0Qidpr6G2EV03kjcUFAuBfHU46yn+o5Cek2ysqi5xDUO41r2ckgOJOKeoV0E2JfQ05jWcYqmE7rU5YKojOBaEE3F7uJ5pdBlAKMIhSW6MoSzBH0QWK/XREtLlOyIeH4bkKGGCagWfNnuRmnRBFfiLEQ3AfdS4xqBGxb4bovrKerU7KhL65owE1TPU9YXjiaG/WWGuG6oK0e6yPHthvJWRH0/xt0S5COP2ffQdYQpqDYmaixCJFjpSJWDWqIivTt8jwNuEJAJ6BowHazYImOgjRDWofueZqKQpab9ypH1BbYUyEjASGN7IFVMZWI2QmIo2QsFPlS791EaKUoQUChPaiJ8XaAVIAVeBlQqKfGsXaBUjlVUsZd6QgwIj5cCExSxa3f7XXmU0ojWQdmSJgGpa0QjiZTbEaxUB02LSARO7HKoCBoVNwRnEZ1AMA3NUFDnEpcr4mxnHu1zibQB2QTi4JFpge95xCxDmJgkqxGJJzISWoFvHKGStO8i5KUgLguCd2iv8SgIFrn0qHaXv92wpXqY4McVycYQvfo1OXHukLUiXDkiC6xAOPA3NdSO7EZgG4s+8pgGZCSJWnb00EtLOItpZ5D0NcJCtIXwViJbhWxaNBEdF2PXa4q5ppv2yeYr7HUXc9sifIlRGkyMChZ0jBAeYSzWtvhM4HoVdc+RVCWl0phYoFVLqUDngagDT64ko+su6XpnUD34G/b433qCO9VnPLA33FY3dOI3tKLPgd1i7ApnXnEm5qyF51BdkYouXh/zy/QNe/49XdEwFTkrwIWAIKESmm+KmDa7Q3G9YWIGnOkLfvL8R+BiHh71OR28ZZMajh4/YMQZslwR3ZKUBu64K34kv+Yf6CcMMFzKDpmaMvaaW3LLi3bBkZqyz2tin8I0pnoBE+8YpWdcCUldeEx2F20z7kbXaCU4b1Le8RgpSn5XfUGE5Rd8ylc84A/c13xozpnbDcOmR+/djP90b4sc9DnjlBMspyIg1AV76o9ZKXjPXSbhio/MGVt/xX35AbdVnx+rP2PiX/I132MiHvFAv2Io3tGKXSe0EV1mIeY9Cef1Q1ZXKdULz637JaV/zUS84Xb3glvqDfvuOUKN6MoF23iNDiWPxQUqON6Liofhhr3CsfILHp2sWftvGaiMWCTkYYPsOG7yHcY1yMBaC/TpCUGvOHZrvqPfk2mJEVusM9xrx5Qqo5KeW/JbjpniiKnbFXtJSsyGvjjjcLlmvbGcTFbc9YLEl7QGIpb0taSvPG19w+3kORu1ZUiCFRt8MNRhyW0357a+YRMC+C5V3eeZz7h0B7RvJNtKcfqDllS9QQjPSKy4G33Fw72MAzcleEtH3qZxG07EWz4xb2kKCM5i5DvKUNBTKTe3RxxXC3pyzrUfsqhzGEERCVbC8xFXRP7nrMNjvBJ0tKfHG+5EK/bVGu0qCjlm6QQD3VKFKT/eC1SyxyTMOAhrPkje0BU31DLlqfuARRDcU9d8HH7FheiRy5J91qQseRk+wJtr9uUTHouX7NEylRnX6pjrMOLKDdiIjEpqMmruuWtGAn7613eYXe4Rz0se/EGJUZZL7rDgkKVMGbIkb9u/w7LgNxMTL2kiS3oXtKiplWCRasZRhluukanBHqRsHnaQ9RohE77SHzN2FikX7Ispj2fXpLcd84/6vO70Objd0J+uudlLeNPfo5U9husN3brBfj4jeRsTLS84/Ljg/u0e7jlc/NEFvS8jsrbDhZlz/zsHnPaeEzVb6gXIa4EdNMjfTVj9eMg6yTldTXH/tqL9hac7zrBdqLOAnm9Yf2vJg8Q8dUR3WjgMiJAizjzdzlvyw7Odr4tTpGLBcWeBUBIWanfQeiTppJJlopnffcRf6N9iFlI+/s4F/eYZ0c03xN0K8Rzctwv4DIqxZJUmdN0Iv32LNhZV7YrYZlngryXpsubEL1m7lE7Y+XHt2YJDU7NyfdK2S2YVQ1dihGbJHZRYkFavCTODPB1TyEO2rqERMcI3bFXEhe8zl3usUby8OmD++RHhUvLNccr5H9wmHb7h0u3xrPw+3fIdH5m/4IP4zxnohjZoXrPH+3hJOgeeWarK8CIeMzzIiPdStsIyGXvC2Qw5lmhn2Waas4fHbKIBFsOZ6HHtRhzQI3LPOdZn9MoNsp6RDTo0TY/z5DFPP/kehx+/5lD8FF2dUZEi0hEvpKEROXkIrDH4doBoL8iGDtGvGbdrAAAgAElEQVRziGqLFH1sUKxXW4Z5l/mxYXMUcTjZoNWas3tj6jsjbsmG7mqFK0H3Y7Y/khTZmoN3HeT/scTNS8ynGdOsBRkT9WOmPy1JFzHt04pYLHg4WnNrKlj9ryv8Nzlq5lk3gvg0ptNfEUzLaikYTS3urif8YUb9w30WpkFVhuJ/miFebDHdLjLc0J/XJFuDKxpUYRnOSsJG017XhL5An1/RDwK5FtilAu+QFIgmQB5htYcxRINAeJRQzNYM5Iw/cH9Nuyn5G6uRvyeR1lC/qzAvI6Sw+Ceeq69qnjURF1+1HFeefqyRqSREKzazhku3oRKatXd8FpekvQCv7A4J6hwiL6gWNe/fQ+UFOl5yYgPniaBaz7klNXuPDdy3yDaBukVNBBxqwudbZK7x882OWre1hFFEkOCtJRkCHUXYeuzrgHhhCfMloYi4ftlwDjzTsJYwrGHP78YIhYTYQRcYxDCMBVoHSCSMNWJpUWWOn4BNCuIjiYwdaaclvwWyFYhrg6hbolbhlwZVNxBHdEcZ22xIHTt6nRuEb4iUpu4n1InB+T5FnSMiR42kIWfhugjZskHSHiia410BKxNLMwzok4DSBVJ4QgiE2OENqFiiGoXwDkYBlbEbR5AQDhXchvQoY2mPmd/u0/zrQNwUHEwvyNZL5NwxuobOh6AHAT6Jsa5ELyB8AXzuEWegVQT7BrKS3oeG8AiaxpNNPSL02VxNST/OKYOlpx1iGdMsPH5lwSvsOfgTMA8gO4HXIiY7OSG13zLaOOQNtFOFvth5Q0Vxj/bPasSXNXxracsZdEEOQI0MzbaF1qCLCDdt0UJi+5p2EohGEh2DrS9I7mq6/0gw+gcZF0TYoHBVTC9UyMuWjAhftIhSEqYK/kJS/vGU6QtLJ4PhIfg9Scgl0dhTdQTZZx346RouLPQc8WGxG6u6G0h/1MPfBHhm4YuAehUQnwo6nxp83yLHCduvSvZOM5puoH4T0JHGC0d8X+FuPKwtsqeQoaXdeK685Zd1wZNLyeLM7rSPATpC0QmSPICi3llJeOgGQUeI/180asQqQRc14brCXCT4NpANBbJvKFcB0wxZfzMnrlvYVEQjSWMhDgF135N8qtB1hHu1wfzav0V66O6D/FDgP1XYFfARhFjirWPsJZH2vLINJ1XGoFIszz1xp0ZohW0bdKygcYS3DuISc5oTLSEsgEONiT31mSftGeQk0LWW8KLBZp7gM0Td0Cwc6ZXDuhYbQRQ7OBG0uaKVHWhqYtvg3yi2n6/45gKuRoIfTwVpEGw2gsQkyO2a7o8Ttr/XpVQVkxmYowXBSqgDQacEljuEsockCIROiTK7U7Q9VLRGYlKFunYIndPakk4aISoDjac3anCdBD0wTLcLshtL3DW4TkS4k1D3WxKdUhCoQowOPURdoYVAdSOEhlFYI22BEwk9YQgbC1pic4cTljT2aAm2dLR9QyEVNgGRCFA7QpMwFt3buQCWUSA5DGixgczt1nBUE0QgjHdkbnJLGQL5UNCud802nEBmgaDtztAlDVQDRWVSTCIRvRZRtqR5AqsWdLtrzE0MnFbQNGAEoQMMQaQKbzRV06JLQ/vTGi4yohZc0xKEQ3cyvHOIy4AIASyUB4L+f5Lg2wr5F47oqSTcKLQMREmKvfToocGdS0xhEa+3IALxOdBv4Z4mOhL4ukbq3YPtLwTuXcTNcsPeXksiAqIB/8ojhQErcJR46bhqHc16Tef0kOLZAnHV0P/EsN04UgIyKWhrixpUhKxFZLvlSrrgI49Md8JojUFKB97iWpBpShtr/uq14876hqNXCmPr/7BGjQiabnhLX8yomohtdJ9WdDnwFb1iTZKueGEjtqqPDz2Cb7FhAuEdLT2W7GEJZGFJHBxSSHruEhfeIuQ1WpSM3QUyGCJu0OoNG71jm/ftNQMWyODJfeC0eMexdEhfUJqMOQltSBhWlrvtjOf5DClarDBExMSNQjmFcBDVjsxZUDVYRVLE7IWKxGzZ0GMlD3gQrnhYPCHxNyyTMT+PLGuVsfF7NCxBLhChQgpPFq44Vk+ocFTkzMUtKjISVrhQEMQR1/KId+I+ioI2JCRWctxaut4jsyEbhrTyPRqHCxU6bFAhQxhNLVqCWpGKkq64wYkGJzTCz8nlM0a8pevW1KpLHt5iBLiQEJxiKyPmGKRP6S4kB90NefeaK90j8Qmq1UT6AMoY4TxKJXixwAtHKaCQA7ZhTCpaNAU5M2IPCz+kNRENlkIIOiLlQ7ulc/ma0Mt438tZSAUyJXYzwFIJTb9NuL3V3AqayM5JmhsWeoxPY5LW8EHYMlzPeCsCT9I+KxpMXJG2DX2/YuRfM3eOjkxZxH1oDT23JNJTat+QCE0uPNgVSlS0ArRYEf96U6zCgCISaBkY4yCkeCQKwVpkbGSXNuxMMMXWsl9e8oP6TznSv2LKPyWIhBknXBDYoyINa0RwSOmxNDi6bEOfrVIUaBoCM9HF0cEGSSsEOsQ40cfLhMiW7LstS9GnlGPm7JDahRgQ2FKKhDV9xuGGXpizCQNKcYuVyXGiQrkGLQUyVIybKy7ChqX4PkQHKDlFU7DlQ+rQYvw1fXFG5ft/p4XBbyKmsk9hIqwExM6UNiiJk5qgxe52UliW2uBCQiQ1N6pBmAjLkC0NR9336K7nbZbzM32f09GK2+I1VxksIkkWWlTYopBoKxANpC1EVU2+mRNKy0IGWt0iREXHOXS7QIgP2aRQjEBPGoKBkZas4y6/iA9Qgw6P4gtEc41Il5xNwIxg0m2Ri7AbSxAB6RyRA2VLJJ6oDchmd9hojCMKAal3EsrGeAQB6RQ0EU0c2ESepRLMSHgX+qTJmE0zoTNuuf2uIl3VhBakk+imJfu1oaYzHi8rIi/wIcNHG1y0xQmFFxVFVBGpQKEDfaZ07JRBuSXfwkm15gP1NWMX6NQOUwgoFEdtwW19wUHpybyllYpGxLRoLBFBtEg1w6keRCmNtizUiFqXtFbQygYbC7wPdNqdCWchu6x9jlVv0QKkd1jhmYucrepzpDJqf0OZBLwBqSXBAhhWOmaqR1i6TBlwqXIqenhqCmIm/i1J8hYfLQlSUEctr0zEJbeIKBkmBxAUVijmBBQ5NWtcMCzVPqV+TxGDzLe4zNM2AqEsbRzAgEUwM4LlAGTfs4m2tGmXc6ExdUymKrSEaZTxxIy41x/Q7zxjHK2JvSfDoEKN8BLjIHiBo6XbLDiuK+JCY6WljT0qsCNuiTlJ2ICpmHU88WDDpg9ZWjNNa34l99hnnyx6SpczglSUIqMrGsCxiTWtj9GmJncNwYedKWSAyAeqIKiiQOIgagJOQdA7SbuLoDaBjvFID3nhSaaWUFZ/7xs1qo3obCRiPkDoAmfnGBcYOEtZBvIAxnkiG/BaUzpACnKxG9VLmp2cvs4CW9fiCGgDTeTRCjILSQtB70AJAfC6RcgABGzUEDS0QhBbRQggnUO3YofAdhYhdnRXJXey9Cpyu4bajihKayAoiwoQeeiE3XfLPES/fu0BFQSxl6S1ouM1xlgwmlrUSEAUPcw0RuUlUkWgIujsTDTxEVwrtL+EDgjbUmSeJF/jI0GtakrT4oIjd4ZpqmiTnI3oca1HtLKPZsI5Pd5xwkzfRhIwEi7ThnTwAlkGslHMRePYHGuiLCX4Fa3p4KRER1vyqEFUDdIGkgOBjQKx8SgfMENBNAQ6gm00RWoPocWrljYuad0G13ioYd2FbNQS9SqK1tPZShRqR5hrHaidrMdYA/UAUTQYt9mNvq57dG+2ME7obDcgOgTdwTUbfFQRdMDKgLQxzGKiNOJRGCHUMbqt0WUNNzXxwsG0z8CeITsT9OoS0bS43bkGraASQOx3XjXOgamxyqH9zvC4EZbGCGKRoEPARy1VDiE2VEJS48hii4kDiQGsQEoFrUcA9tdG9C7Z/cTBgBceJGi5G5FzZkOVOrSGWArA/z+fLUSWWQxxb/fgFZ1AZ7ChngR8LzDqW1ZdT6e3pawl274lax1h69GJoLW7nErW4CW4FUxzaBuHDB5JwAfQYWcYqwBBQOMRYteAlE5g/P+XWeP/vRBeEdUaCgligizXAATlicsWmoR8FRE1A6L1AqQkacUObd7WKK+gcqhKo5YGooAXkmUPMlORm0AbWbQxICS1kaz7jsjNWVso8hKXazY5xLmjjj3lHhx1YYXA4MmCgFLRXWRwJSFN0GmJKmuETtg5CTtEEWGaQKgFFIGodNAojLXoJkVOJzBeIweWzCjkJkKuI1ikyGZBAuQ+kDYtcePpNg4tG8Sv16NSDaVWVGanABSNYJsH3P6a6SpwmFeQ+N1oUbdiPmyJ4kDfrPFSYlG0WUuWFZRVIIocouvw3Rbb2xkxay9I24CoO6i6xg9qpM9RrkWYAlxEJlMSD1ornA7YuKKNHKZWHOsBvhLkJSgETjtcaHbe4G6n6BkVDdkKOmWOsgnSt+DAm8Cq75lOJEJJKhyjvsUbSWEyCtVyOM659hXBGDp+Sz8tmSk4zEDPJUoKQmSp4t1/A4lnk4ud4qOSeAdeWlwcELGn7Gsc4KJAWwc2BwJdtmgNLgM/AZU5kp6jjSWnYjeCKsoc6Qq88wTpQW+RSKiznetvVGI1BNgx0vF46VBaIrCEUGOshzZDNpakkIhNB/DoOkCdwqaGbYCqhSgG2UJdUTlHrT1VBNGv11UERRCaIBpa5ShlRWECUQKut2TZhU5SUyU118NAlDmMdlQ1dIcVord7C70BXXdQyy7SFIiyQltFsC3SGnorDecj/GWHQXtBRiDzdmfZ8DfE39qoeconPA4L9tZzzso7fD66RaU3fF/VfPx6wYvXNyx//1/xF2JMTI5wa/785z9E9Zckty2XqkPYOu6lMGSGE/C7ky61/xW9u+d8pC+x9Su+uT3nH996xnf5krUYsGTMLVFwbFv8e0HkSz6+/ZRn6QFvOOZn9T3+JH5MVLccLV5g337L3m8/piTiOnRZhgu8VRTLNVzntC+3DFc1N8mK6KXh5o9/zvC/Fixl4CljtnJIt/qa6IuvOPrOKWeyS02Pxlme06NiSFduyYSnnkw47wisEhgMP29jnss9rNfE+oBCSi4Yc8E+VT3jKFZ8WT9i7A3vX5fcF7D8YMRT84i8WfNb0Ws6osZLR3BL+mrCPgX3uq/Z71j+4T3FTdOwSU7INdzmFRElS3ObRRjQT/aZ4dmIPlvxiFkITMWAH6y+pfzrhv5DR++wYsEpTTiiaEe8uSoJbyThumC7vkT/w4ZhXHJVtfzS3uGseMj3ein7+hzrLpnImC/UHsHBLW04t0eU4piHN3/KyZ/8NfPT7/LN737IhTrg0/Ar9pqCv2wDX+sev785I/ujJyxfxKi44f6PHOdS8ItPbmH7x9i/+p+JfvYlJ4/ucvmZ4nJ0BxF69Ntr7kXP+ef7lihsaEh42/+Y85stn+UzeuIG5zxOdIndzsthJYdcIAnUfCoKhKj5BSd8G7roEDERpwgpeM8j5u0xV1dzjm8NcOIaGwLileP7J+/5l6s/pYo2/BvbYakyltxm5nvcCi/4R9GStllwY055Ke6z9pYJnkrlfFXv4eMxk3bMazehjBV3wjcM2jlLbWiFpigFlIGqWbM5srQqxwbHlZBsOWJNTuk63NDHUHEjTngZxtQhcMKSrmm5JuFAKx7r1/wX/9WX/C/+Lv+2ucWdbMqRsPxq/RHPnj7jX/0wRfnXzKLe30E58JuNG2FQCWSHGnoZaj5jvy+IxxXZbUffeByOQEYUUqJXN9y/F6C2lFGKUj0QHeLhmoYRaw55uk3p7PXATzltGyYXb+ndXJFeRthKw+U13YnEW0XzctfIuPtQIMYRfMcjPvKEbMul32f9aMiD/6ZBb47QNyXMzshv+syPb/MT6xm0kv3wDh6W/M5/dxfVGsSrG9Ivl4h1F5o16T0BxxJ/bpF5RAgKt9ciH3iSPoQiw1UF+sRgokD1TmGvA+WLmtkg0MlLHmYXjFlT14JzeYzMNfqzj2iXX2P+5AlmC8W7GeMkkDWCea1Juz30dEnHC2bCo76nsCcBKT1GOJKux2tNQ809vmGklvTeTbn6WcVe94KP/eckc0XxriR+47CbJYNbX/IwlhxcZJibGeu4Q+Q8Q1PwlhVd6fm9e1MWd1J6VlD7OasIOuGGD8ySUSypneCwaSm/XRENNTVbOjQMbEmsgJ5BxYo8RKgmpUfNyEyZDCOY9xFpQKwr0q1nr25YRpJlm5FIuM+cIEquXMpcTGjrDbkDQYsUc7pujjYRz+hw0yqG/jG9Zs1BvAHpiaQn8XN6ukSLBpdHpPdi1MMxs+gaVQryriU9ChAKktCjQ4JXlvGDDNVsae2CSwyz+h7L9z/n1DboQnKefMhlNOF3P9bsrX+GeFsR5oL8WKEWINagmiWTH+Ss3Rb/jUWeN+x9L8Cxg/sQfxJYH1fEyhEfau7+t33UrEMSWmTakLYx5/Ex79pHnPgF4+QJQTluRJfOuCYdBiqT4kRMfzEnerMiKnZYKh0rKAyp0KSTFtoGnoO/CejHOb0hNOsKKRXSKBIDYRlx/cxx8/OWj//733Q2+Y+L4kmF+etA8b9v6f/OALUPd39LcedFn+tVzUqVZN8ZUk+XyNyz/8mASe0QlxFuu0IfAvcD2acZBInYiwjW0vvWMnkCLDSiKOC2YHKTszlbk9/PkL0VlYHofoQeOKYvLD3vULHEh4C7BrFXoQ9jysKhM9CTjHDLkj7QiKUDlcI+RGsL65wHyZr764TvLwVhZUkigRhGYCrQFkzK1VXBpvTMg6XcNNw7yoluOfxY8Wf/5oKsEmxLTxQJMgdpBG4Aiw1UFex9Frj/z8B0JNnvJBS6Rm4gMQu2HcmcnAv2mE8GrFXOTHT5lkPOxSFrf8iV6CH9Hu8vDGHvhOOwz35S8y/+ZUFdSq7khMaPuSruUoohe/KSaztiy4i+fM+H8RMm4ZrG5mx0go4LRFjRFS2JuqCKC2apIQnn3Jm+p33tGSQRWlsEHhkr5JFgdCwRqYFuoFM2uKmnfWVJVgLXNVhT4gKIC7j+Hy6ITwT5keDqRUN69gphA3Z8xuFpwvnNkhCt2LsldmMgzZL0bofN2zWX/2NFuBRU3LDyz9ARxCbQq3c3v3U8o1WB8eRbUJ7uIcheTO66MGiIj4GDmGw9gxXQSuKphUZBoogOGrgFiJLOJsB3IurvJlxHA6buCK9W5MMLBh9G1LbGv6gJc2gddG+1iIeSo2bI4V2FiAo4CNBXEGsYBUa3lvCRJe9q9BsBg5jQq0Ao4gGIcUonLUhPDOQJvZcK7ns6v1VC6mAWOPhUEJ8mxOc1g6EAUljVEBTMBGwN9IGogY7n4Ery3TZmuW659J4tnhhFhiKVAWMcSS4Q2qOVxEiNCPI3mEX+7qJ6WtA+CYg5WN6y2cCku2vGLgWMe+9oXwZW35T4KtAZsKPL5EALdgG5h/obEJFFDCExkr0fdglJC0XMsAH7tkZ0Pf3vGvrfHSOHD9h//RT5WCP2U+5+7pGdFB6AOw6E8R565cnDjM1iTfR2RvUTUD8PqI+2bB4FBlnC+uWaxArcTUB/XlKdQeejBB9ayrfQ2c/wcsX2eUn77i29/0xy89iRTzZ0N4rpN5Z40dAx8MNPJ/hhwLOhSWJUa2F/17ir2ZKuO7iBZtOrkLcSKAOdRz3CH1q6JkduS8RyTtlVqH+8x/ifetS7GeLG0albGm0R34sQPcekjFn1I6yA0R2DbZY0UY07q+nMYP10S9pX8MAyf3XFKM8Q1PSSmNZvMatrQrtA9gRVHtCmJa4dy1+eE5032Nhj84D5rEdae8Kyga1H3VgGby8JbUVYtDTzijAWqA83JJ8Z/HczdO9DSj/ikGsi/ZrSTLhxj1ghWEYt1/6YMiR8T3zNcfMFeW7hbIB4PqV6uSH5J32iOwb1uoD0mHYQk8ktWbmiTkGc9ojklipWXPSPd0AIfUN854D1xw/RrePAXBJCwls5pHQ1B/IcUb6k+MpSfdEyeD9l23p0V5MmBs4q2tbRzGp8CumHCQNT4Z4usVIRnCN6rPHa44tAsBU3b6A3m6MXmjD3RGcr5gvB1wsYPCy5twn4rwJtJGhFTcKvL1/LmjsPephbYieR1RY2AVTL+MOI4alCnJ7ibYlQS+Rv7XH79y8gCMSnits98FkP0cl2PO+sBV3vAAN/XqJeW8pn5xT9QOcebI+hP0mYf9ESvYDX//4tx0Hxn9/voHt95FASytnfuMf/1kZNFQxprUiaHjfc5Wv923gCD9oO+XrL8eocWytW+SFWDOjKK6g1je2zVgcs6ZN4z8RfEYUFW5kw4Q2J26LcnKGqCPYdsXzLSP0ZPfsM3CmtGhHkOVLNaV2CCFtG9lsm7pQbdcgb8wPOxCcMxYy4/SV7y8B+uyIxC6wcsw2GWiaAQDtL4hq0dxjnUYWAjcGUGt/NWYoBW9VBOUV/C7oVNNQEHKmLWehDIvawYUTBC7YmI4icGIdB8VY9wqpDOtQcskSGOdrGzPQR13pJyoa1SugF6FW7m7LMe6yI8KRIazGmopbs8Ires9+uWKhzxnLOWH5F4mZsw4RctCj/Hki5EifUYUyCZOyvqKVmLe5wwxFbAt53CC5BWU8eNmShJPYK5zs04ZC4kmSlRnCAtx7ZnmFlxhv5HebGsS+foNmnExpiCRf+MzphheM9tUwhRBzXc8bTgOrGVP6Ea7Vlq1I6DLhS3+dX4rf5UWvYn70kv4qp+wK5KcgSRXAdtEs5WlU8uvCsR1tet1coMYagUT7QDWfcYka/uaFSPRpVUauWUbB0xQxNgUCSqQbnCkpxQmkn9MOciXrLyrdc6Pu80XfphxLDFbm4wVCR2UBhHSJYgoBag1CWob3k4XrLTeeSSJdoYZmqIzbsMwlbYv+SyAe2jNgwwoorWqkpxABPQuxB+SGbMGEu8p1iSl+ylAc0ZJQMyBpD2kbYMCLgyHxDpWJwEU7mzOQ+QqRoVbMUe6wZkoQNORe4sKYSCQdhy4fuLzkO3/DvzWO06HNsv2ZPXfNl9Hs8S7rATxi5Kwpy/r7jDeJgEaLEGQtK4YVBCIvXNV4HhAh4X7MRPRQS6RIyJ1hJaGVEx6dgFaUxrMSYKhyBUMzlGnzNpFlwul2ht/83dW/WI1t2puc9a9pjjDlnnpNnPlXFmsji2Ow22WoIFuS2BBiSDN8Ztv+Ef4zvfWEYtiH4QoQlo2WBNrvZZLFrYLHqjHWGPJmRGRnzntbki6jb7guLMMH1BzIQGfvba33r/Z6nRgRBLcFEjQkt1ltskHRmzHS4xBiB2pe8Hmr6xRCrIpfaYPc1zd6ae3lFXgtqPeAZd9Ba8mdywn6IhOE1r0+npHjSCEwipvSERcQUkOSwzqDXeToUbWlITEeRBmpaahnJ+xJRCPzMEs8N6UqQpwoTDL1Y0yFZywFzMyLRaxYHHrUjeTuC6iR5B1ndgRMECVZK0iAQfqtUtWz1zD0gsY7MO4RSZL7lIL5kZzknXUG7hrzq+HBdo68FcmqQa/CFJ19v6FeRYlHT1R4vUiJQxgWJaBHRs8cLoppTqgoVFU08YhBq9t05yyRlpUZAvb0NjQLpAzo0FLFCEEFINJIMQeMMMY1IVmhZE7UiioQoBVKAcg0RT6UgYum5DciESuQEJehkgkFsFcpdSa9N0WnGtbzLVZJTAnvqHC/PyOM1fTWjxQGeUZiTiwU2tSAFjU5JTcDLbQJKRI0MEoGmERlOFshYIcIGIQcYuwc2RREJIWPFDepkh4veE06aSBoUZRPRdQJOocI3cYlkvb119DlWDJmPF0TjSfYF9TjhKu2RCMcySTg/iOidS0QVKduSVgw45w61ucUi+RwRJISOIDxWWZYm5drkWFkQ+pZh35IpT1Bua0nDE4IijDXBCUTf4ypQ/ZbQl/jWI52ECM6AxNKvJMk8+0OWkd/LGi5T/MYhrENaT5UBpSdLVqSErYK2sPhNBOMgWxBFQCYSbCBKiU8FIrEIaRGZInpH6IPsb5kTnQJ2BHK1RCv/DWsjwXiHwIFwqCC2xhS/3TuIVtPJDVKqbeozADpi04BMLaJwiFGLX0vCN89TNaww1IRO0XWOLhNkWUI0Dp96pK6QBmJrqGWksRBFgxARExLKZct4WVK2DYkM9IOiL0EuLfMW5p1k71qRTyMityACmQNZF2SJROWeSgzo3AGN7lOLDOtGaI6xHHIt7rBxhn5MEAFqBizkHlOd89RUzEaGl7Q00fNFrLiWKcc4rhBcR8WQhJXQnNKwxmIZMgiWjIqeWLMfp6QxxZOx1ywpphFxEaHviD0BKiHEFKEswTiUESAE0kukBdEFhFdEva2laQRVJRzPLEoaVJLTzpeUE4NpBZ3okLsDBssWjSYfG6yqiR5UDKQW8oUkPcvAWbwFkST4vN1qbZ3ECQEmonWOLVdEBaHXEnzEjSNyJyKGNU5/k/KxkVZuE01KKeLAIPoRmSqcsSRZxJuIUxmy66ETiY4riA5lPUklkQuFCx3CK0LSEfIZsZT4whFGoAYaKSWihHU/0ks6SC0hAZkGfLr93YZUYMI2TRCzDtfvEMMUaTykDmkESIVPPCHrCKbbpnayFie2JrHgIEoQPYHSHSEX+BRi2uLsNjmlARktGk0UnviNyt4oSRQKpz3BRPI/aCX5/ax0U6DqDXoNwn5zEJWaJEoSPAaDaFpEFZENmByE2Ca55Vpi1wGNQDQC2SWIzEPmsPkGmwsSA7iI+Oa9s8o74u6E/q5j7q7JTgR6pKh2Hf2iQexGFvsS1+vRODC5wy8issvo1x4WHSxzwsYiZUreNWSNJDQB2UTUGiQdVkU8AoRGWEVRReIqItseebsgX29TGaZdkteaLu3w4zndWEEZERnULaSJwUZwUqG8JrOSVILXAZtE8sGaZa+GYkVyqUjXgPZcDa8IpWV/adETgf7HVEQAACAASURBVJCKJok0pSMvO5CKjSmxMiUTM6KDzBpkIxCuI2kEpu7j7YbUWWTnSfMIsUNTMepqchtxRtBJSSQy8C3JpiGdR+JwC6unbHGJxbtA2ipUB6M6Qr2k6wKJ08QmAB2xFMzylsn+lDfSsRuvuGGvuTYJn7FhQY+UghdxyFJq8ig5ajqabIMeaPZCIG8gSM2mWJIVHlcEzkaBo64h9zWVllgCfWexuuQqOWApavZZUWeRT3IPLPiOeIMIBV8yxnaacQz0akkaNElnEbUmcw7bj7gkEqLEWIg+IFuBajUMBapLUEJhYwfGgpT4CCak5FVHoTR1SBC+Q9RQ1AlYR/AJaduh154uMbjEkagADjwaW1RQKqLxaBmICpAe3/Osikh5+ALbBQQt5b5l3VbgFf0yYMeRdX9DNshwNLjUI4BsZYjSQ1DkLYi1IKklvoOYBIiKYpVyctEyUBlu2FDv15gdcCtP+fc84/9go+an4v/gjr5ECMVc5Dy5/A7TT29QPMr482xKf/WSt/1TPiCyYBclW75/+zPup1+w4ICX7U2+lXzOqfqUs1CyiQO+7T/hpnzBI3mLhTiilC+4M7wiw9KpHqs45NzvsNSa1xIuh8fov51x8nrCP374c3594y/4efw2L9VN8B1xUqL/JtJLz3jvx695nq6pGLFudun1Gx780KJbeNI7wOkJ+7cdo/RrHixmzGKLO0wRwrBjYW9jMYszbvYdu3pBIjXPNu/y+HqXQ7fPycGUb4WW/mqONjOu5E3O5j/k0+VP6akL/sXOz/hIPGKV7nExHZIWP2KY/w3Hyed8GFIGLyxxFTl+p+FG9opCnaPlNSVXyOiZc4QVCUM944CcMQ37bsKp+xKjLZdyn5YeZZwRkLiouMcLTv0vOVuP+ar4L/m4fYc6KxAiISm2kekxaz6IX5OS8OvlIReXO4iJgHPBZpzw6HIXHxVV+JqoLK/dAT97XTCY3eM7I/jOjQ2/+rcH7PdH7P5pwOslx90U/Zsz1DXo/RWD6go9THlU3uHNXo8v3I/5u199xKeP5/zTr39Of3VFuu8Qp9DrV9zrfQ1NBh9vSD8WbGYb7r635unBc5zapaFPpMCzwagJh/INJlrS8jaOHEXLkbzEiYopu6QyMog1Q1rS6AHFMu7wwt/nWt7ihvtr/kR9zL77kom+TaoHfNVXSAHG7TK7iLAv6EpLKCw6NAxUzSAumcpjuljw5vma+u4NnlUtlexzL3lGzjO+lu/zghv04iveC59Rx4I7yjLn+3y1+SELd45NMtZ5ymU3pnrdcCU/4uf8BXZH8hGf8tjt8KeDpwzklJXUtBZyGlLdsXIJVh1jmTOIEzJxyXFYcmQvyESgZ1YcyzMOw4QhV/TNnF4uYVVxwhsGveXvcVvwh1mRlDakOKeJoqY9sVz3JIo+flJBUhNcxxLFOkkZHYxY6MBEKGai2Kpqk46VMHxibvIJ93i3nCK5ZKk1E1NyUzWkWlDsOVTtYKSJuykhtHRln+nuKY/+5QjanHSvY7UzR6l90liTxY6X8Q6fhbdp9Je8PfyST4sb/HvxAx4ywQdJyDWxSJGh4Wt1g3JfoL6X0C0HRKEp1JIbaUWYniFuSIxxiD2BGYNQEdMXnO+c8LvsgFESuW3OSNfXSFJ8pghGMROGadynMRmKllZIFnlL994I+bsRfrIkXyawdMgiUAwjVreIXUlnJSqWqLMZ7rnA9HtbBotJcUJRxZxetSTbSOxVICxABE2+Bi4iLCMg0IkkVhJdOURlQRiW6Q5zehgkebR0IiFEg4kOJXoQM1Kh6IkOE7YbaMGKgXYIKWm6iEsPqeUBTn5CpQWh7wjdEotkkvVpSLjDAXv2Cd5ZfFUyfxYZ9ftM8j7XbA8BK0rOkzGOA15SUIopE0oOEmAIYawRSUciVqyaW7ycnpKIhtODAzqZ8JA1h0wRKuN13KfFoPMN+e43Ofykhr7BR4m7BK4tql3TpBmP0pLV7g2CW7IyKVZkHC5nmGmHP97hUXaLR+KQAw0MOrpc4IJEqBRvKtRMkdhA00qSQY8QKoiB5cMT/mrwHRwZ+/mG9SiwGp2AdFRkPBIPcLHiNlco5znjkOfcJw+728M3IKZzyvsBVMpC9ZnGA87ibdap4OBowruLc7LmNemupupLrDngqkwo3YrbB5eYnRX2qKDSOapeo1wHtLgI/azGFJH05I9/3qCdBIxW6J2AUDXGgL8piQONsoJ95bFxg+gisQYxj1ulsAnIPrCJhCcCWQZivgVqBgkCECcQDwTBS+JhgAONeJhB1SKsQqYBsgB9RT/x+KYhHKUo5RAqojOFshq3sZgMwixiQyQ9krQ9SE8TYgFRbmPe4u0EF/sEKVmIHCcNR2IFynGZ7WLmQ4r/+SuOXzg23qDMEpXW2N0CXSQkAWTbxwM2OAIGFQShCVgLAU3f5sSrFW43YirPZhlJq4DcAJeOgVwy5ArJBRz0cF3GxfQxH/aP2Ix3WbJG5T1eDwu83KfHG+7whE6Cx1KyRouU28JxxIQSxzjWbJijxAYZtmDjYXjBYCOQlwGTwGAPzKwjWUXKFLQOsBS0z9jyEaRAGNDGIZOISwWx9w2HwAWyVUAbCcogoyaRHtUFhM/Q1hHTCPsJ+StJZjPEKqIXlmih7NR2lE0I0GLLBMpSlGzRdUSsU3zUdL4h9QlJtz2g4DMSJNHUMHCovkAMDfEAwklK6DnCoUSMDFWT4G2CD4pVq6n8CCsGuKIm9CNBDon1muPd14hsRS2vGesWJjXlsqX9wqG+kKg3Almz/ZznEWmAo0Dck4RRSjh2iAOD2HTQQVpFuqcBU28bj2QOnUNQYpt26G9oBuBSgTSK5NBDIVmnkOYRMXL4cY/ZcIBNKi5jj04XyHrGgQh0c8ky7CD7MGRC/5YnvlvQrAomlWEZ+ojo0EiWPoGgSXVkYDbsyCWyXcF8jZ//8bP7ALipiMIQb/cRz9ckbyzElBADSS7ApyRaow49ZJb43gDhQJ7VgEXdVDDwxN2CuHKIfUEcC0QKaqRoZYvpp8ilBBXQjUDc0cRdR7s3ptuFmEXcjxQISzJuCWmH1NfIb5qxSZ5sIbw5cJgDCnnlwAnwCpwmrDqkUKhSoBqNsR1hDNwXIEEVEjHICM6QbUCXClxNUYJaSvwthf/WmCgmJL0CZRSq3eIvtNsa2hJdE6ct2SxgcCTILYQr3T5ewoLwksQ6St/SdR7hIySRLi2Y3Rih8g1lt0TZSJo5XDRYn2N8TeISpPSIvsZUgeghTiRZD0gE8yxjrXJ6NpKLuB138RIXS6wHsbLkNoI0xBUYa6F0RBdQjQAbINfE3MCixdQS2kAU8Rve2ZYvpENLJwIz0aNM+lgiGRWOhJyGU/Ech2AYFqz0kJbAkIDIAyIHNjXMOizQ5AKrHbHtCEQIHiME0UCTKBoK5mHEY6nphMChGNOS0BGEoaSlMpG1kCS9jPxYIf+xhGlOvIqIQ0m4ZQhNC2mKWGrk1/X2Er2nwTrsLKLEN/+rXKAbA5eBsi+gzLaQ+FzB4QBVt9y+zsn7IHclHHr0OqKOc8KRZcsPyBHdivBAEjcdUWcE5RHOEdYSNcwI99hydmJCvBVwVU5yEaHrcMuIkg6jN4Qs0grInUYvPcIpgnMoD2mnkVea8mNLqyX5PCAbTS/RiL4k/iCB9yyhr4mXf//s0z/YqPlz99dMTcHz7IgNMH8+5ukv9kk+foezjx4wlj/jhnjG+2LGOfts5IjvH/1P9Kj4hH/Cwve4oV/zgC+45EM8GW93j3hP/5aF6jHlDjmOb5Vf0g8WK3pMReSKES4eMeGMq+Ex+euX3FpNeT99wvzgXf5HMeQs7jIWr7GLDL6Cfjnh/e9dsEg8a38TFw8Z5Oe8dXvB2bTiUXaD+/KX7B5POM5+zY3lS2gqeocOG9eMXENsAspVjFzDPmuKZM318pjJqzEvTeBPb/x7+u2CwXpBu1PS0rFY7PLV2U1kPuafJP+B++olT5J3Wa8k8+QOkY+5LT/mLblDuFL4K8GhrbjBY3rynJwZx8yoYs5VNCTScSS/xtKQiYKdbsm96iUJCV9m7+HUAMWEoZgwFSVDJnyvfcZ7lxfEk69Z8SesSRFJhR40WG3Z9yuKZIbzG/LNtxCLG3SLiFwGnPG8XklsfsgwvKIXLnhT93h6dp/4OjI/jajjFZ//8iZ3Rwt++KM56JeMnKH5Omxv/6hImxlmcJPf5fdBj3nh3+bFbx7y1eMXuMWY1L/AjgXpLUU6qjkovka9PmDzuMU/g7CsOb7oOJbX1NEgQgH0cFSMdct321fcjQuC1lzKOySx5gZvaOkxYQ8dS3TwFGKDFRUbkTJlzHnYYylzyvCKj9TfcK95zIv0nHV6g81gn0yA7A7YXEMYC2bDhMWeYt1FBrJh5M45iDm132FxUbO4e4e/qxOS7CYfJH/FAz5jEu9yzgkPeMyH9v9m1o3JU8vT+D5P1g9wMUUTWOYJ112PzZXgytziq+49FkVBLypeN4Lh8HcccgFxRBfAiYQEiesstugjomQUawSKPhtS51llB6QYTuUVuyzpiSk3eMLS9CmXC/bDivt6wd/bqv0jWZIa7zWiMQjXYncCmyRhsBijrq6hBNl1ZFEwI2c6KsixFOTMosYSSOhoKLlihykFuZhx4N9gOaSTho2OBCMpjCHudtg9SzrM6OaSaW/AZzfv8Mu77xBjn5FcEuwMoYcYscEEydQ+5Ev7z3jQGe4mz3mmTnnF97gRP0P5QDQGFXvsriMvs+9SFRvcg4TnVUooDsm6czL7huTpOWrfE/oRuZuiEgcOgkk5H7zNL8Md3mPO/bjANJegAt47MtkCHQhNL3QM45QegXPtcPd66Hcy3L+bk80NXVUTc0c5UCykxR0a5m8Cigx94ejOBe27OdM8QYkIQbKhjxGafVaIdYvfeKJOqfKtKjsoS5oDpaLxmmgdeYCoBZtkyIISIRSZbwgyoZUKgcfFIT6WlOKKEecYLQDNMM7YlSuUEHS2RefQ+YQibA+l0bQkdk2OoJWBCz/igGNc+wrlauy83NaWd0smuk+FYOgcjZS8ln0a+jSkJLHGySEuEYSxpdr1NHqFYkKsDPPXuxjZMhjAVZ7wbbnhdpgQRcJX7NBKgUo7TCqJzmKkR2aKYA1xukEtPOOuIo8dE7VHo0fk9Jij6UfBaPkadR3wbsQbecqSnPfCjJFuaXuwUJpCStzGo1qPbiIbqzC9PWx1Tugpfrezz88e/JAoj7gVL+jCGpGmHPozfCiYizu0G8/d9jNUe81C7HPNHg9sBpuWGANy3jH0CVHnrMSW4fOC2/xG3+RktKDkF5yECdXOHq/osZQ3OY8FN9yco2GNGi1ZDhRd1yepHIltoXaIACrTdPuBzgd6f9hS8h+9/HWH0Qq5GxA6kASIg0A4tSQjQW/j6X67VYCGNTBVW82wAJUJxMajNx5SEANgJZBpAgOIexaZbDfxIQVOAz50bJ44etcWn0bCCExfInsRX7eIg4RaeUrj0UEQl2C7SKFALjzpKqAWJaHnUfsK2etwhwHf88R3HEla0YwVl6ZgEzW9YIlYvlQ9etN73P3sEbtOIitJ20BIoduDJLV0WWDJNXPREg1430KAmAfexIgPnsYIcm+xDnSlaK8Dct2QNmqb2Es6dCm5tV5gXIaMkXuPLOoghaAI3hNODee9lMRLCtvSOU1negwD27RMnHHPrxDBI6RE+ClOKTZGEALsEzhezuhNOvzTCMOcRJaElwpxJcjzFfqOhtYT3wjMmcHUWzuHTBpECrIQiDJAFrcGIgfRSLy2KCHwEiIKYmAtAmIY6Z22+OcRl2/QTdyye5TFZp6YRLIe1CISpGA0CNCTRNkR/YoKyUx3jIyjHwMRQSsiLkqU6MhkJGbAKGLvBbIPGpSJtLuCqm9Yu2wrgECxjkMuuc0VJUFscCTMuYWONR/wS/b0C0pm3AyvceeO7I3GfxxQTwOu2hp6dAHxSiL3DHLfonoBOY40B5HkdsBOAvoM0muDv7KQgisFugexENukWV8SRoGVhkZIip5E7TliLXErTZJCXXjCuGSm92gGjsfcpEWz787o65b5geRMnRBUy21a4u0p3Q9hQsp5LOk4JmMOaBaxwIWMUgh2xYSGKUfrluyJRT/+B6AQf0Qr3N2wKSK9NNIZoANTdXRyW4+25jYQtyLtDU/+pw1sPPYXHqRD3ZbMj6B312PfWJK9CL2AlpJkJFh40CeWcCZwnUesIN8x1IcD3FEPKw1edehxZOk3jF1Cr50j2wqhNWQCM5LQtrR9MDc9wTrc2pEkDRQSEQRNHSlKiXUR2Rl0Z6lHAe5amtYTdwRFG4l0xA62AKQaMYz4VcCXgeInCU0rCBvIKoGZB1AbZAciOLK4Ri1bkldbqLjIJJCiu5ZU9QhdAFMTI5QNmEoinAcNzSBlun/EQbwiXVQo31H1WjwKKwxK5AQCzjjM0G+ZbskallsYb8xTlspQx4QySpwSaA1BSDoyrMywdklUNbEn0UuDXzlkC7qViNqA7whDgR9K5LXZvkdw29RqUOg2MvAC16UMTEmgQMaWoQrcjpbGrylkQ5CvUcEz8IGge+ho6LmOUNTEPZD1mnwCIUKCYBAFudummI2NpF4QM0GdB6xoaOMOz8gxBPZcx0Mr2I+aWiluqg1zOrzq8KWmPbG4f9SSdQEeO9JDRbgV2WwiqXawgqoLZG2LzgXBBZh65E5B07OI3UByJRCVI4yhOWgxKqHtgXoYqETHySQQ14F4W1CVDnkRSN8KbL4ViDrSaxL8yqHeDnSTiDIRoR2hiyRXkfTA0TyI6F1JjIJVKpC1oGgVXAXSzm/5ySFFFx0xSVC1QF/XCG9w0W7f90TYOPhSYmgQWuNpcCMPOxXJ9zTq2xGdeXj5/7FR0yrBG/mQCUeEKJF6gji8z/VJ4OXxBhUER2ZDKRSafZZqB0+GDylSduyKZ7w1f8MDu+bVcMoqe8F43bBfdXy7eMGrYcKoOuNKf8UgbMj0FU5UDOI1a25ALHE6ZdkP1KlA9T1GVuzGmotoUaKmKluqEaikZeiWFH5IJzNaUWGSloQlqVyRx46D7pwj9ZRX6QUytiTthtvdM9Y65apMeXJ8SH90myoviDjG9hljjrkuP6BVKVYMGDUB0/Z4Ez/iK+4TdY1Kl/hsDyePGG8k+3nNifs1d+wz7ofnvPSBxmjmI0uxceyZ5+xwyji0HF+tuZ13fDY8wRG4V3/NYHkF45az9JTMpYzPNWGv5kA/Y6kkG9FjxT4NYy64TTA5mu1302vmjNNr0uQ5b/YcaiDYD9f0m0sWOidKB/kG9kf4YYU0fcxK43JPkmZEt4eqd4nzEn0t8IN7vAySTuyRN7/ktJniyjlSlczGlrnUfLF/yGV6BxMToog41RFxxMQw60UuTlo2Oufxnbf4YDRiXT5hqQRJdk08qTl7qAmjSFt0SKvZS1uEb5nFlsPY8OHXgjszGO4u+fzwFZU2HNoVp12D9RvasuSaATN5m072UHFNypqMQCGuqMlQMmBlREVHHiwjvuB9+3cM1AELfkoc1HAE53sDfpW+xw4w8o+5FRec2AveVA94FT2H7YadSvGk9wEv4pJvt/87b3ef80l2QS4d+/MFt88Dh6PXPN/5mGd+zYloqeiz4SZeJaAie7xgKD8hEUccht+ShI6g1hzZK0bNlF/nH/G36j47IXAaHrHffc4d9ZyeX3Gldlgph0fho0ax4jC+4tS9YEdfE4WjihLVJiSblKSr4OHvc2vw///6KHzMcThD0UI7oLfW7JSefFXReg9hayi5vdlQLg034w6yq7gUkhvZhKGaUrY5uIwfNC8YJA3frl9xwzQUeoFpAjtzgVxJYkxRvsbL7aa61YZJ7w6fJw/5bXyAED0GrBGqRouMG/FL3shbvErvcS0GNEkDWYeKAcl2pMbRgdREJEIFnJ6B8mxEwizL8DpBipSvTcLBWHJUOCa9Pq/6DxnkK06rJ2xUwZk8YB0VJs7QsqNLBaIf0aVnZ3XBt8rINNT4ynOkFFY21EPNUnrm5VZTmkaBC2JreLCgnMalCTHzGL3a3u4GgRGeGJYokZK1CTp0ODWjySNlopHS06YjPh0PSPYT+u2S/UVLb6A4H+5xmQU+aC32av3N6KkjNRYdNqRKU8RrBnQ0CDrZp+CcIs5Zy13WFGRxhvALTNYylOe8y38gb1Yczc7wlURsCobXgXtHNcq8Zt3V9M0SnEBXKXJh2FtDUB4lAoNYcRTeUHBJ1goyMeJSZDhlGXYX6BhpQ8FK7rEW+9SUZGrGjnpMLtacqjm3+Ypb/jn79goQOA1rL9hhSnA5Yp7TKxeIsB2DaADRCQZLz8PYctK0RG2RacNMC4a1xkwDwm+BsPemM/5R85QPmiVHoSbdS7YHM6XwLQRpcT2ICOQKmpjysnyH/0f/hOfilBIIjLAcM0CxJzesWWzHH/WKLgjqTmFFoOCKPorMdES53QiWnaDWLU4FjL+iJ16j5U2cGOEiBONYK8l1TGlFybUYkJtIlSsSDU4KrNHbmLxNiRVEGTjrHXGhMzbB8tM/cC35j13ZvIdoWgKe2IGoNMIkqM5BZRGNJqkjbmWIXcBSQhAE4UBGfOe2cFYvUBKk2+qwtzN6zRYGIjJE1yK8RCLxjSdIcERkYxCtIYiaxEdYKJLSg/REJDGricOIBXTqsApEayhWCWEgaPFoJNo6rIRUNjiVMhQbhrZj3ASsgsPkGh2/YrO7otkFzTc1IxaYNuBVg8kipA3kkjCIdF1g4wXNQLDZCGID6/0OPxaYoGC5SzG/IrUBJUt6myWUCnoCmUS09KjOoxqPXG/tJHiI84ZbRY2KEuEcLknYdB7tE4RoyfSSxhQ4KTExoOKMpaiw0pB2A/arwGCaoS8gXgmCNltopvGoTqOaHmIMrB1m6ZHLHnnloA2QJVAqpANqIBGIPBCNJeqO9cCRZ4HOBoiSLGyoE0laBkhqLu8I1o0gW0lCX9O/L1kWmpHz7CeRGAATQC2wJrLpC9TNwJUITEvNQkf6QaGFwDmPaBxJlJB7hAGJ2zbTtQDtCBI0NalUrFXACYXwAWSJY0MvBkCxEAldjAzXGd+aHpBXQ2RzjTmboGY5crpGzL9JQgi75cOUObECZEUkIMoE1XrYDDCrFWwCcaW3t+Kp3iYAvkkmqVCTLUoEhkS12F6G7CRmAeHKMF4ZRJ6g9RU8NERdkSwKBlbSCEvfBIaZI7VQJBXaBY5DQh5zOp0xCJrd4KjyCbG3plaWVGq8TtG+Y1fU7HYV42vgyiCt+EOVkN/rkh0YGSCpuB4H/H5kvPaoGlwqaZTFHwtiu2WhqKxm0wN5IOicIBlHVn1FFhSdCsh0m2CJVpJ4hewEVCmy88B2ZMznfc5kZKUDeYykTUsqanpO0VuViDYg8jWZzJmNPSHv0EvQCzBd3AK6Ffhei9WSnIhPYbXjqHPBKG/omsCyFpSFowVWJ5Kq12DuwOxQke6l1FYR+w1DBE0iGPYdjwcD0iJhXGmWbsORcKQzELmg1ZpIh3IQVUKVOwpZE5xknQyZlWt2uzVaCLzJaTLJOK0R0RJ9JAtLTHRbdXQCa6moSEmVQCoBMcMmgaLvsEqQ9T3SCBalpFSSLFo8gWgULlPQbpNmVgRa0bLUnnSo6YyFzOFSwZ72BCCMO5oBdONA3vOEqqM/iNQBYgaFlWAdeEF0HpktSIRl3GxQlccnAockdy2dCgQlUb5BJQKCQftI3Q90XjDGoK8lUYFpmu3fUx2tgC4IohdbML53ZKqmDHNMCEgVUSIgxDep0MwT5DWjlePIClQIOOkwmUNYT5tGvJTkDaQbgRhKQiYQMm5HdzHgLNJGokuxrsYIiZCCKAROsDVTZZrFTkDsrAgu0IRI14voLJAaRUskURYt2Gq0nUc3oK3ERgGdQjpNINAmjiRviVrSJCOW3lCImtItAQls379Ka2Jn8KWmkhnaWHTRIo2jybZyBVUEVD9iSrCAFZ7upOH6gSIfQ3nkaUeKcbJt1pi/5xn/Bxs1X8QHfOnfZxUzMuW4+/aC1weB0Qcwup/wXrHHTG4wjPEMeR6PmHMH3AajVnyYL3n49YrJzx5x8s93ubqTYC/AfuG49f4bNm9+x7u3j7kyM4ZixShecBwrZvolj4QhDZHoNpz+81N0kMzFCm88d7ozIqeM0wWjDxOKVUbtAr2soxc9K2qimTMqjkmE4zBLuBnnHK+W3MreIH/0La70G+x6w3fUBc8QPCr2+e33/zuu4jadUGA51df8dO8zkvEJv7uQxHWf+a+WFOUOv7n3Xf5WHHHzpuStQcMXlaCNGYvHE3r1a/7Vgz53zN9waC84521e6pL//MdDRk8uOMyechA/5LD1mF8+RfQ3TH7yLkIFTp7+lltvplz8yW0+SQSIHc7/9YrRn2ne+smEKZIv45/xzL+DEY7P1UN+I454+/SCjfe8V7bcVS+5VZ4TP9hhGUr6YsGOX7BE0A56ZEcjsnuCqk3pV0MWv+kQO59z97+6zbMnNa9+OUVONelTwaPfTGluHdH295GLx7wfvibaBQvRp/iLQ1Zmh6+y/4Svi1vshJfsyg1dnLOXXiH/qaL6N2/Y+fEBk52bfNr/L/h084r7ZsyyyEiKNR/+t+9wo98xXwQui5REpRzHCp2vaUzkdjig+h9+iX1eY//ykN1/IdjES/YX12STFXl7wQ/fs3ye3uDrcJcLN+Q0XLOvZnR6ygP1gjLmpCLhigOu7QWVKDkuVvyo94TWT/mV/zE8LOh9KJj4t/nfNt/lL0vPDV7wvrPsbJ4xLfv8a3HNzuvfcf+yz/9y3TH8/nf4Z/UOH7UveHTjt8x1zbjKEP/mCbe/HfnJDzP2Ti5IguBj7uAZoJLA7pHmQz7nv37/18y04/vhtzipOBeKW25O88Uzfv2dn/BX6occS2noIwAAIABJREFULD/lvx9d8078OX2uuZRHPKbP0ksaa3DSYsyKG3LKXXlOSUNDn1VxwPqFpvpFzWAv/NE3av5T9yk6XGGkh1lk85sN+bGiv3qBjRVtB/k6kF6+YO/pa/xXEZNGhqWh3Evxbs2qDsjM8KPjf8e7iaSsVkhqxsOE3EWyq4owg1BfY3YT0lFO8HP8eI/X2SlPw1t8vTqhnw5ZJhXX1YxB3mMkX/GF2OeLkNEkU9LelDQ6irggixck4py835GbyOxygisiiX6MIkPEDO0UifGAZk7CBx/sEmZXnGdv8W/lDzgSl/x5tmTOAec2Yagt++4Ntr7Cn+5S3B+xa19TnV/wwWnkfPMUFoFem+JPBNN4g0aklHeOkaMrbONJU4V1drvB6DQ+SoL0HJz24NGaqo5kTcV+mrGZbigbx84w0gwavJCoPBAMTPIjnt054OZpwhHnFJ//NaGfMb37Dk+TAYPLF9yIT0nbBWPRcsmKvnHEuGZMRV80TLnAS0fhK7QQbOSQy1DSlyXaKLIsclNf8Zfib7mjnlB8ck7hHM2vVzCEAZHv3X1ON7ygY4mft8RJQvuLr8gPoR13FFSMROBUTWjtko+MZ9jAlSmZ6B4Pk5ZMRy4WO0zWN7kubzPRJwyHl/xn31swiEsMz/mW+JKHvGJXbFDNiptuRtt6Ct/RvlyjF571i5r+bY0RkbIfYLLGPtV0k2uGbx4RXUTekRQHEjUDzkp8D8R6wu1f/J8cJBlqU2N2WsoHhjkVyUBh65Rq09J/v4eUgc3HT/F33+GvxA/4X7t3SOSYXfkKKxOu/D4hWBqlmaoCCxTpikZ2LFwAFpxyxrEWFP0WPUpwk4ZS9UF1JFhuagfxJa/DCZkakfmKWHfgNAKF0g4dFLWXhJEhiyl1VKxiR6kS9PMp7qkn/XGfT3Zu8Vm4w/jk6A9bSH4Pq/u/1pjN1ipjZ+A2jrTwuMuI3k2JlWH92zXNxHG5gY1syeJWbKK+0V5v/UCwM4BRCd5AGIAfgFSQ6oAtPHm61VznXhMyhfQN6qXDXVnSUYl/ssFMOmIK7gDUWOF2LeMPDuH8Gt935HdT7HRO/cRTPoTkpkSvM/wrS9E5ZAo9YbhnHfF318iL7aH/plozOjkn+UkPHzeIjyPHhzn10sGnFu5lvHVPkRxm8MEQDgLxokGYDLFniU4TX1vEn+0SDl7BK4f/9Yz2ypHtC/zKsrmK6JuQPUypIoh+TrzyTCcb9kSfalwgLjr8V/Mtl6nfxyeOXtkQm2uclEQp0GXE7PZwOkXWDalOkGmDAA5VQv3JBW+erDipFavzyHzTcPTt/vaw+qjFfdaSz0HFgtXrjvJsTnfltpbBHujBtucQLRgDegxuBPmNguL9iDktMRdLRG+EX1UcZjmICsYJt/+bIW2yA12B1ZqJTDiezxl8+QLxaE7PG0RmIUTKfSh/WoAu6I0c97+7SxAdXHeItICX18QnHaLZh+cvEbsCfMSdRcTQE48FWkL+/3L3Jr/Wr9l912c9za/b7dmned/3vN1tq0mV7XJVImRQQuIY2SiygAETwgAxZ8SQf4F5/gKYEQksgYgCERYKxlbKlUrK9q26Td173+70u/11T7MY7BtmMVJkyThLOvMtnWc/+1lrfb/fTxeZuD2yNLS2gNQx0RsemRWTcaAykZW/I+Upqz+44ut/8BNO3nGkrjxVlo9H1n+UaT4PzCtzHCQtEnkbGB6UYnF0bXWfd/CBED+5w20zegP5MNI/wNQb7EThqSWdZJRM226ZZUe/TYzne3SA5jPD/scZBVxlGM4z5e8+sPiwZP17Pe6TnzI/g9kPwJwb6i5T7D5DrmDYZnIFokJxDecO9IWy/A/OGJsBMy+x84b99p4p4L+G8Z/sGT6H6QcL/m0Y1cgby8Qm5LTk8m9UpGd32HGB/mSLXD7D6WvssoSwYPZwg3lcU7wXMLZm3LXItwvqVUX5aUHZKuayJm929G9H2vsBV0L7Jy0sCqaXNV27o9WS1td0jExCoL66oX44UB7g8EaIrVKfQ/+yoP3gBV018jLeM/s4wWuBXMFmfbTRdEdi0eIR6IcT5i8KDBXt/3XL07nHvDyjfP2ayX/0BD9PhCdKqDxrecxDP6VynpcPn2Hsml/Ml3zifshUKy70lvrXnhF2e+pf/Bz7pOKhmVIuLDrdkLwgLyqSPRB7z1upaC8rHi97yqHnCz+nLwsWYcfw+R21jjw29zgRKBJqYdSSKFOUA2ZWsxlmTJ8vKdZfM3lSIBhoPe5XJ+zsjtMx4dzIziaitZDBmkxljuc/njqmiwWVRg6+YL4vGT59w+TUklYWZyr2245m4TGXIHcDk2QRb2EMpKhHm/i4Q6SlYmBy2DO+S5QXG+qJo9iP4BvSbIIpDjhpKQdP7Er8twyzcsru0x3xGnwlVCc7pqeJUGSir0nqyHctdgNVUhYXA85seKI7DqJcuYoHCRR9SzSenQTeixPk99+Q55nmI4vbWvSqoPYjvmxIPz5w84Xy8nefHe21scOakjQU3P9yZBkcer0h1TD7YIJqoB0TzbzETEZ0l3jyW1OYg/+6xf/tS0o6zJsNsjvH+CskG+r7hAxKuunID0r2I8YI2gp5H/EvJpjTgJn2eBWuDzOu/JLL/JbT3RasktuBh1soFkcaXrdseKeZifMU88T01DKVhjiP+F+vSb6FIVE7qJuSPJacmRWahO1c6OrAPHTI19f/ZoOaxJSdXdKqMJVrynSFtZGx6LkrRm7rEdKek7xmpYleLYP0JBmpBWZsuegOTPYG6QuaZCjjiB8DhDtOYqQa9zzOn9BYyzTeAyOl644Y11wQXeLB7YjZkPqGKiulvGWS3+LtG2J1T647Yuco5IaCBZaMpSDSEX3LaPcYneH7jnn5BU4y2Yz4LJzEPQ82s7NP+dPZC251Si2eF7yhYM2Zfo0116h9igsrTltLrkruzXu8Y8YL3VDpOzBPwFpmaWA1bHgWfs4T94aGBLwkkBnliOWsWbPQa85jwaPDSCUCYrEpc9mOLNaJ+XhgxEJuOBmEahip0x7rHHtWdGaJ0XfcSMG+qLDDUVHj5B0LvmCR7wgGWudoUs+8f6CSA4glq3CoM2G5ZhgnyFDAMMEqGDKkCa4tqcZM5y7ZmRnJgZE10/iOedqxB26qCa9mhn/p5uxk5EXeEIxjVKh0jUhmVx64OTnwi0eGP5QZjb1k7u+APY0Z2TU9X5/vWFtPP0YqGfF6h7F7jJlQjcJJ56h2cLZXJtrjNDEd9yyGEekiVbilLFb0pmJggmCwOtJoYK5rDlzT8ICno0yZSRJWPHAZ3rAZa1ycoN6xb0beZM/EfIsfyde8nz9lGad82F8zdX/GPCuLdKCOC4I7Y0+kGhNn3Z55vqMVmPR75vsB2tc8inPeMDJLNec6wxctyQaMGalzoOFLEoGT/CnRZG54ycm4xh0ydYatzDgthHN9zeX4NWoCa3dCwjOypHMNgy0RYModFVscCccDLi4oR0fZeWT/V1/iu9AriN2RQRpHvABBj574BCkYJE5ZtgPmPuJ3JcSBhQ5IGUmDYtMR67dor9A2Q2vIKLngiIaMRy9zjkqyEVMOtBk23nNXz3hjTnhbXNC6hsIUbH1Dkgty+hnZLEAXuDTBJ49VC+JBMp4RQzyGUKqhUGWWPZGMCgTMEWIpNcEcjSHZwM4tuHLfoaCmNZ4Np9yZU6yMmORQo8RSaRsYWygOiSbuWPQHmkOgHj1DW7NMiStbsPGOqUCpjkhEC0ASkBmdxdiCmBKoYlGs2mNg7DG6FwT21HhTMi13jB7eVRf8rCjp3DUVx2DKujS8LaZ8Wiw5m19xVow4OiSPGMDQUojFpILCDEgWnCspFKwkopQkU2NzotSeMmcq3fFYX/H+8DkuHT92HSwcHNKPVHLHGGHMShryEa0ewSYhGCGLodEDj8c1/XBH6QcmA1TJUZkF02gZRbnzJbdVw70t2YrH88C53jDP9xSy45RbFvkt03yPGSKNE4awxYpgE5hD5rQGmyNKQhQYhaKzPGmh3HgYRvQso40iB0XGI2bWhMTlPmLYkwK42mIGS+kUaBE97nPEQs7xeO614HX1hC/sJZfZotkTaNjbKY0cyE4IGLJO8GGG1xKnPTYop+7ASjsKGYg4nA1oHohiUE2UqtSaiTnTukwWpQk1Y5hz8DWRGtE5mZFNCcuYSDIS7cjgIKtQJQjZcutX/Jn/EC9L/v5fxgXyF1hlcDBGcJaUv9nQGUFFj+HVaigTxCRklGAcTTRkAiSlTEd8eoFQj0pR/qspDse/AqgKfN+hxhCsgCScJHwGSZZ/FUYQnKDG4IzgNJOzI5QBU3ZkiSSnOBuwMTPrQXoh6jc4VASrluAjsRiPAaMoNhh8tBQuYEaDuBH8UbUIPWNpmMYKkkENaHk4bsYXQu4TjW1J04BEYawy5XxkP+1orGBiyTSMGD2GeQK4lBHtqQCnAWJiFjjaEXTEqmKGjAsGpCOVGS+W8gCmykc8uQqp2BPsHj8oznjqGGgrw6I/MLYZuwc7lEy6AH3GBwvq0Rioum+QwGVBZsBkQz0UZFXUBFwFebRo7zB1QuqEDYpYQ1dlbDUwVlDWAzsG5lWAkDF1Zj+DL2sls2ZAuZMLerfj/ddbap/IsUaBUiIZgWIkLwcOi8zsvKeVAesylfd0h0C8g+n2llgqhTHHbbiMEA0uKwSDVQEJODmQGPGuAd0iKKUZKBmoqIgqnPaWcgPLW4fairDo8K1j3g34QwnGk90eIwYjgssJiQaLowkBGQAE7QQZlHwA1zuKXCDlcNzupyNxN45g8pzZ5oDWEYYE24Z63SFZoSyJbsS0GWnhbAPmfkqyI3ZIxB5OenA7C5uKvB8gjYgROIBaix4SRvZE7TF0WNMDPdkes5lQoUyK/FUnLPy/FRk92CpCueHQKLMy0E0jVXVHmyNlI8CWQxpZlEpXR6aTQIyJWGXGKpCngs4P1E0k7SM5WarRYpKjalu62VFpqyJEO6PFkjAUWZgOjsnAMVtmKMiHHjfJDAj7omBtYWki9WxPOMkUoz+qP3pD9gafMggkM5AWB6qxJNSRXCVMtSPWEVPf052NbBfK2kzY6Jx3boI1R9dAnfc81Je8Ms9YqkHymok1lKWhKBKTYiTIQKnmiGMmoRoxCi45UprRmcBgociGLKeMqmRZUxgla4dKPmZ7xSPgoDaGzmXUKHtg6yyxHjG1spy2pGiQUPNQCclH6h5MVrJVsj2230YTZQ4MdkJnBWtHvHas7XAEV6iSJTDUAUioOaqackwYb46ZOFbRrIhAEaDphWXyNOZoVSoOW8p2RGzC9YlZvyNKT2cj0sCiS8R+IHkhl5E6gtl5UjaYTpgNgZ0tGIoaVSVLgTPhm167w0uk0A0JT62WSQI3ZmwuKKxS9InJzkEzksnHvCqv7K2ytMf/gTEQ68hQHgEEcxWImTIKLpSoPSK5MSPYSEZRP5JdAieEOuLmlkOTWCyv2Rul2ATKIbCvMnWlOGeQkFESZIdkxdgM6r7xeY30JtIAmhydqbj3NRd5ios35AiSDdM+450htopGi9EZmi2WHZJGsg4Mk4BpRtryGNZdWSUWibZqcfWBiOOdXbEznjnK9M+ZGv+5g5rv3f4ZZ+kt4QKCS3wcfsJ/6f4Hqqf3fCu85nR9TztG2mrPr43/jOcPf8zt45J39ikPsuTXhzUffPbPMGOP9rdc6pqT997hhky8zVwO4O2G76YtZiyxVyOnuuE/fPpPOXs8EMyabAd+yWO6VENVsTVPOEjDaJWt/ID/SR7z5XdPIA98VbzPPTM+kJ/wrfqGe3lCmx9hPoKZ/pjZmz1//ZN/zK9fLphe/JL9RSTYTJfOuXIn7HLC2IpWK+a64Tv6ijUL9rLgyfI5H+//kOL0lnq242+m/4XFUPB30pf8/TZzXS74yFyxej7giz/hgzBBPjtQ95n/5MOBn5685P8++4B10bByr/ht+T0ac061e2BO4u+0/wd9DYuze+QQ+E78l/xO3vLILdGlxX3e8d7lNV9++z1+7PecyGt+lT/kKVcUoeZ6f8asvseZK/6p/pBoSs7153zOt9nYZ/yt8n/kW/aX/Bdn/z237jFBDPobPXZYUYeeuf2UR7Xn5hnc/nbJu/4ZP7v9HeLWcvH4lzz/3Z/yN+9eYR/ueLo5EB/f8G5+xr0t+bXhK757+484O7zhqw/fZ23hN9f/gP+4+Yfk33xNOr3lhxGe7v5bvI88GfdIG2jdhHezBRu34Gy14UX4hMf2KLtedJln/0Iov3xFfTZCKXj3wLP1Kx4ev6AOPe5hR1WUyFct3zv/Bf3yf2djC67sBX/M9xlQNlzgeCBaw+/r3+Z/nf89jJvyIv4LztM97v6Kv2X+CZPVjrr4mlW+YWKUD9MnPNu+5eLPNtg/2nH2m47/6smOxVd7psun/DcvBh6x5cn9Ff5h4LdO/2c613Oxf4t7OTDQ8cO95+XVnxKeLDldvuMlX3BW3PDq/SU1X/Hb8nu418piuyFby/wSmjEgdsdv6T8k8illuWeuX1DfKPopnM8MF98b2ZcPFPbA3NzyO/FA8c5x+sU1Zmb5e9//3zhdPaYpRgY9Z7h5TfkX9ij4S6pugPb4A6tlxngoawNeKX5NaXcKHbi2QIoOuRyhAGsgS8IuDGImiCSwPVKDTmtMCthJIKmSAJNAg2fsMmY8EiWoE6W94RGf81E1MjMey5rgG8gHBgtz9nwsn/BgNojvuGsKnrkv+K/57/j3Nv+I98df4E4r4laY/uMD77db4jDwaLrno+ZTTLJokSg/zNSLe1JKnOk7PjL/nIGSP0u/wTt3Sg18zJes5A3jqmDTeFZNi/jIfnbBtmhoVolyGtA24mXko+GO1fiGxWJAfqOi++lI/TMDP4C7j4TkHec7S++Fm6eZ5luZ6UvYHzry7Cl72dA0kWAqHligcuC86Wkq4cw+0JiGW50xxNNj8K0OnPAAnPNVcVT7PetfUctP6Ml8ykuuuUSMZSpbKg2sY8CIkMXT6YyUa2pJSDx6fbEFPgZchvQczF/3hM8SZZNwNrMvzvh52eASPOs2yGcPpJnBfM9ipoKTik4sMdf4P/EUN0JyA/nfNRxsC/UJzaLivfiWVUw8uDmkU6yLeB5wBHrOuGHLqSyoLLjpgV56phnkDlICmWeYgUwDfFcpDgbmBmuO1hVqB6UlVx3OH3NI9HFJeNNRtoIrBJZgCo8aJY8juoJhanHTRGEh3vW4c0d4btieRhqz5vvyBUszYMyWOx7ziiWdral5nxMK3qNl5b9EWPN2esmVXfExb/kb9mc8f/ya+GEiuUz14wH72Yxm2FOantms4z/7lT1yEbgcrsjdQEodL8sdkm95km+wZqSKG65tBZRksaxXDeUHSy66O5xEGjuys7CT07/MW+Qvpv5z4eGjU941wtRALSVfCeBhaVqK2x2T/9QyO2Re9kKflMMTR3UbaLwgM0s3JipvOTQla19QKxSSaMvEaPQ4iDCer/0lvUSecIUVuOKS0c45j2tOPntFvVfEB7KxsJqQTxxh3lFOwF568nIkPzPsMnhVyrOazUlPXlmKFJj1ysOLCQ91xTxZ5uc3GBIGg47HwG6WNd0vE+XnFpMz3mZMDVwm/POM1IK8LLDS45oMbqSbCqUV5AJ23/e8erTg5fsbQm/YPtQsV4IWI1fhnOvyKX5RMuWW6AvaxRJ71kIBP6u/z9PLK5qTPRse8YpTcqHU7gFJgc7MUZ2QrWXrKlQsq/qWlorOFJyZW35Y/ylPf7Cm/HbNQaZIv0Vr4c1pTas1/m9nih+2LBqlWo80c0XuE/IG7K4mx4A8BjsoRAebCEZw7xn4UY+bg5ZgPvAM+5HioUJrJax64vOKrjgj5pFg5UiKZErrNjAF4xRDIIvCvMBoRAtFyogUAlXAtxm7BT1TzAtLGTJyiDycK8tLj98JXco0z6YMD3v8QaFX5MowD4Gq7TGpY+kMuSrwpSW5xAeLRDW7Z/mmQCcCywYpPeZHHfIrmepxTfoDQd2InJYMk4j/gcdWIH+U0K8T8tjBsCJ8coOtDfLtgu6qo1yDfGTYfQB+Dv7VjOHTDq0T1B2HfaQ6ZMxQwKQgftDioiJFojtT3FRxYURPBZllhmXCnCpFLYQ7GHKmWimjS7AAWykxQxEtuXFsTufECwOmw1ew8SWDT8ysZ/zIUDBSvBj+sm+Sv5DSX8nkHjgzxDqTgyJ9xnxYwHKkLo8DVBYD9gw4CexXhs2PGsZQExeGUUuKx4Z6dkUhB0Rr7Fcd7pChBD0oZZ+PZz9A873E07jGXO84vS+Rn/bkL8AeBJsDuxdK88OC9aORwtxxOVom6rlKlv75BdtUwWVDGHvOJDLTOyqNtM5xM5/xpPNw8YDGAH5L+TEMJz3tbMYbnXPDc+50hbWBlez5afXXiFpzbY4KtIxyZZcIBbXpeSoT4qHg6vQRf7Y65eyvjbwoPmGolfJemXyWefHJp1w+8sz2AdsLly+3jKc9vt9hB5Bbx6xN2PWAi0qYGWytzHKL4vnKvccNJ3zbv6ayb9Ah0qlSnI/Mc0aTYtTicqImkmzHWEMxKJPblrpT8t4ifcDlTFlmyrxFbxTzYCh3Do2RotYjJa040hXtjUV7YHGkwYWnp+wnJWfaUdy05LWDHuwBblenfH52xiRHnth7nNmQ1xluHf3BkedCUVeYX3TY/1PBgdxa7EcOJ4n68QE5FUw1knrInTBfdphyCzJS5YbadLS2Zpw9R4CDOg5fZWZ/fAsfW/T7FSl22Kv+eDZtQqZC8RxM01HEDf7fN3Q3gbrxlCXIfESKTHUCPB7I31Eq7zAX7siW/4bI190k6noCuwN8q+Dts+eUDwXzb20YL0b284Lluwq532NGQ6wy9j2L7CL5WklPLOVKObQJR+ZS3nJyf8XsJyP8iSMHg+wjpbGwB7sTaj3wvhvZeUeJQ6Y94wdL/vmz57hm5NvbL9guKpayRl3Jj/2v8ql9n5m2zGzPVjrUtXz34l8fbv7nDmoWvUOGt7Cc0cmU1XjDrLjFTDJ2TPi0IIaE+hkTM/BI33HNJZ2e0ZtAIweq8ZaDm9L6JYOLrKcz0nQgriM6BmSc03QbhjCH3YZp3PHk9EteyiPWmrHGsmfFlauwBpJxWDUs05Z7qXkrS/zZj2i4Y4ul0J4TrjmvvqKSxGc4JucFKW6RJDTrK8r3Cg6LhoM2dMnQJYMyoUglzjZHIolklrrDZXhiXnHwiWmxJtaCKROrvOa5mXOZNjzST+hxeGrszFOElkqm7NYdsyHxNG/4Ewl8Xn1EUyzBHHC0VGZKZ2u87Hmsd+zshFA7pAlYAqfakxG6umAaDpT7jjpHJmag1VtOzJon3EOCvUxo4oGTdMfn7lf4xHxInxOv8ge8Nu/xbfuC79uv+Lj5lHPesGdGeFRTm2uWvGHBLTClns84W3qqPOXLU4u/9hRN4mx64HEN/S0k68A79u6MdSy40AMft1+y3FyxN8/pdcLL/gu+0/yCm8s5nUxYti0X+TUihibssWPklZ2wmb6gzYGyrGn8FpNhS6YwAxf7a4q3I93khDTZYZoBnwKWgegsg1jKyhDCwEnY8jy+ZeXu2cuSjTbkbHAmMkvXLGTPK/sxn9XvHdVLes8uTFnGO06qr/lWJZzLp8xMzyAnFO4eQ8tinXn4ouXssOE7zzZcfdqysk/5/uznVPI1IpkUE4/SLSH0FNEzLC0703IqPX57zfAocvsNCFK956E6Rbnj/XzPyZiIG8PoRibPDrSVQ13mqdzzQ37BwSZ8HslBMLdg+kQ17kj1BmcGnNnxhIG6NejNgJGKJ/E1H9Z7alcilz22/atPWjGjMiawVsEfN2FSK5oScqrHNHoORBNJJVQOKAVpQZMihYVyJOUIVSbNwFYB7UeMPQ5ocB5tFG4F34FER51GyuRYjJ6LciSmB1aqFHnHIDP2wVCbPUsd8cOahTHMjGIpOElvWWjLD/RTJmzoJyfEFLHvEpPtBt0r01nALluIA2Ga4YnDzxOaHWdJeZHv+coseGDBgYqJdpzKBi8jocwIgZJItpl+OmUvHqNCFw1SKLYVXA7YfA9zwT6p4Y/3mAeDjBBqCNLR5EhkQTctCKfKdA5p2OGTocLgCQxiGJgRqNGywFbyTa7MjDa/h0iFRKEcMye6o6Bnw4RhtuRMPmXh7nEcsw1GHGsmbKnYyZK9PaFmi0hPThsKs8Fyh7qewYEUFWO2HMoJ0rTUpw5zFRh9JpYwOmWQgpgs9D3sMuNKcWcZcYIAOxLrIlANUN4IozmiHycByuTwFVht0XyLNz3CiCVS0FNrxOtIYQIGQ5SabDNljhgT0XjcZokDX4AUwnii6LOMl+OZVX/EzKpaIg7rDNGMuOY4Rs0BUqGMCygLwbWKhBFbHu/cUo9oSUJEUGxj8UY4U3gaHniSewrtOJhT3qjHW0uyM7IWzKXnNAYahNtUo1E4Kw6cy1sWfo+ZGrJm2IK+7hi3HVMfKJc97tsBjT3TPLBLHpdbFiEwc/BGMp0KUy2I2WNtCRkGZ5FJDTNBQmYaEzMMydT/H6+O//9X/K4y/qhmnCopRzR5onrEG9yoyKs1rjQQLLMDTFOB/9BSv1bK2qGrhrzbM20KtBJCYdBsYTSot+AsOlqSEQaZMkokmx0mZKI94UEaynbg9BoqL0glJJMwyw6tPEfC8Qh1wM7AlFAChWQolEINGi2NAWMykypxbx1ZK5wRrFG0VihAi4BM3ZH5OxW0DscQ22lGZpY8B+aCnGdclzEjqIB4xVQJ5yFVnmLRUJSHo/JhHilPG8YcMbYmmprswOHoaNhOlsxOIJL4Ml9iSqWZNlzLM77Il4go5/qWqQ2sU8XIBLUld2OBdQanhp45V2LJJqHZMm+UvCzpaksVhRoYTabPU/SpRZ4GQuwpnCCxIj7K6GkH754kAAAgAElEQVSPuQvE3lI9NoxjwkelfXdU8VSPDe4FaCVo4ZGgDK8HqrbHOgNLy7gwDC7iMlgKOvw3m3yLuBoxI8hIKAVqIY8JqxbxDpGMCWAHcPGIRi5nFuYGMR7rBsoLZYzKcIC69KQBKlE4JOIV2K3FbzOOjLMjpunxzqGFYlaJ+ZOe3FXkUtFyD4sCfZLILxJmE0mvlNFAeSL4UjHvCYNNuD8G6ROqCbGR3TozrT3FUtAINmU479H3E1SC3CSkC3gBaSqqHDDBQIpQHGCeYQD1ibJSnBqkMxy9IZHCJawxSHL4PqNDxhRCkRWSYHyJswPEgGjG2BmlBTcavC+IEilspPZgarCNgo1/uRfJX1QtQXegBTjvENcjviOdCmNjqSY18bpDKsUUgAfvHd2yoZOawWQSMzrrCH7PdBiZes9h6HCdYr/JQ2UUqsHQZ6Wgp4kHym5HfRgZtiPDDbh7gRryczCrAp3WTEJk0ndMcuTQOWS2YvQN46QgD3tSGUjxgEpCpCLKBBMN4rcYzUd7VA1DUxFkSUoLBruiywuWsqGRyCv7iD4WBPUsSRSjktziGNCbN0TvaUXYUHNfLvGnmYP9miiBURNN31JsWpq6RnaJHDI+3JFtJvsj4UiHeFRP9MfXZiuG3lrAkCJ0OFoKRmsRBEYHzpEmPdZ4soC1GRcTzeipkjtquoJgt5li16NrQ9znI+p5khAc/QOIGuxMiCGTSyEiiCoaFB+F1EVowHjIJ5a+SEzSQNH3mFAel13ZMLgJN/WcAeUkDczzgTEGCMc3pxgQzaQWuI1Em7BXGVNG8uCokkLKsITUgxVlEgOh6PAqVLlFdGRnLb2vsXQISn+I6D2wE0TNkTrWglEljoHCQzUB8QFCoHjkCClBUcCoRwKiy5gphNIiS4ucDXChxEqRjWBHw77PXCwc2kNRl7SnFePUMLOgC6E9LWhyhS1bBgdpArNTTyoSux4mjaecCWk34kNmQkfZB8pr0NdCzgYF/PyYB5R2FrM3TNuW7Aw2VahTeFTRLqf4lHGhJpkp2XRk37DxT3k1vuCJbpjYLTFt6I0h1P+GYcJ9aRikYTSXPMgU5zZU0pHKih3HJP8hdoy2xJQBnWZSmNL7hpGKNMJYCPvLzGGSOTChM0+5mw/ks45Dl6mWlrvZJe+GJ8wewfO0ZzvJ7MTTx+MPUpAFbTZ4MzLi2KUpg9REM2M7CM4XrAxYfcDrSJKKqzzn2q2444Q2C7fylDj7U8LMkp2lkwWJSCFKXSiDZDQWIBb1BT1KL0d5lSFgDbRGGFcTdqVyX5xylWds7JwzXyDGcTBT1DuK8MCIogtLOCSy94xUjCy4J1PLCb2eEvwl5vHPaec7Wrtkw5RpsWW66OmKisHM2ZYl/uUERuX+bMU7e8JDrhnNnAFPxLIp52yDp7DnyOGSvXnCOLVk+TnKlLv+KbfhBV19QW8mjJzwIE95MAUnbKm5p2dKz5zeLOjxDJwS04QkShZPpKQvpuznjiwtMDDi2JgJD2XHsFKSQqv+OMCpljT+wMiMtc6orYBZM7gZSSzN+MDoHTtTE82SrTpMrKltwzVKMltOij1103O7aEhm4GLRH4MLaRmbxOuzGb9cnBHcwMvqgZEFHsMxctGSBVTkaCvRCR1T2iQYW/OFPOVnfI8XldI1hl469iwwek6kIssV+8pTOEtuFJ063roJ16cwWTSItTgt6SaO4axgXxcUgxBmyvoJ3BaGWV3Sl4o1Iw5BtCanyGBqXudfYZsjH1e/5PTkNYNRNs4TXY1brZmYC8g1SMVbqWGWWZ5fYcqBmVkTGdh7z629RHVJuQB5fsNkNtIaT88575gwqx6YL9Z/1Xsjxj94TPpqixt75PFIPxf2Tc0inmDiDdXYo3nkMIddMcHHBa+aJat2zzxtKYqOSZkIURmXnoepQ4sGqQKFKE12eK9Ef6AaDLZLkBO7oiIUJavU8rEe2I2JZZE5i1dEM+cVPYt8xZP+DXl0vPJQx8zZVWbab1lPAqkXcgTDEf+nzqL2aHewGXAj2WeCByMJHxQdFGxCc2IEOmcYSCxlRyRxMKdY7TASCAYObs69rnjNOV/JBY/9LXPtCHmBcRVjGunNjmkZ8EaQjUGvhTI68AOODpdLfG4wnZD2SuPBvd5SPbQs6kA7D+gIi1bw60R20KR3fHd4oNvDidxQuEzG4ePAWXmN9Ud6Q0pH4EnJwIqBN3FgxyM+p2SbT+lUWZpXNPbACXeU+QVdnnGXVzwrZnQR3rgXvC5f8rz+gm/rLV46Qnl8jCYSVTrQSE1dRFjA9mmNzDImGkxKZHeksfjiKJO3Dwb3RyesKgFfUFl4ODWEpuIk3vDv7H+fJhou9RUTB7b3PD7cc5JaJrNAGi2T3Qnx3UB+nanGFl4GhlOIzpPLEis7ShLBCA8XJWVtKPcBcyLsTgyxdCy7HjVAIRxWJdePSixLzu2B2WRDaw2tXzGfDTQXA+3bHScmEcuSTdnQ654zObCMG+ZmD1lJyRJ0ysR0vB9fccaaMpfMhpIP36ypD68oT3Ys1VOtQQ8D4wzUCKOLiLPgINVKKIZjEG6hhOaIEi7VQVSCK0nW0w0FJT0pJqx3lGmPlzWUGSkzRe6ZpUB7NNH9lS6zh8ldZlVEvB+pcuI01rS2oHWWZmIhRtRCnjpStoxmQqyFrauIxTmjeaDYtTQPgaKMaAm2ykSUNk3YM2du97jcMkkwe1NSXws29cznkUmzxzeQzgwmc2x6VpEqJ+wO9MqABalBerCNRVYJyhbrJxxkji0NLmxwXcJN4GBL3PwMl3tS1bALgWY2sHCWzaUgH3uqs4Yokc28JL6sGWceLR3lyjA/3PL4sMbeZ+q+RCcBqRL1MLDY1phtRVlkTlJC+p7CeFbbEb+7xXsYii27ZUa8spA9KvCMzzjRW2raY6Ate2x2XIR7VmnHmITW1LS+5nb0zK3n2f01sV2wy5bZ8ppHswNOC9Aa3wveFpR9x6N2pN4d7af1RGmsIb8zyFUB2xG9jugGTKNkmzCVwgOYUUiaobfwLlHVkK1BFVwWxCsSwLz1lJqoT3eUZU3Y9czaHX0cmfUZfxDiqSUshFYjk8Wxt7OzhDhDtgKlQA8aHbxVtDHIYI6W3wNwL9gIHoG1x+wzKkd7Si4ULTJjZQjf9Felc0cMuTtmD2OUPOsZ3jOYuUNXwv5lyXQJjQ2IU5IXcBZDgpBxRkhGkOpovS3rDp3CMFVk5Rh2MAlCelPifUaNIf/S4ANg5djwGqCy5DhCPZKSx26O3wNZynGgp0J6JKRgGM8LykWikEw+E1IAqkQuDFJnOEmk3mCTwGMhlYHpoYCvEhSWSRnxC4V+RGaJ8NThV/nfioyawS7I1Q5vAzJkjAGmmX5iaKspK5mQ/FtmomhVsCkawuiosAQn3FLT0VCKZTQnUM9YLiL9+wPpkPHTird9RbGoqSeZDZGyHsHUDMWIXxj2zyBkPaqerTI89oRiik0lRvSoytJEYRKSD3hjGVTwTnG5pcgjyXp6aYh5xpD3mMbQu0wzgdBY9m7OTiuMO9qnj7K+iDCgMlLYI5IdGjpxPMiSiOHBPHC3Knkop7yW94lMGdIb7u0FlgM3zUh9elQKTpvMobK00cBM2RQzMp7Fkw1NN9JWM9K8pGJkrAf24olMsDbjaanFkOqR4ZFQ5oh1ECaWWzejNYbGg0pFIY4yP5BcR1fU7KaW0gmubIkLIYkj1QVVNqSmYJha/KmjHUCmkKcFCWhmAT8EehV8JUSbSEQUR0IxlqO9ZwQTLGWCKmeyUYJ6MjWxGsiNIm0+kqqsZZxCfOaIpVCdJOpGcE6P79YS1CpaN6Q20ewHUjA0g2GaEnXVUk0s+7GgNoE2BOqcyE8Sce4ot4rZA/EbBXsEiUrRg67zkR5YGIaZwU8LblPJ2VyQYUCTwcYZOQ8wMYQqMxZgJkLpFavKftli55ZUJiw7jBVibVFnCVTsGkN5KXQpEhaGcl6DPdBGh0wE8R4qh5QQrRJKQRYgF4bRWUKMlBdCLxbxQr1KuPoYaaB1JJwI61rZG0VouJmesvNTvIv0cOx1bcLHeywdYI52Mv3XK/z+3P5tc/pArTWvtOULCr5bB5rua/Zuyq5ZMOz3nC0reh/Zs+OyTiS5JrsZxeg4e3VHkxP+twbu5l9yFp4T/Jq7ywPFE0+Rl2yl5efygms94aNHLTm+IxSnXNsVfYyccGCdDBtOcFkZzSNuecEv7Et2PKXfrvn+/A2pHEjUnDPS8pZdWHPjLljHZ2xDzVflBp7MOKNiq5GQW6Ye2nCH2hU9E3YOjOkZ6Y8UpTzSu56GA/MccFWmed8SpOfKD2zzAjUbSteCgXEGvQRObiM23DD5wJFuFOcPLPRA1jVb7TnLStDAW6741b+7IuV77ssJexqK6R5fgymVmCI35o7lb15ymzO/sE/5f7h7k15Ls+w879nd157untvfaDIyMyKzMrO6rCZFFiVRBiUQJmR7YHlgwR4Yhg3DgIfWwH/AgH+DbcADw3ADDkRYlEiJlMmyyWJTrCb7ysiMyLg34van/9q99/LghIesCQkXqPUPTvNt7G+tdz3P4+QBn4e7CGMQgyfjRg3YFJqBPubD06/w/tmrnLyr6dWC6zjm08vX+YP163znjQ+5iQfM4y5P7S43KqWKKTusKahAdXgWbJRhqReszYaYZcAazYpZ3hJLxR3WBHnOF5zQqjGYJdmgwwRQNCxiRTvwpN0tRdRcqhLNgmEyo08sofVkSctudoXESzYyZGlXlHaF6pbcugPykFIETz5aw6++xmpgOAwNA/EkrZBODKvsLh/Yr9JqYRN+gjU5UeVUDGmUp9WeM3YRM2CpdjlXJyxiitbH3MRD1rNL/vHBGBdeoCQw5wTpUxw3JCkYNyLRDUfHoErD+eAIvn5BUGck+pCks+QHFjkxrJLIbrbCJgFjh3TmkOsuJc8VgzygtFCLZUfNidT8uH+Xqh1zfHjLveHH9HZKlxxyXltGD0aMXYf1S7zO+MTc5WZs+KVvJRTzWwZuQSUKr1Oe84Br2YWJcH93yrE64zbmPJWv80IO6SYj7pUVxV/bteAXU8v/5oZqLRz8siL7HshDw1V2h2pzCNdrHi4aWID+5gG3wz2EV/nn7ts86v6Ib2ZPGIcb0nZDFjr6bMqVuct52MWmDseCkb/hju7pVMK9VzTthzeE/ZIX+4dUotmRT7inI++n9zHWMnUf4tHc8g4TKqY3n5LkivPS083XNH/8JcMPoMst6wee4VphNwYtgsoLdCPg10gaibtbYGjSv4Q5EuiXgco2rIwwp6CTCVErXuMJUZZcM2biBlhuuLDCrZnyRXPI+/o9npkdXueM18MXzNIj9rpzvj28xXK71X0Ph8j3F5CD+zuWRmrSRrB5T+XnmC/BX4PJIZUvmVxXuLFF7wguXpBWoJcKJ8J4fc13fEM4e04+bEn3AguboEzgkVyAWjNQNbpR5G3LvrvlhIovesPH/ZRPw6ssG8tx9oKvDnImGqbtFaN8wpPrt/iZ/oSvDp+C9nwk9/iT+A5/Z/oRY/d73NPXOJfgJgUbW+Ii5LonpnN4Tdj5tT02/YK8E0yjyQcpNqaMGKE/n7H8bU/5++fc9BGmgbv/eMDopOB5NHyNK9558gX2yuNdQ/HGPqsPZgx+2mDaFvdapJ4LfKCo/5+E7gvP0T+MZL+e0tyDOpSk84Lu2ZJhqtHHOf03pqg2IX96g5ouqe7kWOngcYsbA0YYv7PL5w/u8ry+Q1w+wSY/YZ4WnJrXsQcb7ow97eJjJmnL8uCYD8pdbhEG3KLsDR0XHHJK4JwbeZ0OxzvJU3blBSsL7WpB+f3PePDjT6h0T3MYEK0JC2gzRdoVDBYtam5RRx51ALmNKOlRQ0gmGm8jBE+DQmKGJqF1ms40iAwJvSGvb0kGG3gAPno0M3RWc6r+8njv35QKHwaiv2Y4ymjGNRYYhZaNGvFEC3sHQ/LFEtsG6inc2sCFGtIeH7LQe2xMybQ4I37yKXunG6JAuKfJ3kpYG82FLjlThzxiRWNn7PWW+W+/IP4JSHXK4EEg+yVN/ku7rKdrkmvIDnM6t6H9rCD87hz9SUD2E6K0qIOe+KajMwqTKG7KkifpDodFykO7gd7Ri+ZcF5wdHaMO9Da6rgqG8ZY9/Rx+OWf1rSlTbXHxkif6Vc4oqdUhm5hh7Jxf4gv2Vt/HvL+gXwXCjiZ7GNCssfOa7mcdcQjZ/YzWblNh6vya/C/OSWOKO/S4d4XyyON0i4TI1+xn5KzIaLnLLbU9I2IZ2550fk7ZCd4VXLoJT4uCSaW498Un1F8mlJUjOangu5HzPUvRQzVbIQc5nW8ZfDKDP55h0eh7CtlzdB83mBcvVxmfA50n+7UESTpMmqA+rckuBaUh9i00hi5EtKyRTMjfUOgBxMeR+EFH6xrSNxXZPcXy/TnZE0f2PJKmAf1twX3VYSc53Sdr8jsZCR1KIipzeOXpR5awiejZdvXZ02KOFViLurXEFejUUzgDH1b4NcgrBmUSUunAe7JMIatAvwF3NEBcS8wiSRFAF6jjnvSuwgxz+rsj/FGgCQ79oxekSU3iM5Qe4fUc02pUA85aVNnjBgGVlEzHFRw61OtjwmpF+MQgf2hY/5aBTjFIGtRDDYeKihXqaNvQjA34RJMd79B/NCMeWnjoUUcW3zWYNzPCtMCUgabdQG/wS4VOIQwcfibEJGJGjnjWE3sDg8DtjkEeR9Rvd5h4S39XKF6PSAHyeoL+5YI2ti9zzn+zazbZZdx0JL6lryM6A9kFXWTM1YSmGnC0vMXIBjvd47LcQ1TKQbwkiYGlPmYtI6aypFX73DLgeG/O27/RY3TFwu4R5Agvjpm+ZZLliGq5igPCyV26bs7B/Tml90S1veMMneHCWTANG5VQmRQt3XZ92d1iNLQYjFKobk0qGza64FJHbpRmkHv276eoukcOA+sE5sax6tnymFiBLghb+iep1HgzpFGaRhpmbsRjdUgjORP3hDeO93nW3ufH/tscJp5dc8ql7KN1zrL0HL2Wc3d1SZp1dAOH2JzODrhSu8zzktfePmfIJTfJlPVkj4EsGbobgqSsGKFDS2k1UbfYUcR8RaGWkAlUO1Nu7SHXlESl0M4yZUWib+iU4nq4y83OASPxnPACJQ2VOoAISmYUxlGrlCWWTAd8hNqMqGKPOdowtDPKLMDA0BEQ7UA0wSrUUMHMYeoWOsHqnlQHIo6WlEo53FgRg2C9BnpQgeKBQmyKGjpiXqEyTdH16JEgo45FqRi4nPjTG5K03yaf5gnBKuJJS3LcMawsRbDYJ2tGyuJ/WeNGFvW8Iz6LqI3CVyA24hLIaggfVOhHsL5j6fdyllrgXknlwZx6kiYSMmilZXjHIC6iJcA9g+mFvSbCccpqxzBPenaoKJwlHo3ZiEPjkGEk+1tDijfXrPYGtAUkuWWwm7JxjiXC9P6Yzld450kHlvR+RI0c9jAnziv0gxwZdCAGK4HeNnRBsLnH7mtWo4RLfcBSSvS0p0Xj2aDwLElojUKbnpqKtRnQhhQb9V/6jP/8RI3JCRE2asBGH9BQY00PKtKRAz1RGYJkWBWIcssmiayUIcoOup/QaWgLYZbl1DKiVi3XrsJjGGAoBM454VTtM6Di2GhW2rAmR9Ng6Qk60qoCCUIiBao3tG6bUNkgNKajYY1iiSLSY+hNQU1OrVNMMmGmp8wTS7TQKUcdB2gC3UsLg8fS6hytHb3SBLH0OAJCQU0hG4J01InQYFgyomcPJSmabdy3QaN1SgwBEUVjA10J3moijlrnzMmo4wleOtY25YW+3n5SZahkhyvribZnZobUaodeBc7ckl48LxhyzR6VHpKgUYAlIiplbRJEJ4hyWJ+jZasaCzpF0CzUHgu7x0J2thMoPWCjRjRaEWJCJKVRioqUlpyKgkbbraYChSC0iWUjmlZqdBigZIDIhBgNGEEArQt6GdPqAYIioujViF4KhAUBS1QeBQQitS1Zq11ylbECrK2Z6UOGQJAP6TWc5SnP04Spv2HYOEzMWeueWZ5xqnaJlOzrM3bo0RIwcUtkV9iXSxseDygRogiBAQ27XCSPuLYrTuIlLnhW1mCtZhiFgFApiMZstahZzpVypInDktCGEmMjM+sJStOi8MZTJz3zxHOlii24Uyl6rdloQy8RE+dE5bh2x1zLATNVEBRUJqdWO8ydplEarWuMXhF1ScMxMztgXmhCuwbWpEwQlRLI2JiczgRKFRlj2HSOSk1YxF1uzYiFNfxNJ0NMZwlp35N1oOhoMGzIEAaMxWztoUoxSzVPBxFP4KfcI0t+xD1dY6QhVy2q92xSYWYGnMsUpRNyLKJb9tSCOcJhJnQOvEuZM8DEQBlnjPUlZZwwIGcaFvRKUaiKQazZ8R2m60jZkEjHoIbxHPIW+kahOrNVMCeArbdMCUCZ+DJuCrYDOg2iMF3ERodWgsOQtiPWrmNgOiyBjUnJrCcPQsuAmgOWpuDa7vOFepWMjGG64qk+wLuKSuX0gLeRoAUdNLbfApZdNFhviCFCbEhbhZjtHo+KkNdAbpAqUvQB0ysIhoyAbjqmNFA3kELIYE1EKSjZoOMNqdYQBUtLrmYgVyhbUceUa3WHPknIYwfkJGwoVYVmuU0Z6vE2Sqw61nqfj7J3OVIVy2y4hU9qobY9a9MCyTY5Y6C2oJKaLvQUUWNiQqAm0mGUgligY0O2KLmzqbax697QK483jlG3YKe7Qm0iXQH4mlHnsUuNiEPqlnQD5iajvU3plyvy3hBtYGUgRkUSoegArWmd50VZkaYKl0VU0rNJWqx0TK1/aQLq6bKeZ07zVO8w7Gfs6QyJQzr22DhDIRsSBfSKTuesGKKkpCCQqRUlKyZADBGvC6pwyJ7cMrbXtKrEEdhpQF81iANfqq1GN2hM6FDh5eRcZ2AUvdkC8EVANFRJZKM80JKoBAkKwcFLEG2Dw1FS+Pk2Rcg2tp13ijzp0e6l8uhvcNk+ofCRLoBXGhVaXIy4mCB6RKs1UbVIrBDxWyCwylm6ETdMaMkpw4KkKxis14DQ9oIWSERv4+7a4QQGUjGuDdlKSK+SLRh+HOjrSLSeKq2xJZC2dIWgnUb1mqTLkS7S+RZRbNXddgssDhqCgmAEtEcHg42KRmdU6Qjw1CT0DAjSkWGpledpWjMBxqz5jJ4zcmoOqBmQonlFvmSTC6mHtMnwnUHJEnSg9IGkVsRMo0QTdEP0kbIFuwIdhH4QWfUtCoNIjVOBPXlOFDAKclpKNSNIz0g8w7YhqSAmCqOvaNWIcXDcWd8SV4pkpaCIrKNhaRwIWNUQsDjlSKuOoo6IV9sDo0tIuwZTa6gN0kZ6H4GUznq0S4la44iI9Fujiy+xbYOWAqV7VGwJOhKdIQmOUdfAEmg1u5XBLBO46WAAMSja1OPymuC2v0WvPNYKOoW1EWymCBpSbTBdgvY1IATZnlfGJRBbNEL0Ct3rl9+XQyTBxznORlSAJIKommB6lNFoHxFVI2xVw3rU0o46rtOE3XZCohUpgiOAbmhNINdbGOdWA769x6Gh12Csx9iWLgGvFHkLe0sNdYIaeoLafkZjwEsE0+MtdDqQqRbRATGBmAouBowSlK3xoxpKaG4hV54+EWIGSf6Sk+HAZi1NCa4DkyuwQt5asrlChQwZRtQm4l1LYz1tvoHQ/xvRqNm4ltJ30EdMl4CLBOupnacSCAZ6K6BglQinmWYojqN+q7tvKGlVCiJsdMZM7ZCVcxbhhuhaTtWQF/Q4HFYEdMdQWiq9x1KXBFORxDVOdbQqkgBelVSUDOiIKLTOkLan8BU0wtAJM6XJlGPQOfJoaI0GZcglQUeLshbPNv0RjcPGhKIz5A7WIVJFQ6lTnLKUsaaTHLE9PR5FiZaSKANQGUE5Kj1koXcouCGaQK0KHDnR9CzyNTtNjXYdm1RT20CHp5aSmdrlNn3BPhtadUCVaLRoSraDNXmJ1HVEEjxBbahTzyAB7xXrJH25/TChjympFrS0JNEiklKZAXM7oGVJToumYUaHFUtKS69aIoY1gQqPkpwgAzpT06g54vqt7kEFvGZ7x3kpjGh1xBoQAYwAPTZuQA+JGoISMjSK8BJQr0Bp2kQIWUU+VHgVSXSGIPx/VglhC7VPa03a5kxCjas0ymmMVzjv8X3AicZVYPHMcyARzEajNYgotJhtmiQKKoL1KYKnNYpVotjmyFtcULgoWAGvIiEJkGwFINqCtz2iLE2vkKJlng1pUZSxAzrWNmEpDoOmcxvioCEPDetiSOMUA6XwIrQonEqppEIrwSizVY0nNXEU8KMO30ayrGVdAl4hvZCkhlgLSim0iiAGHXdRTGj1NS0WpED7NamGVMBGTeocSdTk6J+7iflzGzUvVv85TbnmlB1m/SHP7CXL5AHCCYvmdaJfc5suaGPLVN/yRTLgM/06T+VdRCc8HV/Q7mZ0xXf5kf0VLs1DDmXJoTwh5zmKFYF9KvZYy31u8azUp/RoEqlJ+prCtCSmJtKgFYz4kjfCKburJzy39/mEEU2cYIHj/hkn3JAniitdsCGh0iC90Jkxp9kd4khY2zELNyUVRaJPSdSSXelI5/dp8rt0eaDST5jrK4IULLnLhhErs8Nj9S5LOeJK/gGzkPKp3WDTKa3fYcY9gr2hG/0RJo0o17OwczbuLT5Rf5un8j2m0pLpT+nE0FIy5k85ZkGUlIaCRt8lMmUh+1yoYwKGO9KTc/byxzKc9GcMbUurDRDI+lumKA7tjEfhmi9lRal2kLjLNJ4z5UMO9E8ROlAbagU9u0h7B7RQGcO52mXOAyo5xochjb9D0AcoqVHV20TzOtFf0Q4+41Ld40v9Kuc84oLXGOiSL/SC/fTHvNCvchHf5rGeoPPPOFN7nModZvrr7GQ1G5Ww457QDL7gqXuNz9Sv0sUHbN7IvBUAACAASURBVGTJypzizDVnHLBxYwZDy7T7hLV9DWTOJrSkUpPpDQ0TvEoo6VmSUKtjCpkxlDn74UsmWtG1kdfVR5T+lKFr+dQJC4ZoUpruiuPwlGG4wsZA5wpuyJmZKYUuGLNiV1vc8IblTiBLFIqey/hdlvIV1lwz1j9hyAmZCFYGXMdvcMYut6R0csPUvGBZllTmPivZwxOYmwMqucOGEcEVPIn/NhMGiJqxIlLZISt26OUNgm5oZMpG9tHxOQt1izVfUtiGZdRc6ru84C43ckLfZQyS+1yrD/HqkgOeIOqWifoS9XMidX9TypcNN50nMYpsVTJtPKd5RaF6TAAcdKPA2nqs6jEy426Y8dq65e2YM/Q7mOCRtCfLPOP+giO/Js8m0HmmvmPkakadJa8tfdS41jNmhfU5+8s7TOSAb9Ud+4MBb64e0YnCFgYmS3Zuh2TdDr8yv8NwdUO5yBHd4SO4SoG3iN3Qpx6UIFZoE0jFoSshKsVmJLjSkfaCkkjWe/b9NRuX0YcMnyTUjKg4IlcblF5hZIPzJdoErFqS85SRKKyaEc2KgmvWpudC7TGWCVZVxEHEDyJDrTC9IsvAK0urhohoRLc0pRDyjjxukS8u7dCFsIpgN1D0iliB2JQq6SENpDjMOrCXWc5QLy9qgVCvkUTTOUuLArVB7C2uq7a5V53RmzGNDjR4Fm5AS4fhI3L9M9AdG1/SuxQbl+zKjLyfEQx0TrEpEoLyJL2n8CWuL4ihQymNUe32pVQ7hJbe9MzLCrVTsylgkoLfbJXIYmpmeca5HtBkQje6ZrjQtKIZtBrrgQRiF8GnmA1IO6FN16yHgTxYzM0uhwdLVqrHGUuXgJQ9dZlRqxGp5IRwi8MybHMGVYKqFLqZEYcakZohL5hKxqRZk5EyNJED/4K0a9hvWzY+gokM4oKv1Dc8WB0z2VjKRDP1I8bthFM95ONxy9w9ZjeU3K1e4U5jyOcOtVwhNkNCj/h+a/+KLT6Fasfz9B50C+F4ojADy2xwSJ867qslPgZao8mjJgmwdJpeOd5eJxyGksvCcKsDfQDVD2HVY0nZs5av+yVWPYX9X/Bh8les6GBjINMJeZuQbLbmjmmrSaqUYZOT1T3K99h5QREzxolhQcPMXNDrhN35hr2VQbUOTIeNFrMesN9k6OjJ0zlHtifrU/JNjvdLSBuiV/gUgoV0lbF/NUQvO5RTDGKLbDwxhi20wghag6kSzOVoy5vJOo5qGKYVmVqjvSA+kvWGHduw331J2tR4W7BSCTkLds0MVMJO2zCxjqxvcOaG3AYa3RF7i9UXHNpTRPttamHTE+x20qoajZMcVgptBVYJaezBlKhNAL/eWjycEIjYILhekaPo8kirxjSkBAJaVmg8qbck6xRuBF0Yxt7ySDuKLsO2GtoIbBtT5drw4LpAS8pqtWSQW9TMoT20ZoXkgVgGXNmzGUFWKFQNVRm2RnDT01uFttDkgk17tBEkD2Tpho0WjGqJWU+ReGIKtfaoItD5bbPFpJ469bjRhjARttxtx1KPyVzJWp8zTQxdNHTKkpucVvX0cfud1a4jTXs6GzEaxAF5JNgVZBFJgXJF5SMmjcSkZj5JuUpHBFMiGdBec3zQk3qhUIE+E4pBxKiXNrkWij6y27ckcYNLIt6BzzsY9fROyNJAb4R6qOj2hLinGE5XXBzCYOzZ0YE6g/W0w+x2+A0YekwmaCuoYMjqiAQDeYrFkzRAo9Ad0DrSlUfZDN20kAwYRA0DS7EJGG8YVCtIQa9GFLceTESNDfZ2sW0Mt4HjPJC3GiUCKm5NabXBmYCdZUhmQBo4/oUdI39tpbTCuy0zRAdAW6KKBEmQqNEKOhvoFGA0jo5MzaisZ6UUbjvjx2sFGBwz0CuWFIjao2MfI4aKIbv9jLEx2OAZqRusCRg8Ue1j+iXTUOMU9MphQ79dkbU92gWMVyhfYMKATBwDJ6QmYkUjwdFLTk9GFgzGJ5jekUWLCh06bhuNKihs1OjoSEKCVo5W5zg80+6SprPM8xLphZYz5voA6bbr5iUXjPiUAkOIBm1qnGiEgrXe4TxrWWaapc4RIgpLpUoqCiwZqRewEYkdSm+HgV5tmyQRod8CM7C0mP4lu0YZhI6EnjRqpHOktsZJQwgKE3NGTYtSMxIXQWdEMmCPigQLJN2STmUEkxJViyWgZMkkeoZ9QJRlZhWptihqxpXHmQRJHenLBl5noS8sKmhOGqG3c7AbkugZNRpTaUIw25XEqLfP/VCIieATCM7Tu0hW9Ggl2Ki2/zMrqNgQdSRkHaZ1qNscow06aJTX6L6FJmUUIiSaLq3pHGQu4kVAWYwGbTzRVfRak3uDbbfsryb2ZLI9yzsjaCd4tcX1mQI2bK+REg2bLKKTbVMP5dioDcb0W4alamnxpGo76G3yIef23taoxTVa5bhoKZsZE1pa5eicEOhQL58v44Wss5hNzp5u6Che3mErEtF0cUhnDJAz7Spcn1DkjoQlzkRiTMlVzYhrdmLL3XVgp19zkN4i9i/v1PzcRs1/8j/+A+r7C/a+dsj18xnF8ZvE7KvsNsf87H/6ErdzQvLVDa+8ecHffeWSo87xPu/xg/A2r3SP+XdPNpip5ff0Eb+z/CaPTx/w/Kcf8e/9w0O+Ofwt7jFHwoRzE1khnHYFJ/ouSWoYSkOw2wu29WsyFcCMsPFn/Fp5xajr+HH6Lv8q+2WekpPIDW8nV+yFSxo55LLb4cLe5ybco754zKsne/yxfxM3tMTccB4MSXS86TYc8TNe6a/5k//hgvXwDd78xoivvXfAaRiw1if8yH6H8zriS/hoecAPHr/NF/PXOXmn55Od92g+epvn30/Y+ebbJIfv808ePmXPXJE1Qpx8i99pXuV/u/w6p9X38D/7I37123e5drucnQr/5YOnfGWimUnOSje0UbjtIxtnuFEDmmi5MQUnYUITR/TW8M3kOVMuuCDlmgJnN9xRG17nGYdvTpmqR3waOyJT7ukv+A/vN4zqp5SyoRCh0gfcXL/Gn//TOV//lXu4h9cEteHJ7Vt8/mSX2act5WRA+E7PZr3gp78Z6ZcjNm9YvvcfpCzULn/aPeQP1ZQnyav80fJ1/uLJFf/+q4e86N7kn11N+MPB29x+9BwZpAwOplw83SB6ihqs+c70Y94evcmTbocPwrs8/peWYlRw772E++mAWves6wf8Lx+P+VtH7/E99WMG8WNmEcaZsKef8VwMKuxgrOfzbkCtXuVNFRnbGa+4hpHaQbKGPZ5yP/uMDSWXvM39NCHjA8hSXktryniLTT3XcY8/M+9xOttnrGoejo9Yhj/h7z28pHqQUE5usFHxzx5/g0+qN/m1Nz7m3ewLPu8GjGRDYkb8t//0Pp/t/MfsPWz5+4P/nt2dhObgHc6aKTd6j7XOeL9+yIv0Ec8aR9Le57/+F1Me6Yz/9N/5PrVr+SKM+NR/lydne6yfO2I9oa4VD8aPSd9r+fXRh+TLC2aju/zr8Ijf4ns0V+/x4b9a8fpbS37jawXvmT/g1XjO0PUUwCrs/fXcCH6BdfMkYk9yZAnxX6/xOcR3FojpaHVEDjTy5gm6SDhiRGw8R+4F984XtL//Ge1px/67GesDGLxV8Za94N46orMhG2uwg8h6fcreD+Ami+weZfhqw+bqlv3hLuv/s2fxz3/I618r8MWIH/7mLevTjq/+Vyes/6OE5//zBd3/+ini/oxZEbnrtpbdTSkkC40+ADKFaRX+LxRx35LuDgmXS6qLiPu7A1bvjvDpisnjNaYS9OiSB6pHFDwrDXDABccsZcRd/5gsakoTIKlwrJjYCfvRM1M9Hkvd7TE1DSsz4cs+sB/32TE/Y/ydAbxIaK+XJM/W6Puay7WnGZbE1tAt5vANoXzk4EtPikABZh8mAlwZWGqWM8idwRSCzUC7kvjJHDkM1A9goTT7rSW56YnDPZbGofqSBzbncYzccQNu/+wp/t6bWDsjz2pau8fj9hHL4iFv7q75SrxFhZa5foO5H3JoZ7wVThnPn6AHKc29B5y5lCEdw9sZ1bNz1JknFYuELdaiq1okenJKRIZkDwom36sIf3DO/JMFP7lShDn87WuhnbzKR+zyhkRe4RR327N+6omXJbOPPfELYagE9WnDi58pnv3kgoGOvHqoaL8I8L9fEn49Yt8SBuWAmxHwrmVxcMhV/AZmM8cWL2jdDq4bcv79M44/67GrhI6OvHE8kjMe0jK8iKwvrsl/acio/yHFk5oswvRNR5RINGd8fblm85sfsvr9BXoY8Nrw0/+rodKak//sgG//F4f4/+Nzfve/W/FagEkijERhlj2tbonnwvANRT+G0Xsl63dywjffwbQDBv0LrtsNf5q+S1vuc1P9mJ30CiWKaZeyuOq5ujtBqwH8eeDm4xfk/2jIaq8D3bB/ucBdwadfbpg8gu+Olkw+/xfwj/7JL/Io+SuXST3FBAg9i59s2Nx6Jvc17dMr4udX9DdbVpm5bymTjvbDJb29oHQKk8PhO3eontxy++c1x06xGYK/7ZEXC9Ttmuenpzz8jVfopxc0nwvNM89AQb9nUGVK3Im4RLP6wTnmc0VYRAbfHtMVHdnHPdo54sCihhrxFesPOurLa7JEwS7EaYMUM6qxJ+4ADTSJYjdfcBQvyTaReVcikxRjb8j6iNkU7F3PKEcDmqriTpoyvrtGzCmpFoIKDHyLtYLaT8EZzLjb7vk/jlw/3ZD/EZhXwb+/wSSGzWzBUAtxBvlA0Y00tvboqkaerVFeU3w13SYCono54YxorfBrzfXvbmj/bxi8mtDc61g9f05RGfKJQl9oTObwSYA/EGI9x4uQ7CvW3MBMyHtFeuII9DDdWrIGfbdNqYwUSeKhE9iHQRbgUCj2e1i/5CwdAvcjk5WAr2EPuOeQ8UsjYeExXUBOLGrHUzwCnW8TujrxhEcpt8d3uQ6Kr31TaOOahdtFYsDICsyAlUo42ssoDm9gHslaBScOtetJHzokGFSxHUCo3YK9zhOdIWYJ/Tf3eaHf4XH3GiE69tNzevU5d9cXJHj0MLJQC9L5ApeWhEXFlkFtaCOE+yXpr5e4IiBFIPMtKutJjZC8WhKOxqyLnGvt2GdF7jf4cshg5Ji8I8jvrEn+ZcTcOhit4SCDsuDZD+cUmWHv4ZSm6vCnPZOsRGQBQeGfalYfbHAJ0M/xlu2bSgcSFMZC1ELia6hhs9nCjr0Vdu9rYiksPpmTyHZQW6gWv4zIKUipsbcdzY87yiMw/wY0aub9Hmm6YRhn6HWHNxlrM2QuE7w+pjEdcadE9RF1s+KRnaCSa671hDUF+6zZRMVGj9Ch4jW1YaQTbv0rhK5AuRwTPY3V7HqLPV0ych0Td0E7rTlLDrlsdngw94SrBdlRyuJ6RbqYo5QhPQF94vC55iY2iO65tR29A0fHOrnG68CF3eMpJbntiBFiteb1VBOIbHRkqRuaUhCdcqsVc9tjCCwQDkzkXnhK2PScZ68hbolTGRUdazvgFs+OO+cdrlkxwaspKTMaKbhtC5S9x2nxGo2PiEww3QIjnmt7RKcS+ragWVmavZJVHJJqh+cWRIiqZBMSCjtnpNaMY8T5EujRSY+VFlGKG4as3JCJ7jCqBycMBfLNBaPmmlAc8DzZZ6kH3IYTrmzOw6bnwDVcMuIJD8g3N3wlf0HBjGTRkM0a+qMxz+7vE8KAo8c/ZfrHS5pqhdyLFBNF89MKP9ToIqE9vaVcrLFHNe29lFhp9EVP/7kQRxr2CkK1oXxoYCcB2xPdiJCMiHZOVBU9AZNE/NmMagDlvmYxjxwc5DS/V7H+AIKAKRVKxS2zra7JBpb2rUD6do7dqVAbTeYhpgpBQWbQmUGqDvNkSb6fU00dXZLQmEh51JG1goSa0STHlxkbu02Kanq6mDAQi8SOEHoqYxCVUIghiwatOwIVOTkrmbLMpjzu7jJyFYly3DSG/dThnpyyV1SEJGU90pg0kg9HxIVH1g12PWLzgznlW4o+65FxipOGyiZ8Hg95njkSpcnSF6xNwxNd8Jp6ypw1VXKEp2WPW07UBfb9JzwqJuQHG9Ld7i99xn9uo+ax/H1IhdtM0TMDOyEkgUscbbjCt1MkCE16yon5IX2y4DO+w7PuTQa259KMmA3v8yPe5vP2HebyGl045KkO7OnPUdrizQNO2WGuTugpuOCcA2qEjMp2bJRgVEcigleKIi64qz9iv50zt4qxeUBkB0PHWF0wkQsu2KNRh1RyRK8OqFkwQ2iTb5I4wVjPWgrSLuWOqtH8hNJ3pJsj1nqH4O8QpcRTcm7vMFPH1HrNDQec6Vf5xL5Lo8ZoN2fu9qjdlIUpONeOveyIz9wnrPVTxskFWt/hwj1ibr7OkhLHI87Miit3woscHrszzvQxG0puOGZuMoQascdcxdeorOKaK8ZmTSMjAgNG8QsOecYL/RVaUiwVRWzZ0c9J5QWH6oRTvsaW5X7FiBmGM6Kk8LJr3YUTvD9iLsKt3NCbhkv1Ltfs4kOL6zVWAklrYblLtyzp+zeo1B+w1se80F/jWj/klnu0aodQVHyl+CFdGHFhTjjLvsW1tRg8e1o4RYEdopOOTO+j0yc8UY7T7i0WesBadfR2hphTErnh1r7BRVHyw6HnyEb21QKV9CT6AhMbGjXYRrUpeJ4+oGfICS84kHNGoSK3LUrmHPGcB/KYC3bI9e3WVx+W1KpEVEejBa2FXu2wkq/y3LzCSreUHLJj4f3Rj5hT8oa2mNBzq97jLP8aZ6bmFZ7i3ZhdOWcsjqf8W3zu3uNpdsk77m0ulaFLAmfqmN7ssY6R5+lrPLZvc216SvM6F6rEJI944s7Zj1/S6hOeua9w6u7QaYuKGUF65sZxbj5nTcodH5n1DctkzIV6Ba1PaHzDdbLkSn+K6IyibcmTHsGx0ZO/5qvB//+lxKFCgukDqnGka0j6lODUdlJEgg4KIx4rLU4cXq0xQTNZJaQ3Aea7pJPnONWjvCfpFCiHDRFjLb4pKG5T9HQGbYlrHYdcM7Ka3RvDyZeCTCq6Hc/NC09zKtw/W7Bc5ZQvgAtwktAOa5JdhziIrkd5hY4W2siw96jGIkqItsd4oexgIz2rsiIRSFYZbg1mE3F1jZYG1S9xxuH1mN6l9HpAlIS0NZgqUqcdN6oml4pS9QTlCKrASYdIyUalLOWQ1jwhJBUh03ityBqLri26T7YgzKgwXYmwBttv1yOUQkVDUFu7EtaQkmL8S84EHm8hEdA92ABaRSw1g9CQdT21lPQU2GiZyJzj5pQ9ucD093AtZNTsyIKd2LDTezbccuDPOeqWDIMn5pZaW6JYCtEkXiECnXGsTUImMF0bmINuYeMCGEuIDhsCqIqUAieGxja05ZIugZYt7FX5FvocCVNqdoiyIvU9tu4Y1wm2LrF99TKia7FNYLy03AaDUTUSDWmvMYuEuN7gvUJHhfVbjktrxlQUoLZ7yi2WYBS6F9J1SewUOnQorxnTEeSKvE2RTUpa5RAXpMt8a/RRDq8gbTWjWUfywsMXNWHH4q3i6LlgQ0B/eYtZtpgLoXymOPEKM4CYaegVWhtC5zE+oQ8dqECdBs4zxSYXdhpL1RXcmkOW9pADHrMXZ1gVMFFDdPSSkMWE8cZQzkDWKbuDyGCd49YRNrC7qBjOoOivmF4ufsEnyV+9OqupdaDQwrAFt1LYOmHQdAxW4JYJwbZ0fruz43oYt+r/5e5Nfm3N0jutZ3Vfu/uzT39uf280GZGREWmHXekmKbqyjC0GJVGUEBIqMSsxZ8qMEQNAYkCJGgBCSIAQYLkA2cYuVTrdRWZk9HHj9uee/pzd769dDYOd1AibgVOVVL1/wJ7s71vfWu/6vc9DZCSVd+h6TscVhEYjQoQIFdp7ZKWIF4bdm4p06YgzDytFKDTKBxoCKnI0egMMjRqQVtOGhoDBhxhLRTCBNgkoDWCIGocsApGNEUkgmA0M18abRJ1zGh82Y95BOkxryZoS0VqcbDABlGsIwSLECqsqlF/SoUSEmjQoCqEQQmK1JJiGJrI0kSMVAl1pBitHtJJQWtoEorpHMl9iYk/deLSNiJuAtAKBxzaeCEUZwIqAFW4T0ReSQIu2gXypcBOx4W6Vgt4c4mVEk7bIBoTURC4mlAFRtHhvifMIFxyh2dhkqhgqKYlURErMOnGI3CNzcNkmuaISgcsTZCqwHU1IBSpIROYglYQWVKMhFvhU4LKNuch3BM1aok2KjmKqtCGOE3xsEGbKqmv4Ju4xp8tWaOkEz6XKCKHejKuTU7oBHTVFJRPIoBYBlYPsCFwI0FpM2lJnAdmVuFYQpGKaRXyT9fgzjngc3qSVmgMiqrDEqmv2REGIFYoueb1Cp461g1HU4rWg9IE6d+yNGkRiqRIFTpF5R9CeNm2ZDwtO0w5LuizYpCmEyLjWkhBXxANLHCtMEmg6Ad31GBU2FLYQCKahjR2tBG8kyywQaUhsSuTExm7XeLJWbExiDqQzgADdIAOEUkLhMSajiSuCy2gpyQqLjHKcn6Gk3FCaG4lLLMoJ0hbUOv75LiQ/o2pkhzbEeCRBeBrhqGSCF11wGuFLhAJRb1JqsinQQbLUKTZExN7iqVjHKUpYMleRoJgRUegMoTWVd6yRtNIREUhrj7Qe52qcaAk+IrcJogHpIasC+TTGK0fclfgqxkkQtUIAQ21IPGQi0C0Uqo4Z+C2GekgsV/RbSVQbMDV4RWJj0iCQXhJczABDCAVaOnRwbAnHVlUS1gW6WCKlJDfnLEIOsiWTgaytKZlxI+VGQS4bnEw3+Aed41RKpUDJDINCeFirEbgKJRK8k2ivCcGjUaTWE3tHUJ7QGvom0GG9SYCFiHUsKJWnoYslYS0VcxyDIEmbmJgOiWvpr1uSxrOMHY2QlDJhIntMGdHKV+AqvDKU7GBCwIRTUr8ibz2qCayEYq4Tgsw5sBHRFMZFDIMKEStkU+G9RApPZh3dsobGcuNjbBCbZnQAKyVSQROByzym50A7Cl3QRAZpPXGbgAiI1mGsIG0VNAYrHdYAQdNZeSKroQ6bcVAisC00m+Sel2zCjhIQHiU81gWQAukDWSVASsLQI1WF/anOyipotCcQY71BCIEViqA0rXA4UZJ7iGqFRpI5RyQcyrW0CnQAE1JakTI3OVOZM7NdYtlhSWChBT3piK3YNIWN39i2ggDtaeSmD+FCIAZoAyJy+OARXiFDj8bvYJuMrbCmEwqcTSijMVtBkLsekiEHQjBkxb16hVyWZKol+ICVm8vd/7f6q2Uwz4DbLcwkPB7C4xbxvqbVFh9l9K1k9hgKLwmxIRqXTOgjdc6pesR/Lf42bRn4Uf1LnKv7MI7Qgz2++b2C1a3fIInfw4c9VsoxH93j0ehj3k0+pUPNmhgtazLWrFBI4RhyymH7mmG7prMouZd8wb56l4/8hzjXZRSdscU3TMVtUiOIxRlSVoSx45VMyMMuRq4Ibsz5+V3EYsXWo3MGakigh9dADGtRY4GgJYsQcyCPke4cGXaw6wxx4xBrQXXSx35q8acxaa6pzxqapsc/ev4voY+WfPvwa75jpngizFCTdgVxvMUr1aFmTLbl+eH6LYb5b/GO+JjP3ff5weWQnW2DspJny0Oca3ln6wWx/AmJuMAIRyIaUj8jxTJizthP2bVz+s2UkSp5K/mCUzFm7XN0kHTkGi0KStljQocknBPn19j9MbYzI1Un1MRMlaGNFXQyygKiE0+47P80YwYykqzckK/EFj+pHtKUh7jHa0I2ZO5hZkHbHuXxgOKJhZmBLY2LAjxrIAqInYD0JfE4UIaMiRwR9gyenJuTAVV/FyVvEGZEu6N5vYTf/fj7JOIhO9EVv3zrG3Y7e0z9NgO5JsKTOUsuG2IasuDQFKTMSMOSW+012/MlcV7zsPMVz3hELXLakGJw6ABlSJFhzSGfsYwbCtGjFg1XwfBn4i3Onu0j9/rsxk8R531mL3p8nt1lcfQS1Xb5wEq+Iy3zlxNUCqZq+eR0n3tvZazlKx4vD4jMfZBnnC3XtL2coqqR1kEqWBZdvr6+w3hvxdBNeE99yr3hc2wkSNsOPVewFX/DjvqSpexTRdek9TVvxK/5u/wuSedjbr7X0N1q2ZPPiFiCqdgKJdpVbJniZ7Ql+PlV9m8b2j2Nv1kiJor2y4B6UJB2VyzmDh8c9Vc3dN4POD8hNhHjcBddOOqXivg5sLuk2g04bXERxFJilyv0uSA/USz+HBYXcDyB8a2G3V2JmUKrG179iWX1HN66H4gbS6fwNM5w8keebl7gn7ZEUfRPb5DCdxW82UENN/P17VMNTwuiO+DfrZGHGs4LhBabA9qlZXhcoJYC9/uS6idg7gv4xhJXZ3wrLDncEpT3t7h8e0SVz2lCQ/tZS29ZcD1y7H9fUsgVI05wwiDECik0jhGV2uKV2OFO2GVLvEY+iGiN4uZ1y1AJ6kVJ/6CgXF7Tri3uuUAdSuzBAF81tJdrROcWXx5kyG3Jm9WK8EVJuFow34m4PtpivxxQXc3Jy8Dwg4bLjmQiEvJG4JY3uHHDKhpQi2Nux1csQ8Znb/47vMokkczphQmPlj9koH/CMz5iW8zYXbyifTHj6LvHjPUrzsQOjR9xcw7ZtMVcHdNzGyOBWRrqv7DEkcIfsTEYrAR2XhLUEssMrc+wQnHz1hD77+0w/JHkrU8niFThdUU9ecVeDmtZ8ux2l2Gc4N6OiOOG+K0BeurwTYs/t8TdhMO4wr3bQd+KsV/NCZctfC2o8oYsXxJOPDYOeI65860FtwtH/fmasJPRfddzfgPhZYtbRzQB4lnDqgIXGWylyB5X2KuCdQXmPPDiHLYeQrcbMztryWrN8i88zXO4rTWpirGtY9kG/I890f9giX9YsW0CMjVwT8JbCeFAQ1qhpce9krgnHvsjT9lryN+94qm5x/q0ovdyzvbegl38RQAAIABJREFUGQ2ON+qK6MkFxZsJq7hHU8/Y5gpsjb2sqT8Goc5JlKB+VXN+DFEB9RSqUUMy8Awc8Pd/3qvJX6+mH36L4vCSkFpiVRNbj1xI1CIQFhKWEpkI4sIjbL2xuEmFbDwmB6E9MkqJWwuxIe7VMN5MYsgWOi3I+QqNh2mAWhDyhGRUEMae8A74u4LozwJiaukmIJMp0YFALALi0GOKGvY1mBjxozXZJIBoERqCDmjriX2ABHxkUTpw4gZkrqFfviZbbOCUbeQxFqQtNwflpiVrAlLNYZWwXFRkUUy8rWhlSTKoEY8i5KUlzqJNQ+PCkoqIsB3DTovesght0doiEoEeAPs16oGhGEcsspjkoMEIOBZ7XDBiTUqHBO/n5PKYbbXE+ArTAe6tUd9uUUsHUU2ytzGjMIaws+EziEvwAXhHI+sacQnBG87fuMtxmrIVBeKuZZ5Y/N4WqpD4RYElYrndY7ktIGkJ7wfc0Q7KB/LBNU1sca6Da4aorGTQfclAnhF6XZp7fUS5YtiHMs65GR+SGEM0SrBZweko50U4wvuEx8AobKhOTuZchR2mfkBfCIZmhbjfga2YSdXH9zJMvqDbXjOoSoRUFIM+53EXlUjiUPNaDfmE93kR3mBqM5RSNLJD7Bxb5YyhuEFLgbQCvW6gtUTrQGwtPrNUypN0LDJtaFPBPMkwNkM3CmRNEUdUIkW0Ei8cMzkgEMiExPpApRLyt0q0qcF2cekOclQinll6q0AkAsI0ZLknbIEYQxoLVG3R55LOxG9GMkuJDAKM3/Ax7E8VtprNH7r06BmwcOgdj/AFeujo3oCqanpuY+FWUhMWHhMFEBbWGxvavwg1bl+QlkvCWuEuN3DleFSQ21OS5ZSxV6jlEpc61h1YJ2uGJ57+YkLas1T7ObGescWKhUxYiUBcXNBPelwoTSsMsXQs2TQyquAJucTGHSol6PoJejlFPbWIS6jPHWISYAHeBMRFwP6ppbmUxEtoqkCel+hOSxR5mIMoPf38nH0xpYMlcoHOdol4o8VHkFUOVcwp52tCvSSJJoxyTZ46Yl8RRhaZNUgLW1dX8FrRpSUbLjB9y7g6Je56ortfs5trVKgpUShu6BtDzQkChVQtqq2J24o66nDMHlZFDJsFelay0zkmUoFeaenPV/gG4qhh0GpyXZGIAi2glh0mgz2u4xgRAlFo2ZdP2A2K+69W5D84J5u6zRpPi9/zJO9PuHU4pyeWWBEhxYpd16A/XzJqX/LWvQzVL2nKa4L0NOuWpAz4kyV76QuUi9Fli4scSta0rUctPaITsJkHBelQIbSnHvUopCLTDXQlcuhIuhah15SDmFM1RpuEVN4g9IpsUVL/Tot7qvECZID1MmDqgP8VQ/RmYDmO6LwnUMsVchXwSkFiYLuF0sFNIKSSqgf0IS8UtvIQOUIFamQo2wY1B1lIvHJoE6AuMLXGGMdqv8d5sk98XbJzcknSc0zSHCV7KGO5iCReJvSfVcTnc7q3HOWupw0RTdxjSkxJQqMkJQWNqrnE0IQEYSriYk1ceeiCTANi0iJsgJGlHVnkAqJeiywCvA5EicdON+D7dL1i79VTOheGnrdo49DxBeP0lO3lFWEV6GyvaA0kNyv0uiTfhfDdACJsxhb/kvorGzXD4zV1vSKeZ4jnEXIZSA4Cvm9ZplNya1if1XRdy96bju3xCS4sGIVT1lrxB/wqVbvHepYQRpZ8OCXJci7/Scp8+W1s7y0CGi1K6kd9DvIucVITqGkIDGnJqfF2BEYw5JqRX2HXHawtydSavlgReYNzKQKPkQUpa7JwyZCEdYAq6bHEIenThJiivcer+QPkzRlnDw8Yc5tYjrBRBbHHu4YQKoxc413CWF2xbF4Q5316VUV/McXWEeY6w38SkzQWvy1x0znpKufTxfdZJAG3u823wv9JzpJOfkqFJckCV2Uf72r2EsvJZMxH/DqPeMyZf8AX13vMRn2ELDmpRnSrFdkgoyuu6YQOnTAnFhYtAykNPVEw9leM7YK4bDAZdMSKXljShOFPUzgbuJNG0CKIRY1RC1QnIlNXdMOENSOcWCPiDNEFPxfIG0N7LTc7HQMdUxBczrG+z7PwgOFyiPv4hHgP9KBGBQtWI88z3AUos0SlHhNHcDEnjjtkxjKMj9lzV/RCRBAB1VuTlIrlq4jydkaTBLraEnVK6quEryb38eIuWTqn3bnNg04HI0q2wzO2xQ2H9iVbuiQPFi01UjVk1OTekluDXEnSxLMTrrkUY+ZyRBE6SO9IfMtCZCgqDuXXlLrlRNzHsWIhOhyHB7y+POLhViCOL9DXLe5Ly6u373J20NBtFXdqh4rO8JcviG7BoFjy6nTIy4d3KHXKl80uKXfp0zIvpkRZhC7XREwQMqZuBTez2yR7T7llv+ZIvUTklrJjSXHc5Yyxm1KLCCciVtkQuZzxhn/Kh/yATlbAfYESgjkppwyZy5Re8GRihfYzUD/TvcE/85J/qyIf17R/7Em+EJjXMJgUbO2vaBcOsVZkjwuS25BngSSRvJ0+YbyS+ItAuHKImxq92CQuVARxCIiyQZwpzI8Mvf9xRTWIaX/k0bct8n0Yf2FhIfh61eXTpmBXp/RRXIoFN9JgvlTsiRq3amm7Ch0Hin0wv9AQ/tUFi31HspDoCwE3jugNT/MQkrHDLwLCKIKUqFnD4FQhzxx8LFA/dISzhPjMMry4JNEFu3dWVO4Gc/eA49yStY78cYt85hncKhl/qOnnTzDtFyidcU1DEQ6YiAHPxC3m1hPEEOnOEDsWbWOWTxtEInCrltzXqHJNWxrUsUCsYxb3hrSLFeG4QGf7fDToInPF/u4F0fycMJPMXIdv+veJlCJcPWE0FaTlhoMzZ4e9dUlqj0m9QIgBhgVv6JekeP7x0QeciLt07Jrt9TWPJq95L6/4hegLlBD0rxpWTx39d895GH3Mpdshbh31dYq8XtM7X3K/DbRBIBYD9MsWtZ3Dbo1uPaIAPVdseYVjwog1UiW83O6w+u2c5N6Ura01kfcsIkdvds67exEnJuZx/4C4lyNCIIQFW6zZ8UvEusF86dletqTWsvzXA+a2Yzl19M4s4llK3K9Re2vCeUA0hr3oivu710STDvUXLW7h6TwUxDcgLlt8G28AfZeSaJliR13k0sOrFv1FizxtSIoY/VVJ9H6K3M/p//4piRnwfN5wDORKsmM0ZyZwbjWDY8Pt30vxj5c0ScBvtfh7oL4naT+sWfcbuleC+H8KRCcB+WlCfq/i1tsLHitDeubZvlhyT72gDRP2lkvUj9fY/QSGMXJRcNed01QF8UWFfAJmMmN30bJ+rnGTBIWgDjGt8KQDUHv9n/NK8tevxx/sI1WJ9kus9ggNcYjwVYH0ARFaIBB8ILQOESCoTeNQGMBIfLQBTGfdgO8H1BjWl5BGgkpB7hy+2JwprQyoIdQywAPH5F2D2E7Y/aRFlBbVBZ871FjQ7EM8CDRFID50uFJSfRroOMDbDQvSAw1QAxZCpqnjEVfqgF6dcMstEHaBCIImbOxuwbM5GDcRpt0AzcXCol82RP1A20tYpzWm5+G2hciho4BYe8LEQsdj71jCvkTsWoK2tAaiJGADiNuB5k3B+TBnKocMdwNrHJ/zDjNyKmmIQ4+KhgFDbpkTDrPPsLc84Q2PfCeweAXDpaY5sigL8j5U25AuAQlWgn5kaecQa1jIhK/eeMgXyZgtFkRUMDQ4MaAJjiZU1GKLSw5Zyw6BObojuDraRwbBvnpF6VsaMWbhB+RixndUzl0XsejucNndQ7WX3DUlc3Y4j/qYrQpCSSlH3NBBekPsPM9Mn4XPOBRXCLpc+APOXMItM2EWn2J3E9a7ORf+NhVbDMSco6ohrzy1S7nuPuCpSEmkocOSk3bMmX+bSuyjfUBKTetSZCvp1p481OgU2hpMKaGKMWUNISB9hJcpIqrRWWCSwSU9YoZYt0SoglXIcfUOmohgYOJ6+NDByDmh9ZSmx/p+jbtfsRQNa7FFSuDgpkF4MAQwAdEXiFFAHDpMFPAXDvxGAy6Eh9oQnP9pl41No0YKEGJzNW8FrC24BrkNKIcaQFhDKBzWBLRyYOvNgy/BB4loFRT/Yui5765OCbVHFh2YBkxkyZZrUhGQN1PikOFXLX5H4iJFkIL0QpKcrmj2HNMdgY+m7IQ1p+zghCItbsi04iVbBAW7tuJMCXp2w2oLOaySTWL+oF6hVw55KuCih6waaCwEhzcCXTvU04LkS4Nc9Ihnc8RAkg0lIgE5rxElRJnjYV2hWgkG9K8qRBYwwqNfNyRTS/c6ECYKMgV7AZk5ZAi4+x7uGarYE1+sGf1QMygbDh+eIUcOZhZ5SzDeX1IZ0EKx1AmxKwkSSm9QQZK2LXphkXWg7kcM1CsqucVhW5LNWzq9c46igCgC6gpCCSEuCWKjjFdxQClwacukN+Sl2qHnphyEBYe8oOtb7p56wh9M4alBqAgxqJHvOaJbLQdjTzc4VvqSzEw5qiryL2rydc2h+Yy6q1jUDcrF+GkDFaTTkjtpjXCe0Eps4pHSbqDia4EwoLJAKQy6ZwjdhlmvQx0gDw30IoqipJuxSY50Ey7kPtZvsyWuGKjnbC816e8X8EO5+Y55B3jknkPcDvhvpVyNUvbeqenMVsiJZ14HkhzkLuhZQMwgaEEbC0IqyRK1AZIrRRAWkXmqGaQrhQwRStUoHdiZWVTjkTue81tdjtllr7zh4PKMpGqRI08mc1ymmBiJ8B12X5zQ+6pEJQo39BAsQSoWJqHB0LeBWDmuw5JWdGlpGYUV4/WcrHIQx4gI0llDVCjCMMLGFZHXqMRhA6i5IpoKzNRtvvPna25/ssZ/CmIO9DU7W4IgXiJvInzVoO4aUJ5wtkmzht/u0bqCSEik/csPaX+1nruvCFEKnYC/d0V1JSk7u9CxyMM5M5fS3hhWAzDRjMP2FXfkc1r3mogCTUNhD5lJSVIe09eBV+kbLI/2kNtj4k5L6yJUY7nFa96ufo+D3gUWA8FRS7OJf6kBQnRYhT1OTMsqqUDd44w3OXYfMmguEWrCl+EXWIUtJIIeV1zNfpX04tfIWJI8eoqsNPXsCJUOyfKvke0ZSqUsGVMph0k13ED/OufArdnXJ5R+mxd+n1mcMKLF6znz/BCbguiUVPvXFNUQ1UtwynAZxzg0Lg4I4cjDDWMbcSieMFKvSd2KYT1CBMORXHOsIQkjaqkZiuc8lJ9zFHa5YcBcXXMUXjDyz2h0H6uGGLnYGIlCD4Xgmj20WTOQJWduhzPzbf7cfpdrPaCVLXPGlNziUF7xll8wDl0+ch+ymn6L+KIlzROW0W/iiikH6RyRvEb1PWGuSDtjljNLu7i9eambJQN1RdIWCBtTGgVRjQzQVNuswi6xqlHdiqaNEEYRpZ6QtaitijryG8tIZNnlJberFRN5G+dfcMssmWYZJmzRtK8ZWMOFGXMyPMDXKVJFmMSxUgkqaO7WH/NAnHOjtoj1nFpGrFyKoqUROSV71HrBY1Xz1egORXLI54wpvUBKyVLEXLPFLRJkqMDeZTL/ddZuH28MlRfcyIdUo4aqf81atyzEFtV4gThYEK236Dz/VbLqinTwOXTOELu7tCMo8oAdBU7CLcrygBsEPdHHt4ESS8OAICqaJkEMPUQzSlPQrS/JqwvWakgwHSqfspaCGTUZS/q+JmsrpC9wWiAFIBJsEFhZEosC4QqUusOT5vss1BFD+YQH8kvu/0y3Bv/sq3sDdt5FnM0JUYSyNcNTQXawocpzM0LLFvelIUvW6KTH4XuX9FTCOm3xCZhFRnYiCU87iFgTVjGyWhO1U4SqIPIIU9PzENebmw4tNrfcmSzJtz3TA8GsF/HZLCZ96nn4ssasuvjQ0HQDbVTiEoEIGi3AaUdjJFoYbKXxC0UynRJUh1AWVB3JagByR5KFQGQVHodMLE46FB4vwKjNjUgdJMiMyHryM40+ncJaIpYVvfOM3Ne4Nke4lLGQOGLmqmK3f840mtPpTQjBI0pDdqXgJEIkY6LiGlk64lpib7ZQ6QpRSainxNYTLyOym5KHt5ZUISEqFXou4VWP3fuaSs7ZWSradUBISQgSzZLRqqX/qo9QK7Y7CVkcI1uNDis6vSnf7XxE2ZW82X7Gg9kF8dMU4SKGPY3wCvEq0Lu8gmvJA3POVP6IO25JVNb46QD5ssfwS4vXFk4ztJ2ALlCJRzoIi4TsOOPuVzEmGaK8xsewvy258lMWwxnTe3C3HuFelux+3WOoHGPjCT6iziuOxz1+Yj7kaxnxnvgxH6yekz3zyCuFdyXxJEG7IfHyksZYEmvQVxKRQdpazFWHwYsaP/Iw38W8NOhRDdZtSP8yQpeKWHfwz7cZbTnCQNO8qFGXGVJ5EtGiY8kAgS4BKxEKdGjYFWtWCazvw7Othq+lIX3h2bctvWlN6yPmaSDkjkhubCq1aqi7jrRUSCHwrSZa5ozOJe5pl/dlwc5pijiG7nXJrR2HbgVqqrj1rAfjlMl1jDlWNAtLdiMIIUKUhqRS6NJSU7OOLK2R6OBJ8oDL/79ivP//L6/PMawJsqYeBFylSLqwFAoTBCTgxhq3C0YKfB1olSDG0HQ8vTyi3mk5vyepBor2sI/IPW43YCrDiUtgZ4tlanFRn/WyYbirmA0V7vCARdKlByx2jgnvLGl6kG1r8twwGzq6W1u8WC3Jejv0E8nk6BVYifSedNdTpxl1tkvoG5JhRZvmPIne5UQYTNqjHqTYpMT0DVE8o1dbCAlL7VCRQ9g1qWu4F6+RcaDKNJfxEStZsR2fse0qpluCEEEUe3yrqFMwmcfuBtxOiiNlpRxxFFPZJdkooYxHXIkhli5edKlCwkvxBoYVmZgjaSjCkBlDfpg9JH84QHYWpAcG04843ivYHu/jD8+wdkSzO6Lq1wyjNb3yNak75yjr07g1fgynYsRpNGImRzSM0CGQywnDcIORDRZDDRQEAgIVApEoGcgFAYEkoZQjvAjk8pSMmooxpyrhhhEXjJHRmEosaOgzlQlJWAE1DTssRYr8fwwnbLFUEnBsU5KGiiA7TMSQCWMkC1oiSqVZI6npsk7e5lIFKi+5UUccE9EVoIJgIhKMr8hlzbUYshAZhVR8Kn8ROZCMOMWmKU3k2ZMt3bqmTltsHrNMhixDhumW7MRLZsJyJg6AHnvilIQrJnLMPLtNi6bCEUSF4IaEwFx3WKg7RGqPYXiGJ+GGMQpBs3PG5NvP6XYco3HKzSClKNe8tx9xZRRVHOPUkGLHEXSBaiVd1+C0p0RiGtACykjTeEG0bDFHGhGBPcxwdzLYFmgbGDWCS3+DCorYOtKoxY4UlY7Jhi3DpuGf/6Fw0BNDKEEKRRUJiAVinpDMHGElCJlGOZCziK3QxWuDulKEWU2aGcxJBIMe3kJetRA8SRlBG3E/WIJZMHTXtEmf/cWadOaRW4pUW0Rl0W2EqBuaxBIlS4QTOA9CWIQQKAmOgDEtIq4gb6FjIHaQAM5vmsfCgxGoRCBEgLjF59AIgel5XBOgCsSlR8QtTebxAzBBEGLN5bDDZVpyvxXk6RqlatpUEMUb8YG4inDHhmTHIb1mKxEQCYIIxK3FGIOwljCzsBJkdczttcBGBZ11g18L4kmMp0E2hnCtENYhtSU4jWokIpVgSrJtSaIqYI0XCdZDiyOIJaVZkkZgUovQCrKNdS0YSaVTrqMdXqsj4GZj5ZMb+W4QoBuFu4rImyFhmkCxRjVhMyIrLWrNxgwoFREBtIHQEmsJC0HTz5hG8cbFJTS1GrESLdc9wTyOyZWlkppCKq7kLldsc0DElrhCJdekKRswr3aIWiI0kJS0Wcxc32aSZ0RHEXdUhX46J/ECkQFOslINcanoXaaE6wqxSIjX/NOzMkVOd7JELrPN7w4j/CxgJhHSNdhdhxM1Q7dgu7DopUZ6wUAZGKxZRoLESvZnguGy3STm5inJsSQWmrivaPMG7yw7dY4tA4O2ok2g6ExIOgtSOwUcodLoWCBqiaoiwjohCsXGphw28G4Ve2gcUihCHRA6wugNlw0jwPz0+dYB1jWNYGPgUxLSljoJhK2GRU+RaE3uzV96n/5X7pnEr6T47Rhzz7L9rubstcTnGmXg4J07yHXE0+OINCnp7xW8q+d8RxzjssB9XnLfP6EaDpiNeozCDTKk/PDXuiTv93DhMbvdiPNCsD5T/N0HH/Fr4Y84CFc8D3dBGm58FyEjWiGofGDq97gUQ/Jsziz0+T/mv4zWB3yQf4ZTU/7Ivc/+9JDvD3/Ejlzzf/15zh/+Aw/dE/7Of644Pq/5s//+hl/57R4ffvtj9K2SyPYoZUojl9y5f5fJP2yQ5wW3fv2aB3uXnC81f76+w51ezp3wuwzHt4kf7dD6jDu3L7l6T3G56OJmGtEOaCVEE4fZbdmSJ2z510jVZ6Gvaam5lS4ISYfAiiELngy2OQ27VEjumc94750JQcT8JLzNwfiIt8Y/4ZZ4Shn6lGKPCEuFYRJ6KBn4mkOmtmKsV8ziPf7X5t/gd87f4MM7S25HP+FG7PKJv80vuW/4nvqYbVvyk+NHPPkTBf/7NT8ZOn785gHiR3/B3/lPfoU3bp+h7gSG720zka95HQ/55h8a1jow/H7DkX5N9jRl3CsQuzlXuwWNCvj1iNfrDvfHSw7+huRqEpGmmtZbTK9kZ+uQi5VBusBg2OOWnqA7c/b4mLtvnXIYnrIWHSyGHme05Hwy/D5/8nBA5S7YjjzrpsPZ+Rm7/TV/03zFXj3hx6QYbSlEl4XqELAsGdD6hMhd0SjFq/Rtvpzuko8U7/Ecqy1rYXjZ9LkjDD1mzKe3+G/+q21EtgP5JaGMiHpdHvzWJe+8M8H4BUs/IP6e4dG3Ai9/5zUX/+WYrfkJ6X88QR2d8L2/92/xP18BB10OD3f57Hng9VcxyTt97Lrg5HWCyvexdUM67DG7MIy+mzKIFXs0dK7OyTPHC5NxFfaYuy1qMWAmbijkFh/UX5Nffkxv7Ki6exRkzNilthlowUg+YcwJwu3w3/35Aa/v/Cb72Zf8zWHKb/1znqgJ/4unvVjz+sZz9Js5chDx7B/NeaPfsLoxNH96ikYy+QQuGs+9vKL+jwTdwSH1XUGYSfy5YPG6pP2kJKoEZq6Zb7VEfytjdOhZP4TurmL8RFAVDZ2hYHUL4lDwqBM4/AD03/tlXgxqvvsfFOwfJ/Dv/xnhokL1Bck7XUTuaEJLPc1IS8UON+hIc34TcfrJJUcNbO0FmnyJbQLx+/t0vjug5Bx/MQc8C+Pov6cx3YpqEPAxBOGwY8GV88zrBLmMuPq9L4j+oCDrC64E9P/4mtmzNZfHBaqEfnCsBbjEs/dhh9u/6sgjR7kKZK89/uvA7I8d3dWaqyvHzvehmniqf3KF+SDQmynyaUG8SLn5qCDJXvFLd5YsOlskN9ucfBmgOKfrBfffe01Kl5sLx7lWpEKRseB2U3H2336JXHuyX4RVc4bZMCOJ/mXNb/zWOQe9P+BR/Ir7k0ue/GcLsi9aIq1Q0hNwJN+G3vuWQbjmV+4/4268ZlJaLn4wY3CzZvFly9oG9oUmH0rcoKF/q8/KK6afTXF/OGX14xPSS4lewOLQI//GGe/87bus72lm9+8jnr3Lp//p/8adH5zS+UWBLRT9KcQ7jp1/9wM+3/sW3wzvoZuS738xp/0HTwg3mvxAUP1eiTtvqRtL91/RNCJl+o8v2PrXehQ3kH12wuozwfVHge6Tb8hKxfl2xtC1eO2gl2K/aZh/viI+XRH+dINUiF+DPAHznSFsQYgD2RVMTieMjyzFEWhbcWvoOHxT4/7+PS7e0Pyaixj+4RL5X3yFvahxFra+9wgRTVlPrqEe0XFr6FbEYkCVB16fnPPGzjXtjxpWj6fgX8Cyw/XTimr7lN1/cxvZxrz8yHIweY0dvMauGvxnj7EnNc0XgVhritUav9rolPUIxu8rdu/mhEmFEA3i8Oe9kvz16yhM0aIlpqZzNyEcdSl1jAkZuduYTQqhqKIWVCD+tsCFjMrGNNJTJGv0TsngTs667VEpjZcF3TH4dwzDkPFaaWwyZNbeIrKeWE1Yiz5ftLexOmanvqT33hbJBxopCyrTcGIb1IMuT9UOJ4MuSgq2owXmN96mJCWVLSO55qLt8rW4gzMxmbugYJsTUhpqLsMK37mNHQ6I9ZwjTsloKTtDFh2F0Eu6asleWNANn7IXzVhkPT5Rhyyd5P08pZecYIbQyBSsJXpDIlrHcF5QdftcyG2u7A5rn5IaT+QrzoNiYbos6walHFM14knd44UZ8lAt2WGCD0sufMZzcYuvWs3R977NoLGgbyitY/KLj6jXkqPRU86qfa70Lm0I9M1LfuGtE97xT6nsKSb3VHnGjRoxqRLSPGXhDYUzPBJLHplrOmHKRdjhpSggLFj6FX3d0ndztL1Gi4glXaqQ0olm3BXPwXtm7PEiHHDtuyzpI+gwZYbWBmFB+IBwMY3WtDrgld0YQIOhFCMG9NlmQkedEhFxHQYMxZBdn5KRkHhwumWF5ol9SCWGOBWorCaYnNQumbsuidHsydesrcDGu5z4jNck3Ph3eRJ36MsZ520fVIe72WNuda5Z+w7XogvO4INCKdh2MyK/4kbsUImM+ySMvebE7PNY3qO0moFu2JGXJH5OsBmX+pAv/C7GH3GvvkdfNFyqlAsRs360x5v/4QGGGWdxzKvQJ9wyGPmS4R1P4Q+4aB/QWkWuNi2yhDO8VNS+BzYgBJQ6pvCSEQU5S+oguWLMNBqwUI7+fktlFgi3ovQZsbBEHFOYhBu2EH7Jrnjy815KfibVfCOpJyWdg5bkKOAVFJ8U+M8FbRNQD2ryA037qsLNKuwK8krSSpDLJZNPF+SHKeW8whTnKAFuV1DFJf32DFxFMJZHd+eY10tk3SByCEMJlWF2XNCDUyJ0AAAgAElEQVQbpsgDi+gEqhcB2YA0AmM3umdvLSKRUDa4GmRX0MQBHTt0C/5qA4qudARdQe4s4mFAvqOJYmBfYpYCdw3hGFAWedvjxtCEiGgQU7x9wCsNg3HA3HxN7BrE/RjXRCw/WhA9dVw+bkneFXTHNcEE1EhSWk9VQzYUGCGongrkhYK4ocxK4qHG5oriOhCdlZRekLSW9qTCACIWNCuLaiRRDL7v8R8U9B5NGYYAYYdaxlyzjZM9eqMrDt6ZozuOYBTkAn8YaLs5l0mfL9UBn/CAfQRVNOP/pu5deiXNrjO9Z+3Ld4v7uZ/MrMysyrqrRJGixKaolrtlC4bcbdiAJx540GjY/iX+AR4YMGDAgIceGLA96IYBAd1ooy21RIlsscgiq1iVVZmVefKcPNe4f7e99/IgaipNJJvg+gEBRMQXe69Y633fR2cQBrAxyjAMuPvhNYNVS38RENkZHoKDKEK+VYoNSAw7UZPvKPct6awjJkN7NGY+K8lljSa4MkM2xrA+3CeiHJgGoUZRXpMxp2Kp+zys1szeForWEPNILCC/NDtK1BuJ4tiz6vd5VrxH9e13eePkjs2f/AsmTcS+IfB4gBv36EXALDd0N4F03mJX0A9BHkC26rj9UWLcrbD3wA4sd19FuKyZPsrQQYWRDQ/NFYNlZPNxjRkI64Mbhr9niT7jcKmM/v0Z4VLQUnBfbOh/ojQGzOmcUZUgQFhU3Pxsy4AChpbqvY7JPxoyKBXjhfp5S/FgRyyng/bzKyZv5WgO3fmWfC8jHnjqiw2Vh81KqIaWcN9Bk3CNp58CR4opFX8biTeJkIH1juw44oYR+a7FvDmgzjLM1Zbh3/Ab/1sHNbHY4kiUaQnuFooSkUdYc41kXxFlBtU9xC4o5Zyj7orD/CVK4JBPOeALOj1gTyccxad0JvGJHFF4h/YZJRVGFGMr7utf81b/78mkoHdP6ESIDNkypHcLOhqaNKKRMXcMudR7fJU+4shA1b+msQ039hGFGeP4cwbMyUIJ2xniplT9c0ZtgVs8YbCZMOEpSIfR36VjQpKGLmugzxjVGbPtHZMQ6E3G2oyo7ZZhaki6xNBjsgW5fEWJxZkRKXcggagVnd1tKQVlGOYY1hzrPuiCR9wi0VDqgpGrmet3OZd7SPIUZsmk/5Ii2+NLfZMNK6bpJTP3ik2aUmhgaFpqI6hkZKmhMw9o5IDAU+Z+ylPzLa7db5LMzynij1BbsJJT1jIgsSTaLa0eQmtwbYHdKLKZodv3mXSBnF+irMnlhEr2yDVH1ECCAQvGesM4vkHVKTH2GFlh4w47Jq6klheE/AaTX+GzEdt0Q5+tEWcR+xaYnlwvOIgXSArUbsA9/Ywn8UtW5oDO5JzEr4lYLp1QGU+KnkESGutppcQwYdo3DPuGShcc5iuutAS1BDyd5GxlSOMT11Lxy+K7/KI44onMiXqJ0KEYbMzxeKz1hLQH7QOyOMAmZbsdgBdMWjLQBTYKtSkQ+5JclDx8wGYzwq0eMG4VzwKvV1iBSht6Z1nJKZpygn6jNFdDYSuES9S0BO+QPFCYp/i0JOtaDvJbFjHQWkuNYthiWGDjknEMjNqdt74xBps2VLEFl9GallG64KjbcJMKbvxDruwjpmLY6Fd/n33Br6RUDD5k5H1ANGESTDZCtbHkPbgEtJ7RSrBtQ5EmzLYLpAhETahJmD4w2UBagA0VsvBIPicGD7GlSor4JWWfkbcJ0QQquNaQBoH5APK9xCfjFpedMzmZsBkoJyERRdFhR5o2sFLUFvRZ5C5TpjFikmKRXThZiFStUAely3puRhtsH8iDheBppSblAIpL31wWLmKTMuwDwxhZRag6S1YL1pSMtzWujuythMGtw2wSuSSSCilLuKsWtpG+F3oFNENTRogRujHVtkY6TxbABCELIG2PV4tEKILBdIrVntpsKVzaLQxqg29LXFfvtmcKBMFowtFB9BTdhHy5ZnInzGJELiPJK2Hesql/yYaWB+mGWdOja2X/VsjUo1Zpig7bKbaF0/6ORjf46MmjIp2jah35umfUCoO8hElLdB27hZxl0MJ4C6M7yK8KmAuDoiY0SnINZ/ktl5IYl4HRFo5WQnErsJ4i65x+ekaP8GLwLj9z3+Hb9ofUXnAK9LsNXPmNryICjVfy4ChqsF2FiVtMnzGZK1nek88TXRhQ1QYTPREBG/BtYq9hpw5bQsJg1kIXIxLAWYgeTMwoNz2m85Q9GDx93rMaJphu+cVEKDH89t4a7w0ShqhtILshDbb0WxCn3FYdZ1nHYd5TisEquODImo4qQJXAz3P2b9bki57QLjFhwqiBaqUkD+UG3DaQFqDBoKrkzS7gU2NJT0BNC3YX3GzE4X32a2836CXgsTg8re1ossAKgxBZEnboTwZ4HKU0tK7jhoxOHaqO0uzy0YILNHlg92oZa7OlL1o2KdKaEQVjXmUzbFyhRrgg4xe6T6BirYkpjoFtGemGCsvGTAmqnLsJz+OMXCKNBFoiGyrG0jBjw1nK+IwJhcwY9D1LN2PBCCVjawN1FUk2Z8iIioJ1vmFupixlRKYLoiyozBeEFMEovelpZEgtBUu5ZOECikfUoNZw55W1SZTjhuuy5EIirwVuNJETGZBoNWcpFYSKwgYWdsLz8pg5j4lpgcXQoGzthLk+4TIbcVlYinRLpSXJVvy8fJs4cXzoLc/KYy54iLU5p8WYkSgDbmnSHbk01JJzyYhLO0YkZ2uH3NrRLuBct+R6h0hJINCJobUDVtJjZc7EbwgIa/GsFHIxWHoiHRtjuGbKSo7Y6ITO7NRImWRYlwi6xPqGtZnQ4pilFZ7ARguiWjKtcOJojcVRkrSkkQFJcjpgbYRITqTkxh1xad8kqsNoR44S7YArM2Nkak75gsyssNqQ6RFrKm5syRRPTDXnekxtRzhnsfolK454pcfkRlFqIoatThnrLQs9YE3J2GyxumZujrniPtEZRrpCUksvM9bGs+KQjblPEEteGNasuZYxrzmhzHos5xwS2KYZl3KPXmpGJCyGayn5rLR0JCYKhgwh0UviVgMZEYsyx1PjOdDAnlnSq+EVE9YMqFPO3N8RzCW5dKxTxVwCp7QsKLlgSGDNTFZUv+rD5O+hsm43GJHeoCYCStEZzNqSuoQ2io2W1AXy1iOrBNYjTYNzhlmfKOuM4SJg1j3iQce7YYlZ90hIBC+YvTtkYyCCqCEZcFEYNOC6nphAY47rEyZFoiRUBCuKKCARFSU6gwhY4k45I5AESD15UlLUnQ0YwEaCU6zdDej4xkYq34RLuwiiu7tx7ge8dCX3qp6ZePLUIdqCwrAGOx+yF1qqusc2cYcubwVfC8NWsXmP8ZCvwc4NFIFRCtgsguS4DspGyFSwbUHabDBGkGjIm4htcyQYYlkTekOeLBWWVnvUrFEBHz1lr/hOd5Y+p4gkjIJLimoipIKOPZL5FK8J1wn0SqU1NtWUtZDXhqzZKZcxu+8YtUR19HSUUSlrJSULOHwXcY1nJRlXJjLFkZOz8IZWRtQEcq0JGjDiIY1J5pCWnI28RN1OpUaIUCpq+QbPncB3BA9bW/HMlhjb8BuTwL6C6z2tVWzeIEnxyUC02BSwHUiT4W1EWkGyjGFXk7VC6oEwYlRvCdsO2wO9QzSiNGQxYmtw6hiPe3wHw1gwqJWi6aA3dMFBEvK6xniLqTMqWkyv6MpRXVlyAtok+tOAadbgBEmJ2O8EXiTA5phYY2jprWAjEHd3myqQLF4Stg9Io6RG0Q5MUIgR0QiU+LgL6FfnUJvRa4OzGRs73NGp0t+cJfq3K2r+sWc0XfPm8DM+lI+R/cBSTnHmjiPO2PpjPn7wPpO05q3LX1L8MlD/UcaCGS2OVdpHzYwlAwp7SieJz3kbdSO8CTRAnw84OIKn+ia/HX6bk5//Bd968jntpGFtBkzwrIJl5Fq8XiEIaykY0POkeMR97vhO+DFrIi0nPGbOo08/ZTmZUH10TvnfbdgvDYvhNd2je7z3315wcvhD3pOvsSmnd79AzSsW6RgZ5/B4xcnbhjhfY1zL/XtfMeU73MkRP5ePyLMh48MVe7bjA/klB/acm/HH3FSOMY4YhF8e38fnlt9dPmP68ReUbx/RZVuW5j6xPyBaIdFRaeLavMlc9/ghf8h9eUYwSqP7/ELeYilTPtocc3C+4b67pX1o+Nw84L4qJ2HNnTcIGffScx41z1i1gfH0inunX/Ou+SHfSp+RKxjp+Xb8kntfvOTcPca4RP7HkXe/d07v4HLgOfingWr2rznhJYZAZZf0MkPfzPjpP++Qf5VzuLxH839FTObRb1tsfsZHP7jhur/j4nrKq+JNpumW78hTvj/6EcaN+VoTI4lUozU31T16LfhH8084/eQp8fiU26OKX/CEpQxRGdIrPDX3aRnyRXqbjYzYuJwlHRAoDkd8TcXlco/i8oLRSc/p4GsOuGQ/bUCEc+kIcsskrTjSEb39kjSa8xZf81H6EVE6giw5EMPp1y+4dzjnz6cf4//r32FIx33zKdt+j7Z4wMHsmj1qDsyCGTdYvaCsWvT9d5l/lhheLTnNFhxdfM1xdc70fs2pueGIC17uTdg+ucfeSeDN7N/x6PFneFvSWEMuic10xKV9g4I73jLnTH5yxuj79/kLc48LPmAcHQnHl/5NVv09fvD5LYufKX/5n/yn/HQ45nv6V3wmv83/Xv8XvLib8f3il/w3L/57yvrP+MMn/5j/c/qMsfma43T9d2wFfvW1/X5i8IXBXTvS9zbIk/us/mJB+mrNwZMecnYWoIklJuDojvBh4rls2fyRozrxZF9s6FtDOLUUfYu960kHlmcflqwfPWb9Bx7vV/zGY7D/23P8vGP0XoHsd0hM9KMJn8s+P/QP8PouU91S/eCM01WHnwjpusdfDUibiC7WmA+Ucg/iJjEbdlRPPHaU6DcG/6Ig6ob0Xs/CVjwvPMfv9nw0Nix++IK9TrB9ibveYhpIQ0f9vqP7Qcbl4VP26wOqeYN4hSeB8b4h/rjH1on83Zq4B7H2pJUiaug+C2Qfl1ipMQZkHjDrSLlu6LtAYSztj2+oDgS+r3Bikb+I+H8T0UXP3ScWLTfkv7dHlZaM779k/A865OcKf9KR/gRM3zO8FdZPekzo8EuL7Rfk1RqHIg+A40R3DsbmdL9leXpQ8GO+xZlZM5h9wvFvXOBVSRn044YsKQ1CNr9Di4ozctrRmod/vEfzbAVvWdp3LFGVWNa0b0c2HznqvQE1OeXRIf3+HPOoJzwImC2kQ6V/tM9l+k0+FsuWlnfcAE5hPRSyjwzSrpHllvWHjq8eG6rBSz6UnBEXXB3X7H8H/J2QTjM6r2Rn+yyf3ZEOA77YY/nvzsinSv7HEO51SOswM+jvG2ReYO+WbJsh9bsGHfVQRNpPQYqC9DuWZd5y9Ilj81cR81/u85NvRXJ7zDt/3TH/H19SrRrKf/gAmmvsTUAPc/7s9Pf5n819TtQTPvgR7//Rv2H4L9KOoDJvMHcZRdtgXi/Jz1uO3lJKvWN04pF3BKkSGhy8TDu+5kwxvyWktwOb0ZJxd8h8LRx/6TE3iRj6HfPLGuK3Df7DwNdvCNvJjEc/9cT/9ZrisEL3I1K1uHXaYXR+zetf8p9xFd9E1TIxrwiUXIYHWFEqXtEay4JjVCG3G0Rqei1otaCRCYmOA27ISSyYEbAccIeJiSudEoww4IaY9nnZvcsgbvio+ms6c8Nzuc9NfMin5rtUes2H6Ss+CJ8QbeCCKRsd0acCpwkwrHQHFVjHIWo3JFtwLhNecEDGjEOFVdqjtZaMlkjg1h5yyyEuwYXZkskNFTU+CZfpLebmkA/C58z9exzln9PZAz5L79CmglfpHYzfciETah0wNjmroFR2yT/z/wekIRdhwFfZMUuG5KnD28CFqbjkDTo7oowJTOJpN+Hn8/+Iv/QfcTS9wskdKw6Yp4e4ZHhXvuAt85RK1tyGfd7Do6bcqUFiy76LdK3jsjvg49F3uOOQUXgP7xydKamD4kVYtMd83H4L57e40vGpnnEqjlocEy7wumKr+4S0syqpWFIwFMYw0iXJbOk0kbNhwJaQDL3pGeszRrKgkA1VipRyhzWJ5/om1zxG6HnIcx7wmnM9ZC6n3MkJi3jBMg34Ob/HV+2EujwmMuCu9bzI36Mho0x39ClH7ZSFlri05Ni+4g35jCKckpFzJHOSCo95ykResZF9LuIxK5kQpOB103Gcb3kUL3iTM65MoI1bCmMxCUxfcM+d80B+gsPSxT32WCB6RiYBp4a7OKKg50jOeGB+iXyT0LvSnFe6z9oJD3RL2WzZ2n0WckgvY47SS1y8pIiGOjOIeFZ6jximTHHcWMelG9OpR7oSzQJrAsPk0JRzaz1JelIacM6brMTt+lbtsCbRxPtcBUthr+nUkqhokuWOIy7kDc7lAefs81/9uk+NgVf/wYS+mzL0wtCvMG5L/EGifFexUvJ6f0DvYfp0gftSCKHEh4AcWPp9Qa0lfGO19kMhRcUc5PT0+GGO6SIudGyPYXDtaG4StgOngv1USX9l4CNP/3agOEmkG8HkgnsI/a2QoiF7twS7JVVKcJ55WZGs0ErBV/37/Lx/G7VDes64tO/xQXrGH5X/ln33kq8P3+En03coY8egW/Jld4+XPAJvidZS2zHkLWfyiKc84n/xhtFvr0ixJKryT8yf8c+/9T9xXF9je4c9HiKzBelPLelhwlkhLHY2Fg537BQnijih84pkFnsRyC6hf6iwNkhfY4cKzoADWxnoWlLmaIcGtYFSFjg8z+RtbDjhwg9RNjyuEif7Z5hNIPQtHtAcNt/Y26dpw3v6BU/MJ+y/vMY+U8IAQt/j1lPqX7ymGRiKI8d23uNfKdnGo1iS6fEIAYtmCURxtRD3HPWhMh9EtowxFGzJqSXwdrwlMxkLJlxohTOK4ngYn/JEGkpzRpAOjgq4aUmFonlBHEE6SXRve+pceEc+5Q39GUY3PC497R8mUCXuKybm+B/ucsL4B0J6DOEJZFi0SURN+HGgPwb7BnR7Qj9L2LogX3TcHuzx5we/y5dmyLs84/FHVzQP7lG7CpO1pFFGlvXclwuK3BAHuxwlLXt0s8t66wtHl3eoKsMeVDKSE6QSXLGzwNEoOjfkztC5gLlXIZ901F8o2dDRn1gkRugU3asIukJnFfl0RZtF0ndzOgu3ZsRL+wGv5Q2aDDZpQr695cC9xrrI0mRUqeWjw0sI1yztiO3h+3z4N/zG/9ZBTbZ3zv54wQlPeciX5F64lQ1e1xylO+4ErooJs7BlurnGXEUGoWfuEmvJWZsZIQ1Y24qOMQGhpyATKG1LxpapdFSZYSMdWxXsvOdwtWW/XGHKQK6WXgaAodIGpAUckkqO3Csm6Y6hLCkIzOQ1Q7sh2zRMTcXeySWDwxsOijmj9JpNZTh8vGJgnzJmhU+Brb0isaBhH/EBxhE3kZ2MbZs4tStmXNNQkWKDWEOZXTO2Gw75mnv2a4YsGDNibA3B92zEIAb20hXFTU1+nFHOHPPM0whsjSFITugCQkMhS271hFaFzmZsNSOZ3YDFKrhNZCpbDsOcV3bAjJJxWtMCuVwyZMG075mtNxwOLjguRoz1krHMGcs1U6aM04py27BPR1a9Ynqyx97RS4JYamvZT3O8uWBMg9OOwiwINIwHb2Ae9TDMkTiifJ2zV2456pfU9oLJwQ2hvuGq9WA3CGv25JpT+wqVMcbkWO2Z2DUj39OkgqN0R7XaMhknCjUsZUZlLCJC0kSSQM+QEHOsjRjZ4rXG0zDKB/S6oe9z/EKYjgKT/Tm9eEZSo+IYAoaciiXBBPa44Dg17JlrprLE0HLMHWPjKOoVh3VkL7tlenpDkVkO9Iw6bllYw0heUbCgZMswzpnKhnV+j2Lcw0hx656x9sy2Ncdlw8TdMjRX7PGCphzzrBImuXBqnvNe+ZyklpVUZOrZ2paULF5umHJNtdwwqDO0HtBVI1rp6enZ4tloTl0raa40sWKVSoyW3KYhz+UBn8S32esCy1XFW/Nznry15tBfM5Qrqn7599gW/GqqGICZCHGjMPYwnOI2giwN1USI++wuzEKoEhgPE/EkM8RVUAxBBi257fHDhARAwAw8hcvoj0a0x4JGR/VkS5wotjGYIkdHNSmBeMFtLYPZBMhJ2ysG05w0XmEMmLVAFLRPyDpibnIG20DXB1yxxA4NYtzuCFs7nLHkK0+5zMiPBuR5jykgt7sVk5id91zUQud2ksnZjEnWcnqTMWrMLqdo4MmtQW8a1IOpBJl6JPfY0O6k7CtFv7bEjWJzoEtIbChdwvSCDxZzVcPBALvXYyuBS0HOFNmCvzGU1xZ/nTPzQoHCntJGhYsEG4E64XKH6Qy+zynXFttCJR1BCsg8jBW3DRijVANDLjuPedlnVAEGpSITkCziJ4rpBXer0Gb4lJGlKRUF2bQhHfQwhqraKaYkh6ISfJEjmnBtpIiWzIEZgLE9khnsTJFhS0wdUUeE1AINea4Y47FlAVkNJpCXhlmwvFffcD/PebPfMmmFqgIJDTJOZB6k7ujOwEZBsjHaQyQjPxHSZcCtLFkmSCF0heK2iqlhkAniC8x4RT4GkxrcsGCQK2RKbEFPZ/DhliZUpFUOmcFte/TAEbc9Uis2Lwhpj5juQypw9gV+bCHr8SRkA/SCZAJRcXPlOOV02uEGhqyS3TapFXSzozlQRMxJRuk7NFaYfkDqDBpyyFtSAtMmzMDgBwa5n5N/YEn393FtTxwCxoIBk4P06Zv7+9e7XnDCl+YxrRRMyDHknJmHWFMwYEJCWOkJuz1aDWyxWDqdsdE9kJqV+ZIM5Y4TNFlac04S5aU5ASyHvGBuTvnKPGAiSw7lHEFZcsgtewiWip5DGXLoh9QorzmiMwmDpRNPMJ4lkY2pqN2QiKOnp+aUpO/QiOfW1tQyxKZEZnoCng0VSybITk9NaSIBJRPHndnnRdoj8zPGskfnDqnNCS8Y0RnHUvdBHvGUQxZmxIiMbd9xJHOeZv8Kx5DXdsIlRzQyxpgWQ2DOIbfpHo1OyBxYGlLcY7U6ZO5HbMv7zPI5ITiaOCZzSzKZM+GSnMDSDqloCKlnhaBOvgnUXNMDN4yAI6a+3G1MyenslkFqWOqE83hK6S65ZcxL2UdoyMhQ3ZGMWmmosaiUtEkwLqOmIqUhQT2N7mPU0zKhlhEaKwaxYZLtJgHWGCoVKixnfU7IRvTagE6xYac4bkxkjnJJxtIMuQwTLqXgXAbkco8bgStzyoKMkXEMpKNBqFNGkQzORjLdhe52Ap1UBCnxahhpu8McGVhrRhDHNgSMZjhRklg6hNzlgIJEGrsA0+Ex5OyIMEG2WAogkX+TQbKxGVsqolYMUAqJIDWdKE5zApbGN2xMYs2QjiHJ9NTGsPI9NYbdmKtg6wvWAhtKVjohkfHa5mQYEom5qemMo2ZIoKOxHYmCOjlK6VBpCAJqakRyVhzRk9FLJLMlr5lwyx5BS4q0+rWHLADUBxYlo4+JNrkdUGO/R0thm1vm4xzftOQ5GDrEAl3EZglbsnM1FBliEsZ+Aw+xES8RYRfCrEbJvYBTUlB8D9IDtWJuEywUI6BZwheCuAhTsNsdkVNPLYwtkvfkLpCqnq3L2aScmzTlmR4h2QFdcLxw75GHwDxMGV+dEU3JarjP2gTmeL7iPs94l6QVXcpY2SFOF1zqPb7mMXOBYl/Ypn3CRrnnl9wcTjmoXlHXkI0PMcMlZtEjKweFQBOxLdBDsKB5jxpPbQ1FmWP7DdkGNH6jYmG3HEwmYTKz+4yskvKEesECpQactDRG6HQnYMgoaNw5XWawGdj0jQrKgI+BLEZGbDjRCwrWmDbCRlC3Q2jTBtwtu2ybTHaukA60U9QHaCOWnVW+L3bvxaUEDkwG1lgcBQmhx5HUY0SJkuhxBCMEejoJeOkYSU+GpbeetshweYPm4K1gvRKmEMvdGbKvG4JGOlq8VeQQUIuZBGRlkUaIKmTGYAZCjLobktwFtAHxPcUYsscgI8UXaZetNoVu6li4KaswoTVXNLM5d7OClQzJdAgJ9tINeeogRazsrHfiIuTgNOG0JkuJPoBYj7jNTh1UJhBQ2fXvJgkiO1tXyhRUkQWEhSXd8/RZi7URXEuTQzWM5F6xNqGTgMxyWitca8ZZ2mdlcy45ZBoGiEl4E7liTNl1PKFntrkkuJZY/M1LrL91UHPirhjqhoKaqa6ZpjWV3QKRodYYhjzkJfvpmnuyxK8S7y1+QTE9Ze48LXaHQsYwSi370rNOrwhqKNmSpzV7lMTkmfiXeLdFIshG2Us9azJ6LGKgoKGgB2BDJE+BB7ykki21VDu2uawxfo0fFAzm13xn9iO+riqG2vMgXpKZLZqmPDDnnMotloImBaK9RGTEILvDlSVtgqgZedvxdv8Z75s3mds9jnRFlJ1cSlJNbtbscU2lLSPZR1SBwIcRtiZw5BeM1MHtlndPzincltaMaVLOzCZOZM5duGPhE0PmHHLOQFe4BI/kBWvJKVkQgsWGwGhTM8p7NpIzsBVCS8YGR4frLUf9kvfDp6y9o5It4HAYBijGBawkTuYv+MHo/+ZVesU9fU1Knqm55YBz7qVXHHOBofmGEHXLvhxQZBuQnMYXBNlwOHrNgT/jjhUjveVIv+ai8njm5NwykmtmcoOJGzo3oiVxqD3jdE4TYd8vKeueo/lr3r5/xkt7TK8VvfF4IlV6zUSuiMmzJiNYONFbUnDkfsI+V+SqZNvErF4yVc+tjOkocAhjaoSeaAydGCpdcE8DQ1ZsKcg0p5AeqzWZN1SLhm+7z/n9/N+y1SFvhAsabrnhhkN9xoG84EBXnOgcpWejR1SmQ7ZgeoN0FrvpeWf0Sz7IP2UlHUtyGklko0CuW/ZizaGucXQsXUWiZMjOuuX1NYfma+YN4HIAACAASURBVIq+o7w45/fHgZ+UjtaMaFGEBW+YO4pxjRTCQX/GiR6wZUjlFrzb/xmb/CXH2TlxEKnaLR+mn/NbYUrpV4xl/XftBX7lFQYGP/LkztCeO4ZZz7gT0m0iHijtmw57FchWBrsyxNUQ96cVaiyzc4c5s6SVYipQI6hRrLe4aJk+C8zskk4TjbFkr5eoV/pGdnL190uavsVMNjzYnvHR3RzxOcfhjvxkyd0f5FS1QVKk1xp74XF3BlLOYuBYVB0Hb2d03wvkfaA6gDjY0ibIXMPxZYvZBoZ9h1sYqqAYo4S9RLNnMC3kbYMsRkw+NzweeUavGrI+ETHEpeKODO2RQbKEHFr6E0X7DX5k0F7p7xJu60liMIUiMSGNwgLipqMsEv01mE1G/V7HOAUkV/oE7tKRxcR6AbPKk0qhX0biYUl41OJJdHOwbYsrPD5FzMvI9L4h1EvygSHMoUngDyzbtme06onnW06LM75fGo7WPdOLK2QA7O2yaTQX0IzU9+hNTvaZ5a1zS77MaV61pGODjCLaJUQgNob0ZYF2JYNhomhb/KtICroLhQY07WAhMmzw2SWV6elMh2SWshLcyhDEog8S8kool8qbP1vwD90nbItXvKGvKc4j6hycCOwlSIKZtDgfKC8Mtml3obKLRDhU+jeV4pWS3e6026ZYU24t5nOYrQW9diSTIyc9khKiDVkykBuy0tB9VvPGQyFqTfHSIJ0QNJGOW+aZYTKJxDLweP2U/7Cfc1wPOW1eIIcd+l2l7g2ZTZgm0t1YTBcQb5mrJzhwh0r7m47CB8gSbWHQrcKgRWyPX2RUzzLSXaT0iTTeEh4mGiv49a7ZtJLQZUX5XHHbmmxh2aqli0I23Z3DySWyyvyKT5K/e325fZ9Xckr0CbFTnChbLFELGpmSo4SU0caMJg5QUVI00E9YNyOsqcmrOZOiptecbRyRSU8hc5wGenLu4gELNyT6mpxrBKjjCbVMQQSrQkpDWuuxNORsGVLSsQEGZDJgi7ABIDHQLTPZkNGiOsGlJc56MmlY2Mgo1ZS6wEpLx+6P8J1WtPQMSNR41pIj2nGgV6jZMpIbMlmz0EBplgzSilwTnQzIdLfVvTEjxLYUesFQlkRZ4bSlkCOCgtGWzPTkdGRi2AC1dBQoUSLJt+ySJQXDGtEeb5XcXHPAc054tUOryj6F3pHRASNehhk+azmxV8xDpOOAeZztrGfbFXujQJQNy75iZaZkfonqio0abmSGJVCpp9BESA1zW3KjA1QKrPH0RGoZcsOMKJ4gJUO9Y84+52aEMiEDDDvleEWGIdIDV+Yhl/WQcZ5xp4dEV3BpDIlAIHFr9rnUE1YmJ9nElozXzLi0JVfdHq33dCKsZEvSkmAsy5DzSt9iGYa8TgcYFRr1XMURa3lE3yZ6N+Om3yOSUWwS4aZi3kReHT6m946v1wesOeJw0AMvSbZnQc5VOkbEsqakTDMMLZey0wLdhCmQs9URK07JFpE7nXAxyOkLGLFlkfa4NsKNjPApoWKodE6LxZsBicRItzvOq2R4tgykxmqPxALMhsSIFCHZnkTAkpNpAOnpZIT2GaOsY8IdUSO3MiABYEA8jXpWmnNmDlnqjFIiZer+/z88/j+oql9SmzFr4+hMRqYGY3OusoJFUbISZd8GdAyyrwTb41ohDRVTyW4A4LfYId8MA4SURUIJVLug+T4BhWHiHFnoMI1BO91lo1gwwaG3Qho7jCpYj24CtlXUQXAdWiTMFqQxDMdKl9f44QEi4M2KwIwYjumt48rscelOeSyfcNC/5sCccysTOgxeoFPPHcf01hNImDig0ZLMKGWIyNphRMBGLjPPLx49RL+74tW2YvDgIWMMH+TPSCFhx9BVDoaCK0CPA/XIkNyA1wNHuZdz/yJgmwbWHtMmtAcSSNCdEsNZYghYDD4lpDW0WrJlzJIxnc2YM2WoFb14GCk6hb43WC+4idBUI27Y506PuUkTOmZ85Crs+oI4CzQjS+F3tnTT7gZm0oMNBo2QTMR+80gL4PqdTYwgkCKSdotI8YaMDYUx9P2Q5BzQYWUNGCK792Slx6eGzNb41OJsgjGEiWDMbiASBsIim3CnQ4ZqSDS0YmlRvIA2QkgOM3TowKJ3iplDKjy6DdAZtPnmuduCC0K8cOil4gcCs5b5oOSFHLDREpssS5nyhXquOGCjh4x0wT43TOUWPDAG7djRFL0gZmevQxLW7tDifdnQvwPtzGLGFavjkjAxDPqeMUts7FEju+DkCKYVrM0IhSMNBTaK6Vrc1KDjhtSlXY+pEGNDbj1GIq1J1JIQ7bGmR1QIqSLIiKRznPSUqaPXhpXm/E2+8L91UPNG2dFoRWKfiYG30jMaRixMTkw9E+OoWDDJXnBabbA3iW+9/DGH09/gEw650XtsdEaiozCB+8zxfMnMbMlMh9M1UQagiuOMkkTYKvHsNQfvDHiFY5tG5AIFdxTSEhmw1pzeJt7X5xjp2doBnXiG1IzyBQcnU7rPnvMf3/9zfNZxJTP2Tce9tMLYOx7IVzzmHGcKWhZ0vMZJwf3Dll9MhVUvSDaiaGq+l/2YOY/5rH/MfpZxrR5vCzqtsFQ80C1Gr1i4BQs8lSofmedci+HtfMus9CxfPefb76w4NHt8xW+wjUfc0xVvuRe8iBWtlEzcc4baMpCWzrQUJrKiYM+uaGtLXEWqRU2xV3NHxUaGOE2MpaEShSjstTf8Lj8BIxTcEbGUCQ7MhmHV4Ixy7/VT/tm3/pSfui9xOiLiaOQpE255l6/YN69IRJQRiZ6VnbJ/suVy4+h9jjmB4zfX7M/OCZTM6MjLBYvKo+RMU8t9rrhvz8kJVLLPXHNOBYws6H3D/XJFvjZk3Su++8FzknG80CPmDBnRcyCBE3PL2Cs+JTKUQzkn2ozXckSmd7iwJq62DLoFUyxzxtRxSmE7BnKDAWoOsTFn3yw4yteIrthETyOenI4i7xlMS8xPP+MHo79imSfO5D57xtNJxoUYRmw54RVvmQVH8ZKhadjoMQ9GBfJCwRs2m5z1Av7g0U94lv+Yf6m/xQUTmuyI4cE+eVhwTOKhvaWIr+mYsaEg+Z30OuOWKVcMUws//Rnff2vGxMx5bt4ndBXeb5j5Mw7vtayGhqP6nFMVblzGTK75zwd/yberF5heyO/nzAYNv5P9a1Yucs2Iffvrr6hpPzCUfc7o2ZrX/8+C8eqcKibqT88Yfc+Tfs/RftZhPm6xsWB9Nif8Dwv6PGFzw8BAMIny2FF7TyQyGjnipuP/5e5Nem1N0uu8543m63Z7+nPbzJtNZWVWw5LZiaYpWZZNQTDgmWCP/Zc88R+wAc4I2rIAcWDaJq0SW7GqWJVVmffmbfKcc+/pdv+1EfF6sNMzkSMCROn9CRsRX8Resdaz+r+6xP7VW2wPPiTqwjKdO+5+1uMnlvxfnWA3lzxcO5rtT/i4HhiNc85HyvBfwvDfZfiNp+97tE1UPzwg/d4FIc95OZ9w7RKjf54z//416bIhLCxxlChspL7dwo9+zqQFDMQIRzNobiPldwT7gcVshfA3gebrBfm7JZIp94Nh6qYMxjO8qxn91hT7xNJ7xX1bMN9y1G1AzL6KdCgGirHD/tQSi0Rwgmwytjcd/V3D8XcTX/5Eee9xzehfFdhfdMTbxLAA8yKHF4HP36z4zUcV29lAd9dz/I/PsfPIsIxkF5A0IeOc8uWW+oe3TP5bYb265expgb5oualbZt8/Ypi0xP+g1D++ZfLFLd/mDb4ZqF1N9XRENxJ0GzEkZJWxCwH/vKZ5fo9s3tFeK+lIOPhnJbUG7FLRWmmXCf2yxf6wIeaw7ZVJzEhGMTl4tWiXiCUUj0fYccSRsEDKWw7eA/48EKsO+ScZm3/TMLuw2B//jPfjCyTLqPKGdQxU780wT0Dtirz2SFZhdWD77zuqJ19hvCM8v6b8fsD9ipD+KhJ/aIijQJoOVH8t1H9wSfHUkq4XtA8T5T8dETcDjfYMGYxOc+QUlv/bT5gkx7CJyDXMC+U2WGYfC9mvFgw/HziIynDzR/yLmHHYV0xGDc0PBsa/VeKDYxsDZpUz+cOO/suaVZhw4Qsybyk+7Zg/zEi3EV1HzE4wbaL7uiXzhvZdR33fMX53z/EJtKeB6neAqeAWFt4pcTUgV1uG60garRnaKUMu3Cw2PPnkAVYG0mUH419+KsSfvP5NWlcwnm/Ip4mZHdBuRmNHDDZgbA+dZ7sbsUwjQj6iq5VsG1nfWZAZm0cP+PTRDRozXq1GrKcf8dj+gonpWKU5L+vHmKnhSBY8TWskZdyHGa0bkScQreh1ysArJnbHw3TBWBO1VgwqQE0jkYWZ0mM5jlsecMu9jbyznspYKq0YJbg1ipMtU5bM0poxA72ZcttEXDEml5LWGLZiOaTjcVoSNPHQ11iNDDHx1KyZDS/pOeRdBpO05VZGXOuUSncchC0fphqTtbihpXPv4dOUKjaUfg3WcsEUEYPVHicdmifSbEOqK3q7I8orJFccllm84SPe8nFacJ1OeWsjY3nLiVlwl77Fl0vLyWHHE3nJopjx0/SQq22BmAnN1Zd8mveUWc8f3lZ0D86Zm5rtcqDJKm7dOX3yVCSqtEFMxn085p45QQxGDF2CzlXcxTEre8glhxzqFVEc10xoGNNIywljUJiIIAqDVPwsfcjz18JvfOh5JYaVnBN1xPvpGjEr1jzgIjxm4w5wNtJrza3mvGnf49XuiNkR9N6i4ZDcGAobuFjl3B98j3q9oA2e+SznS8642jhwT2iu1kwnB9zeCd5m9D/boN2Yjd+S/7MPeHA45y+fW1z5jO99cMtEL5mbOWoqvg41rZ3QpYdUoSbYluf2I76Up7xbeM7GR3w+zPmxzbj8saPelZx+2vKr731Blnre9g95mx3S2H3c12rOWRdAdiS/YFDlgI6dCl6FufG0sqOmJqSSO4SNhWgdReopKIgyUKQ7cjHcyAPWWjLhimf6Co1Ka78HKozSjmQTq1TwdTzntf8u2wiH9o7c/qch1BwsdjTTkptyjFFPrpbGn/JOjhhsxYhbxr6hft+SSkHuBYyjCxFbWkyKdJ2S54J6IfWWoQzYjzzpsGQDtOJo1TN5PiD1DjZK3BnMqGDre9xa0TfKMO3wVYX1Br1JaOPZRqX80OBHLbvXIK9zRk8N23yH/7ZBY8/Y9dyqsuMRnUx4wTkv5BG/Doz7a85Gl6xlRBoMY7cXL1/xgAB4Nmy3p6huqeYBrxWXVzDkHo4Nm8mE//Cd3+D24x9wFUfEUeL9t2M+rr4mpIHyiaV86vasNecZHzcM3rGVx9zaQ6JVZlcvme3ekO57QDERGIDOwLB3EmkHxkSchzA4VjrlLSe81TO8Trgy51SypskK/AmYGRg12MEQHhS8PT7lC/eY6+ETXskJZ1zxu1VNWH1N/BTah1OG1YQuQtj1aK371vno9s7WTtCgIBYlIhtwLZASHCnqHYN1JDwOJSexxRDVozLgaVHxdBREVUZsmZg1LtXkAXKXyB4K+p5BSg9NIo6E22LKS33AqfQc6BWGSMITjZD6nmQFPR/DeU930VBedoRWWK4Tp/MdKYdYeqTxyFoZlonhXijPtoTfFq7OPuCnfMJlPAFn+Moot2nMhX5CI+echi/4gf0Rlb1mcVAwfrZiOBHSuMDQYRYJ3TrAYaWHEOmlIf+Bo3mQs/BPeWk/4Y3t+Sze8oH7ERMTEZvT14qaHOk7JATsGMoTi98EZNFz8rQgzjrMFuj37BlJOwoSloJtyuikpCKREalNwVbn3MsMY28wuiCzDVJMuDajv3WP/51CzaE8pwtHzMySCVcchddEnWKzglYTRR/Is0jBa4xp0ZQ4v2+Q5paraqDWiiIdYmzNIbcchwvycEfhbhECMmyxfoQdAkN+zwghG2DcJmK/Y+Idg04YScdY78lix2AjY8lJIjyIO5JEGhmRxZzCdBRpQTLKpIvM1xd8b+h5kR0zHkYYSRixzMwFlnsKGVPphoF33HGGpUaMhVCSdyWuTzzZXPOs/Ak7qfExo5Mj5uSY2HKoS6ayIE/XFGYHWpJp4r14QZkV5BgwO8q2p9jdECZbbuyEo5A4ZcGBfEUTxwximMk9uSoOGJJQylu2kjGPAz6C63IOmshcNywlx2gk1x1T3ZBpixHDuO94f/OcZelYmojVwDy9xbBlxA2Egfl24Fe2P0JGr7nXB2ByNNWMqZmzYsQdKoFEDQlKWWHpkLgHRJpkeNS/45Pup9jqjLHeMAq/4NSOGOyK0/iaM3vBNF0wDgNq1xitOIie0ryjs3dUeLLYYbvI0/otzycZNwbKFKlCYiZ3HMkFVVoR4pLK9BwN10QtKew9KezIdYVgyJvIYdhxl23YUuG1Z8wSGyNOPEepZ8oNWUooiaVUOC3IdIeaGvUtVSe41ZofdH9BlV8w7g4ZRKiKgUxzDlgz1SVTvWagY6JLkA34AxCPDWcc1DkH9Qu+Vfwlf2YOuUtH5B68rjiKL5ia10z0ktHwNZgtO82J2jNzPUXakGkDsSPvlXx1z3sHyk5yYjfivNwg8hpxYH3kfNuz22746WzGJNwx5Q1Tc8BdmJHpDhMTJ7s3fDb+d7zMHjKO/d5O8Es86geobjBu4HAJZjMjT7fY3iK7GdInxLSoKjJYRr3gr8ekqocSKJW+7LBhD+ENQTF2IA+B0x24NkO6uD98HifEDBQD5E3BECG4BNpTbYSPhgZb19gTixaBpghI2NcjRoVkPVHAdgVVc4SbDLT+HsbrPZjOlVjTg1pGrcHfV3uAbBYxDujAhw7UY+yA1YAoTDYZXucUMhDMBlCC1X2MaxijfiCW+xdn6Tx5Ld/EnCxiBlKxoqv2eWhTgIs7Bgd5zKB1TDcDdntMSss9S0QCvYlkvgNJVEEwyxOm6RbT3UK2pR23pFoYOaEXcDlkPeS3E7LrHLO9hJhhQ8tkNyLfnOB2DdIPTNaJ0U5wXQddTz8Bd6wk1xHRfaVo2gOV3abkpBswqwy9hqHsECOQBkzwyOAohoDUkNUOrGXcKyazbEY10uUwZEgK+NRiU8KYllwbDhByWaMuIsnj6hLqhG87bDPi+G7NUd8htkMqQ5+BSqDP+m+ghwnxDXkIzNcOCSViVkh7gF9EUrvEmLhfq2FvR/ZdRnFfINMC7TckWxOzBpsrZmNwYkhmQFzkZCPkb0+R1RKGQCsDrTEcDFOyNhHMDkPD2Tpxst5h4wI9KcCDnfWI1KQc0lCDCH4Q5vWEfjcFt8XLAPkOyf5/YKPByD7zLp2jwlBhMNeJ0DcMot98TxJidW9PbgtMnHDcrZEqYMTB0JML0FTgtwTfoeXqlx4mvNGnAGylYKmeLAWIJcE4OmvJpUd1RJtG9HJICJbYC3YXqdbQZYY2OLYkYpoSmgc0c8PS7kBv6TinGU6YNgO5Tah7y8IULLMTthzgVRFxDAS2MuKGZzjZv9xGKejxgGNIJas0o7UeMbc4yVlJSYgfUQ57l0QjCdt/SNIJQzZhq0t6GZPFM4pminETWueoU2TQnGS2dLxhNDicL2jNjK3OyEkEk7OmYsshfSwQc0gyRwzGstWKN+ZjjMCdP+KGj6nNMTsZMZZAEx9CeIxJU3xK5BZGfcV0NaXuHPPsiPPsOyR1rPwUL3fYwtIxZivHdHKEsqJjRy/7e2OUEUkiaIMEj8YzQoyI1tTylsCO2h6haUwRMuohowslazejS2tKoxT+jkFb1pyxiUcYdShKhxCiodeMNpwSkuD1Gm96UjoAc4imLUHWIDVb0+D1IWk4ITWfQuxodUcSy0ofkDRjp39DLWsGlDv3mFqPgCW1qRA9ZCUf0EtB1J4mZgTxRG2waUujYxrzmKV9gElCFoSWRzRByGNO7E7QyiJRcRHCZkzeGrpxya0RsOdso0OGE9ZuhOoFGYIRz9aP2cicQU6pTGAwA+/SR6z0lFoMSxyNHdPaEbeaMQTBmxUr85ZcdmyKp7w1p/RaUzLhlg945T9hQLmSZ0RaGtmyY8S9joGMTiwL5kR3RKMT1pS0EslMjdWCXiyVvWakgTWHbDPlTnJmPGIwFWs9IJecXgZacm5syWvzlGs+JWlNkteIhH/Iz8jf2+Q9ZEEYdEQnhszmLOw5r+0ZIiVPSdjk9hEnFJMMYiIugukNBoMMPSYIOggSDAwWkyxRB9CIyStM7InZQOehdDmRDmv2sF4JY/I+MGhHLHs6AdG0Z5FaMM4RcSRRPGDiwCgoGhqOUs3crtnIGmMOychZASt7SpumHA73HIVb3thz6liQu0BOR8LTSgYq1PYUCZ4JgsaEUqJ4DJHWVDwv3+dlkbhmhBG/jwW538eFPQS+GUWGJBQpoylhVwbucLyUQ1p6nswzxtZgk6M3A8F9U/TwjVM3GSVmEIjgoffQWKEnwyQhasFgSlqzo/UQveB7EDOAKKoBbIthh6NGMWyZs3OR0gIJVEZ0JiMIEDN8TDREmiJAqzhVOgeoYhS8AyxYl9AMkg6Y1AHCwIiIRUygYUTPITsCJR3gGRizEohUJHnKOouMipaDpt67c3CAYqOSpRYvgcGMuNdzlIZCE0fcQ19jekUQ+mKgVYP0Y3wDeVojqpgAmhKSBuwANgh5I5heSAg7U7HTEUkUNYmdzNjYOXWasZRDyM5Z8hwnBhcCgmJlX9xh1GCioGm/TowaGBSfK/jAkA202YKd2bGVgnuxnFTKpAdJguiAuj0MWzqPSUqbG/ocyjzRZsrgC4ytyYqKJiuJYkmxILMRK4FETsMBaiKBiqWccSdjvFswDB4XEuMuMjLsWZv/kfk7hZoHfM7MOZ7xkodcMLEJTI/Vgd4phpwFA0iNVA6ZWTb/tieMrjn/NYvYC47sgGPHe/qGk+6GiZ+SuQEDOF8zMg0hc9Tcc5AcZZdoXgSyp3ecfcdgrKXEUdLiXCTIGoNywoqJ7QjsWxNK42hRGu/pB8G8UbSPnHw4ELnkkS/Z2rjPq9JyJzlTcubscASgZNtZVmnO28sr/Kkh/EzxreXsv77jndtwoIcU8R3GXjM3HZ+mr5jYLcbG/bKXjoHA1Bhqamyp2PMJ7V+1ND/OyE9ypu6eDzLLGS0zaSiynmMcHYKXfq9GGovi6TDMx4ap7OB1g2SBsw8ytEyUtsDTsSORub2827wKTK3w3ukdIwJTlxho2GA5ZU1mCm6+HBh/knhy1mPdLYKjQAn0DJTs9JiKFZaWaCZ0OsU5g/Fj/vr/fcGj331C92//kH/6j/+S6ntP6Yp7jFVyk2hZ8L57zokumEjPVITEDjE9c0pGdPTUuDzDjDx3P9wx/6zldL5i737bUHrDOffMWdKYAZWOGQMzt2fmVAi4lofHkbFYtj/ZMH0YePTkjq0P7H09G4wJzKRnbiyBDEz2zQGwQTRRSmTJjuk0Y7vyDP9mx9MPHlHnW4p8T2KfSkClQkgYTWAdEgVjlGGygv+qZPF/W+5ftRR9jvzNjie/veVX/V+wsjN2TGnwHGUXPOYFU1rGuSeaFkOEFJhTU7LFR5g+PSH88Ir6/7Tk/31FObmlnO041I7WBJos4+yTx1z965fMfrvm5DdznA9MAKFllEfONjXy19B0kfm/XHF2Jkxd/Pu4D/yDzmyl6Hwg/9UJt//Ljvh/fM382QHLywXp99fozyPFKdhrhWuD/xq4bzBDgEah+IZTcx1gtcNbhQnIGuwNaNvu8+o7MGuF4ykj3dD/wZrY7AgfKXrs4IsGlnuOis4jxbGQHSbkTqkGxXVgmp7howPCn15zlK2pfl2Z+gb3OsLPIN60OCNIF+jfJXTTQCtEGwkZ+DCmvWjI2w79UYI+YS8N+npgiHdgoXER86yjfN+y+hGk//Ua+zHw7YLu64RdbolLZUiCT/tDxTwoKL5fQK/Qb0gID39wRP9XC+Jdhb2NXP3eFcVCqTZQzhIFwHrg6L0S/4uWxf/4p3tHxQ+g/CcFWVuT1RVSd0gQuB1ww5TV718T/1hIo8h5lZGyM+r//Zru58+pTgJDtq+yNH3c55G6RLYDpNmLMzVIA6x3zKygX/V0m4gfK3aTYJWw65Zyq3DTQxDsSuEe2AXILK5VpMiZiqCf96QwYI0lLxW92JA/8kzUcZIs5W5J8Uzpv7Dc/s/3+D8SrItQNMhiz+nBAzsly4A3NZlRGIPsAoQt55/NkZ8nFj+/4+g7Y67+eIl5nsh+I+EMmCuQLdiRoU+O3Y83jC5bdNIzfgxmk5ArsGuFPMEuklWO63+vHDTXUEbMoZJ98pCzz9+y+Z+eo8+U9iQx+f6c8JMldg1kINOOPFfcyX7vT9m3h0ma0BlY/ut3nJWGjbkmxAGKEfL5DrlQ3CLBItDfRlKekEyQqLAxuGJG3K0IZt8QNA0BeQ36TqBYEtuAGyvpqOb0wNG/7Ii/94rhacR9BvLk77xy/FJMuF7B1BKk5qV1vLzYITWEuUWPEve+or1UUmdQbWC9RVdzVj9a4HdzVDqa37nh+smM5S8auhc7+q8Ny48NpjokXefEnzZsOuXqdOD+B4dMxhsu11v6dA7qyIs7TssF7/D8fvo1VD27Hs6KLTsxrJYTmtVD1jh03lFWLT50NK8Mw5+f0/yZhcc98t9khJ9GxGbMH7xHO39MHyCtxoQbx9XDHjffUp4+oP78hrtvzWmrDd9r73heTmgCvDRn/AKPd0LggMVwzpcvIlLOqbVB2nv+7L0nLKr/gqY74OZuyt294+TDR9yv32GWI9LrM4ZXQqg71GToVUdaC0PYotOKr9stb7/q0OBI31/z8H/I+OmvHHFJ5CY+4sqc08oJI05pZcTlBu5nM16bQya+4PrNivqq5DUjsnogPppCBm9uArzZIjtDzB0/cp7PmxHpbWAyOqRdJ45+7ZzaKcurRMUpzVfv0AzygxFDLwxXV5jpQ35+PcDYUT45ZvOnL7mIFWzX6LdKqo/nNH/d5M9gQwAAIABJREFUI1/lmIcttX3Bj7OP4Mf3tMsI5w3t98E8GtHS8ZU42sHg+hodG9btjKu3S5CMt73BuAJd33D0dM7dpmV50XBgI+n1O1JvaWZH7H78Ag0npPuALQvuD4Q4ttTGoXWk+SpDnyrXmx13KrSXHeVx4s//5Ib5dw94OO+RznN58xTrZwwZJO+prz3cJHpdEPvA3YNTRAe6NzeELw5gntOEO76WnK+6kmWEvrS0QeDCUj14yi+K3+JNOuKtfoTbvePjSUutGTvxGLHshjlfXcwJ4Yj250tynVIcFmzcFeWjCc5NWb/9ioePBs4Pt1Rmx9fpMf+X/CNuFhO+PXpFyiM/3x7RVx9xfX9Pcp5yNmX1xQ0fjhPZk+qX/gELgNG+iWgcYGsMrSlYxkhLT7KGnbaYTWB0kbBfAHcBFGwCsn4vzgeg3p+raiJ2oYhE3EQ5CmAmSpwNuDND+K4gswItGtwzYXyYE35yS/67Jf6kgwPwh54hD7gdHI4N24lnk0Ycng8U+QIczDtYvnvHw9MpO6ZEaoJcEOJAaWExBJriM9Z//f8w/3bk+EnPC1+SIzyISy7NFV93xxgqitDwbhOQsqLygWDeYY8OiMOOurFs3IjK9mBGXO48J/4p/uGI+O+26GdT8GvkJGNoD1i6EfcSuB3G3DCncTX5B4fYp8/hVY//bARngjQDLCNYxYwFW3rEGMh6qidKntcccMdje8F1TBxQUOiKaBP1YMme75ueyC2ejo+OrqiOl7wzK8a64IKe+0L46DueqAPu+ZrR8QHlBxnyswHZZIwOBJ1abDWBUYNtEmz37VNStGipcDDBPxhwoy1H2nCpytswQb7heF22BZfFe9RR+ShdcuA67qXilifcxQlvzREn8Z6Hj2sOri6Rz8FkPSQhmyqPZ9eMJoHn/TN+5D5hLZ7f7N4w2X5JXO5TTbq7pXo8x52vaF7sKD8oKKr92hVRnPumwktBouxLMhw4NUzSlifyjsJGbtOEJCVP9TVn5pqXfEDAozpw2i44+nKJeQVSJxht9zG8hUJd72NFSdEdZGNgoRxsYHb2ltPJkqPRMdbkHHw2o/+8JbMDfhoxR5YwKPWrgL0rWBzkHP9KA6lmWxTcuUPy2YCEliabMugR0vdU5Ya5ueOeE36mj/FacipL6nTGmzClyxre9j/ik5tXzC8WfHyo8PQ/vsX/zlvTOJ4w54ap3JNLg5EeHwfEJFpNiIEkAUOD9yMak6hWjmE7MOWGwJoTvSen5STcUww7vDcEOiyRLLSMTEGtJXm2oEgVZa+kHVAHDsKCaFs8OU73yqMDCiIJZZIgyQBEJp1jYy2XWYbInDxl6E6ZDy1a7jjqDWURGKhYSM5WC1oyDBs8ngFPlAyNgtSCdgWaoFwOVH2L9xmBGbnOmSfPQdrhv6lOLnRHkTI0mj1oyAQqtngR1JbEBmwzxiRDxg0jHZhHJfdbSgbGsWVjpjgiSVpEBZscgxGyqOTs9nnEFmappaVhkiqEgLFCkoBasAq+bTmJ13gzMEpCIFGZyIE68sHSDYJpDEdDQ2fXZOoZJdgZzz1zOh1RMuClp0doJEPUIr2jaye4eMx4mZjcXvAynPBCSoqYMY8Zm8wzxjChp4odZRImKYDxjDVS0GDpcBgGEfbtnpZ5ekdv7vA6JouWqVnjpQWTONUtB/RU2tFpSS4RUk3OFuMDaQ2zLhHiCi8t1uyLPK0kDC0TjQwIKgUuZmTSUSRDoZBnNRUHgIGlkneBw/ECw5YqCYXp2emYFouRSEol1pUkLCFboSMhSkE7jOmDYxRhEpTMdRR2hwwVEzdjrMrItGTa4EOLz3r2uDGlYMBL2LsH3IZoFKkNWSdMp7eUyTCLOeoTnYtI6RgvAtNFxzKsaP0+zy+6Y6xC0ddIV5Hd7jhagjne4U39t2Yff1mmkQnNZMfkREkGtA6kSugVyuWY4m1DnHXsJGGyQCpA54GuVGwBmYPgDa4oSW7Y/+kWxeYGGSWCHahzwwhDUwbG00QsoLy0+C8nVIcLOBAGNaRO6HYGN/NoYzDLGt2WaAPolsEPhKnB3EeOvl7Bx5AqIdQjtAXdNURbYZqENC1x2GsVISgJ2YNwk8evCtT0kBtiHaFOxDIgg8Ul6MyAqwTbwvhuSjwxhO2WLHi4ElgpqS8QFdKDllAFyHZIZwi1Egal2jQEVXSbcNGRrRJHX2Vg9oA1n/VEF0jzGpXE0RcKtx59GJHYQ64oA2oTDEpyiSELmPvIwaKAg0j6VkLLjvFSGX+RIzshvN+zPoCYVZAy1GzJrWJcRtJAcgZTOOhr/DjhFj0pGXZuwI4ScSoklzFkAc0dYhQpeqgSCcVUkegVW7S4seC2e9hhHO0LjRIF92bGVo6wEgnZhmGmxLIjuzIcmJLubEd439GOegZx4HOysUF1gyMDsSSTEJfQIpDNm2+YCUrMBd8Gqq8Psec14ayjsfvYFQ76KhFrxRcZTdmhYsBkRJsQ4/a/Z9Vj54kA2IUnpEB3AsVRTZclxhcZPuRsZQ2poh0abO+wNmG7AEHpygwRQUMgpg5Xwi6DfBFxt4kDMxAyQMYMbQutYmqHXTncriOpAPsWKI0JZxQzWOxdwci14Cyh7mFjUKsMAWJvsWbAjiKdQrEpyRYdEjpwv/ww4WLtcGR0faQsRjTvRmTDFEFJriarKrr7nkwNGg3mNuHuMnZXY+aNo80z+psGszsiLGqy5QhRA2c5Gi323sM1+LUS9BmhjvTFal+B2xyiFOTBUmYDnUy40HN6PWFIPXW6YpCc+3hAkybQC26ntArJCLpV7Cth+DmQwN4Bl5BMR+MdLRYdwN1DcQEpJaIr8NkB5t0I/3jMNlV03SULlHu5Z21/wDaMcPohqjlD+Jh23ZKHObnCsHb0Rx/xC/eIdX9Ep2NYrti1U7b1lmFXYe4cxdsBvY8kXzC8SGQboRqE4QhkXWCfHzJ0hliBvbGsU81S1tybYxo9p0lCLT2aILbH2HDCTj9jRIZdOcz9IZWB0D5jaAxD1mKWW6r6hH4BZgqaDiA8oX8b6Eae7foZZlURypZ+GZjqiOHVIabKMEuL947uzZrZZEp7N6MZOebOsHzxjEka02weEMclaQbdm/cof+F4KMqX9gx3M2e4yBi9zel6IfvQsU1jFmlFNE/J+gNcXWO8QzfPMNsa5xWGEh08slMmpwcsdxPMYsfxyLC6q4CCMZbt1QHTOMHftthpxkL9/syzFt320BjYQdkcgGR0i4apcdz2z0jbhzSTFU00rIcZVWtRF+jGStjlFFclJbAOa7JRjukPMe8GWDq6tGLUKUN6yDYeE+Mhrh9j6460sqxOnvEunvNK36dLjzHDG8IQaMjZSQIzoOGIu1UJZMQF9AMMMbEpPqWbeTKB3e23uJk3jOevEbnibRxRm++yGEac9n9M9MoLfYBL36ZZ31NkNcYfk9Y1uEfEaP+TEGp21YxGCwI5rc7YcszCVNQc0FFwJ4GNuWcILaG9QVMOIUO1BomIEzSyZ64AKo5ExCSLCYo2ivGHMFoS8oGmUrxXuhwoDDFXshRRN9CMwBeCzYTdxKC5sBkJd6akj2eE6YpZ1lCFRH8XEXVkUmN0hUqLM8eIOhDHys+59FO+nWDSBCR2bG1kpMo8tlSmQVPE4am0xaYJoZuBaTDUWCPElNGmA1ZyTCTQhBmbvqDzBkY9qQfSlE631KVjsDOufMGSgdqeseZDbrVlMbnnwQySCsYPxHygR/HhGx51CWkC+2M8Esd7121JYCwrrtIMawOOROdKGp8xHUCiI/i9K9o0HdMY2blrxnJKzzE3heHhPDBuYdomrKzpyh7JMzJrGRxoGdDZGj0IsIVoe/LgkWqgGyvDQWB87Ag2YlTppOTKnJGJMmHLwo14Ld+hNYlDlEJuaFLF0jzkubzHV/JtDv1zbg8/54F15I0SU8JIjm16JnWNxpK1m/Az9x1WVDxx8JkrSWlH3gi26ZFxS8oHhpRRpoHBKa7an0s4JYlBvScFR+w6fAUxd1iFMddsVQjmAXU64lDfkuuKXFtaOaZPR4gbEXtPt3NocGhKkPo9R0iFbxriETzaRBg8GvbV9iqeAs86OtqJJxTCrMwJyVCOcrrY4ztBo2WVjYhFThmUpZlxax4yZIL4DUFG9JRk0pCTkanQMeKtPCaTKY47WjnmnczIxHLvnxLjz7C7d0yqzd+6x/9OoeYv/+TXqQ533J1ZHh1f89S8IksJQTAkXPTkVrHs8BoZzsFcWEa+I8YBpxnSJ6xLWI0Y6ylSx1HcYlLABSUzPXlqaKTFhQJGOX3ZUIyFXGrmKC5G1PQMMkAyZCknasOsMwSJBKDoLbiOA7ejzBL2CGhrpuseLXpcyJg0ARcH8mxEn01RSaSkdBZaLDEZWAnrtwPdfET7KRQnCZUZn29+wG36Leqrkr4XMn9J9SzyxH7OVLeoFIzEkKcNSORQe/JkkcLjzwU76sg0MCNQBMt0SBjpGUykCB1luMFKRm86hIgZDF22h0/aiSd5gxYWlZoMcGrJU4+IpTNKmwvZrIC2ZraLDFVgEjJ8Uqqsx5ocJjPsqUG2a6abxCA9ebCMWqHzFqqeTiZAIoklonQk+lrhPrBqPPdnU84a0BPhpX7MH91OGdfnbFYfUZs1bnTH9997S0lNRmKkA1ZzihRwbktkwIjHTnOKE0HsjlnckijwscOluAcyeYcQmIeaeejwmvZwq9hhYo9N3b42byRQWLwOjBAkCUlqRAWXPCYlfKzJfIsEgzeWcvDkMRDtllIM5aTDZQm/vuRw3GJSwaiH0ga2Hq79mLgvSyEGS+ctvfSYBro7x72WDJ9mMIN+qPiz8DvcFVPicoIsHjFr5py+t+E7h8+pzB2q1R74RodFAYuzETlx6EODyyPGLZmzo+os06Ek0bF1DskKrCq2aZnoPZ6Go5gwcaAx4A6Ap0JYJKaLGr8y9NLA4d/DjeAfcP7oo39OKn7Kf3ZjyD+4wFU16fuCeIfsWlrb0T0rWYwrsjYjrSY0izmbQoiZUvpIYzOGo1M2NiN6MOw4jS2jxZq6M6zzKQ/qDbuDmidjgauE8yAmEQqLfyas5gV+6yEPLOfQjEtSXmG3hhQNPRmHKTCuAqoJDoWoSjd3bKsCPRDsfcaQB8Y7gywzpANpDDF6Ym5ALfGnETcP6DHIPBA7D6+h9x4ZDFhFniQYEvarDL5KRHqGo4B73KPzhG48Q99jNTE8GOC7Fsxe2Bkahy4M6SBnuN4L52bIybZbgkn0lVB8MGaYGnoTSXZMGEP4w+XeEl04eNDTzAUzU9Jc0A60UOJdIruxmMvEUIB+EpBBYQl0gWgj9ZOcN//5EXX7gC5MIXUYN+DnGUuULuQUYeB4d8WTmztmf76FukDOI0k8mw8Oef69c64kJ24yik6Z9gvGqyVmsHRuRBt6XNlybjuOf3GHH3rCmWPz/pzrh+f8Bc+45Blj3bGbOD7+rGH+vMe/6MANDF5p3vdc/+oTlv0YkTG+TESzxh1WNEdjNrnBBGXMBZ+mJcUnEW8i+onHXw7IsqPLlM0HY9a/MeMuc/hkOf/pQLG9Q7qO3Ymj/Ufv8/rZhO2zAree0/iByl3z0Qdr7LtbzJ0h9w3hKfA9pdkZTB1xrqOdKOWzhr7wuNowZGCqjMY6tjOH0Wof1aDhrHNI3KFjB/OBmJTuxNMfw+poQnEbKddC+TrQLgwceqQQCEqqE0UJ4VYxxQ4tIuHI03+YI+8MOoe+T0hhyQ4HFIXkSe/afTwMCOu9RvrLPMUfGLIsYErIn9bou4r8tsVPO8JTS1a0VBeBmDvE5vgXEbNa0V/CMIQ9k09zQtXDS4u5MGTaYN8kxHekt4bubY5fBdzhgNlldOcn6H1Dlhyp6cFmbJ98wOB7Ythn7819TTufk6oKe9uStRvSvWW86chNIsREdx0xP28wrzakekLhHhNetISRYL7qKKeG0EeyjUWuQQ6F+iRhzmvMl4q5U5w31LOHvDIFb5sLGnkIX0P+9YBQ0R4K7hXktoa3HdQB+0EiI2cUW1wL3WWH/+E1ucmRZolsKtIbRa56Mjrk7h4Ta4gjsruS1O3QzQrtDrEvxvR/XnD1+AOaeEfdHWL8Ce16gSsypPPw5Qh9mXDNiFYVc1mQrcAEwbxV7JcDMlXs1TGmGyhvO2KmxCHig5K2DjNViPtIAhqxLxUxivsi4e2ALRUxO2QZ0fKeuEm4yhPflsj/x959R1l2XQX+/+5zbnixYqfqbnUrS7ZkgbEMDGFsEwcTjIExDME2yRj4McAMeA0wgI09MIThRzTzM7BwAAxj1tikIdjYso1tHOSoaIXOuXK9dNPZvz/ua1QqVbe6Wy2ppNmfte56Veedd995r97bde+55+xzNKHUimrRk/T7uGMl/nAEByNWqhRXtOuFIg4HNHM0DmSUvYT5517JGguU6TbSUYPiRJehj6GXky56JHG4ssCvDSgHgfzGegGO6ESDbJ8jOdJEhyXlVEAf2E7oB7LlFpGUJN0M16mIGwnlEYecVDg0JJIC2Rbj7mwhHUhPl0SfVapn7ayPHYYpYVmIzmS0ZjvomZyo51CnMEhJ7i2RrEJPQLnoieemUXUMVwJlXhDWWqSuSeMEhAXH0l2OvDFHHDr4tWWiNUc206EcjNDpJtJs4g+epnUoAd9kdEKIJ7votCMqMpqfHBDHCcWRIfHeitXnzLG6q8Hg9BCJPMnRNY51r4ZuwJ+siJoD0mOedNSoLyovgPuyiHBteLJDyWVxzM9xwk1wXHazqHMsMskp12U5XMHCfIcjYY2o22W4S2i7SapRu05qqjk4RVxMKCDJ65USc99gsZPAtphmWkE+Iml0CM3Afj3A9PRxpDFi1Gmylk6T7A3IYiDaDcPtCYvpduK0Q1/mOdjYSY+UIQnBpxyNZvCNfUxrj041TysWVqXFkks4xSRHuIIz+S4Whh7fDvTaOX4PhI5QSkQpnpFCT9r0maFXpTQiRzn0hPkYXXT1sc2RtE7M7xr0Cs+Jq/dSFiWjYoZ+T1hq9KhmHeFqgdmKUTPhtLQ4Ge3gENuAFYRJDnIlh0KLB+QwN845yhVPNF0gOxPcaomIQ1C0G2DSgReqGEbthBU3xYpuZ0l3sqy7OKNzpDRZ8AfItrWprnc4UfKmp5zsUExPcCSZ4AjbuYeb+GzYztX+fm7amRJ6I4oJB52C8tqYaLmCTll3Lsf1iqg6HREiRTRGQ4ymAToVzAhhtqLoCmfilKO6nRMyxwQlfbocdDOcZj/KiEOyRKUxjiYNHSFSUegESwsNFhqe7IYIGQWqRkQiAbyQzzY5Hu/mAXcVR9lNiWNJmoRt01Qn53GRwhQw0SdcISSVg11CtTth6ZpJRnFMHgUG2iErZvFFhdsTqLqBrCsMXZs+CUsyxX3heu5d3ceedJVmNODu7EYGzDDRGHB7dD0n5iJ2eCUPgV4nIFVGpxegiChchNOKRpFTuJJitsvC7CTlVMpCOsupMMc2TrLDf5jOjpSDyV4WfZPruj3SfUOimYrcDVljOws6Qyp7yYNjUSY4I9sYhop2BEqB84EGLRbDbhbdDvKqzaiqV//LZQfLWYtJN2A5ilndXtHuJix2Zthzju/4eTtq3vMPV+Gvht5zS75s9mMkWiLBQRXR1hFplTOSJqUTiDOivREsR9AZ0amgm4PLB2RNJY8CVRqRUpFkGXEZ0EqoXKBdjPBB62kKMw3WlnKSbSn4VRrkpJWjkgIhB01oBsHlI9JBWl/4dRU+FKRVTicpiGNHdFWD7P4VmmvQ6QQoRgieRjXCBeFM1GbNJfS0S6XCMi2GeQSLsHBgADua+Ol6GNaK7OWfl5/D/XwpxT0K8wrJDM/c00OTfyIJgb5XvIdmlZH5GCQiaAETBW4/yHYFyZnQkk6xSqOAkasofUwSYtpZv56r6DJ8pUSFx1VKlgTiHS1GTUUaCZEMaVASuxGuGjFRRvSTmLLZpDHjkKOLxEueplZEOqRRQVzCIBWKWQ/Xgfb6SK9Ny1X4qsL1HU2fMS2e+VaTgRMgIqPJQCcYDFPCQsHi6oj+7i5VBPneJp91N/HOU7tJlvczeLCL5kOSuW28eF+DSHK8E2IpcQKRC6hUBAGckswA+wRJS5paIAFaZYbTkh4JKzoF49wI8bBCRXBxRVoOkVGBi8A1HL6r0IpQ36fBCBccVVXhNKqXVatK0lFJMy/RSkhjT1w0kSxnMq6IQp/mbMJaCm6loDlV4XRE3IeOBJLukNPxbpZxRK5HToM+TVadIEMYnVTWCoc8K0EzOJlN8bHhszg5vZPiVEpxT5uJxYxntw4gM39HIyrI1CEipDIkEBOYxLs13G6HvxJCTj2dTJVGVSLZkEZckscF0qy78MtBQVeXadGnUzqSIMRSkGyLkKtnGX5mhXR1RGMNGGZP+Y6av3jGtzAX/R3X7zrBtZ9zknwS/Bd52l0l+uiI3Cm9/VOsfN4uNIpoM+JYfiPzpBArbfoUdHkw7OKM20MmnkY4wXXRUXaU8/R1innZT6Z3Ufohadljx/wi6bAkX1olaiWMrmrSuyUl1iYqGcsuYsVtY1FipqoKJaaUgrhcYLKxTBTqYbFojk7GrE5PkF2b0AlDSino5hnpqEA8uFFA++DigISI/nYloqKYDujVkEyBnqjnIUsV6pPgliOccqSnSrTXJ1Ql1XSD4nMrZDknXnaErCINSjQHPKs+0fB9qZd97oHfHdUHrpnQmerQv7tHoUqvqTRuahLPZvQT8Lu6tHcrg48u0VmCshWzultpTUA8AzqjSCXQAV2E6jhoTxm2YOIWR44SLQhyb0UlFcWeLvNffR0HyutYYScxJZEUZF5ZJGUgEzR0kS8Md3PN4Tsohz1aCuxR2BFYvf5Kbp95Bkc628lDgq+Eq9xp9pcHgZiTsoNAoOGO08qXmH3XCn41o7pWGFw7xz2z1/FhuZnj+jmk5RKjaMgVNy3TevAE1WeGhFMjEoXRtU2OPf9G7ouuwpWTtOQMzWjAUGIW3QynZII8pNwgH+cq/2m6t/RpByH+vDb5YgmfHlJ4WLp+OyeffzUHG5Nsy1tM7D1E++gy+kDJ2q6U6nnP4gMTTVZkH5LtZSVkzDUPMLV6P/uOfAR93xAN4G8AfU4b11/DH6iQtYBMQHZdhL8ZqFJyHyhdTsYEp/wEoZplzVVEvs/EYER7NKA1qTCZ11fyrmhyai4ma7Rx1RoTWUZ0byBZC/g9AdcWQqlUfSV1McldUM1XuCnQ65RmO8ACuFlIB4pPPVUjp1pUJqqY8O4BkSq4hOJE8aTGkcth+U+EKEDVCKzuc7S9sHS3UCU5yTNS8jgiW4Z4qiTtNlj68BAGGYonC4KECDk5oFiNGS1DvCIMj1UkuxxUQnGmxLsGxaJQdGF4VEj3Cf0zOY2kSbVYspiVJM/oEpoROiroJo6VwwGZjkjnPPmpHlGYwR+FhbszmlVEsQJVNsLrp6mKe9BDtzC8fy+yqLgZx1AqXLPOaJ6NPOUS+JajagXy3Q49k9E41KI/rDh6k7DY2cPCmZxm2iS/rUA/5BBxtD8P8oUMRg3KT4yoyoqTeyP8GUWjQBILozOeI3FOsk/Iyhw30cEtFhQHhsRVoKrupUpOgu6Eagai0xAOEhe7qe6+kaPv2cFg306Wh03iUUKapqwdTYgnEsqeJ5xylF7ITkW4lRLJhcoJeR+qu5Sy5ci7Qug4RmVAjgfIPVE5oB/6uMY0o8kEba8xOtlFVzzlIRi1ITtckjlhmJSEYgkhsCyBUOWQdFjd3iREwppXOFYSPqkMJwriJDA6U5ItJpS9wIlPOShLoladHHTt7kDjRIdhFOMnHVO5cuYOh2SeeM2Rjwpc0xEtO/S4UlRDeje26pV5RiMWr4XhIUFPZzAbE4Yxq6cVGUaElQrnS0JcwEQDViEdQhUyBveOkCs7hJ7Qq6B/T8lgwpG9QFhuD/HtGDksLH08p7MbhqsV+XS9GFd1umSVhDAClnMcCa2rhTP3CcmplEo81bKjUwRGn6rQMmK56wmkpN4TFpWw4sgnHEunRjSvi2CqyfCD8zRWWohvkLkB0Q0dilagWs5ZzUta3Zjh4ZJ8tqQ/P0m4KWH4yQeYnfKMHuxzV6dNq+MY3LdM3BbCasboSAXHBG0WxNcrQ+0/2aHksjgiV3DITXIs7KUX5liShGPSZllv5LPHpnHlgOhzmqxsX2Jy2xyjMMWErFHiyTWhJB2PWsiINWMgXY7KDpaI6LqsXolWCkQn6ikmcwu4KiN0Zzgj25nc12MmLKHXV/R3NjnGHnCTFK7NAa5nLdSrrEbSZ1520IvnmJbTPHP2Tq6QHmvSYYlZTjPJMZnk1GgnJ1bgikafIslJroO14CklxWnEijiWXIdMJwijCO04yoHAfMngtKCnhWrBEcWC6zp68wUz++eYX1lj2G9TzQd6M46wLcJdP4I9SvANVtnJZ7mWe9jFLEfphCanZBd35Ns4yE78FR4fKf2m0twX407XuXecKNodITNaJ2NOhF67yXyYYFG3c0bmWJTtHGUbXZqcoYXubiIdoUxAk5TCNZh3ExxlG8dlNw+wn3tlDzf4eXSuiV/LKKdjeo2cuZtT8lMZwcXEE6N6pcxZDzuqeppP5OsRmgkkHaXa7nG7hKLhmI+2c7ia40w1g8QDSjrcEfaz6vfQ1RUidlFKzE4KYpYJMqCoGiwfiZm/qY08q43Le2StBOICHyoGPuWEm+ZY2MUCuxApWZKEZM8scv999Yd0CtgF7gYhbjjYqfgbEuav2sNa1GAgBWdkO8vVPij6TESOvsAwciRkeNZYpMuBcCMfXdjPyek1prtD7l65EiFmbzLDR7mRbbvm2LujRy6BBUkRHNtCRqIVhSQIGR1ZptCKFdnJg26OeWkyz/XMh1k+j3/h2dEddOemuVOv5kS8Az91gGfeeJA0Kqmqin5IOMwVBEk1PE1OAAAgAElEQVRp6RI9OtzPVSxWEVdGa7S0Rz84Kt/lFNtZ1mnKosNirvQaKTDNYFEot3XptxN6O5RCU+Zl6tI6aqh2UaEU7EHUIQGCaxBpQrPM8CEw0IhKYioyMlfimkrwQisoUZFDnuNTKMSTuwYJjqiEKPegEd4prsxJFcRXlJJRpBCkQutDG5zUS4bJeJyiC4F2EZBQEakQhRIJJb4KpBUISiU5oyihrW3SfBW0Ihfqk3dVghMyaZC7iIqEUjqEKIUS4qJJRUWWgB95elGXteYV9EYzCA4JilRKxf3kCOo8Igkq9TKxhBalDom8UkpJzyvN2IMIDaBBgVOHBIe6GJxDBIIoguJCvdxd7KtxEtNViBWpKhp5QBoBrTK8VMTUS9lW0qAQwWmK5DHtolevJV/WwxldHFH6nDwdkAxTCK168U5JgBiqISKewjUYCIBQaZdMJimqBpSgUYORpPUQMqkYygSZv4as2gEqSNagcHMEaaIolQpe60xYIhUlEZAiQOUHDJLAhCgxHleWNPP69eeuopT6oynB4zWlVAWFWAOuGi87pxXqApWHSkuaUp8XUwmoI/eKSkVcgR+nafG+QvIBqKdZQKA+me4FR0dncWGReu09j9MKpwPq1GUtRtGITFMymuSSolrnbpBQUThFEyGLp8jzKUZMUUkLxRHyXYRyklQDnvrKgBJIUUQFqRJEBdIhRStQkhC5Lo4MpayX/0XGy79X9VjLCtJQklOCa1AqeEL9nSsDpYMSIVUlfRosbvBgeh2VfJDV6AHKOKdoKb5bMIorEnWkuVBJzJmGJ4uhpQV3NSoWcHgpmGQVp3CIXZyUCYbapMOQWE6wkuSsAKelzYicJoEpVRpxxjaXEedA5VmIHWcSh4gnEDOkRcEsI4ScghASCq+sSY88VlxVQPB4BadKhWcYOQoGOGIyLQjk5Gm9KlHk6gRwaTVk2IRGERCnhLhi1CjJ2yBh/OcXcEkMLY+kOZ0mODy+iMhRxEESIKoKUHACRVIRXEVaQBUgbwjNZp8sqeqpdy5nFDkmhxE+5OAGaJSRNR1lZ0SzE2h6wRUeyVIGvoRoRCeuKJIKn7t6KlBSUqbgXAOnFZBTxfXVl7iISBKoEE41HPdog3m6NBjQBpzELNBkmWnawL5KGCVDJC3JpUS6EHdyTjbWON3ucCKZoqeOCgiSolpRIhyWeqzaDMpOCubikmYMRZqx1CpYTNrMh22syn5S16Yv04xE6oTCIkipJCUUruJA2/NJ38XpJLOsMC090Jg1Zjgp06zSYoJJ1lzBtmbBsBQkGVLFJfhAlKeMxHMmFY7GMYXEzHRK2q0RDVGqGBYl5ngywRKTINMshEAeL3CiUbC9PYQ0pwqQNRwhEfK4ntItQ0+UeQaVYzUtKYkYSIyjoIFH6VDoFLkfMpI1+klB1FRiqWhG4ApAPcE1GDhPKoEyrCINpcohtEu0DWUFhYdEHYUPRArOOfK4JDRL/AQU3TpRsroRRVcph5AQ8Kp1fpzC4bKn+BxMoDlK6OBYLROKgdT5poqIrIJkJcalEWW/JG6UpM5TDNqkeb30dESEJ2HU65L2uox60O7DoN+lueYIQDVQ2iJoP6HwTdxQaOQwGOZEVQt6KUUlJCPHUEBLCBVo1oKBwFAg34aM2vi+QN6EUgg9Jam6RDzAkAitpnBlDHlEvOLqK5+hXpLUD8Hl4FXIigbdjmM4UJrDCIazBAr62iSwn2bepOi3CMMO3gvxQCCbotFvEAZKNlSiqRbFaAr14Coh9Fo0EiFZFXKp8+D5tZQqq5dnFtpoluDpEBNRqFCiNIB8lJCVUwyqmFB2KHPwkUDYTRgIIQB5iYvqtvohyAh8DNFAGfUnSEJEIeAdxAXoKMVlgbSqO5Wr0CIkKaVr01lrEtZiyh60BPrFDEHrtpB3IVTEwIgVfJ7QSmMWGg71JXGWkBZC3m+RuADliNYIloYNYjqQV7Rzz3Im+CwmGXpGSUCyDn4gMNhFNHR0+rCcR8SVo73icUsNlsXTXpugCDAcOZo9oRw0yNcqJpuefBXcwNMYefJRTFM8PT8iilLyHNKsnnydr8YkvQa9QVkfnw+miZ0n7oOkQjx0tPrCYDWi1Wkw7CWkzYBPYLCmTEpENowY9QJtXzIxSujlDWTUQESRXInygBt0cUWKKhRukjgShj2oBpM0BPzqNLImhMjB8AZ8JkRMMYr7JMMGsQYYdAiFJxXPYJTihgW+aFKNFLIr0H6LkKdQOdwIWGsR+5Qic/ie0O4lZFmKG6a4Rzn9earo+wkG0mXIJDmzFAgVEaW2yf1e8jBiyS+z6mYocawxR4kSaDKiSUYDQemxQopjmQZH2c4qXfoMmGKBVEdEOsGyTLDmPTEVpVNGLqKRKqFZQKOi71usElO6mMp1WdZZepKiUpIQ049mOc0OcpQ9vsn2sEJFjDJBKQmVCwxdg4oIqgRiRx5BGRwOT4SnFEcpglDiSyFRTx5yKBy+8LSKhEHWIC0cmilV3CHux8R5A8lj+gVEJISGUnpoaIDQoJQ2Q3awzD4mgOBGlNqg8tNktKh8UZ9rtEB9xjAOpBGkEghJoEwDGkGVQhY5chehdMi0yTCOyIhISQnOk5MTGkrhS/pRQRABLeocm5XgiOi7KdZ8hzyq87UEcQQJBCkI4hAn9XFgquRphbQKkj6U8QCPw6UVRQKjOCPyQi5NMpmhYI7KNVHJKWnS83OssANxwjBMUlFRymlyCoaSULgGmexgxXUYRtBA0SQicxm4ipELBHUoCXnVJY4SRjQpo2o85UjQSNFI6UcK0YAohkGasNIU1gR6KPM0OB41IcmYdDlDGihTTOuAJquUAnk1QcV2ckoKP6TEE+HIXcmaTJBEbU5yhFKEeWZQEgpdpcEAlQaBIWvkKI41nWOZq+qVgN0eVqNp+myjIGLkHatuhgXZxmpyksVIaUUQV/XrXJEphtJgVjJy6bDGTla8q5eKR8gkpU/KwMdU2mBASi9xjKKKZtVGC/DFNEHbjJynpETP8x0X1fPdbYwxxhhjjDHGGGOeKE+DVFrGGGOMMcYYY4wxTw/WUWOMMcYYY4wxxhizRVhHjTHGGGOMMcYYY8wWYR01xhhjjDHGGGOMMVuEddQYY4wxxhhjjDHGbBHWUWOMMcYYY4wxxhizRVhHjTHGGGOMMcYYY8wWYR01xhhjjDHGGGOMMVuEddQYY4wxxhhjjDHGbBHWUWOMMcYYY4wxxhizRVhHjTHGGGOMMcYYY8wWYR01xhhjjDHGGGOMMVuEddQYY4wxxhhjjDHGbBHWUWOMMcYYY4wxxhizRVhHjTHGGGOMMcYYY8wWYR01xhhjjDHGGGOMMVuEddQYY4wxxhhjjDHGbBHWUWOMMcYYY4wxxhizRVhHjTHGGGOMMcYYY8wWYR01xhhjjDHGGGOMMVuEddQYY4wxxhhjjDHGbBHWUWOMMcYYY4wxxhizRVhHjTHGGGOMMcYYY8wWYR01xhhjjDHGGGOMMVuEddQYY4wxxhhjjDHGbBHWUWOMMcYYY4wxxhizRVhHjTHGGGOMMcYYY8wWYR01xhhjjDHGGGOMMVuEddQYY4wxxhhjjDHGbBHWUWOMMcYYY4wxxhizRVhHjTHGGGOMMcYYY8wWYR01xhhjjDHGGGOMMVuEddQYY4wxxhhjjDHGbBHWUWOMMcYYY4wxxhizRVhHjTHGmC1FRK4UERWRVz/ZbXk8icjzx6/z5U92W4wxjx8ReaOI6JPdDmOMMU8d1lFzEUTkp0TkbSLy4Pjg+uB56oqIvFJEPiEiQxFZFpG/F5EvPEf9a0TkT0TklIhkInK/iLxGRBqX2Nbbxm08uxUiclxE/lxEbr6UfRpjLp2IXC8ivyAi/yIiZ0RkTUQ+KSI/IyLtdfVERL5TRP5sHAcGInJYRP5KRL7gHPvWc2y9S2zrGzfspxKR0yLy1yLyJZf6Hhhjnp4uNL6d47E/uC7WbNvk/ssS30Tk5efZ18bttot8C4wxxpjLKnqyG/AU84vAIvBxYOpR6r4eeCVwG/AqoAW8AniviHy1qt52tqKI3Ah8iPrv8bvAAeDfAD8LfIGIfI2qXsqVmAz4vvHPTeA5wHcDLxSRW1X13kvYpzHm0nwP8MPAXwF/AhTAC4DXAS8RkS9U1SGQAm8BPgn8GXU8mKOOJx8SkZeq6h9vsv/3A2/YUFY8xjb/INADEuAm6hj270Tky1X1fY9x3wbeRx2bH+vfyZgn24XGt4cRkd3Af6eOM53z7P9yxLf3Ad+1oexngBs3KT91kfs2xhhjLiu5tPP//zuJyNWq+uD45zuAjqpeuUm9zwU+Afw98MKznSwiMgXcA6wCN6pqGJe/A/gG4EtU9YPr9vNT1J1D33WOE7PztfU24FZV7Wwo/4/AbwK/o6o/cjH7NMZcOhG5FbhPVVc2lL+O+mThR1T1d0QkAr5YVd+7od5O4E6gAubOxo/xfQq8SVVffpna+kbgZcB2VZ1fV/4NwF8Cf6OqX385nuscz38ldQfVa1T11Y/X8xhjLo8LjW+bPO7twH7q2PadbIg54zqXNb5t2PdtwPNUVS73vjc8zxuBlz3ez2OMMebpw6Y+XYSznTQX4AXj2zetHwmjqsvUJznXAV+8of5n13fSjL1xfPvdF9/ac/qn8e11G+8QkW8VkX8eD1keiMiHReRbNqnnReRnReSQiIxE5NPjx756PGT4yg31nyciH5J6CthJEflNEblJNuSgEBE3Hib9vnG9fDzl4/dEZHazF3OhbTbmyaaqH9t4EjP25+Pbm8f1yo2dNOPyU8B7gR3j7RFEJBGR812VfqzOFz++T0Q+Pv6er4jIP55rmpSIvEBE/lZEFsYx5EER+cPNpj1seNxXj7/r7xeR6QtttDw0lWt2/PP8eD/vEJFd4zqvEJG7x+25R0RetGEf58wnI5vknxjHuLeJyDGpp7OeFJH3iMjXPto+pfb943jWG2+fEZFfuNDXbMwT6ULj23oi8mLqi1SvpO6APq8nIL6tf6658bHH4fGxyHEReYOIPCL2isiEiPy3dfFjYXxc8m2b1J0c7/f0uO4HZMOU1vVxQUS+W0TuHMeQQyLyqnO09xvH++qP48UHNsYwY4wxTy3WUfP4SMe3g03uO1u2PldN+ih1P19ELtdVmGvGt4vrC6W+6vVnwBr1lKv/Mn7+t4nID2/Yx+8AvwA8APwk8A7qqV5ft/HJxidq/whcRT28+ZeAW4E3bdK2ZLy/+4BfBf4j8E7ge4HbRCR5DG02ZqvaO769kKH2e4EcWN7kvm+h/vyvjU8CfltEJi9TG886V/z4ZeD3qaci/DTwP4BnAu8RkRduqPsD1B0+twC/B/wI9VSJ5/DQe/EIIvIy4G+oY8JXqurSJbT/74FJ4OfG7f064O0i8pPUsedN1HEkAf5CRK66hOdg3LH8buDfAn9APYXs14EzwKZ5hjZ4C/U0DwX+27ht76b+GxvzVLJpfBORCepjif9PVT9yAft5IuLb2bbtAz42fs4/pZ7S9Rbg24APrH9eqUdKf5A67t1BPdX9dcCDbHJMBPwD9XvyC9THQzcDfysi3U3qvpI6Vr0V+M/ACeCXReTbN7T3h4C3AzPj/b52/PM7ROQVF/8OGGOM2RJU1bZL2Kj/IR88x31fT32A/RsbyoU6v40Cv7Wu/HZgCOzaUP8bx3UVmLnI9t1GPed723i7Yry/g+P9vXBd3c8bl/3iJvt5B/VUre7495vGdf8ecOvqPYv6ipgCV64r/wgwAq5eVxYDHxjXffWG96e5SRu+d1z3JZfSZtts26ob4KkP8gvghkep+8LxZ/7Nm9z3YeAnxt/xl1J3YCrwaeopmhfbrjeOH3/9OH7sBr4C+NS4/IfW1b0BCMA/A8m68t3UHUoHAT8u20udO+suYGqT53Xj2yvXxwfgp8a/v3593LmE1/O7G8p/fVx+GJhYV37LuPyX1pU9f1z28nPtf93v37AxZp2jXY/YJ/CScdlbNr7WS3ntttn2ZG3ni2/UnbQngMnx72e/o9s22c9ljW8b9n3b+u/uuOwvgdPA3g3ltwIlDz9uef24La/YZN/rj5HOvr7Xb6jz78flP7Cu7GxcOH72/RmXt6g7ez+0rmya+ljv/g0xbIL6YtraZrHWNttss822rb/ZiJrHx99Rn4j8kIi8SkSuFZFbgD/ioeG/rXX1/wfQAP5S6mlC+0XkJdQHMsUm9S9Um/qf+hnqE5G3U18pfpmq/p919b6D+qDgTSKybf1GnRiwS53cGB66QvSbui5Hhqp+hvpK0b+SOqfGc4G/1HXTxlS1oM6T8zBaG44f60VkatyGd4+rrL8SfTFtNmar+g3qz+nP6XmSe4vIddQn7seor6w+jKp+gar+mqq+Q1XfrKrfRp0X4lnAjz6G9t1LHT+OUY9k2Q/8pKq+fl2dF1F3sv6Kqubr2nScOubtB549Lv731DHoNVpPBd34OsKGIiciv0Odq+tnVfWHNqlzMX5jw+/vH9++WVVX17Xj09SdvY+Y4nWBzk4B+ZrxyIGL8R3j25/Y+Fof42s35om2aXwTkS8GfgD4T7r5dKmHeRzj2yOMR8t8HfVxxGjDscVB6g6RrxrXddSjbO5W1Y2Jjs/1ff1/N/x+9vhms1jzR+vfH1UdAP+yoe5XUh/r/daGGLYK/BZ1guavOOcLNsYYs2VZR83jQFVL4GuoR438MvVUnk9Rn6z8l3G19f9Q/5R6ms8N1Fd3DlKflP1P6pVfHlb/Ioyo/4l/JfCtwN8Cszzy7/4M6hOte3ioY+fs9ofjOjvHt2enAmx2Urmx7GLqAiAiLxGRD1OPMFoat+FsJ8/6nBQX02ZjthwReS3w/wBvUNVfOk+9q6inCinwNap65gKf4lepp0l97aNVPI9vpo4f3wS8mboDtLGhztnv+Z2bPP5s2dXj27MnGJ+4wOf/MeppBz+jqq+7wMecz8Y8Y2enTx3YpO4Sdby8aFrnGHoz8HJgfpwv4jUi8swLePh1wAmtcxIZ85R0rvg2nsL8BuBdqvrWx/AUlyO+beYG6mOk7+WRxxZnxvefPbbYRn1c8slH7uacHhaDVHVh/ONmsWazvIgLG+peTPw1xhjzFGLLcz9OVPUw8ILxXOcrgQVVvXM8lxjqDob19X9bRN5AfYUoBe5U1eVxrpUT66+UXIRKVd919hcR+QvqHA9vEJGPj68aQ93hodSdS+dK6LfZQcBlJSLfRJ148CPUV8mOUHc2ecZTrdZXZwu02ZhLIXUS7f9KPeLkleepdyXwHuqrol8+Hrl2QVS1EJHj1CcTl+p9+tAKLG8XkSHwWhG5XVX/7jHs90K9kzrPyytE5M/0whO6b0pVzxUrzlW+PjfY+ZZIfMT/UlV9mYj8KnWM+lLqkVA/IyI/ppusfmPM08WjxLcfpl4O+z+LyLXrys/maLlKRCYe7bt+meLbZs5+5/+YzXPpQX0h6ZKcJwZtlofwURMsG2OMefqyjprH2bjD5vC6ohdS53P4h03qZtQJ7IB/Xe5yOw+NEHmsbQki8qPU07J+jfHwXeoRP/8OOKyqdz/Kbg6Ob2/gkVd7bjhP3Y02K/su6o6ZF4yH+AIgIjduUvdi2mzMljE+ifl56pOA71PVTTsAxp00t1Env/0KVb3QUShnH9+gzgnzL5fe2kf4KerReb8uIv84Puk4Gwduos6JsN7ZESRn63x2fPu5634+n89QJ9N8N/BeEfkyVb3vUhv/GJ1NoDyzyX2bXrFW1Tuo85n96jjp6IeB/y4iv3uuvzv1+/IiEdlpo2rMU80FxLf91BddztXR+xGgT905fb7neTziG9RTm5Q639a7HqXuPPXIu8+5zG24GOvj7z9tuG9j/DXGGPMUYlOfnkAi8g3Uw3TfoqqHHqVug3p+d0bdqXJZjE9y/hT4Snlo6dy3jG9/UUT8Jm1ZP4Xor8e3Pzqen322zrOAr97wXCepO55eJCJXr6sbs/m88rPJiNfvV6ivzG10MW02ZksQkZ+jPol5C/A958o5IiL7qUfSTAFfpaq3n2ef55qe81rqzvi/Psf9F03rlZZ+i/qK+H8YF/8V9ff2J8ff7bPtmgO+GzjEQ1Od/oJ6usLPb5a7ZbPV7VT1TuB51CPr3nuOjtsnwgHqRKIPy/cgIl/Ew1fxQ0Rm1sdHgHFOngPU+cY2Th9b70/Gt7+ycR+bvT/GbBUXGN/+iDpX1cbttvH93wN857p9PmHxDf51KtL/Ab5JRL5w4/1S2z6uG6hXZHqmiHzvZnUvZ9vO4Z3UHVs/sn7lqPHPP0KdaPidT0A7jDHGXGY2ouYiiMh3UV8NgnqkSyIiZzsRDqnqW9bV/UPqoayfpB4m+yXUSSI/yoZOChG5iXpFgL8BjlLPf34Z9VK4362qD5smdRn8IvWB0Guop1N8dHwV7NXAJ0XkbdSrDcxRL5n7QuoEoIynb70BeAXwLhF5O/V78cPUJ2PP4eFTBH6C+iDhgyLyeuokmy85u78Ndf+COifGu0XkzdSrQ30jmyRSvpg2G7MVjKcxvoZ6hN27gG/fcBx/SlXfOT7Afg/1lMnfBm4QkY0j0N65brTFfx2fULxnvO8O9ef/BdQjOH77Mr+U3wR+HPhZEXmrqt47nuLzKuB9IvLn1NMYXjFuy3ecHe6vqkdF5MeA3wU+M/6eHwL2UCcl/h42yfegqveIyPOoR9bcJiJfPu7AecKoak9E3gh8n4i8lfrE8jrqzqhP8/Cr6i8FfnwcH++nTgr/POrO7P91Nmn6OZ7nbeP38KXAdSLyV9RX7a8fP/7mcz3WmCfLhcY3Vf0Udc6+jY8/u1DBX6+bbglPfHwD+EHqVezeN45Rn6C+gHQ1dZx6M/WxB9QXkr4M+AMR+arx44Q6J2FEPVL4cTOeIv8q6pj64XGMgjo/1rXUq0k9asJmY4wxW9CFLA1lW70xXsbxHNttG+r+APWy2yvUHTWfAX6azZef3km9ItNR6qvNZ4D/DXz+Y2xr7zz3v3Xc7uetK/ta6ilZi9QjeY5QD09+5YbHeuqrZofH9T5N3fnya+N97thQ/8uohyePgJPUJ3pfMK77qg11v596ataIeunON1BPNVDgjZu8jgtqs222PdkbDy3Pet4YwkNLU59ve/66/b5o/B04Nv7e9Kk7O34aaDzGtj5iqdzx/b80vv9l68q+n/qEZkSd/PydwJee4/FfNb5/ZVz/QeD3gdkN78GrNzzuauoplaeBWy729WxS/nzOveT2QR4Z1zvAH1An9BxQrxr1RRv3Tz21603UnTT98fvxKeo8NemjPT/1SeEPAx8fP88adZz9+Sf7c2ybbZttFxrfLuDx2zaUX/b4tmH/t50jNmyjTlj82fHzLlMfx/0m8MwNdaeAXxl/3/NxfHg/8JKNr+8cbXjY8c2jxKVzxbIXUy+F3h9vHwS+8cn+XNhmm2222Xbpm6ieLz+iMRdORP6aulNmQs+dMO9s3W+mHkHzH1T1z56I9hljjDHGGGOMMVud5agxF01EmpuU3UK9usm713fSjOdzNzbUjYH/RJ3v4bbHt7XGGGOMMcYYY8xTh+WoeQoRkRkePe/KUB//+cgvE5GXAn9LPU3rRup8FDn1Ci3rpcAhEfkT4F5glnrVmFuAX9Y64bAx5nEmIpPAIzpZN8hVdfFR6mwJItLhUVaGASpVPfNEtMcY8+R5usU3Y4wxxqY+PYWIyG3UCSnP502q+vLHuR2fT73iwudS549Zo06g9xrdsDrNeEWm36du9xx1kr17gTeo6usfz3YaYx4yTjL5skep9l5Vff7j35rHbt0ywOdzSFWvfPxbY4x5Mj3d4psx5yIir6C+OEq73X7OjTc+WQshGmMuh9tvv31eVbdvdp911DyFiMhzgOlHqXZcVe96ItpjjHnqEJFnArsfpdrSxs7WrUpErqZOLnw+Q1X9wBPRHmPMk+fpFt+MuRC33nqrfuxjH3uym2GMeQxE5HZVvXXT+87XUePkNdaL8zQi5EBEYIqI0wiOgv0gp+jKfWThJvJmwsv/52Ge9+23c+/qt/IHf3krz/6iJW66/kH+9K0vYNdCyS9+7+vYkT7Ad772Fxju+2Je/NI/5lMPFHzg7a9AVgLNXcusre6m+f6DDP7pXcARfKgI3EJ0y+dy4+/sofFFH2fN9fHFNUy4FfqjNp99226K94/48tcmrOz5O6pyjhNHbuXY6SbugYrW/U2G9x8k/UrHvlu6PLh0kmd8Pkw17yAjIav2UlZdTucJK6PtcFDID49wuyeR7QOeceV9XBEd50RVccJdRbZwPUvvLIjuTynujeHqHPfNy+zeFTj1onczeeTrKfa0WZ0DzmTEK8fZ+XPXcOSqPrM3jJhr3c9UfBwfIrzO0JOEo6sTHP/MTppXTDK95zTt0Z3s6Ezw2eWExcE1VO9uw4dK2tf02PvtC0S7jpAvz3H041cy/L0UOZETtzx57wTP/vGEiRd/kDI+SXX633LHu7bRu3sWf09Es7uEPD9j1zcHDh/tIUvXM7o90Oqe4DkvOk46cT++bONChzIZ8o/ytfIoH48tzcev0xAAjZEoARlAFaDq4vFE5BQkVNEEjgVwhwjRHPFoQBQajLgKrvwSGNwHS/+AuAW03Akyg5MRKhmBGOcKKBKkEhwQmCL4Jrg1hEUIAUKKaEXlClwc1WPEqiYUCQmBkgGlL3HBg0tQAULAhUCggKgEScBVSAlUDTwxJSX4AvEVlAF1TagcSkmkFeqfgeAJegTcEag6BJ0FNwLfw1cNCCmeEUEclUYgBRIDJGgZUMlAlTiklAQkGoF6qLoEFPEZUjlUHOIDiCKFI0KBnFxKnPNQRahEBAXEgxQ4cRD1gByXNfFMUBFQMoIEJIrBlUhR4YMScCgVlTicCuoAXzdHqpKoGBFcROUiRB2OJuBRWUFVISSId4gAJXhNCAiBCKVAojUED2WDSmo8Sd4AACAASURBVMr67xQinDg0yhGfQ4Aod8Q0yBFKKZC4gpCgpcNJgWqJSoo4qd+/ECEhxZERGKAuRjzgCrTwuBCP2wkwgigbv0cNVB1aBnxIEOcJPkN1iCsjPB1KGqgvwPcA0MrjKiHCkTuH+BEO0KKNI0FYQ11OGWYg2QNhAadHkBBQ7RKoSMkpXISKRyrFIZR4wBMxQl1BGH+MKUAlheARvwpRhpYzuGoSR4aSUUUxiAOGiGSgEa6MSFUZSIbGHgke9X1EV4nKaaq0y/af+mKmfvwK7unse0rHomytqXdwA0fTbbSiHi0cvcwjqSeXgAwDNxeL7Mzv48zUPt7jns3hcD0vzP+Jm6tPMGw2ud9fx8G8TSdpM8lRmqNTjFo382C+nb1ylFuqj/HP6bPp6AyDHIaitKMmWdbHp0JOhRYRPu6yUBVEUZdRWVFJ4Bt5HzO6yP16FYVvoNLnlLuC5bzNtbKAuiHH/DR7whI39e/kvuYNLMZtDuS7SdjOPj2M6hLHkmtYrhpcqfew3y/TKxqUUUouIw5wHTvkGB1dZNal+HzEvHS5P76e+6o226KCXdUSE/k816Z9JrOjhHRIIOJ0MUc/maPJYXboKZTtPDDaS9VoMhmWaZcZRZxwUiu6GnH1qftpTDQ52GiSRglaZZRRxQzzdMuYj+hz+Xtu5pqoZHJwLyvJ1XSSEUcHEde4Ps8fvYtt7jif6nwhd5e7eUbxIHOc5lRzHwfDNGt0WGKO3X6BvYOPsyvJmA9TDKXNfr/CVHmcpSjhmJvjdDlD5efoVIfYHx9iRafYXazQWV3kVDFBMbWdtp9nBByJrmVFZkmqFVoCa2WTlWg7PZp08lWmopKg0NRF9sVHCW7IgIRGGKJlxH3+33BP2eGmeJHPCbdT6iL3x7fyQG8/s9GI3ekiZ/IR213Js0cfY8oNuDu5gaNhGzujglldxuXHWWnt4dPZPtoh4bn5XTT9Mp9uXM+d/lq65SJf4A7TDGdYjaY5wB4Wqg67ohWuyI7gNOJMspd+tco+d4yd5YjTupMHkyu4K9/Lc5Mlbhp9iLuiHdyZ3EKeNUhI0CgwGe5nLoJ7it2ICF/LB5jUAwylwbzby2E/R1zmXKunCZJzyrWItGQ7FX3psFIqe90ax0OD+fgq5sMUUVGwM8nQbIVG4kgYUlVDqngfdw620Y+24X3GzeEz7JU1lsuE++KrORDtwJdnuNmvIbmwom2mUseL5c1P6VgE1lFjzNPB+TpqLEfN/5WUOo8v1CtaV6iCkoC2iKpJEiYRukiYQkOFapMka0NRMQzQd6CaQOXJaVMGgZFDikBUKM2+I8nbDHAIKyQoGSUqEZUKQZtkRHg3SeFH1NmHG6DCAGWNJkE6FK4JGuMKT5pDVnYoS0+hTXK3jYEraDHLEGEYtcijlFWNybIWgkLZICqEqkzJXZMhbUY+ZqST+MoTVyVxKZRDgSyFMMUAh+ZztAdt+gGoICqbUHQgCESegTgK16VihkY1ICZnMWoz9DNI2UAYgAyJcXiNgQZViCEIcR7hig5ZVNHnFE4ahDLB54rPEhpByAdTCJ416VAySSPMIKNJosyR9qETe3plzIpMUUQJXoCRI25OUFRncEQULkZdgpI/KZ+yy2myXKFPoCShUQgJfUogJyP3BWU0D9U0vnwWTfbiqcjyNTxDYAhM0xqkVMMb0OIIDQ6RMY0npUmPQMkaXZo4BE/OKnm8hJSrNKur0GoGT0LMAhlrDKMMqpRu1uT/Z+9NfiVLzzO/3zecKU7Md745D5VVWZWsYo0kiyyRFMW2ILekRnfL6Ea34U0D3hg2vPLSMNALAzbghf8Ae2EDbRtaGG3Z7pZakmWKxbmKNbAq57x582beMW5MZ/wmLy7tpdWG5C4JzB8QsYwTceLFi+97zvs9D3giWiwVDQ4rK5QXdEKOdDWOCkvAo1EolI2ADE0FNBixoMEiEWgniV2EIML4FkfACQOkaBcR88sNtbd4SmoUFkNkKnp4PC0LucBrASYhIZC0GihpMLTCE4THaEdkc3LbI9DQ6D1q6rNNughoJ+nYFIHC4KhUixcQ25i+k3hKlqHFKEkICu0hI0K5DMgJVHgmlL8ULBIaEiPOfheOFnDKI8SU2GXErBN8wHuLNRpFhCJgvEX4GE1EhkVRnP3nGMCQ2ogYT4NlIQ1eR2AFiY+JbQLUBE4ogiJIgZSSyA/QpktiKgJTahpKJQlO0gkpcStwGAyBWlQIAmnIiZ3GYLG0OLEEASqA8p7IdxBIWubU0iJDh05IiUjApHhaoKYGWgIZlo7XOO9ZiIZWzvHyFFxC4nooF2NpsXIGokWEiMgnJD4+E16YUUiw2iGMQLOCalMCCTmCgKWmoaUGImJfI/AYYqwEZI10NTrk4PvIVqJYnHXp0CKJUQ6k69BiqOVTnFyibUZmz+PoEViQcoKmpoEzEU8oVJsS0aWxOZoeQxylM2Akpej9m20c/z/gtKEVjooMR0ABC2nRMsMEgaTBxLAIlkI6CpkykX0WEhpnafScAscySlEi0MWwjARTUo6VYiwcBsGClEYailjhRI4hpuyUKDLaICARICKWMkeRU0QlKnSYSRBUTPC4M3WSBZJFFDETjiAMBZqZlCyywEx7ZqQcRTEZjlwUBBpOkExkzJiUKYG58gQ0NQklGS0dqjBnRkBEkgUpC3osxCoxjlxJRFowYY7NamIMLTmLKKWhJRYNlbDUQbBINUKCFiVONdQiZh56SAxFWtMknnnUJxIKJSzQ0IqGpXZM0czDGiUNOupxEPWo0Mx0RCkDXkisgqlwLKNAi8HhmQnBkU4ofExFxAxNri3dSDDxiko4Vlgi1ZxCbbMgp9AxDRKtJY6GWliKqCZKHKXTNHGMV5IlMKfLjIhIgwtdpjpnJrssyGlTgxM1DY4+gjEWjcGTY4SiQFGIEYXMWVJwqhskmooBi2iEVDO6GCqVUTCjjKErYB5JTsIAyYKEgiSuqJEsZYrSijLU+KTmVHomIkNEGSUlkapoWGERMhYqJ6OiF0mkkCyJaGRCIzRF7DmxhpnImcVDTsQJk6zilJg5G1Q6QgmPlAGhcjoYFqKLlIqZUsQ+o8BTiMCclFQLFtQIFEsGRBR0OKQJCTOZ0tEJc3KWdGno04qSpQx4rTFSEVFjpAECS52wVH28tpyi6AXNoU44YZ1luEjiJI0SeFWzFIpI+C+0jzznOc95zr8Oz4WaXykkZ17ECkE42/Bx9tQcr/AoQBJZSzfUpMyRakoWTumHfUS6D1qR4+gER9FZIjtzUjEhQ0A34ISlyebYdIFNlxAZpE1QqLNrqxqpFvQ4Al/jXUJPToh8REQPE2m01nQ5RoSYNhwwlQqTKBb5OjYuyYUlkYZU7NJ10KXEobBMUW5O30UENCbSuNQgZY4MU3r+iK44YsUJVAhUzlLEgjreJOQapZb0/REr1rGMdjkZzjG9jJALbOTR6RITV6Ce0fcN6+aUDqdIATIIco7pWMFUXUBIgZIOEVqyMKfjC7QcY3Ufl1p8XJGGE3L2CNYxiXq0XQXdiFpk4GoEgmGYIsMBhj1EbHHpgLavWGYlkWoY+RnOPgJ/jZNsRK1bEiw5S0op8Egy5l9cyf0VUXUkzvQJPqZVJYgYI1Ns2/ll/SZAD68NTXSEUDsEMcDVGd7mBJliske46Bm4T6j8Hl6kODUg4PB4PCVN8HixirU9cDFBRoTYIdQ+JhxgZcDaAaFdQRCoUyDeo0XhXUTrM3BDPJ5aVUhd4UWCq3oI0yGoBpstkezjiM+mYuoRIWR4WWOyE3xcI1qJbxM8nkBEoINPCrw6QIQnBOkIVuPaGEKMSzylrPGmg3ObYD2oBiM84Zf249akBK8IQUEQWOWoooKgLbaJwK8gXUyQFp+cUukC6ROsS/B+AD7GRo5lvCCIFuMTgk3BCnwkaYRD6hofFwTf4us+3qwSpMekE7wqEA6sT3E+BpsR/ApezaniZ0g0XiqEqLHBYG2KI0bIGUbWBBGQpFjbI5iA1x5UoJEBZwXeDMDlQIFJTvFJIISI0CYE1weXEFSL0VNCVOK0h5DStj2CzUAq2qjCqRovBL5NwQ8J0tLqGT5e4r3CugTanOAyEAHXPcVTgolwIQdSvIsodYXWU0RYgBYEcrwZEKyk0hVezgghwbgheEHwDkSCkw0uO8EFC+0IbzoEUUM8x+gJFoV3fZxLziabQopXKWR7BB5TihnB5liX4l1MowJSO6S1OJsjvUSGDI+jiRpk9BipS4xbIbg1gnOAQMYtUhRY1ye0fSDHyfhsm5s8JkRH1F6jwhBjW6xdA32IUHcwoUNA4lTB3CtsvEKSlHTCIX/x6d2/3kRFylpw1FFJlgpyIGocXi1opScxgpFR5CbHxhGboqDgGSNniK0m0hEbkaaqa8aJZDV4XKsQecm6gzVr6TRdLsUeqSoWDqxUpMpS2RJiT+0FkZNoCVPbEiWO2hSEEBiSMqDLqvQ4PDokxNLRsXPWlUMgUaJhDUGn7rERR0TKM/dLtG5ZpyFqA0oUZNIxpmKbhHEVU2eeia5o/YxN25ICKnVI51C2ooynzMqGrTRlwy3oOsOKEuQ+pyMSjOjjDUhtGbUxXdVjmsDUntIhY4jDU1OrBuk1Y+dYX0ZIkTDPAnHkyVpBEnJy3xCiQF/PGYYnrCvJoDjBiZie9gijWHMFWRuIrGI1Nsx8yagJDLxkM3Y0wbKQDafRIVss2FrAqoup5ZnwuO4h8z2M1YylJ2oKrE4Yec9aiPBJzdBIerMum01M0bHoWJIEhaEgExZtCwYqIbUzksSQkJD7OSMVKJ1hLCrWfSASESUCGRRxA0U+57SpWEsr1toEYQJNbFmUB/SSBaOsJGo8W84yKmOixLAeFIVxrEWKkVBkbUySwna1ZOg0621KaDqsp44Lesm4KVlT0AsxWiqmGGK/ZFsGxkZBLAiqwFcNm+R0pGckIkpXsyamDGXLapuzHQSzZMapDUQaIg/jpmIrUsybCUorRkT0mwFdAirRNMISe8emT/FB4pQm9gnrMqcRgmACwzSmdRU6ronqguALhmqJbCsGtkccNA3QpAWj5oSOjlHesWZaBrGhFJ6+OMAHRV4esinn1KEBV7Ga8Dz39jnPec5fe54LNb9CCARnz+PPpgYkAdBnx0mI0ChcWNCLJozFDhv5Q1752qvcWH/CC/JjLrz3NmF6jpVlxcZywiu/s8kjVXJL3iPd6vDnv+0QbcS408c1KeOLm3zy/ZKoOUfMBlV0Dv3aGlcvzjmnPgWm2OiYTLRU2QoHF7fYa8+xmu6xyX20OmZ/PWZldcDjC+epboK8lPDum0tWz+9xvX3GuaRAMeWAFMOQjvQ0qeZp3DDLRthzkKWGRO5zXd7jHE/IZIVlldsrr1G/c53pFU11Fa5uwZvX7rCW7bD3X73O93+WMzWKQQrhJGCqLpe+2rA6OuCynHGLXYI4YkeuUIcVXmHCdr7PD28NCdmADjM284INeYzsS/JeSvGt15gOBOtrJa/1HvACP6fsHyO+vMG9f3wJt6uJrCCqEzZenPC2vE2fz9hdGXDyzW/w2cUhg99KiOua4XjJt9I/ZfPiU+5vnvC9i7/GSQlbnVPWOeYZLWBY5eQLrbu/CrJ/+h8y+lDTPGmpL0hW3oLqqcL/zFK8P6c3H2N0wfXfO+VL/6Rh3lvl44PvsPyXgehBxv79J/z9/2KLlXMPyOohXTPEx5rb4hKf168gfcTF6AkFMR/tn+f0D3LGfwTHccnGP1K88a0j6Oxwu7rA5F9cwP63NUV8xMV/usnK1T2OxYj2WYflH3nSZxETs+CV342QNzwf/njO2p1zqI8Fx+ouL/0H69y6+jHH9WUe/WyF/X+myR5lLEenrP0TUG/GFPck6q6ifVKRh5jZrGD9NxKuvXnCTC94Vlyi+DyCP3ecfjJn+3eGdN9zPPqDJaN/1Wf2sCJ+0ZB8bQzfCAQP/vsQPfHIfWifadorT3nrPx2y83TB4n8aUf9JhKpLXAz5Pw6s/L2YZg6zPwoU/1tD/bRi9Hd7dP9hTFUH5Psa/yNLMC3uQoZ4RXDpm4Z4+JBmv8fuPx9jv99F6JbwbUPybYX0An4mWby/QO9IomZE0fsBv/tfrjPNEo7rLkrf51LqOfrJiPuPAje/M6Yz2uXAxNw7vYT8RYS546i7C1a/2+fQB87vOR7/5wd09rpUvR1+87++SnX9mKc7MY/+cEh0F4ZmyNIf8tpvzxh/55i7WZ/ZyTVm/31D8/stK9sjjr455fLvdjm9X7D4fkLvdpeiLej97ZqN34wJS8Hjf1mRft7D3UmZtA+5/J8MGLyW8OjHJfWHHfp3E47mBdu/p3nxO1N68SNO5Ap39s4hP82YvT8je9Ow/RsJk92YxZ+mmD8t6c4FM9ew8Q8TbvzekqOHc3b+xw2iu0NcNqH3tce8/O+m7E6G7H1/TPG/eLKHBWqtT3lxl3/7PxtT9B9y2myz88GA+gNJuF1RZBPO/60NZscw/4MnDO7Pwa9yko+49O0J3/qPZ8z6O9x78C6PfzgmW9YUc8eFv6URVysO/kRh/ocuPBAU6zOuffuE7/57lqPOQz60b3D0dIz8cIn/o5yVCz/mt/6jiiIJeOfI9DGHzRU+OLiJvhZxPf0EePGLbid/Kar/pkauPeLmK8csZi3NRPKlF1fZaQ7ItxRrfoP7/90OF/sKs3rASzdaXth4RPSj2/iFZVZ49Pn7vHPrHAd3ntItS7rjhKX5MW9c2UTdm1PfWXD16h0m+wsuX8uZBAuLwKAbMc8N8TgjPoFybsiuDtk7mnGpP2QeUtyf7VG3lt7WDpWTdKOY3voh2zGovQKcprO2i5zUqH3H6qUnWF3x3VeusrtzSG+yYF0kDNweL18fM8hKzI9OyI9TmnMt/S/DZrYg2zliY2XM3b191scCKRVZ+pAXz1+AnRnRk1NWo5TJoxm92OGdI++XbPcUrpDoxyUBj9mc8MZbY04+W9CtFNHAsN/s8+Koh98RTN6fceEdwcbqE8rWsmYD5Y6nOHZE5wLXv/2E6LKn+fwO7/Zyjoo71KEhHW0R7p/ibx9C5JHpHd5+xaEeT6ienXB5zaD2b8OFVY4uQv/pMVeXHQ4eTbn0+oi2aTAfL9GRR24v2Rofcq7QTBvBapqijo5Zu6nonSqaHzf0hiXd609ZVi2bw4yEE0Ia0zWK/Yefcu1mzunQ43s5PauZPT4kTjv0nUDuHKMSSSMEo07Mqg/M7Qm/+ZVrlB8+oP/kkG6mMeqPWRn2GW9EHNfH3BysUr9fc/L9OZ0rnmz0gJvDQ0xRI2RNJ1Wc1vd56+UWt7Ng8qdTNi9GnL/+kKjzlHMiQ+48wbSBRhZcvXlM3B9y/JNdtroRzaCF/j6rukP50xMa4Vi/UKH0CeduXeX0e/eJ9xdcuWwJm3vkFzcoZwW6gdVJ4Oj2Pt/95lVmiwX2D58Slh6voHNuwsbqY7oqId6raIxjZe0JUSupHlqyTcGF7ZSmqLhxxbFX7XFufI0QVXCwx/loxMN/dUqfmMFF+Ng+4Vtff5XJ0SP06QnXQ+DJo2Pe+Gqfte4ercw4J3rs/dlDNi4MubqWU+8+gxtfdDd5znOe85z/d54LNb9SeBDtmW+Ft5y5cARAElAEPMiGrlww4pCOvke68Zgse8RFHpCsPWHaSYmXFavtknhjQiLnXHWf0Oh15IYDG/CdAxqd0z5tIDWIxSaW83jdQ64V9Ht3uSh+Tje0LL1DqYq5qtD5Vfz6OrHa5yr3yF1GHnWY6QG7SUsVjXCLOfn2I1Y7n3EuPWKLluAFWcixakYnFJRO4aIaqce4TBGLmAFTVtllSzxi3R8R6HESJ+jVEWW6hleCcrDD5e5POa9+zuytEQf2Osz6ALS5J64OScYwSh9yoZlynTsY8YRpeAm85ry6z0i3fDR4ESst43CfbbnDNh4tHK1qeLo6ZvdSj/XxMVvJR7ziP+SQBaP+RezlFYpuD0oQ8wlp8im37KcM9C9o1Tbx+CqYjNOVnG5ZsOZu84r6GdfUHVTyjA/7WxxPu+TqGZv+GCvOJnq23ZO/ONT9rzmzt1apag2qor5mCO9k1PeAA0dIx8Qho9HPSK7cYf1rT5Hpp0xm7zF7mhHZMX5+n+Ebn7G99fuMw5y+79MKw4FYYLmMC5DKX7AM2xRPRjQfb9IOM0y2QN/aZfD1+xTxIw6bDaZ766iuwWU1J782YOXiRyxFzGJ3hWo3J4sjaquo37B0Xi1wTWDq11BHAqeOKL7Rp3fhE05Cl4kcsfxeF7fs0Ywc1esa817NfKODjzJCx1F4TXV0zPDVB+j3jjGy5Xj5AlW6jtgXtIdDDm+kVO82FA8g+ngV80RiuxXFjQ7iazXBx7gTTSw9Sil8LRCrR9TfNEzvNszeH+O6GcqUqMTC5Zr4PcW8hOWjhNDt4lPH5ErJ7BuKMHNEpx38rsJWFq4EwpcV4/duM4zvwv6XKD8eUd3JiSKHecki3xUQCoQZYHcTdOEIdkzck/DVp1T9hJNmgzw+wgdJ5deZ5BLz9Qo1eABhlcnBq/i4QwgtUadl+vVV6jBlcWeMTwJGbOL0EfL1lPClYxYbq1SPxlRzi3djGlWivnxI+pU7WDWkmr5E+70+TTeiWI9orzqOv7pKMXRURz38yYjQxhQ3J8y/aqiXOae7PeJ5H32k8WVN8ZolfD1QSkE9yVDHa1i9ZHlrSXj3gDS+jeQy5c42pKss91J4+YTFNwLHdyuqgy34eIy2EMKC6Y0G3ntKM54z++EVoumYkEiyGzOat0+YTXNOjzZg6DCxJxlFiI3H9L9yj2r4KYtwjiM7xi0UTDwMUua3VpnuW/yfLajpIcRVyCDaaui+9QjTe4BdeZ1iPqYulrQTz+k7Of7KHqf7CsYDoqcJrpugrjym9+4jms49CneF070Xz6aWPuugLxoG7z1EqRlJECQ8ZUaEfXydRJZckLtfdCv5S5PfXkfPnpGNG0ZTT3GgGYxzLs4KUh0Ta8/g84pouMZg1rC9so9L9ikfBdKTdZjPkaZgeHWG3J2SnYK+MOBCc0DUWaB3StK7GhsK8kcFeSIZioJmP9Bbyxj2W2JRIB+DW1j06oxk37BaLFmJJPZTT1bHDOYSUxVEI4cwBSF1sJNA0+ArECcW+ShGywoZLeidm5A9PCA7gVh7kqYhGy6QOtDeqxBTha4K2IJ6+BT2CzKds7Jbse40QQjS7pzOuISTmrBnUXGGvlMz6EVgLYwC3bEjTDzcixEelGnovVSS7S3xpznJqkRWBf02xe7WlHctahXG9Yy4icjblM7HFo4MbikZvDxjZXvCs8MpI9tj6BY4PCpe4I5L3E6CTjJW4yVb557SHFrYMaTtkqs7NUoJNjqedHdOXPdZu18RnVckTQuftQjRYdiUiEUDC8GKk2T9nGrPEa8G1KEmvucJa5Z0aMlODUkbsd42RF1BUgqSnZbRWsEKBuUFwmRsHZboZIIgI+zUiH5KKj1JMEipuSKOuNDA6ckRw0cNKhdcVkuEK4nxpNYylIJ6N2A+sKhiyLnzFWJlhpnWSBmj+n22m2eojX30k4LlLzxpFbGuKzZGJyS2T7jnodKkSUO2eoBpLZ37C7orPbrLgqhqGSaG7G5JKmNc8MTZlPyK59mjU7qPE2JpeSkc0F0pMMsFVZHS3U/p3pszfG2HlaMp1Wcx3YUHbehXklFZIWJPdNcS2gamIExGfbslWSoMBnti6OhA0s4Q4REmk8hiTq+ruXR/Tux7dELKZltw9fUDFvMl7eExQ7q0DyrGL8d0WCCFIWpGqP0ZnRw6nRnmdPZFt5LnPOc5z/kLeS7U/ErxS8MV/C9nazwIC8Kjg6AGEBC3gV4TyFKH1y1CWTqhYSyWtMkUuWwwBoQI9OKSPMzIWEEoUKqlr54hVUpvEIMskEQoMoRsiLuOrtpnQEnicgqXIOUMxQlxt0YMwMeGDhUDHL0wYUzFedYwomWWBpyuSJnTD6fktCgyGtMixJSUkqnKyVinI3KCh5SWrj8lU0tSSjJREwQMOWBNHDKJL7LsevJBSS6eMRDPSPUzsrWKNiR4JZDao0tBzimZWBBUiRKndDkh944pCRLIKdDB4mlYFVO2/CGJTMnQrIkFM79AyFUQnpRTInGKUI6Yhs7wGGcTmraLFoGRPqUnDgkhYBigzABZB2JhSJM53TBF+ADSkIUZfTGnp2OCrJC05L4lxbIhDr7Iovsr4eba99n/0iswWnJl7UMurkbcM10Wb2ywLMaUi4Qsrti6cI+Xi58S9COm8e/z+Y0v8TR+l2g046K6w5cPP6UnLNoPUbIk6iyIO57SBW6Jh+z7Gyzjiruv5NTzC4zDQ14+9wPe8T+nCAsO9QqfXr5J/Z4i1Q1X4x2+YX/ADZXzKLvG5ze+RLF2mX55wKXRMVv+MfNVxen1G8xMTioattOHvB3eZz04pquntN++RXvlGombcW20Rz885rC3zt61lygGMZ6UwXzBpY0HvOFvMxcNUmY8XH+N9uUhUThl/XrDeb1LtJ1y9PoKatXTfXHC8OYO57JPaRlz/0uvUfQ18lIClyO6GzMuil3coOLOOxeplhp9MsdFgsvX7nFJPuUoSth54SbLdy/SnK8Y3qwZR89oeg3L69dYHHSJgye6WtI7N+H18H2u8DMOE8vyxQ771SYhNYyvzVlLnhGHKUfnbjD78pCmH2MkDKMpV6P7jIQk0+sMecC27HG63mPYeq4kx1zhh2y4AVWacrz5IuZmyyjeYSt6zKHfJx7fZPn1lHC+Is8LtrofEfEh9C4TbnZY6hgXjxiIUza3b/MWv+Aijjuqw8c33uTRd0e02zm3XviQa1ryYKXi4NV1lsnXsLOay+fucEM94TQ9h7l6nsIkuI6mc1Jzc/SQnligV2H26iZVvkpy3LK1+YCX5Qdc9neZhgmkjrubK4Q3Ttk+g3XjuwAAIABJREFU/zlvy312+xG3r8ecfmVEc5IgwwkvXN3lNT4lHrQcfmWTabZOGhrG6495XX9OP1rFXLvK8tsN7XmJywZs9A55y73PzH1EJobMtyz1rQEmjfCqYWOzYJwecfC6pWGI8C2djZbRtadck78g4qe4aJPJlXUqq+ktDrnSrRmrZ9y50OPpNxPc5jod1bBybsIVfs6N8FPqVvOTbMTRVcHsvfP0VudcEh+R+kOiRpKqY/pxzNNsjBMZQ46/6Fbyl0ZUS6KlJExibN3ifSBMPPLozISbYULUgA5npuB+5pEDgSohFAHVCLDgK4erAKsIFZgG4qWHaYAmIGYRAgg2QhUC0YAQEjeDgAQb4YQlqiCUQCbwtQUC3kj8UQQ+wqcWQSAUATH3eBtQIeBakD7BO4sFghVID74SiCG0aSDLBGbpCVqgYoutA9GRQEqPmwFji2zBz+WZEXgEwqbYSYs4lTCQOAnoFmcg1BIdFN55MECt8AsIJ47Qni1HqCXuSEBH4ZG0GgKCgEIaQVhKRClxM0E4jRGniv7UMZ0JRJbhbI0xDXoooJIwkYgsQeUFwlvAQAIITxsgdwIxAT8FvEcIEDiMDUQWwkLDvsZHDhFiaA2idUg8vpD400BoJN4mhEbgGgOlRziFSBXUDcJLhE3wlUOogKpj7FGJHCtU7LAa4gx0EZBNDE5D5pAm4JeBEMSZIbkDuQyQJ/jS4bRALjyJBSqJX0pEDKEBmQXCosVWoEwMocQhEJHCe4dqgAX4A4mwAjkIuEYgq4AugVwgPAgTQIKwAhoQs7P6iQqDagJ4h/CBuAU187SFx8uztaYyIBqNaAEvEFpiHagKWAhkT+FCC1agyohQK1wlED4QFuBmMeJYg1mQrBlsrRBTQCY4y9nxV2NIq4A4qaCwhFqBtggXYCahFGdHnEKMmoNYCDgJiCcBvvJvtnc85znPec7/V54LNb9SKAjpWeIMMQJ75u8hzsSb/5vUx6SlQKWaVEZ0laDvAmtqCVFJdNjifQcVx6RpQxQsiXZIDZGybLJgJo45f26Tn6ni7LrEBLmgP9KMYksGZ94dIiUSmkQUdFcrRByhIkMv1PS0YRzmXPALWjVn1nUsc01HwGpoGciaXNbIEBjj6MiWDiWxH3MUKqywWBHIhacvSjZDxYCKXFiiULMhTrjUOaFIK+7XKds9xYacc55TNsWMta2IQxWQSYo1kvg0Z0XvEYUGKS2xbBiEilRoKp+jSYiDR/izqYV1BNvSUgdPpiXDUNPDolWHSEWMREMqakQIRLSsbBXESrB/dLZg7eqaXrRgjmLmVqjKPqFSrKeeNLSsBosVEY6IjtL08YzSQCJrYiwpC1ZEzQX/N/8p9j+4+uf8r9e3MI3l39E/52p8jz+7/A4fvfwbfPpaB1NIhjLntaTk7aMfs5odspUl/PG7q/zBV2oWh2PeiJ7x6v9xl2zQoepVdMQJl9ee8FJ6zLHKuGJqdrQlWguo33mL278m2WoUv719n++I72ECtGpM++bXuJu+RG+a8+3R5/wd9ROMDHy4ss8//842n7tL9ArNa8N7vBJ9wPqti/zh1VM+eyNn5CLeWf1zfp1PORQSXhCcXH2Z/VnK4EnEe5fvcUv/CbvnrvKDjQ6/CJcpZcvIxbzLkt8SH9G2U7qdFX7yYp9Hq+eZfCXi9cvPuKV+xPpbb/LHm4omSti8FPjy8Am/o/4FMzHmn62v8+niAhhNXaes1l3eSu5z+byl/Aclj15fJzvewLVT3nx1xtvxDzmMYn7662t8cPEaJ081N1+ruNH5HNOr2X13yE/HOSHKWb/Q8EZnh9/WP+AFf5vP+xGL37zF8tsXsTLipfQB70bv0wtzfvJ2xs+vjDne62AjOG+GvBnfpw0tL4hDNtpdRDJienmN7uWEr0Qf8OXwcw5Un/6wy6NXLcVLfbbkhKvxHXSYcDsOPPj3v4UphqzO1nh5/D7nuM366oTVv73FR0eXKfoLLsuCt9Qe3xF3keGEn3QjFt+8wNNbF+l3S/7O5gNe7tzldrbCj698mR8XHren+Mr5Y34t+hF35DnEt8d8/CVY7AXyvZh/6/oDcr3DuWsjdq4k3J47Jk8SXrsy52vqM66zS+Mes77q+N9XX+bzqyPeYZ+/H97naKvP//ydG/yf61uYKiMOiu++fcjX5c8YX8jZ/UeBxTuC7rOYK8M5vyk/YGe8QvX11/j88jVO9ga4VnFtHvj1+kPS+YdsDzeIXuqzf/0Ge3YVMfO8uXaHqJ3yfXGd3Ve3kU6RjSZculXwdvwJl8wHdLa2Odr8Bo/9Ouu+5lvRzzgn7zJ8+3X+5OIt5ruC+DDj/Lbky+4zri4/4WqWMFi7xuejy/zkxW3eUV2+Gm6zZp4iGohouKZSytXzPBNDLslnX3Qr+UvjygXtgSTb9ZRZOAvAelJTP3JwWhJfrrA1SBxt45EnoLsKO6nxi4Jm2eAXEn/sKA89mUqQ0wVNA51jx/JZICkb/FGgjiAxCncocDaAFCx2JepYQk9RIEmLnPZ0QegI3ExgvUeXBa4M1B7SFGTzSyFo7jDWk1pB3ULXGbxTtDWEVlCf7XvJhMDGgpB2qB8eEUmN7gomk8DoWYRBYfYhWwuYZaD1HpkomhRyH1EdtshDSS4j5q1gJU1oZw3eOPRKiikNzD1iCW0KHFjMAkTliIVlvhMY9WtQjqQPYtlCpRCtwx0uUKceNws0TyrUXkRyAdpnQBRofGC2hKQrsdOI6qAkHUhcE6AIWBUQ+ZkZs4nOBJDiwBEfQRIvcQqSoGlbj0bgD+eECIKMkD1JC3RajzSecNzi77e4icL3PaFyNItAJltaKZBRBLU+S/TzEdVcEplAMk9Y3oX+Cwlq1VPEgnglwdoKVQbEtKJEQYgpZoJ+q5FWUBrIFgKdxSxPCjJT0k4EooaoKLHLGoA2gE5afDGjWoKeK1QLJgYiT2Mc8hTiwwbzuEEjaAjYhSIOgvoE0m6MqiVGnYVPVHUgOnUEWeO2gRLqBQQLznhMC+lSUUzAjgUGQdVCr01xhaI1gpBAPYeslbQTS5J4WuuRBpJC4Cc1xczRcWAnDc1RBFFgYSHZNDgtcQcQdEbtQMYBGkOYBjhusY3ElAlkjsYDy0Cz9GiliZSnOoLO2OAWUH8G6RfUQ57znOc851+X50LNrxSCM7FGA/qXZsJn7wF/JtgIQxQcsYXYG+JgyEJNGhbEskQFiwwS5ZqzNCe5IG0bclefRfvigZYQGiwNCHsWkUsEIkZLSRwKNBOsFGi3JKUlIDCiIogFeVgwDlNyIBUTUp8g9ByjWryuyUJN7qcMxDFRaGjpEatAjyW9UNG4iIFcUoo5Bf4sbUeUdFxFLmsSUaNDRMqCXBySiX2EUIjQ0KWgzxRJjVEGqU4gGdDILnEyQzGlE+akoaBDSe5nDMU+Y/+EHkcoCnQo6ZsjLoiHbIoHTFhnKUYYWhQlQVs0JT0mDPyMSNaIUGPFESYe49IcFVk0BV17glcNkiVWB0JkCGFGJCfEvgIhwEMsWxImdDEMwj6ZOKIKCT2WDPze33jTvE37A0LnFoaW9fA9LvMpH0uFza5QjDUmy1nYhrQ85GI5pbM45mX1AT+TtyiTlwj5A9brh6xPLciGTlKhmgriCrVyl76O2TaSQjasAD55yOlgxHDxIeviE7ba+xhlWdMbiPgJi9UtRHhEX37ERfsDSh3xkAqVvELlz6JnO+pjVnmfXMxosj0KPySz+2TiKSv+Kc5H5GJIm+yyHLxIPDugqz7ngviAmjkqukwtJFZohJ/RMfdY41MIU0b+IkqtUHQcU5cg9Q7jcBeSDtX4depUcdzbwcc7bLYf0lVDVPQ1im5E5dbxUUJPTUk5oCtmNPkTivEWZeuIm4YQP2NFfE4gok5fYzp+nbpxuM4OHXUPKyxSb9IOJE0ypJc/pSM/YV18xDjco6M6mPRzJukaXoDjMQN/n2GYItQWi06XcngdKzos63267BIzR7hjtswBy2Qbr/cJKHrcY8xd2tBlRfSYKMU8W8Wwz4BPGLp9nkVjpr1bhGSTzJ8Sy0esh/schX3i6DyznmSWB7b9Dpr79LlLak8YRzlt5x6F/xKuc0yW/JgVbtNVF7FyyARH1G9x8TN6fE5PnCKi69T5BuUgRS93yfVHrHOXZ3KLR3GHCROq8Qz0HVZ5SF98DEIxEpIg71DmG0T1IzbCHbyICfFNlsNLmCxD2gnoe4z85/RVnzbex+S3mHYdIZnQ9w8ZqSek0Qc03UCxchPf9CnMPkN3zEr1jK3+x2TyPCYRnCYLYrfEqz2I55SjlGK4CaZD3VuwzPfohodsmQMG2W1afY+akjYcEXGPPj9Hqoiy9wbL8RjdtlSdQ7rhkEE5Zz36lCS6T6tjbOcVnHvCSvuMlebgbGLCw2bnLqv6ElXYYOgOz3T7v8EoIhLjkY0mjy22FUiV0CsiotIjjCD2IBpJkgjiJoARdCtQZUpaN3gDuhV0a4mSOTITDIqSpAh4GxA+RlpFJEA4SdSAc4CDtA5oEmQqiLQAK4gNCOOJjIVWE7Ue7Q1aC5SJoHao4JDGg1PIIiIzNXhQ9pff1xsiD96erQkSFxC1I2sgmADiLNQdF6GNPJuWqASpE8RGI4SmY2pEqM4+z0aIOqFjBLQZ0rYoKcHGxKbCG4WqY8ZLi1jExE2NrzVITV4bMBBpe5ZuX0m0E798AT4gDGQWaDzKGDLO7k9iPIMKZJ2hjCdqNTQJSVKBcSgrEFYCZ5NB+IbEBbLmbMrYSwhBklmNNB7pLcFEiDompAKlGgKByAkoAiwkTZvhrUU6R1aBTCVxAspJhM1I/JzgHAqBxCG9JjWgrQPvCE6ASAhUEAlAI41DOENsA6pNwCfosEC1CsqIzEIUAtZwdr+NIm4BIyEEpJMEo4i8RVmHCCBbBbZDUjsi0SCMIrEgbEbPNpgWlHEkDoSR4DRx6xFOEzuJrDuwjEhMDbal4wOyTRGNQlkQ1tKxgbaFyEASzpYo0gV0ExNwKMFZip21SAdRAOlAGoF0MZFroFZopUjMWX2nNegmRocIfzY0RmohaxXCxGS+QjQtUa2h0hCdrTqF8URtQKuASKDXQtoIZIDwNz8M8znPec6vAM+Fml8pHIgSRALBceZPc4ZE/j9ijRIOYQLClWjhCHi8bPG+z/E0xYqIOJkxFhNs8OTOkXoNC4EYamrfoVZjWrMGroOiweMIqkdrPBmeARU1BSklHSoK1rB2E1kIVjAMREOERIouhepSkqJUoJMKQiTwSqCxKG9ppSag8bRnKTkqQQAxllYIDPB/sfcmTbYk153f7xx3j+lOOb2pXqFQRZAACaJppGSSjFxqIX1UfQYttNBCYndLIIFuCCSmmutNOd4xBh+OFpGFlmmllrFJluH9zdIyLW/avZEeER7u5/yHXhr22vJUA6MpO13Ss6YWpWPAXGRwFQca7lnyzi7p05Lz8Jb6IvJ2yCxLTfEdgcLKHanJLF3hL/gdL6qRJW950HNehh0/kF/x1/x7PuRTPudHHKg4UVMw1BmVG1lwopGJYEKwhhUtee2pXoDfK40LtG4iyJ4X8o7FokefXNAGYzVlcoEjDfvSIlpzaTs6f+Rj3vGEB5ycsSLNdPzvOC6C8pIdE8aLsmA5wJNm4pmfePn9BV9WcHZoKH+34LN/m/jTfwPdv4k8O4OPuedyc2D9xR3T1zBeT+zf7riYZpem7deFzX+95tXbN1Tf3/C8Mz7kgTfNA/+Nh8s3J45f9YQfXNJdLVjIyMXLio+uGlbuLd27B07rp1SLjmcYl9JzWRWeas8LDpz7kXMJrH3DxXBJtBeQhEFbnK45R7mvBj76nrLyhtFQWBFkw5qO2ka+x4kzeWBgIlSZjU6cWaBxl7TBsaSmUc/qKrK4KkyTozs9p7Rf0/sLRDvOUBbUjLagFMVby0SLZ8dLMtdPPUkC8vaEBofH8KKYLmFVIYOyWMwMvGANly7wJy8iu2bPhUTOKXO8uQoB5UKUD4CewMJWoBcYkSWBF11F+Z7w4GBzvcC5QCMT63BEpRBZgz1FTcCdk6ShEc9zCkciJzKBQi3GuU8s054PP1zx1Q7W0tA2isrEua65MriqPIUNDU+JLBhVaXOhA55sPN1aaXc1FQ1OFFXPPKo1udScyhl7Wrx6VjgqagjKcrWmCbCRieeiXIrjvBHaDyvWbqKSPSu2ZF/TkNnguHAV3nf0viKjLCvl/APHzWQsdme4sCa4wLIUrsT47Qqq4hH3hOgbzij80HrerY4cF4XdFvz+nO2orJ1Ri2ctFRsanlhDaQUngeCU+kmL5IA9gGuu6DYXTMVREqxKxQtnjHZE6DjwjMJTzmTJuXTsRBGtkKrj2NTkIPTVCqUFO0e6gDtVnPqK6QFygaAwVomrTeHBwNx3P56bk5DJ+P1IFYz9W1gvjkxvMyUm2pdl3gQeE3VT4JjBJuwgxH844C4KwwCb4UDcGmV7xPIKDHyr1BvBrhOlbYgnMHFMHh5uC90+ISKI9JjWlDFDSNAB65riHeXdARlW8+9VUA3kg0HISIDgAvk2oScj34y4P4q0T0DXhfWlMd4rVoPLBXnYI0eIBuGDhpIjtEAF4wS1tlQVnLaFWjO7BO2PJ6oGCobc72mHAuOEtkp6SNiuZ+yhcqDJ6F8b3Wf7WYV9TDAJOhnsE3plDNdQ6QPyp0bIHqk9tsyEl4Fhn7AtWJ+hMpgmpttM/w20T4SyG0lvBYrjeA6X50r5IsBvTrB29LWyPm+QmwEdQNYN9XqPdSN6l7FjRCKzhOhNxDc1dmkUn6EYuoN0D4ZhcYRk5CPQZoopdj9iB8jbDNsRuYBYQ3gS6CsIlxWu7sm7gn2+J92NlGWDP1/S5Tewu0eGRNlG3NMO6yGbJ3x9IFeCXy6RruAWBQ4TuYawqbl/F3laMi54qguwrsBCGd4laHuGvpCuIHQdaTqhb0dkVcijUr8Q9BLMn+bxHB3USjopdnPE6gVT5WldZtUWcIIfBpwDpFA76O8LYco4D6QB8RV67EmDEJYeWfjZ4H5IqBmuGDKOIDVOmb21LIBFKELjDA4Zfx4pZ0DeIgdIt7P0+zQWrBLsPlJuDJaOZgGQKWbYWDBfcdqCvslsvg95+S81gbzHe7zHe/x/x/tCzR8UCvJ7qdO3ZZoyd1/wzLQLAVNKAskJr4agRAmQWwILRD2FzLIUci54eUyPmioKI9lqcl4wlfNZakUkM4FzBDczdTwFb4mWiJfEQMDKgkU/scwT6gaKzJHhkQ4twoITRxcZrJAwZkLu7OEBHuNIFsck8nuLZE9FlIpsniQFIzPzWdZEqfBAjTwmYhUySrILMhdIybT5hrVE7kiIL0QBY6K2fuYnlYmn8jVLOTLmngdd0pYDT/mMF+43XMkb7rkk8JJsFSMB1fLIVioEAWeKWI1mh4khlaE2a/krydQpc6YPOLnGmhpoqUuNMMc391oxWcWyDKxlZKO3rGxPtA6vQq/ffYJvlxNPww1E5Ul0tKli08CZHVhV15h3+LDF0VCfKuShph0L67Tjqb7ieXrDop9wPVR9x5INTdpjkjm76Wj3a/zpDePBceUKT/WWJ/opT9IrLo/G5r5B785YrwKr5sCZvOYJX3BpX7G6d0Rfc+4dZ77nXHdclD3PyoEXcuQ87WnDLYt0z6YcaekJk0d8hbOKM1Uu9cDa3tFyoEUIRWnUWOeelTxwlb7mKh5ZuAYve87rHUuOLDGmfOKMHZ1NLOyepb8n6po6CivbkVRJGI31dCVziEIeYGUOR0WTlUseOJNbbp2Az3h2NAzUpaWKGbWEhImWB9Y2oCZs846Vd6jUnOWBc9uycYk2V7QUztyWJzywTWc05qi80BJZlxMXPPDgNuz0gkXesSiRCxkxCoETykCbC1Ny+MYTcGws8ywf2LotW13h88jaFVYW2UhP4AjO8DLS2sCyRJqSqcicM5HSkXNGlsCCjkXvuJTMpbxjJSeatOeyCJsibExZidGmxKlkfFYq1jTZs7CJKo2A4YOnybDSiYt84Kk9cBFuGRg5L1suJLIsgaFAJ5GVH1hZwZGAjEcJcqRuHlDO8ZrQlKiqyNocG0ZUDaqIyKPMUyaeczMz+MIEApIyUlpIFY052tyzkZ4XU+IkA2sGNkQ6d8QWIwwB8QdaS3gLiCiLkjm3HQ+lQ3Nm4yYqjLYU2jxvqOaZsiPqFUUCZp7ajGABUUWSQ8Yl/lQRqEGO+K6ltYwjMv4LzyP/JFBHyWBS4WxCk0FxRAHndfafKZBLomgGJ+A9kABHKZFQFKwiyRGzDHiyQdIJazOihrlCdmCh0FfQo1Aqso5Yl5BKsQI4IVeA5nlvawZaSJ0yhkKQjJWZKZIFam+klAmms3dNKY+P/UheQgoAQlKwVDDTebNbC1PN7DcTOrIBZWZ0FhPIMnuR5JpcTSSXCbkQxKD0qAquACUQmfC+YD4RM+A8lqAUAzEmAPXgEsXAxOaIew94YapAXcKco/QeVx6DESQg2aMxQVRyyhgBimEKaIHJkCOQ/Lzk8ZniC+bARCkFVBVCIc7WO/Nxy+O4BgHvsFwo2SgYJomsDkTJ1oMppgHDEKcEFZigaKYEg1TIHrL3FJlZIxIdPgtSClQTJQu4gNkIZLC5v1YqB1PGZxAcoytUtcNiJhu44CiWIDvEO4pPFAWCzqys5PCjwzJYBaggZU7kS8nIHk4t+NpQgSnP8jBDQI3sbL6PLcwMX3egiFBKIEhL4oSZktVxMlhqTSGSbI/gUSeYGEVAFSRAzFBj4I3kjWJQ8GQ3FxvNBMJcICsRsPk+EzNMBPOPb2YTFhTImDeQwrctyeA6UoaSHKgQ3+9+3uM93uM7gPdT1R8QBH18MlcYnpmbbr9/dV61KBklm1CKEXTCMSEWEN2yqG9w1wPTXUV6tuDAlslBzAlr02xTLIZpz+Qj+EzGU1BwR66uPEEjzhoCQqV7vEU8kbbaUjdKpQNeIkJPyz3nOXDpHrjlnm0oeBtmM2IGRpm9dgIjne1YaM/CGhr2OGuZ1xbCkh0vuOWMLTwmTb2zB5ArCoYviRUPXHHPVdlxzi0X7Q0r29JpoZRA9AnhQGNHvEEliQUjeyp6Wlp6NgzzVtMu6DkjcgMmmBlJlMEVEhNiIxWRKk14N2JyJPrI8TiSBsGJp5CoyojDQAZ8OLBwJ4oIg0KxzIp3dLpjYI1JJMhAx4mlDfSlB9XHktZ3G43e0XGHc0abr8nTjiIZ3J7AaxqUTiINB+oyIWnCRc9CHqjlgeJ67DThr0FjIvcncBM0E2HMyEOg/bKQFluqsy0i9yj3SLUDPcJhIlzfcP69ezp5w0bf8Exe86y8Qm9gYXc8r244W26p5J6l3rHYv2WRd3SLHUUfoL7jUn/Lx+mnuJvE4nJL7bYgiSKZyp1Yllc8KV9zLh1r+ZKlwIVuuXBf0z3c42/vuHxy5KK6odUbzvUdTf3AU37NGW+4siVP7B0HX6PrV3zA71jLl9yzprBDQ4+mAXEVbdPT8ECRLV52VPWOaiWkFGjrW1bccRDP0l2zWNzi9Mi5vuIje0OUmm/8FR5Ph+Fkx0besdq+ZhkHNmd7ar7GyzXqPJXdcy7XPLF3nOsrzuSSCwIDT3nePHAZ33IRr6mqDid3FKt4qT+gDh1n8prz8Q4rjrvqmkquWdPS2D0rO1CVHqd73HIEgXpfWB/u6co3tOcNWY/UsuOS3/Jh+QUfjL+j2t1R+8xZfcOz8CWX+gpfv+VZecPF+I6Frlj6O+r6HXJx4izcseZIXyKNu0frHXTPSfHAMt2x4R2dJjZ6yYK3qGTOyx3rdEQOBd8Ii26P5w70gkbvWOYbkrQ08kAdHvB6ohp2LP0tHa+pdY1Jj7VGrScu01vO+weq+ojqO7If583nCprVgNvtaGNiWa5p/Dd4nlIFR23vuJJX1DayWD4QQqKcQXXYsmm3LPIejQW/uMZ4YOQ5fySf8WN+zpPp1/wyPGVoj+SNIQmshjbu8fvE5uyByu1o5YbANCfSDCO6MzhlMKO9SEx6AKlYyHc/acWGiNUOCw3jMcIAXNTo5RH90EOVaaq5aBOlIL6DfUQrRRazF1yzBW6VsFAkCFSGHGbT3FALZcpoTDPrxCWaYHQKHApuyEjjEJnwSeAuz/KqkrGpoTigGshXhiUBHxAyKjMLkzBLc+K+UJcGS5lmO+EOkSHBeDDWg6BBkCeCYlSjoDeZJs/FCpcitQpye8DtjcZlXDYWAaQY0oFeOhgEjmk2oT0pelegTTQeNAh4o1oZrB3ybpoZdDW4GvCFafJMU6ZqzrBhYNqN1NGQqoEyocUIU2H8DJajwTHihkytNjeaMOIF0CTqe4MbhzYgS+C+sNwbHHtCk5GFIvuRahTkG4FBMAGt/FyoKhlVoy2G7jL5zqOLgpcCxT0W3AKOYZajOcO7AjjKEaQUgi+4E7jrA5s9hDcZWxk+GQyJ4BTNA9IalRcQj0sCA8hB4QS2AcQIyYBhZlMFQUaP1hFrCo0zZHJzoegQ0WOCAuFcoBJCKmgC6UckPTbmxoLrZ8NgN4JUNSYDbiHQGlpnaAKqjjDanNIE5JAprqYcE/SGAi4lJDKzbHY92ie8Kr5krDfYG74FXwk0HtEJi4KVQlUbWhuhTYgBJvjWsM2E1gG9AYmBRQcSE6RMXQxchVtMhFUEm9myKDg11AmygLqD4BTrHelG/jmnjfd4j/d4j/9feF+o+YOC/D++eNzA2+NPsy6a4smmFMCyIT5jAhRHdkeaxYFwSMS3yvDnHVt3ImZPJkOdMBzZlEmMyc9SKsXNhSHZc/l8gdYDczh4onZ7AmWWMVU7XFNTdF5gNTaykgMtHUvdsWZL5xxeIgsmKssM5uZiDJFKTtR2ZMGKlgGROc1CgDafeO62rOzEhKBs8dYgIiQTVEY6PbG2A2e2ZSPXXDT3rMKRyimajESe/UvZAAAgAElEQVSFNyMAhQrI1HZiL5f0XNDpLS33ZBEeuGLLBZfUFJs7z0UCESErqBU8hshjYYuRJMLplMgDc8eogCs2+xSo0KlxZgUjMimU4h6lY8aNQHaGMlJbTycjtY5EarSE77wvRMVExUDwGU17omWsOFomruTApfSspVCFE5MlLBmlGDXjo+F0Jvbz4pLDSBoidAW7gDyNhONAfF2IL45411PpSGdCLQJErM/Y/QOVHFlwy5Xe80yOXJQBuy2IHVk/e2Dp9gR2rBgJDwckjYRWEIxGB57JO/44fwXvEm5zwusACkmMWiaW4z0X7Dhzdyzljg0rnsmBp7rF7/dMn+3xayXoES97LtwJqd7xTL5kVe45L4krO3Hr71nogad2YEVkS0EQGjU8I9Qnmu5EYEuRCRGjloGuyxzTmoVPLIGGRKc7zqoHchW5kjuey1sOUtHyMQubKJJwMrIuA+FujyuJ+rwn6J7OTkxyouGWRh9YlAMb7rmSG06cc+Kei8WezrZUw5FNcHjpKfaWl3wDuqHjgS71WAx0zRHVA63tWMoRZCIpVBZZhgStUbdCuz9S7x9Yn014mVjrSCcnXtoXPOvfwus98kGmc3ue6R2X3EC34ywfIPakeqQhsnJbyurEij2BhHlQPVH7hFYgYaIrR1apZ1UdWbodK9nhfKbNB8IwUW4julG0LXPBlYGFjSymiUjNssqs/ETnD/h6T+V7WhtpraAY2sDSRzbDjmoaqcOIuoKqnxNqOmhXFcNXPUihKkda7akZCLplna85Z8ckieWyp+kGRl/wtxNddyKMI1JAKahkogpnvOUj+YZ6+JrWHRE/wXIuBuB7qnhEt4VmY6gkag54MpILDBk7FeR2gDJ3zk8aESZWc7bgdxtDQdaGNJlyDe4AVA59Cu4jj/iBqgXUkSkEZ+T7RN14WE7EUtCTwL0RVjoXcKaCTGAmaA25GDoV6hpUIrUzFk7gCCFmpKuROOGzeyzUlDnl5lsKyKpQPzHyXiE4UhaCA8xAjVIp45CobYmlPekAejTcCcrdXNh3tcInDiGhk2DvRprGwIHkiRA8cnOgbKF+UjArhAbQgixBnznYQn4LTAEeInJvWDNSfTQXp0oF1VPgvIJ3AyoFWsNVgHekozFNhqzPyf018b7HUiZ0K4bUgzhCgtOXj58d8pyOVQMygRp6AZDxW+C2zMrvBXA70kwG/UB1IfiVh88nyoOhTwo0hjgQdaTCIxtZ5kXzoJS7iNYFF5RsBUcGV/AKFPAuo35m9JQTkOdTEwaQbw40gyBvBpiENhqMCb8QNEWoA/VKKXUFonPy0i5iIzNDy4EdoXijLGapGidFArg6E7xBLHMhdyjIKYETqmcCVcZZIgAy9JDAUkSi4U8Kx4IMIJVHEcKlQFMIVUE7Aw++N7hLOIwcdA6G2E7IMM0s5WhwMpwBfY8eJ7x5RDKlT8hh9ozRpWGxUAQYHYJQLwS6gm8jTgRqR1oL+hQsC/n4eJ+sQIaMJKERECm4RcbWQM6ozfegdzKbDm8KbgO+K9iYZ9nUe7zHe7zHv3J8980r3uM/AwWIIJFCZOaOFn5vMoyfvz8WPzAhixKpiDgmHBlFs1BnIYswUVNKA6UCqRBTii2YyhlWGrTMAh2d8xHJOpDlRCaSLRJIOBLZlISnqJJQzJQmKrVVDOpJZrQ20VimqJJNEasQWmbSrCeJZ3qM8UzFfetigdGSTDAKZp6snhOZJA1qG8QcaAKFESU6RcWo8sSCI6syUkeHpRVmSygtExUjDrSgFlESyIBjQBkZNTNRMAPwmNXzcVqFZI+YI4syOk+0BnLAWYukBi2gyaG5pjhllJmxXU/QJsUjKIFEmMeeNTE3iDkqIoET3nqEjJmjlO5f5Gr7p4SzljnfKpOtwVgRsmc9Jc7HE2fWo25LDkYUgdQREXwRljaxiRFSNS8ILeBiC1OH5QpLHthgpvis1EnoSubcHGcnpekVMccprhFt6DiwTlu6acSbR1ODzw5fBG89lY100bMcW9zkafOS1io2Wbno4SwFNAewDiuOCpnj2ctINwlNbHCpojJY58xlTpxNA8uo+GmJxRVmmWDQZuE8D6zZU1umTcLGes7KyGYMtKmjSk8I0wVtaehyRZ0FZz3BHQkWqYunyULHiVYOBMk0WWnLktoafMm0jGzyRBt3tLalpmdphXUcWNmRLk0sYkPdL3GToKa05rjMytMx0eURKIgFulQ4zwNneU/LO8QOWC5IEczAZehGYRMLXU5IcUhsCIPQJaGyQkOkscwckluxjsoyDojLYJE2erqTUkfPIinryVjSsygTy9EThsdCaM5scmRtA41FfIExBk6yIFjH0grLPOByJppnkoAUZZE8bhLarDQmtNmzTA2LCCs70dkOZYLk6IaGuu9wpaGywDJ7muSpxgXNdEYbK5ZlZGEHvAxAwA8rumHJIgueQpsjjgIGIdZ0paYtij4WpCVXpNLOMboEGmtpU0VnhXUSGnO4x+vMMWJSUBcxicQySw2auGZpjsYm6pJoSkM1tmyyYxUjmgtqI5X1aNJZrmGXuBJoy8y8EBqm1JBiDWVBmRRLHc4qKuvwZfEvO5H8EyE7w2QkpMdoZJdIfqKEDDqQHldWKiB6QpOjmFJcIQYYVbGqZvJQqhEsUwwyzJIjD1bmukvRubhfikCuyOowSZhCRjHxZOTRi6yQBIpTrDImlzEdMTdvSg0wV0g6n3MyZJc5BsjFUcVAyDPz1sVZrmNOiG72ykkioEIWI6mCr+f3NCN6GBVMjeiMMRSmUOakofxYCDRAZumL+UQKkUMAC3ODx6yAJEYRsBrJMpv7MiEW5xaTK6A9xTNLka3GZX1cxlQka39/HOWxgYErZLFZmkSZ5UbUDEUw58kimAgQKEBxkNVmyZCbFV6mBmYkZC7CGRQ/S9NwE7gEIRPVMGdkFYrODCQQKB7DgRNMKkatSDZHUbs0N8qKynySSkWPkILDKiHbHPiQM5Q5goreQa+O0TtKPR9HlLkwl51hqphAnr2FwTlGn0F7ki9E/7jUExC137OPrej8f8/tOnKxWctnzOzPKpMeQynyo5xuPuaA6Szh0+KQ3MySOAXEzesR+L2ULQmUkJjqzBQUk5aSHdFB74RSQ/QjJpmhg1MwisuUAOjEKUD089o1JyAIxZdZgsbjucNR1DGqYQ0cAow+kX2aG5Dv8R7v8R7/yvGeUfMHhQB40AnLBaMGcwiGUFAGsm7pZKB+MGoGVsGgSiTXkMs5aVhhlcO1B5a645V5ttoSBSg9WRqO2hKHJ7z91FHyhoE1iQ9APFfrOy54zUU5MqVL7itHIaGyIp+WjMdMz4J7ucAqYSLS5UwRxw6jXggr62nItLZn5e6AhodY0VYVKbcc3YqjLmgs8Tzf8+CMvb/iS67IOD4on/FEXvGP6a+49s9o9TUfXy6YCETWuLJjqyt+F3/A5vg7XlzdUqV3JP8RzgrndsNCdyRqvuIjip7T2sgZPUrgg/zAQZ7xC/83fM336W1JdIUl1/gopJ1w0UW+n6/ZuD29CEdXc1suOOYzzEHRiZ0ar+Q5vryilyUnX7hh4JwajY6YOq50ZKF7Jn3GDbCkoqPGcqaEMi9w5bvfxf6Ne0rPCw4W+VVY84Pna+CWwUc+zU94xff5WD9Hn+44++OMDifqw4oDG762j9hUb8lXDfYRyBDRLkEtpKeF+GcN3Q8ypxvo1y0nrRhZcJRAqiH6QnKZLhy5St9wzo/4TDe882f0KnDRE9awCltaeY1R8cY95Tp0lFAIcpjZKRmmGl4T+ZM2kvyJjnu+xzfc8ARjQX9skHxic/mWJ/yOSo0zdiyqOzZph17vkc8TP35x4Lb5W5biSTrw0u75ZPiaa3+J18+4EvhTe8cPhp/y0Ztfcn7xkn9/9Wt+q0terD/hOcpP+Bn/nf0fNHlL9E8REre6gMU1P0mfU371c37w8Y/4r9zP2FhBdeTP5Zds5AFBeFHeMVUVd3SYFK7kQP7tPUHg6Ysdz87e0rkdmcBT+YZnfMFFHllxxUJuaKnxrHi6b2j/o6fKPc33C3oonA5H1j/6LX91dsFH//v/hfv7CXle8/IvP+VvfrDnM/8JIQde3n/KWd3zVfM9fHjLcz7lg82e7W0ip8z59A0fhHe8sh/R8ZZP8hd0P9/Bf3BwBPcTwT3PdPIZf+Rf8dHn97i7Ax//eMen1QNP6tecyxf8RH7GJ9PfsvAveSU/IizuWS13fNJ9yUXcwviGH1y9YZ0mrsOPuOXI/zj9jmf/yyt2Xwaa/zby4cuf84Q/4Uu94OP7GxY//ZTlXyqLT37KFR9BXnPeXfNn8Sv0f/0NH/35Uz58+bd4/oqFCh9yYvn5gard8tEPf8HL9Mdcykh0n/HJ8hvaX2zhAs7/6JqP65/TmONNOee5fcNPyq9oZSRPntv6L/gHMb53/g/88OZTzn52QB189Ndf8Sf8O7Yi/Lh8yvr2lnInXJx9zYf1L3lofojTr/mhfM7mP9wjf29cTr/hr//if6bmLT/lJX86HJD/6WvCdQQf0GK07jV/+T94tldf8En/Br7jJp42KuXeIXcOV02kVYG8pVkE5Lc92CX11w+kTxJ1k5G3EI6J8g3wqdIuHfuN0X084T6PuKNgckCcY/GPgd1PB1YlkC4TUwXNzjH+b4LbFvibCakM+W1EnOKeRcrHCf1lQf5OkcWB8I1Hrj1URpsy+X6i+lFgSgmtjDIZiwFu/iNwp8iPhcUW3N+dyHcTm71CiRyPQvjIkbJSHjKkSHVhxK3B1x3xdof99VOqvOX+VzXnFx3H5h6OB6rX4H4BegJ5I7BsiSmRzzP1xx7RSNl6ZCcIE/JppPq8YIcaxCOLCZ5G5JdCeAvypVB0pHhFYgW/ntC34K8yubmj+37hwQTOlOPPhdbXyL6F11vkUw9Xgj0HvFD+T0NeGfwkMu6F7nVHNZyYPhvxxyV+gmIFdzTyO2ZPlBcRXRq8jvgj0Ix4X9AR2ApyCshpBCv0NSzWhRjn1/wuUMYRTo58D9MVVE7hzYi8WDGlnrQ3Qp0xKdgJ5FSQPyuUoUd3iWAOua2p9uA3B9go69fQNJHVO8He9kj01BQ4RYIDGQ2OAb0D+bAhfbUl/L3Ch478JM4Mr6GitCf0qqEnkoH2JNRb5kIzhfYLg9+OlL8TTJfkzYK+ueP8LFF+ZjRfGbFL4Ce4XvHwBvwHQkUhvTZYXpBWR06re5YH8Ekpg1B6KG+U+NvC0hz4HnmnjJ/B2X+/YLw/EHdKtR+pfgGLsqTvevQEvIrIT8G/AD52HG+Ui3+cCGFEPxT4tad8BlwW+s7jlhF0jiQPg0OK0Z3+ZeaP93iP93iP/xy8Z9T8gUH+X9+/xbciKACTR+WNMjNqJDDOvRC8gZbZcI+iiBpZDFPP3I1STCKm25nGzGP0N3NnKWukMHeYikIiYyiKIFZQqyhUZJlN9jKBSEDnDCiiD+xcRS+BovPfGInGDQQ74iWjZDwJRJjUk2SWezgKWWbZ0CgbBtcw6mw4Z6ZzV5KJIAWjEJ0gZBwDlWSIGdWE6Tj/O4DjhJcdXsbZhwYlOqGRO1a8YVkeaEuPK2n2CdABwsgYJnpxYEptPcs8Sy3EEsDckXQViQVZ6rlTJg02tnhazApCJLsC9LQkKnOozfInT8abUkmhlsN/0WvqnwPLfKJlREvEidEycZn2XMUDq3SkZaAuhToG6uSQPEeCtnaikTtc3lMVQROQwNscd6rRUWVDktFEqJLiLFI4URgRG6hLxpW5c+cl4+hRIgDZBIkyd7XF0xBobaQpB5zMhrPFFZJMTO5ElHGWGZrgcqABhAEwsoHMDolgc9wtTBQixTxZDHnsuDoLRFmydxdsw4YTFdEpkwYmqUkoaKK4yOSMIVSMNBQCBSGKZ6BjoiGLUCSSKCSpSFpzchVTEGAiWJnNxqVlMkeSmkiN4QhlopYBESGboW42BC0KvUJPIVkg2YKRJSdXU/wKsQYrFYmaIm4m8Bl82752SVFryNYQNTB5Q0LEdI4mlseic3FGFANtyKVGpXmcS3h8umVEB8wVeloOsuQUlNFPmJsZDA4ICBT5/XwijAQ34jjiJOKosLIk2/LxmD0RYVLHoI4sFckCUb81FzVGncjeMGYGndlszK5WY1ZTPBSLNOZpOBFky2y8rrOxqVVgCwKC2IEkE8XJo9TTI2SQSMDwZWZK4CA/jo2JIaL0EojSMkpF75VBlcyCSTYc3YKIgkHJniQ12dzMoNT8aOxZIbJiIpCDI3uYvmUbPJ6nghIsE5JHSgUuY/6IVYa52UYXBLH6n23O+C8FKdUcRczMfkkOkPkpVxQQodjsCSdZEdP5+Sr2eG8Xgs6RxHUBnSpINYghOs4s1xzQGObXi85Rw1nAPA55pCUokh3OGiwJJhOS5sQkETc/yIvgUCSBT3MU8my88mimKtNsEF1AsqcITN6waiL5ghUjTI4mPkYolzkSuvg4y2oszSa3UhAmXLFHCsdszi8l4bJBjpgr8+c8UhlEmFmICexxRi2+gMR5LhAl+8LowPTREtYUEZ1ZKfynSGcxndk6WVEpKHk+J98aEbsM3iBnXFbc5GEKeGbvGDeHF2HApJBVMTdHDGji8dk6H/SEIlQ83oizmfej0TbJ4YqgU8CPAZfmkAYVm8ckKU78TEMpgPnZDNdmtpTlamZGuVm640xIfmY9mX+cI2X+3ElBRfBJqGINsUNmitFs/G1pLvzMo40vgpbZi1CSzGbD0agyeE0Ue/zbMsdXS5qvt8J8Lsxmaq/IMJs3x4Z6UMIQkMmhMl9vdQEfZyqPPN4UblKqsUJyeBTfKy6BDnMEfbECWjAxnACpRnvFxzCPq9l8o0WPJkGKn82Us4PscHkeSzdC6GuwmRmFQR2FZnTINPO6tTh88nOU+Xu8x3u8x79yvC/U/CHisSLzbbHmWwqoMW8CCjKHOYgQRRklMAk4mW2BZRLSSdHs8GQKmYKDyZN7IZWAOAj148ru9wYpAlYhFJweMTcyEZge/V4kj+TkiaUim5DLvOmYs0cUQRldwzWBB5kXQN4MR6LWiBBBFUemLSfqR426kAgSAUeWQLTAUTbcs2QgMEdlNIzmKRSUQraa/igE7wlEKi+kMZLFMYinl4aehoQnWaJIYaJmkAWTBp6413zMp7yUN5y5A14MR6D2hrSJvAxM0uAMNnLgiexYyIFN52EC2VQcQsOxLDBryMwbpnEfkFJjRLCEqYLFeYQsIFbhZktnChUC1PLdbx1tdMua16z1niWFxXbPi+3X/LG94YXbsWSkszludvhixH4D9kVkubvmihuWjJwe7hAHuYFU+8edS6ZUhgWb9zUPc5HLJJHm3Al0zJQjpKhQDE/EkTFRshl2gBiU3gkBYSORTk+4YESEbanYA4M6BmmYbAUDhJMQ0rzw39NxlI5oDkPJuSax4CAN13bGa3lB33a4iw7xAlPG8Jys4khNFsWkcLKGO55yzyUHWkpZ4ovSWqICwJPwj0kYGf8oJzMiGWHCc9DAIA0h1HQ60XAEBqI59qljx4a+tCT19NT0zJv4rQrhRYtb2JzNbIrhZhPzohQyExORikM+44HnbLlipMUHxYV5D8Kj0WjxnmQO7xy+M1gU3MphqhRTJlNSXTNVFQNCwpHNczplQrtAOzBvCJHIxJ6GLefktqFazHtmX6CzTEekkoAFj6PQJPAy58Bhs1ml30+0kceCb2HAwHkalFYKjUScH4lkRlOGebqbC0Ep05aJBSOugBVBnbGMez7IOzayx+tEIFEXxRWoU+GMRMdcQFImRBK1gnssf/NYynaa8M2cYhPwOOakuyhzwaxoQ8Rx1EIvRqFimBaMfomrOziBWmBEUTNGPHHVIBeeSRMZGGyiuEI2w3lBKtABuggLBpaSaIvgnUAD9hjjLCJ0NrFJPfr6u180ll0k5DJfQA1EVWg64liwoFjVcDxAGRTrhf7esALimTOpY0GioWMm3ynj5wUeDPWFqRFCo0w3sxmr3IPtIlWAsjO4MyZRbO1hUOT/Zu/dmiRJkuy8T9XM/BYReauqvszsziy4+0Li//8NivCJAgoXmMVgpqe7rhkZ4TczU+WDRfUsFngAAe6CvZP60ildESmR7h7m5qrnfOdPFXveGd+1cAA1g2KUlx0Wo14dW/32XWxBR3UIcIh0D8DR8S+F8gy2R1QEPwb4q5H+V4p4hQ+V+hF8dyy3+GkJgq/gL5WwOlEFJKBn8P/o1I9C+WyExeEzMBs6RqQAC2wr7EuF2YhFkG0jnEDvgaEwjsCe6bpMmGj3utCzvxjmQnYnqBC2CJ/ArmAXh48zMbehCte5dQjN23EPArtRPgjz3xv+h4peDLts1BXKCuy1JVfNhkhAFGzN+OatURETzE79Uggx4ElgUGqBahOUnusH4KzU94H8Y2tkpc6o15VwqWx/rAhCGkFfNuRqbLkdl/wH4/oB/GlEt4r+sFC/OOsFvCS292BzAPNb0pdjL5XtU4WsrSnVO5agRoexrUFuhqSEYrgIFhL7ueB7gV5hKmhydGlsQhfg4wpbszJRHV+BzpBDIe4GV2f/CPvvDblUXCpoZkhQNkNjJR2AuuMfLvhPsL13LPY4Chl0NsoC9IpPgkyJPEP+/Uy4RsIXaxHzAtv7Fdkj22ewRagXyJ8NXpr9UL4s5C+J9e+BT8ZwAPeFuG7IR4P/8IJ8gPJHhx3S47/osvFar/Var/XfVa+Nmr+g+jNG+B+d+Nv/sH/0Cpc2GTRpE+fqglObUkMLsgt1boDdjp3gK6ERUduYx0+IHAh9BQpKhxLAImoDASfIBZVMZaQSCWwEW28+/a+Tj5aiUAm3KZFQZOIsE2e6FrnoLZUqiLVmBu2BZvQrPStBym0ibrd/S7gnLnScfSBbR3RtrBEZ2pExJ3BHWRIhNFhmCkrNK26JjYkLE1eOZLsje8eGsjKw+0Qx5Y38yG/4D3znv+eonxEKLQTdCGlHOqGYoGXjJGfu5SOjvHDsCliBY2TpemY7ovStYQCUtT30hkYz4GtIubCD1zaxv51dQ3CvdPLLD8W910/c83se9D3HbefxWvj28pHf8Du+1f/EkfdMcqWbC/InR/4B4o/Gm+sL3/KBB91Zz2dQqB3YIUJneAebGhYMohMuhRG59TKVzqHPwAyWIyqRDiF5UyEUr8jqeA9basqxkYWeF0KMZDmw+Im1PYKz5yO73yGr061GqlCInOmYmy4KLGG14ZNnnfgpvOMP8jechzt4VBicasZuBdOCW5u8qlR2Op79W77wloscqPWeWAOHPHNka98hIoaTfKPzjc5a60YAc2UOyuaRPo0kr8RQMJxNRs7+ljNvWegpAjMHXnikSuSSoDwKOjnBnc4TwUFqJXglkhHPFEmceeInfs0z79hswLqAJ2hPVg1YuQeoEukkEAbwybApsqmwaGQOibU/sMSBqwSyNqXP8wo6HaiT4DFQVHEVXjjyyR/ZY4eGtril6vRlYbAZQShdwNVarHBtjZNIpcfptp3eMokd2HEKwSF6JWgheEVsp6CYnKg23qKZC1J3pt04sAMZlwYTH8sXvqk/cuIznZwZONP7jjh0tnHvX+jZcEkksZtS0NnF8RAJKIrjoeCpTcTVmkbIQkdBb6tEoDBwlchOhzBRysQWRmrqsAzVAzuJYDT1zZgoJ9glU3AKuT3AeYdoaFDZ7EylMMlKQ6XvVG22Qusj0gmi0NnKqWz0n375axFLU2EgjWsmoqAD7I56ABnYZmAH3Rp4VYXW2OoV30CKI8WQSyD+0ZG5w9pb6DqQa6OtxSvItSDBkU3gpaemiI0Z2ZT0CWSrdA+we7uLmzrspYFWNpDcIM+3XgClj9gQCSeg29EVuAB7U4N4FOTe0DeGlArPgj4DNeImsEm7k63gSyRVaVB8S3RXRX+K8GVs4PZrgLPAGkDb56EmxMAWw1chVMHLhh4VOVUYnBiA7KgYXQTJM0IgbobQVCi4I5sjC2iNN6tPG+tEc3jJjbOTBUJqnzFH/JyQPxp8iPQryGqUDLaDlEqXIVwKUqVxgnJrrCJtzR82R19yOye9QlR8txuHrmO/CmwddkmU5wpRiD3knAmbET8aXgzrFd0hLU1NyQbyEfxsSJ/o14r+0YgfA3EGWZT+AnpVqCADEAu2GzYXvOyUCAxKjsImwHjjHBXDU0dD4ShFlD1XQKlToowQuhvouBhBQF4qvneUvTFqpDokQyZDM7ALNoP9VJHFKAamGe2dvToS7Tajq7AUOINdAI14NWoGit9iwp2SDOmsfQk+7ZAHZDZQRSPYnJENbGkwJ1uAMzAHkgFzweeIfwBehNhkQa1p98Xhg3L4REses0x++8+/VLzWa73Wa/2P1iuj5i+qbgann2H39p//q8htpP31pW1j0lEZPaPMmBZwJ9ZIMKdj5lBXRmvSbBGh153qF4I+3ZoHTtMHKyYNKIf3BO/aFJqZkSuJBe9aqlGwlWAbEvZbYLjhCNU7nAO7JDYS9YbVhYpgJCoDV4JsON70MR4Bp2PjZBd6Ns4cqNHpPDPKyuBX8HybU0fUMjEVXGacQgpOJzuTZ0YMkUpP4Ugmu5ExksCA03nl4Jl7fyH6woEzyRd6WRl0JoQLIhdiWElWSZ5JXAi+YLpC2qlqrAg1TJAro7bGE1oRyXS6EXSnswuTXJn4zL18YuJKoJAoTHLB2el9/S+9br+wOtSZIay4TyQ3qm30HUz2iXf8gXf83zy4MOVMt3cgLcmm3zOneuGaK9M24Z8hxNaEJE8EXzj9dUdce/pngQliyUS/0slMV1bGYugeSCWQzEis9LbRmxE1tHjepbZmCUbHlQMXwr5iu9MrHFm585mDzUy6NTm9ZSZWjrxw4szkzyRfkNralxNnThxRRsQDZoEblZMlDcxyx2wDZk6RjhwCZkKkMrHSh2f2tJBPwjnBeiGKbYcAACAASURBVLsIGkM0scmRxY9EecFv2WyBSnDnosJ1HKkh8p53/MS/YZU73nRfuPqP+M1ed/Ir7j0qL8SyNGD36OzaDI5BclMK+MDMPRqMq4+sMlJvapuqlTI6e4QU5WavBKs39VsMPByAA6xBmLnn4o+gzh46pCpFjuzckTliMZGjYVHJ0lQ/Wxh55okP+pblNFGOChOUwbFYcVWWqlxSJd4pdVi45wfe8CORCxcXPk2P/NA98KwjAWeksonwcRDu65E9OVcecY6As7iz3cN8tzOMTolC5siqiVWFMgnXvmOOHQ984Lf8e1Q+M8eV9SniY7MijWQ6rqwibGOkjpFnBrLcmuoMbAG20049BD7HkRlQ2dh5INGzM7BL4ixvmes92SK7PXAJPdvocAfncODKPUUCmwy8iCJB2DhR7IlSj2ROzH7iMnTYCfxOuMaeF6a2Cmuh9pXSGWu/ozjcKef+RA4jnBZ+8WhzB0sOkyKb0G/gayLsAYk3VdPNtiIrdBlkb01zVCBDUsCNboPwcoA1Iff8bB8JnTR7nUA8aHPKFGBz9Ap6AmJrwAHUtbamwZ6wbcdECVUISlOCmNJXGih4FWRxogCsiBvx606werPUpZ08OF4FLT26VMiRctkZ9w7pKnIC+spLpCXodTt7dZJ1qAkhAQL1CAxGqN7+vpu7M1XQKhSBjBA6w1XQA9QXYG/WmaBfLUaGeFNimDnhIMjeAL+eMxKA2NYdFUASVlYkalOeCJAh0ppDkm9yN1dCVcQz+IaOAl2zTiUV9l0IJeK5Qk9rzvVtL5NptihZC1IrVKfvvClzBHwApFKhKSGD07tgXsnJiMFQaE2Fm2kxVJAVBm19htSBnhSfVmoH3a1htCtYVDTTuDljj2wztipa21ru1qxE7ddXRASR9p6hKGypqYdKJI+F1INuRncB2SK2CkMPmFGTN6Dy7rgHyE5YoFsTtk2MdUYLFFHoGn+4M5AlwDUic6BqW2sJpcWKT9LUP6kgSZq1VUFjbMpJlXb8ImgP4u24EhxR0D20tChp30vZpTWRVZFlhUtH2jNSQWomdBDIN3vtP+sq8Vqv9Vqv9f9JvTZq/uKqNWv8z92aWzU/9g1Fw1c7fXTjyM6DZ4JkPDTgXfBCF4WewmSVrjS5sYgy2UeGrhC6pyZQIeNUEKOwU0hkuweLHOOZgQuLZ4ZeGU6JTltjaApGT6ZjJUrFcGo2xpQIKLsEMum2KYaeirBy5UBVIbtSCVQ6IDDKxoN8YmDhE5FIoZcXRjYOGpnEia5U7xjCC79+M9PtpU2ko5N6Z9ILR38meOFBzjzJB2ZJZA4cdCPbmeQF8Qmlp5NrizimMPlGrzt3d4ExLgxcbn2xSCIQRNBekFNEZlBRsu109cqRC31Y0MGIIXOMxikox7Bw7ytveObX4SMHFtQzoxaeeKFSGf4V6OZGjyhHivUQA3mCPA1skjjKylv5zME7AoWl7vjJ8G8jOUWCKNEK8WPC/ncIj7C+ueAfA3tf2O8iw5ud8IOjv3GSFu5l5Q0ro+yE27SOeSWy0TNzlAsH2YgmyGfgUyWtgg5Oko2DZELZkLxy4swTn1jliXv5wIO9h7XxSaJv3NUX/ip+4R1fkOsZysbhaeetn4EDL7ZQBQ4UghicYJ06PsvELA8EFWZ6rhxxlDs+MXDlLe+Rw0LuIp/8wIWOKkJ1Y2Pkiz3yg3zL7DuzH8kSQZyD7Kwxsj0+Mbvxg33Pv/PfUkvHX4V/x04Gafymb/nII8/MCm9UiCJwH9gOI7NC8AYFusjAB57IHvlcD2ymDPJCzyc0zXBsYCw/Jvi4Y2eDL0YehO1+bDyL74S5S1xk4lwPpNqSX0SVUjp2G6hRkFTQYSP0SpHEbD1XO/A53vFJTpSHE+H7AP9GyU+Rc1BmegTFT4GQBnTIfB/f82v5zBcNnGXk+ek3/M7f8d6PiChHhBwSf0wTnb9lJfCpHCkhEKxiXaT/XwZUE/HdxksnvGfi6pE8JurbxKeHd/xR3nHHhSd+z3MMPCOEf/sNX2TgCwd6Mkd9Zgl3rG+PdGPPwiPZld2NXQ7AQPcI9s2Bz9MBQ+h9phBvCsCeiwtf7InrtWfbYE6RrevQt4IO8EECz0xUScw2sDPh8Y6lPHLO92RrisHPW0TfTujfKeXXwudh4r09sdAhCdKDkoae8CDIYWX7t0c+3X/DBx3o/lb4/n/qSvI/Xl4z5RTpHw9s/9eZ8Lli//CBWjPlS6YbPjAMEMTYvjh9gPzS+CwxRUwroW/clutPhcP7nfRmhyfIl4ivmfF0Sye6B06KiSBe8edn8n80bFRcN7aHjukw8uPfn/n2OLH/rrI8w/TtPeJXukPCtwrXBaoT5kg5X6gL+EzrAkwbSRsfJ7sj1fFc6CZB5p76uZA/OOMjrCuMD5n4N8rd30D41qgX5/7B2V82NBnETN0KO5X+TSJ6hTsl/zRTLjBqIDpsV5DdiL3AkyKbtWSkh57rny7cd4X87EQc7ZwuZe4eHc6VJcPjt4n67Gja8c65eyOwR+J9bo7rTtGkaNgbTiZ566ANRh0cl8K6wbQYMjshAG+GFhn9JuNrgSrsF6dbC/lzJj0q80E5PU2UzyuqpXFmXnZkfoESeXp0fCukgxO7gKgjwPi2YztUPAn2w0YdAuHdgJfK4cFh6KlLpj5v8OMV/RZIBb+rpO8G1J45BdAxQ6kcniA8TswrxA8rAzt8gu3fbxw7Rc3x84XjAGHfketGdwjNxvTDSlDF/3TB/9Bmcv3/poSngL9/wf4EYS3sP3xhuBcIQv8GNAbyj5n1rocqbF8c+1Lo31d8FrgU6u6cvlGWP0TmDzCdA9c/7Lz80POrv21KG+kK3RuBXw3014oHJx57LO+MkxO/mdiOwv7ZGPqO/r4Svhf2kjncg2rEFeaPzvCykieDuwO8f4ZDDwXqZ/DfFdalku6g6z6RvoGUS1N//+F/4iLyWq/1Wq/131ivjZq/sHJoqJh/0qgRbpA/F+INNqfmJDdG3zjYRroB/FoXp9wAf4VgFbV6A3g6UVYazWYHv1FfRNuEyjOKUWisiY6NiZVApaoimlFWgheit6jp3tfGnwFCdXot9KEgGJXQTEXepveCIyKNaeOOekVpSqHglcTCRKE3o/Obj5pmM+hDQVHMAiFcGfhAvLE7stot+tlQrzQ060KUDbxZwAZb6HxExSmSqDQwoNOAjtGUdnSdkZmJM5H22kyk4LfRlxNMSYD6lYGZY93ptcFDxVeSXel5oWMmkRspxD8x3YC76kb0DROlMvzLXWD/TLURuTKxSIersWBchxNnu6PIiNNjMlA0sAawyZgn4xoKRRy/QVjFb+Iib5yABnRscMra9vm4OoGNyEwRYwmBg4KKUXAyhrCgvgBGFYgVkoFIQWVHKJg7KpWhXplYSAhJKr2/tIloDGyxTZ9PXugt456o3iFou/4tkyw1m4ktjQMQm3IBvMEaUdabQsbo6NkYqEx1JWugpkjN1mDWorfjUIDAVe6Q+MSqR4RIYifaiuNURhaMMw980m/waJh0RG8MK/fMQXcmKyQdEFspwRsWAiVaosfY5NrA13QUOXKVxBYC0TYmPhO4sgYjqKGhAZi1wFiNnUIVo4n0nS0kLpLYtAfLeJuPklVAm6ULzZQwY6k0OLKfcDsiPuE324Sr49FYQ2WTSPEj4gu7tIeAoWTu9ROjLrzngcVbDLJ7j9O1dZGNUCslRjY9MLtgQOdOMWkRvqGwdytJDeMI9JgKWyxsPSxhosiBA1fu7ILmExKdNUH21mI+spNsoVqHSYepU2TAGKkyNBZVafHwGgx0p6MyZUGiUujJJIpEKgewrknKBIo7JTZMVw4B6AlubDKxcWBGWXQkc0C8QWkFpYQG/rQBLnSsnKicWKVQ+gXvISej6xxPG1uILCS24Z8OCH55ZQGsazT5uAtDAarQlybEYNjJHe1abHiYdn8yQVzYabzddh8GgiGEpswpzeRaO8O6whZhFEfFG8Dfpak/cptOSDJwo3egjrjsmM036GzAvWICQaF64xfFYmhRcs64RUra2t+ipangHKgNTI8JTmhqFKlIbgdAckBka/IPhOBCrU7pgJtNNwAEYQ9+s/8FglZEb3aX2o6nB0P8dl24gkzEMkOOBIuYNKsgbjRpTQQEj1BiU9IEaTHmqg3eLl83OtZAv7i3jwpYqCwJjrHiuV3PcNMXizcLYWjn1C00e+nX32kRI0NfsGjtWOUeyXMD4obSYLhAjRXRSvTwM8SXcOP2SVtD8Y0aKzk5fVyb/dZp39Gv14cDPZgtrCP0pmhJDUIvDiJNsUNTdYYCKrGBnm/XACoN6i2AGzexE3w933tshxdHTZq1KTcLVKW915XGHiPd1NZCbQLPNv5rmea393ADovNnALQ6uzohtOZ9DU4aKkWdTgSRiMtKTpDCC9YNlOSghRydEHa8NgdaA29//Rva348ahUrucjvGWdAyInalee0S0XP72ZX0ChN+rdd6rV9A/SuYtb/Wf2v951tk/XkTIE5LSsHAmhTXdiAXkjuJQqi5Jd/UALH57RuQNLRNgBgeFSJkH5jtiXkLiA8keSLoAYDvh50nXhAK+w1eWalsCFlTazBQUWlNomAz/Q2iKeKkWOhl4e72MFo9sNLhQmvZuLN7wrwlySgQxAm3pKnsgnphmJ85OrfkmYCa0VFJUlDdSOJEjFEyB+DYGWmAFx+4+BHxxoH4UkayDIyeGdaF8pyJZpjtZFdW75jpWEXZSGTpoBqnujJcFmKBi0WevWMjINISb/xSSLVyFxYmXWDf8Rzw2jalo2aO8sJkO5Em504+846d+P4jg8MuzkKgWPcvdo39c9UmgS+MnD2CGLGPPNPzvvaca8+Lj8wc0enE6VcR/buO8bfKcKdUCnvc6b7vkO+B30a6v+vgN6C/EuK7AA+OvgW+SZxRNkYyPV+8Z75LxCeFQZn1wDMnVokQDFdDH5TYQ69N/ZV8Q8WQLjIelPtU6AlsDBR6OjW4T2ynO577iVUVpZI0kI531OnAKoKJt81xEAqCpdj08AEizomZIxfEjY2OLJHsivmAkAg41SZWC1SHQCC4kHznnpm7sKNuFHcCO/ecuZcLiZ2JjQ5ns5ErB1aUwkbBMDoSgYNs3MmFJ33mO54ZdWvJL24MtTLhHGXjXs8c9UpHAW8tm6KZMex8w5WHZOhjjzwK6hk+Q302PC+MvqPLQgywp8Bn+hvPJ7Hd2qUXiVw1MBvsuRDHgaogdxPP0vHiJzKJXgojK3Feqc+OX8Gr3ayLStGeLIEkzpNn3vgXehZcBA3QszFaZQQG1kapksxIBQ/0rrzxlZEZJTNWQ+bC9NCjXWs8dTgmAUTojhOelN423njmG7nwjZw5+IZlI3rhKM+ceGaktsayNdvB6onNe8QjDpznQs6RsG8c7ErDj/cEApt37D5SXXF3wiTI1EDJVYVcHS/Qcu+ERGUpiZmESc8qHZ8XI69O0kqQHasbbo4jrHRsPnD1Ay8BwncRvgvEtz08QslGNGc041D+FTBqHk7kXKmfr+hSqSaQhCFB7AR5iBz/CuJojWPybiIkqBenrpXum44wAtnpjqC/OeAY9gW6nCEY+u4AbyfSBDEoZRXSFJBxIHlFpEMOHd0RymXn+keDDwV2Z5qULlR83ch7JfStMRR6qJeNugv5DJ9/AHRCY9+e9xVqhpgUm439p4oXgacDPIB/N3L8tcIg2O926hdgd8LVsT9V4rmSKnB6IMyVng5fIRSHXNAQ0AiUGemgvw8QnVIdK01hM/+0U39/bhHgWfHLbYh0HLDijUtyWei8wlqwAvI4omPgy4/AJpTZ8U3gKvBs2FXgXOkuTv5hIwTn+NdHtFPqIuge8FxbY2mu5I8VvYC/L9RzYXxQ5D6QekXOlZiB4FRpNiw+LlgGfRioJuSrIH2Hk9k38E8b+xnsXFg/VMwd6Q/IS6VkR+8iO0A0YmjNWebKsrZIMXnv8HHHTweqNO5R+U8b5Qvs18y6FobvTnAsSHKIiets7IAcJ/ICOQeY+pbeqU46gjwI8r8+wG9GeBzIM2w/VeqWWGewt3ekRyGeIjWO7dq/G9Ao7Tp93lCcw5senobWELpsbJ8cXTJaFvo7kDGR+kh66onvEnKK+GliXWBfHY1QroZ9nPFxJH4bkO86YpwZ7hTvWgoeXQu8aAlZSik0SHQf2n0yCumxo3/wFhW+N1ZTvAN9M0A4sv5Aux6KsJz/5ZaM13qt13qt/956bdT8xZX+mUPzj0pa5lP72cGzQ6kt2lMcxQgW0RoQVbyDhhhNeJAGYxVAnZWeqzzhTLicwCdwQSzzJv3AxB8IODsHMh07wiqB6kqq9nNGVKJtCFLLCCCSiXKh1xcOLO1B0js2jyQ2Dn4lutPmye1BO0tCMDo2gjubJkQK0/aBg21YVTKhSaOpKBdUn/kawtlz5cSFe31h7FcWemY/AR3Qs+o9RSK9PfNYKnGGXgrie0uO8hNXBlZRlmBkdVQyd75zWlrixSL3vPBEJiG1xTazKYNljvKZEW9+ez8g3hEBlULHTGcrwdqosBfjyRbun690ZSeLscsNfPkLL/XAwj1Xf2xx8MlYGVjkgV0nMonsHcUjdRJ4txMPEJLhDrvu8BjIB+BO2B6Awy2dpgfprAGBD8YiPcYbjLc8yyPP/YgfIkTY5I6Zd01hgCGSYaQ1T3xj4toUYpbxsKPROMgZYePFYRchyo4dlHmKPKuRw4bIlciFmFakr+y6U2VD5ULSL3Q8YxIhBjDoNuHgK5GVXXuujGw4WeoNfQsSrhQxZu35EiZmWjTygZUDL/S+A455JfqZe37kzj4RCBzkivLCVQZm7ggogywYmSwRNTjUM3f8yCN/5Fv/gYd6pV8LWitqF5wXAs8cmJnIgJKJCE1Jdyef+Y5PHOxKHqCM0hJXziA7BFPuRNAlYwr7MHDRB7Lf4zSFTKFnpUWVF5kwV0zBRdnikWc/8aITs1SEZ0Ze6KzSFZAZkgUaFcvZlZb8JpmkX7jTj4w8I56pwIazBUFQeluZeCHoTBZnYyR5z4PsBHnBdWlsqM0pXVOzpEozXHpTteiQmFPGvHBk42Q/MsmfCLJSPFI0IWQmLhz8iuu12Wu8MWRWaQTSACwysMUel6b2y1Quoa3RV3out9ZNlR27QbQzTtXE15zy6MANKu1xoJDAO8wnSj3egKqO6MLXwO2qEzMnrn5k4cBFB+w0YQcj3+34fXuWxQPqSu+//LWI2KC4OjcOxx4diQWNinQKacNOIAnomtWGdIu3dpCxNkVNhpLAnrw1n3eItuFdQWLBNbXobxVCEwjAaAzBCVvCO2PvnVAqwwzsjuzSlH1qlGJs2ZEkLTOxQjAjqkIW9qV9Bi1NcUPNBKVFXe+KzA6lYAdlncDvjXLvWNzhAra19ySFsFTCCi7go2AGqrdEgq+pQSLIjfFCEHwA7ZobSW8k5ThD+OzEpalg2J2itCFQVrw2JVgAJCvpq0ISoZ4Fth7ZtS3qe0C3SMgB1kiahThbCwvoA5IStihUbfwZA0ogLhA2RWbwq5OGiKeKdA2kHCqQIo4gBTwrBaFqpGZtx8WNxC2N6GJ0LsjSIWsgV0NNmK6guyC9NLVOMQiOKrAVPLcYcFkV8dS4PrGdrzhX4gJxcyQbsWtx3KKKBqHWilhT27DT0iFE8QyYY0nYDxn/vlIeV3zKDfD7AlI7SoV6l9iOgkXBTdlLY+5o2OjMYU6NVBwLNgSsKORAv0C6tPUk9IAVQtcRu2btRlYYO3wBX5vlu+7tfIo4dgekFgARk+JR0QAQcW8kNTxQKlgUECOFdszxGwBK2oAOA+9vktcQ8RXEI9iAXn/h4L7Xeq3X+ouo10bNX1T90xvTPz79N72NyK2P0/4rt32WizVAn8tNDk1T0qDN3iNG09i015otpLIheUMIt1+0oZJpSVAZZcMoN61LJbIitv4MNO2LMRRHvYlrAxu9nOl4JvmVaBmVBkFWWvpT+/A9RofdJNvNGLLiGtkloG6cSmHIMyIbhlIITbUgQmtbVWBHvaDsaJ0RNqoUqkZc2gNe9QyyE6QgVnFrMMMchKKJKiNOh9xMGoZRRTAX1MElkBkwpgaf9HbcFWdi4Vg+EzPN4uWppTp5vUnFw83apDgJNSHVQo8jVhGXxkr8L3hEv8AyBQZE+qa+FmWTgUWb3mDlSGagBGcPLYWpRNh0pModyNQsNAoWpD1mRv2KZMKjsgktaF6bI7QQ2HVk17E9vlpjHyiR6NDXnZHCri3OVFzbOSRikqiquDrqM4axa6LEiONtE43fUnmOVEbEnZRXYtmgegtelkS92W0CjXOBQWdGj+Ma2LQjk6gSmq1HbraLW/5XZ9pSULhZB1wInkksiDi7Tsxyx0bfvv8eiF5QFjrWZgskE9uRutkkwSU2ex8JdyFLuJGaIbrjahQBo6C2t8QiB9eB4h3qjYvlONUNd/vzEuWgFKRu9DfGQyVQJNCzMdUXYl2a3F+cyE6wBi5XyTgraALtMVFcjYARfKf6RsERac2gePt+RirJ27HLUW7A8iO7nCjSU6VSdcPIX4leZN8QCsl3Or+g8pkqM5saOQaqCiodIdwsHKyIVJBIUcfUmpZRKlmMLKCSWkqeG46zo2zaLF0i7QGw2R621tEiU6tjNeLFCL4BhRKEIoppQiQSWyg3QXZEDCXTVWe6gTV7q/RsBM+IVSJORyaSf/apiLSm91ArmkHLQPCWzhMlEEq4ZUCD1AbObQ+MGZeNGn75jRpJRrCAWE8JcrNzrVSsTfYbu7eF3gt4FKre8G9SyZSWOkagRnBdEK3tXhaN0jkuhmht3JRaIXizW5HJ7pgY3kkDCt/uOVhpJpCb/c2CN6Cvg3uzapUAuzsabx2OGLAkFAWCt+9riIjHZo9Rw1koHZhkSnJq5w1Ie4P3LtxWBbnZ6MLMnm6DnGDtda6UEMi3tdvNMa2YlNbzWzvSDrFGqH1b52THgrDfnDbc9hklbFhsgyRXkGCgkai0KG69JXLJ1pS+Ys3u0qB7WKxY2MFv4QW6Y6GJhZufRn+2iKegN/vYLX46ajunlKbSNcVF2G/DlE70BjIuqEHIgCSKOWLNSlU7kK7d/7/aeFwAAsWlfQ6Vnz9DFce94iFhFRBrr6+gNeCuTd0ZwbURk4N6O67NgYlY4WeJsd7uERGMF2p0XDOiRlRws9t12SxHewQJtOvBCyaVIgIhUqXZwgl7i5+P1vZcIlTTdl2livuGx3I7hgZS6QQ6j5jd9pptI9iOrwhu8vP9LLRNFfqz9WmHCK4tvrsaEBXpIuqO2y0wIJR2vmPBY73djwyntC3Fa73Wa73W/8/rlVHzl1j/led2+woY9tvDmLaNnDWze0s0CDumbXMUA01lg6N7Y8dIDwQn+s6DfuRXx8T/KZ8aQNibTeTKGxYeOeo/cPQPCAcSkQMrD8OV92MhkBksM+4bsi2EU6DNljJHuXJipmMnsTJK41Q080ZjMfjNNa0YPfvt58qVxB3toWTYhIe7wqgzxoQxkr201Bh/oD2OF0Q6CpA1EWIkUXAvbERMnDFszbqlI2uaYYqsxGbH8MpBnODC6M5BWjBy9UMzbnVKCS1nSrwSJWMiZIFBM0e/cC9LizgW8Oh0g6GyUUU4+4GLjkzszarjHZsmtimQQqRpiYwsv/wdySUolbW101wpesezH/jAkR/9G36Q7xuf4RQZ3wW4i1yPPV+45+oPFJvpxkC8B+kLQRKkHR0cvW/y6voAgwZC3VHfqdIsebUmfFfo2vUUWelYmaww6I6HQA2VXQIrPRdGokcWG3BpcdhNOdaz+cBuA3o1hmxUm/jA9/xJv+UdP8LeVFWcRl78gR/9r7nYAy4rnv8P6t4mvyGsjRlhAbVCDIZ4QEkItTU17J6uDhwvM3/dGU/pDF7Z6kQXMoOe6eyFj37kD/5b3svb1kwUQw1GK7yRF97wgZ4L2SNOaqoIcZ71yAfuGbwwaab4j3w3HTl9XtEaCLXH9I7sSmDnjivZOrbQ85kHVpwLHVc/0llrfrkA9wEWiFNBwgqlqUY8K4mdN3xkk0gV6Mh0vvHIM4fwQtB3pGIkClpmOlkZqI3foopIQAala19xZHP6PjGqM9SVU92QTdj7Ez/Ut/w+/IaP/oQRuPMrj3xkkoXqkZmBew282Xe+Lz8i/YWiO0JTvFw4sGehn1fifUFOOwNnjjwTfKXMO4dk9GljRkEfWfKRk/eElzPTo5Ik80UORFFGjwxno2PmoT9z0p0khcDCXRLiFyOMkEpmjJnelQp0vjD5QmTl4C9MLJxl45Qyd/lCfNlghsk3jpypcgB2BjZ6/8IdHzlMFyQ7Xlb6xQk/AT9BeHvlzfGZe//MkZVjMcKnHcfQc0AihHfG8P2FPkWC5V/8eEjS1iw+q9PdwT4L3E3kP804EBfYn2F6ikjXmlQyKTI5LEpQZ1u9yUI6YOqhGH6oyEmQLzTV6snhM0gM+L3c4rQ76rjjfxXQXFqa1FNg+ltHVkV9J3yv0FXiBCaCh8BWjf7NiM2FGguaEqcHQdYLMuzIMeFThdUIri3lxwSGiIZM14O6kwKEXsgSsby1pKH7Bc5di/iWCoeOKleUgEjj9JBBQ4UByIZXMI3Eg7BdC/2z4GfBL0YYBO0EJsFHaelALf4JnyoydYS9QEm4b9gxYpapSeBhwA8zrju8DdjB4EHhMVLvBX9UwvvaVE4TpG+AtwEODk8V/+Lsi9H3HXWshA6kU+SOtlvejfjQPhvQGDjHQHeUpp47N5EJd4k6Z9ho6p0APER2r5xOHbYb+R7CGNi3Sg0KXY/K3Ng0Kiy78BgDDNIsr3TsF+imjthntmpAR3dX0CnjY8QOFT0o9gIhSbN2RtApwLIRR0CV0EtTeY2RYIXQOz5A6QW7H7F0brHYKqwBRmkKRIjIBDE6jBDeQOqceAinuQAAIABJREFU2u0wObyNcCyUOyXEiA3gY4EHsEdr8qmxQ0Ju+8uqxKgwNAYcathq+BJgHEB3AsL8IhxLS1Sj32AU4iOMq2ObIRM05pZQamCoAQsL3FV8cnyKSE30jwF97OBe0F++I/y1Xuu1/gLqF75leq3/N/VfFXpKezj6OttGbg2br5NBGtwulKYcKaFg6nQ3JkyikFZI2domLEKici9XvhmfQc8t9clad+jCiZk7OioPMnOgEC1z8GfeDC/0405kY/BCvxfkuhCKIi4kdk6+cMdOFEe1MrAysCESKP8Pe2+yZFmWpWl9azenuY021rmHNxEeUV4JlbQlCEMQgRFPw4hnYswDMGNWVEEllZmSJdF5E26dmja3PefsZi0G+0akCMKAhJBIPMOWiImZqapdu1fv2VvPXuv//4+uWT7IKLWBtk3pL2k6M00C3tWK0xNX8cRWjnhm8I0SlXRFsSuwQMSoIiw4ighDVK7J9AaTODKVlRSCOiYbOYXQPOIqLKxJl+DgQKNXbTjR6YIwsFiEEWb7/VitEChkqy0GqK+sObIWbcQbK4hfCOOCY8II7OQZiYGZwISjSuRkitysyBgjiZG5TbF+5HVkaIcgMRYXePI33PGct/Yp7+xnfOAznmRNvY6ETxxsld0Y2YVmyZgM/CiELVivzYPgFL8Gv4UlKraFLnaIKEUyBzJnPFk9aRY00/KTLhPLIIqv8x/Q8RlhasB6ZtlSco+ZYNaoYx6HVYdZh04VKQXVkUf9lHe85MBImhU7GMiaPde8t095o59zz6sms08VDIpXCg5vjq4mehKOQqQSZMJhVI34XPF3C8/Swsgek0wuPaodkRODTJhs2PE597xgshEzh6giNjPKmVFmOoRqI9XGNjF2lRMjb/mMN/JT3ts1T7JC+w3IxWqhgUrPZAFDWTEz6EK2wJENO7vigVv21kNt2ZfqBLYDtRdkvCgM0oWjWqGzwi0HbtwjvTsTKaxrYVtODCz4C4vWobhSacKB1ulUPMUFWEXcRsCBy0JUoSfTy5FOGzq92sCTvuSBF5xtQ6yBLZkrjgwsYIEZj3fCdqncTgdu7ESPEBhRW5HKmroI9jQjqeIcDLKw5cRYEt0xMeZKDK2xlnVAa0dUQY97eluAxNkGkm0ZVehOlX5JbDlzayeuLNNT2XhBjgucwKknSqa3hDdhbQsjJzopbBxEK6ALVz1s0wnZJyxBFKVjQlxmkJkVE+t65Io9m27GRUMr+FMH9x7bg9tNbDkwuCOOI4MT9DHDm4K8dfCt0D0Y41IYzPDlx283kNHwplgqdGvDDQ7GLWl26CLIQZA94C4UP6nIKlJHg74ii2EJrG9NGwl9s2tsQdZKN1jDQA9/b92Q5xC2NGvMaMirQHFQTfC3sPqpwbrg15nuhcFaGsp5bA3KWsCuVsRnHtkYDHBzC6QTvq/4W4ON0K8ArVAq6gQbHRKVEEG0KfTcKoJvdjn6juZuDtD7pt4ILUfNlEYWKk2+4Zziw0VplS6Rw6tAOhvcK7oz6qwQBO1pjYBe6XqQUrEQmprnVhhuQAZDtg5WUEyhN9iArgK2Aq4deQVcObiJ1I3gbqzt+Z22zJNrkBc9bh0JVwaDoQY1go005Hkf8R3YBuqqEYRktJY/5AUZCt3GYDJsMkoEbjy5CmoNVc21wVVtTZOrDsbSiF5rT5600fJCRExx0n5mlJmm+uwF8woWKAcoY0Rum8WTAquV4buCbB2yNRg9iLugzEu7ljpDvNJ1tPcueEIHxK7N5qLDX8MUBLm6YMvFEQNghtNKncFUcCvwtwLXDrmFcNtUTb4X+CSQfwL5Sgijaw2q3uBZh1wpclHASGyo8wJN3TVCiq7Z/GZDTgohtjUALEeaRdODrsA+9bhbGEeoi6LW1lsVRzEHObbv6cphgyCDwLYizw3/QpFPlPAXf5r94mN9rI/1sf6/1MdGzZ9Zyf/Nn+Bib7ocaP4gjb1Icp2Br9poTVIxa5Qlh7Ww4QJBDbHUEKRCk8M3cQ7uonFBFRVrN2jF02VHh4Ir9LIQ7UCxGSUTVZHclDrBmnrHSaGvmVEbOapeRnWRForqDTpbiMwXO1NssmAuocdmRBU6Cs4lvD4S7US0BJIQp01mLB5XhSAKknFmdFYY9cS6nliV2ogYFPoKvUbMWs6Nt8xgmWBrhJGA4a01VwInnB2arcQERS6S58bIEBQJ0iS9luh0oq/Np+80AydcPAJnVDxJrgjmcBgFo7iE88ZSEuIKwc5Epgsi+cddjgFPBxZYXGCvHSeumOUVi71AuSKLY5bKFBTrlMUSi3csTqhhobjy92IyA7RvGIyam6S7QNGLt+hixishYiE0apTnYjULpItawQkEVUQVbwKXz5tJU3ibINoUHmtN9JpQMdQLVZuOLbvIIh1JjBADmFAwZhEWN5BdT8Xja9eyDCpku1zfGMHqRUe20MmZjhOBGdyE+APiKho6ZipO9EIgac9R/hDwG1uotvME63FSyDKxiFAlIESc9RSJFAG7UNiyjcx2xSI9vjr6dnqkUChhafEUEqjW4sqp4Kw9Xmtu9S0jyjnMQNVhEimuTe0rHm253YgHZ46Aw5shVJwVequM1YgmqDXbiSF4HUAbJUl9pUizohRnpEtfGkAtYTZT3YxRMctUCqWBgQkGUR2iglg7pAdxgKNYwYk1y1UByWuc9bjaiDWxSLMx4dqLME+vhXWGVXZgxiIOT1PdOVGylEavEaHgWyZOUYImxPRif0wMec9VnVv4KC3kw9RTbaRQEI54c/RqRLRRadQIEmmprkpnlXBBoVXnL6ZPIdrMaDNjyYw101szWCojIiuctawkU0MlU8VTmcmaMfNIc99AAZ/AFY+3ES0/fhGvSUJNQDzqpB1GzdoFSoQ50Gdwpu3jl6wVbW83jkg0wAriQTRgvikfAEq6PJ4pxTdK0exbFo75jFeFeaYEYw6GeWWpBmH5g11OpVA9VGkWGg9Qa6Od0SxsVmnZMQZqhVxrOzmrgrtYgYJgZlgVpARqFiw3m3NbPs0mk11pDVIBtO0NTivU1qDEDDFtDVltIbzeFEXb52sLxhYHBKVIy+2hNhcTOFBDAjhJl3V6+V0VV41qYKFeFClgmltEzmVIVITWsDVB1YMLaGzvp4g1SIKe29JwFfWGUUBb2LYoiDeKKmYZrda+V6E0S1JtmTz1Ys1xwTAxrFNmB8T0B1ImvrTnWBOhGl01TFtAsYlH1Oh9Mz4SKkrCVaMzEMlUyZTU7HMN+WTteVawcrE91YDVtk6lViwbkpu1G2txLmSaUkmERZo1rtEBuYTYQygG+TK8QzBzVFfbNWuCOd/yC2eDXJkFSmiNoovLCaRhuana/m9a1i/RqBe3pIb2uVAESkBN2s/jzMVO1p5bBszOZN8e19PgF5a57OkK2eHK7z/mm158NTOPSgkJI7HkfwKW8I/1sT7WP/n68d81faz/x9VMNgWko9LhCe0Hm1suwcDt75mF8NzoVg41OKWOehSG4Zp8dBAdaQVVNvhFcRPEoLA43OyR62f81j/nd/VLCL/A0zXItX/gC97xGQ9MIfJIDwgTA3fyJb97+DlP+1+gn/yK6hKIQw+Jl68+sKVH+ZrgPWt7YmW5QaelUBF6XXiZ7vF25hx7XoWvqXZoeFl6TqwpPOOtHDiER+TuLby84UP3BZVnXJfCbXzHrbxm4I5VOLKyHa904jmJLTuyLzxzd/xz+WtW9Z4bJyQVbuzIi/Mbrpn4Po3Y5sw1b/iUN3yZf8foDhT+E/6t+wzvhS/6v+VzfY/ulNu+8vn4DSv3C7Z6y63b8fTsM/qnym1d8L82ZIH1pvLV+h2PzPRsqDay0kc+1QdczDy4Le/sGV/JRFwyrDse5RlKZOTHjzf4L8q/4Zvuljt7ydfTmdWv/5aX//HMT9yJzr3jnv+StVbkdab7q4of4auusnz+yN9295Ay/newfAfDVyAlwfcjdfbwk0rvDthvlTk+8Zdp5thvOLtXbPXAM7ujDxnJQq8t6+TRrXhy1zjrWsPh0eiSEW0hypnnojxL73FamPyKNSe+5Du6KPwmfcVf7v+K4ZD5ZHvHPxt/xxu+YM8VOxtxmnhezvQ4dkQe/YpBZ04DWOdhhnjuideFzt/Tj4ZxoCfzTM986U4cZWHrF+wc8VPFFo/wHEHYdHuCLCTWJFYIC549ykBCQHas1SMPHdcvHF/YL/ma/5Uf/M+hzvRh5spmvmPgV/IZv+FnGP8Z/738j6yXA6jDB0fPgqJoHdlaWxOhf0FXZzZu4oE13/IF75ZXxDu4Kg63icjwRHe9ZtEbpDyniMe2QthW1BXu2FBl5FV94rPymlv3li/dr/iMb/ml/hSfIchMd/jAq+trvtZfUvINr/uBl/aeq/tHVm8KRqC8BBdOFBFO+pL98SU333/LyV7w7Sdf8YP0jDKzlSdul+/5D+MNv+E9xc8kjE4WtF+owTj6kff6iicZKT4x10QSYytgS0Yt0jFz5e5Y+SfyNNNNxnAdeSu3fOtueMDh9YGvtCPmyrpL9DLR+RmnT3BemJ+e+OLTBz51O1buSMcT0Z/xucNeV7Z7Y7NZeOsLJxO2pXDFPXDHS/kFG3aEbku1PXWYYXCYQi+JDcqoxkt23OqJbcl8EV/ztf0133ZfY90DfnMgvi/IqYWLngEQfsIPfMkD3XnGuRa4yw70ldHNT/yzOPHyX7+G/+Yfcyf5I9Rzh97D8mbCi2JbgU+m1oBPhp4jH97Dly8q7mVDy4d/K8S7SL1X5HYh/hxkpwQi4j7ATcUeBDuNlL85Y68K4S8KQwd2KFy9dOz3mTzNjA/C/n86MvxHgc1XHvu1kP6PyjBsQJ4IyZEPhnsO0im1JLoXQg1PyLXg9g63G/jm7ya+0pHyXcF+M9OZsByN+JXCZ4rtDN6t8f/bmeFb4PsJHxR5CXzdNTvQm5nnrx3zmwyfepYOhn1ldFDfJezdhm6b4CcJ+eCov6XZ374ULApalfEG8CdqNbjuoT/y6pnBbzrs7wr1vsDnFR8TwwS8BXZQFiMUQT6AvXBc/6eZKjv8ymAH/LsJ/69gdTT4MLP5hcLJ495H9PEAXziGWyONC+ElLD/AuIJgDsERtsr0QhmWTPkVxAJuuCKzx01gvxLqcwUfuX9KhJ1xM8OqGOwcddVT5US/KawAWLjagn9awfcz3YNHf5VhrYSfGnV+bOOzXwzw2T3hC8HixO2N4r8FeX/H0IMcZoINrD4csM2BlAWZIY4RvcvUX2U2T4JcV+TVxHgN7uDIf6PY9wKr2nqK/wakGM4JOQn1V8Lzz5RaDtQbIM6EncGxwHslfQer8Yx8PuBjwsqezXXBJYe9fcL/UuF/UW7+JZz+60xyit0D30x0Q2YblfzG8NnAJ7pb8D9Xjiuju4by3uDo4TtHYiF8CvFDgAdl+zNjXh3pN4HpfwZ7yrxcHHqzICqs/g54mOm+nuj+2wB1Yf/WuBZH55Wlz3Qv1qy9Y/nXgdUu4r45w//wJ989PtbH+lgf6x9UHxs1f45lcsFxG20Sy+8TaoBKLxBnwQ8dxvAHyWlBcRbw2dEVUJuooVJE8b6RCwxPthUinlAyYolIafN3bRHCCUdACLTgu5UlOjJqIBZbCDCGmEeJzCGQXICLiSn5phbxzGRxqDn8ZfS2eEfyAUgESTj6i3VoIbJjZWe6UsjOEJmJPCE4qng8bTrf1YR3GaNQXAvOU3EYA0kGJj8SGBtwWTPGhIlBCWySZ2VClTYxcjQVgMcYTeikEKXipVEZGhTD02toWHQq2RtLZ5yCwyKQDfUJr7TmmkibsJGpQXAYg3k661joSH1sk3IrVImY/fiXuUigZ2Zb71nlMx5DKASduJKFG47ckNmWRMwOfMCJATOeQ5tMusvVrtDRQbWGfadD6kCYZ1yNIAWVicIMvrTg1WwQerwa7pKRJFoxJ7gyEPOEmCMCPVNDMasilWbjY8GTW+gsE86gKzBUxdkOL3uCGqGONGnWBXVdjU6UQRaqKKYeVFoGjEnLjTAayQWPuQZMboq5magF80ZxGWUhs5BcaaGRElDrQCKOgDOPtCsMrGXGNJKGp9AjCFHbehYzgghOofeOmBshrgCIodQ2RTXF4VDXt8cwiDLTcSQSaUEx7eMOQ2qboqszckhEyfhiSBWkdnC5/qsZ2UEKjqwO0YBDEV+awdEGqijilWC/XzOOykBhg4lDSHS1MuRAjJ5iSrhMdINF1MLl0JaZvHCSNYke+8N+EIl1jRCa0vASRKoEkuvIvm/KC20hyUXAxC57VaWKQggk65iJLBI4iydLBBdbmCZrKs3KoNIUPt4JAaOSmVtUM6KKqxnnQJ27EJsifW0pnYIy4AkWKQgm1pQFNqDES4hni1DHPMlVzq5w7pSza5k74AlW8VYvdrK2loIYURUvHiG2yGlXMbfgXFMbtbh3mD2s/6Q7xx+/pHi6ArF02KRsuqVN/dWa4o2IL+BSxOWmtLLL++2FphQFEIc3RXIHLuHUIHu8uobLTkKXK04DVCOU3K5HhS5XurTGilFiaWqRYkgQzEeqZYYSkVzRqqCRLhcsWwtkLYGoAiXh1bDiQD2mpYVwm+JrU5m0sOHLe6wX5e0F5X6RriASkNLT5YLoiNWmdsGlSwB633DwHoIzBEFUcOoQV8A8ITX1ihoNwWyCSGrDJImIGl4FKR2GIjU1IZPLWKgtHDwHxsWQVKCucXWHuoqE9j3qnQd1SBEoI/2UkWXELUafTlACIVesRHzKSFVk6fCuICZQPZ1Ct0TCrC23KXd4m3AVYjVUgapENULyyLmj70ojhVEgd7iqSCq47PCLwNxUTrUaUroGRpoLrq6I84xUhySHLyckNzWQAWYOVx19dVBGpGbQjHnBnLbcFwHMUUWowRo26rJ80YTXgE8BS4qoXezh4HKPc0eqepxu8WWPZNcCi11tX6eANk2f2YJYj5sqMTkosSk7q+CKEXJkVgUbcIsx5hmSZ8hCvwg1e6gBWKjaGmZc1olUCNnhlsCYE057rArqMtFamLzkTKyClQ4y9Gki1ibwcgvI3OO1Q03bnvTjd4R/rI/1sf4M6sd/gvtY/4D6e/5PE9Tb5TAll0MTIJVeK+WNMVll3gbq6KGPVFvopoT7TeH8W/D/1RVHd6SMSiFjtVLUeDzB3Pd0SZqsurUrMBspEqgIwQRvQnZGf2kc5Qw5CQsdhQDOY77naGvONtLAs8Jsnp7ExvacrCPTGjXqKpOPnGXEUIItBPEMZJ6z51Ne86W8ZixHpmTc2o6v+I5H4MFeMFw0BSpQpUPVkUwaDYp20FtMOMgakUJn77nWHXP0JL+FxyNxX5DnI4d4w1l7tLbDoXPClTsyMFFKz1I8LkCJwpkBMWUtE53NcIZy7jjdjOiN4KR5xEdmglUmGelJPOfE5IRChxBQIkc68mYF7BlMOZpwcP0/zuX2R6zwkHn++QEDurkgB2OsE9fdiZd24HP2bEh0xx3Lb2YsGelfwOZV5qY/QHXk706sH0HXkEtFngqugn2TKV6pv4Y6VPzPK6tN5tpNbGxHSAfKm8KSjXFJvOIH7vmB5zqRHk/od2AvIJyUkRO33PHCBfzDjGXj9sWBV+Mb3sqnbP2O7XSivoP0YWHzYuL5ZseGD2ysva66NAqSo3JFpbMjKx5ZTxN8qDBCfDHz4nbPK3kkWE/fDIVEm+k4YbYmWUd00EWhD3tu+MDAgkpgaSsWJWBSgANJXnLSDStJnBhQJ2w5sJUjkYxHiHKhI5FbIpT1eAY2HDE8S1HqbLia8VbogckCk1tzYI0XT+9mBj0SuUEYqG6N4REzNGdcgnAtyLaCX+gNdFL0eGYYNlTxZITojFl69owUcaxsYU1uViTfUreCGL3MrORAwFgUltShycECkoyuZK7CE2tXWemMTBUrLf9jwIiyoMCiAyoe5xtVxpujTxEGUOlag0ILEEh1w1LXaBZ0ak1WxRPMGKzgioOTo6tGRUm24mS3HK2g/gl3zPi+I2xK0wNKxkmP9T1ugfF84CYseKnMdOR6RT0JrMF0JrOh4unEIc61fd0SA2fWduRKZhzCwW9Yhh6bYJX3DP2M+HKJxJ6IZogZ4jLhsjN7ChYaclrM0SOsOBKojQbUBaRf2t3FuX1NNIeLhlz/+O0GdiqU5ImjsTwWlsW4epHRJwOryLSwEnA1k0sj6fgXhouC1JbzU4MjrCK7D2du3s10n3nKpNSakLNCKdSj43yAzY3hdonpB1jfOJYAfm3UNxM1GuFfBNxzB/tKmY35XaFPnvyuYkFbc0YqEpQSHSF76usTz1cVTkrZ2yW7pKC9UX2G2Vg+GNYtECMWCtz4ZqkbBXYLqWtZddmMeYLxVEgo42lBg6NuIARYsuFrRjtBbkA+GdGQqVNFdgob8F2FCDoYYW3sf4CbIJSrSHqoWF0oZ+F0BzcVjndKdwCy4f75gP8kke4Sq6CcZogLdMcZAxYKq+cDs1a6g7LcKcsPRrxNnNXo9onpVYMhbGPP8t2B2i2Mzw2KYW8XuDFYQdodyVuh7wPlVHB70Mn4cDCedz1OFpY9rOZCmhxMSkDYfzCuhpbHkl+f6RZHPdc2hKnG6TvY/AwkGjJl7HXluKuMLycObyrdo+BDz8Mb4/Yrga5Zn8R5fCnMJ6MfE27V/o5X5MsOVp55TnTbAbs6E68MOiW52izqValTwSahzIZOMITWcNSHA+4zj5pSl4pWwVJFD5XZwXr01JMiHwr2mSd7w8+J/GQsb4xtVU5HWHkhFeH0QemLwrSg58oRWN1nXFbmBSIGQ6VG6APobGQT4nVP9gn2lTgnpgX8GrhfyDuIrzzxU2lBxCfDHhM+rIgrIBbqWSkP4OYD87uMm1uuzTxbU2V/rI/1sT7W/4/rY0bNn2PZ77OCf6+oMeSiYkEqTgQeBTuC+Y7sHeqHNkGZMv59pXsHzCuSuObllnrJoHGca8+sHVqaR7/8nsSkTS0jKKMV+lpoUCmPWqBamwIpa4w1JgUVI9lIsrFN0S1SbctIYat7VjbTWcN5qxhJIpmBaqFN71EGTdzakU/sPZ/wmliPmBrPeeRn/Ipn8o4exwZjJQvmoDKidFSLjYjlKipKBs6MnGWDuwSkmhhzWLecmqmg1ZO5obICdZTa0Muj7NqNXNkw5xEfHckLE5HiFsQ9EetCPAp26llkTd066CHUylqOmCQOXLPYimu75+JeB5RiLddEQ9e0A6KA5yQ//tsRty9sOBAkQw6ExVjVM9fywK0+cMsTGzkQljPhXUa+h/ABhjKz5Z6XCt0HIx7BXX5xUvzZ078T/A+O7gHWbz1xD9tauLEnbrlnk8/ED4b/oeDKzDNe8xN7x8s6EY8Fdx9xO/C5MnLkSl5za/d0xwW5M7o8s+Udg7xnw45bPSNPYKfM1hKfMLPhQMcJlxbcCexy3Q1MXNUnntkjz88z4anCHvop8dweeGbvudF7VrRg3c4qEShccZCXLWciCoM7cc0Da804baqHejE8miRUDpwR9nbNLJHZRVQKIzu2ciDQJuTeZaKdCZxxZBrWujDKGWeFmD1+hpCVASVawdRxomdHT8LoZaKXGXdByyueesE/O2sBBq5XYkj0rhDNYIYwz/Q2Ucw1FLh4EgNHRjLWwpE5401xhEZLs0SQPVv3QM+BiqI1NKr1AlINz8wVb/lEXrOuR0pSkhlGpWdmsJmoQBa8Orw0C6lHGlfNFXAjpivMKgKUOpDyCMUjS8P4igQ8laEWpHSEc6ArBVxBbU2qL5hkgwDdXHGzgGU6znRlRs1RohGcMc5nNrkQzVNYk9IN89RdMj2Wptqh4K3ZcwRFZML7Rp16YTMB2PuOqWs47c1yYsORyoFRZ65zZV0cwSq9TAycGJiJmnBRkQ7QljY0ciCiTeEQWm6LRGtBpqr4UlBfKD92OQ3A2Vrej8/YkrGTwMHjZ0HOhpRMDE0hkUyxLlA3Fb2t6JXiLiGq4gU9CfqgcFFVcDZCpqmwZm0E9uzgHOBeIIdGonOGe0q4+4z3hmzaQVWyp79T4q4jnBTZGd09hDvFzZBrU4ayy4zRsND2QLGW4SYOnKtIUdzRkEcHOpAUyijklaBdwB86XOaiqnKUqQUE2xmYcwu/7QTxrgk6tLZcnDVwFahqWDLcorjc5GY1uEZu6h3nvYFWcn9Bh1fgYHD2oB49VeIjuJ2H4OAK3EHgrkN9afcbcyN1VzPoHFIFd/LYsUN2ILuIe6vEH8C+h5RoKp4PRvcElhyuCLIvyEQLwc0ZlwVbBLOMJMOycKxGibEp7RIwR+rJY4s1TvRckEmQsyD7BAlyMcwMSdqugWSYp2XdHDr0tcJTRXeKXwo6BeQOwlOAfcu5ExN8qSzpEl7mGqY7jyA3BRtdyyKKDr+RFiDoBJUWRwgBXRSdMzUZSWnvmfNwbmooUcXqTKE2FeoR/GGANGCzwE4b4SvQsmzOoI/gJ2AB04AVj86Cr4IsFZ2F5Qg6C9EcnFtGj4WEhkpwDsu+KRKvhNnR9uyDI4lg4wxLxu0riJJuwSJIcshcoK8sI9BVTA05gdxXul3FnWmZUfon3DM+1sf6WB/r/2V9VNT8OdbFZvB7ypNoS9Z00qYnFGOoRkhKzNCngJwjGjdYuEboWsCwOxDMcIunp0e8YeYoriO6zHVXMI6X4NE228rWuDlBZoQZkTVGxmSCMKPdRGlHQnAF35/xkomS8Jwx3GWKW2nRlx2NM9VC+BwOJZJlTaUHa1LqWVac2KL0iD9jg1F8omdhzRHqCQkwVrhJGT8WEgETo2ciMFFd4MSW7AYce1QCezdwFKHKCUJGOujcmZEP9DqTxFO8kb1w5JrJbqhOid6QAjEZ6/6Ak8SJDcmv2qocjSUksiidGp1PBM2YBYqsQA5cyQM3dY8z5SbsubJrBoUg0m6KyTgKvfF/zY7+0VW+8iQ6AlCjUWOj1LhrQX9dAAAgAElEQVSaEFnwJAaD3vtGYckJ9Q5vJ1YMiGXSWEhjm9b50uFZIFRYeaSPmE1YbBJ6ITFy5lpnNgV8ANaNFJRZsXBF5QC5ItW37E+sBWz/noYWWtPB4orFrsncEOoHxnLAVQhaEa1oUUL0jZzRKeIhSOOWLSI0Q5IjS22kjyBkESbpyebpbWbFHk+iSMfMhqNcM9Jwp8Ubs9uysMWJpxMDiww03HyQDmHdbIKmmMKGhVWa0NRz7q/Ys2FG2boj1zzQXm3hVt7RMbHikU/Kgf5dwfYtlVJtBjkRXMK5SqA2lLcudKY4c3TsueIJl2dsBvURf8544Pqo+PUC1WAf6a6bvSmwILalupFqj/RSGQy8LAQ5MZrHnZo03smC2IxZywPx0hhdNUG8BL46V4hSGC0TpFA2gdwrIws9Z4SlBbQ6hzmPSqRax4xnDobPAVczNQaKjQD0/kwMGbERUsVyRizjmYhSkFCx0cheKQiLCIs3qrT2mYZIdoETG2ZeMNuZ4lw7zESY+sC+65hcs2Z1oSJOWijwWRi0Yy0L13aP54xDUJo1cpFmm4g1E0sipIosAB1KYZBEsisOcsUYD5ylJzEQgI3N9CVhS7tORCvOlku7zfBSMSuYOKp5RHKj88TMIpHz6Lj6x9lC/milx2s8R2x2+IMw9sDUocsJGzqwy0F4EtYHj70Z8HnXgk0rsDjiUdDHgbjPcOyxg7CqczvIZ7DS4w4d692B8OSoU0/aJ+pxJPqJTnrkqRBepBbAnQwmjz556jngXYfLE7FwaW4IYpW4N+RoMAjaG7pzhLNvAb/SMejUKEnO4ZcK4rEUyAn0GBnIeHPoMrC6mXH7ilsCoTpkDqwcyCkge4ffK+oGxnPCWQBviCp6EoIOUBckKxw8JiNuWaDrsA0MdgQgLkI8AMctRKWfKzI3EIGE1phQTbStVrASCDtwux7ed/ip2YTFhGDgk0eyxxawI3RZ4DAwZiNuK0IgCriToO+3LPeGzQtuMrpdxD8dsRcGNdGptuba7IjWGvV2Nvws8NTT7SuiPQTHcAJ5DDAYYQV2EoYjyNIBxqok3OMa32eEDjtXYu+R3RXhzQknGRsiMtOaIx1ghi0KJ4ecoZwG/DHjasYEbGm2tHgG99TDoyBZsHNgKKlpqGfw6iEJObV7El16dD9h5wiPgVH2sA/EWiF0IEZY2jUfU8COHbwXOg3I4xUyFnomDKGvIHcB0Q72hT2VOFzh54W1S7jsqc7wC4TFQ1qz5AObOuIOQi8FOc4MnREOEWPNOO1xLqBH0LMn7TtCV5Fjh/mKHStcFJWWIgLEUoAeeTDkcUCT4fX4J987PtbH+lgf6x9aHxs1f0ZlfzitK9b0M5eSixHq9yf6gBbB5TNXVpEPE+nDmTJ2HCWgNxG3gdV4QKYz+YdMvOqQW4fzQucMp2deXgVE9sAeozViTkTODGRXEJ8bwUQSgZkYFdcZhUp1YCtYu47oj/RyJDDjJRA14SlkRpKMKEK60HYEwUSYbMUkA7Cw4HmSa97wEz7hnmexMDzLpOBAYM1C8IkkEdXAUArOFhZxiMBAYrQWbjyxRi0w2MzkVnwvX6CuNXs0VpZNjwsLz3hLF2aOdEwhsNMV3+tn3PkXWEyM/kiclFEqr/QdKwoHecZJRriqRK30QyZmQ9QgJIpvIYeRTJSFTuC6LISy55l74InnXNfEoAshGtVlApUb/fHbDe5f3vLElmyeeWX4awE3cGbFk+uZ8Wyt0o0O9xOBa7BBEV3wAosUTtuZ688ucI4ZfIjY1QKfgb3yzN9CeaV0W0f14Kms1eizw7bgbz2lgz0veW+veCEVrCnJqgd8wOhQVhTpcGOjRRW/5s5esOcFVr6hSyfawNlR6NjTkxmBibASZIHRJcDx6NY4CVwTKKHRXKjKsYZL82RN706smQiSWVzkKD1PFlirY0iVWoxZr9hzTRIBEr7C6Ixrd2YqPZ3f0lkkyEKtgZuS2RwLqduyC8/YhSsKwjUz1zZzlhWC8qV7jeM7nIOf1gd2f70nqEP+84BziWAnBjmz4cgVB9bm6C7kGzG45Z7n3BEPM+UDnLRwXQP1XSL0JzZfDwQxyt8VxHvkLworTpzsFlc9vU08szO7mvBxppcTq5SY3k2oV4aXMJpnZoMxMFpBypnzWRnOIEelK5W+74gacV1EbjyhL9zImTUzZ2noEhdabsukgeoGzlJIvjAeA3E54V/2ZDqExJW/Yxs+IGTS2eP3M12pDP2Ecyf8cMauMxYrA0KRQpEjK44MWtChp/QBZWBhy95BqRm/FNwQOG22vK+RhLDiTJA73NDyPPIjjMlz0yVehiNzTTg8pmu0rKhdT8GxJfNimqjfTNQ7qP/yCiOyKZUjGz6EDZ0f2MuKifa5XjKxZvRcGzGmWrOYWqC9+oW0m7ElkoeAlsL4CXSrwtF3hOvVP+o+8seo9MsT4TMwKbgjqDe4myhihHXEptKMiA8Fd/ScX+9ZXQO9wARowN4nzv/7E/WNsHw7UdLC9j8QOE/sPijueSR+k6gThO7ENBXe/lC5XR6IK1pjzQL2s546Vzgr9v2R/Wvj9BTY9Gdui+HORj5XZIwNXf9NxacTvPLYrITvAvV+QSq4padU8A6qWKN2LUfyY8+HH2CYKp0p/sVMTQ2v7N4qNmvDrh8uxKUdpL+Z4TVoTLj5Qh36tOJve+Z/f2B4BjF2pFSRJJQ3e/CCfkhwZ1w9Bz1MuEdPeQs2zqTVxGoEjsroHHZtDUmZZuwoaBTQhPymwEPB3s6UO4WfdAgFF5sSrqSF3QGu3uzQwZDpzHR0OBHkeiIHGI6F5bdPfP/vQKvxqsKzg1HO4H9mSE4tLDs75FTYVBjuDkylZabw+kR+bei80N+mFnBM4rxWNi8j9fVEfOfwSSldIZghv53RviCjo9SKf2Hwzcz07wtcG/4T4/EAq7sTY59YXxv6es/0LZx2wOGBm+CJnweWUqm/U/w2wg7kr96h+9rUmr+bsWOG5z1mCSFg+6bAlSTUH04cfpMYjxWfwFaGvj01ddezW4p9QMTBTjm8KaTvMnEPY4D+4cRhmbj570ZyAk4gf/1ITpmH7x13amxv1/RLZtm13BmCkN+DnI0uCY8HYTtBeDzRvTT4PpEMurWHvDDcV+TtwuE7x4f7zPaceXYFnAuTJewvt8SVMSzAU0fWRLhqFvKHXyfO32dWvbAafvz3RR/rY32sf/r1sVHzZ1kNi9kiDeXyEcMbFHMggeogyomBPZ5MX4QOw9yMuYoU6IoQaHhaJQCG8zPR7qn2EpGhYSNZuHA/KXJpE5m1YEUUs3bAdXQEumY4cB1LjCyLAaUhiEWJWltuwuXfysX4k0XIDDRc8UzgTAsqzYgoWEN4R1rAsYinrzPPnGHyDKnG3A3MLpC8UCQAHc4aHSvgCZpZcWSjC+bsgmEOqEUm8cxhJsdKFU8DW2ayCEk68v/J3ps9SXIkeXqfqpn5ER4RmVmZdQCF7p7pmVmu7A7Jh3nh//8HUCiUFR7bOyPTBxpHHXnE5e5mpsoHC7SQjxRpmV5Ml4pAgAKyUHFYeJir/fT76FmuJ9NFEq5+BUnCYCtBha4GkoKEhSALPaBVwMBCYA7KKk0BnswpjFTvG5JVj6g/0ftCP6/EKNdW3Mzg+d98hf25y1TIjCzaMQclRaGGLUe941nvePE9Yzhz7mFNbbavKxCykkwbKNaMWGjrrhoEgwhFC6nLzAk02FUnHXGMzirgzAkktdn/jLRUgg6EtYMytwcpbVzGaevHiZgJNW456Yb1CuhNSzvhRZSiyhJ6msMsYJIhOg2POHGSPZIi2QamdSFUBVOSO70bg2WiLcTQ3m2XQCFx1i0Hz3Sm9Fnw+pOmvgGsEUNlZfQzo3VIWClSqShFd6hGugK1eFNc04ErkUK8aoeDZG7kkciB1SK35TOb1QmiBIv0XOjIBBeGmtnEC4meLMpCR0YwStNdr0JcYCAhHtG6sinOQMANYlWokegr4UqMGuvKvmQGMWp0Mo2fM8hCZ0cGh1KMkITigUBm5y/sciatAYqi1UmWCaztJvPKZOlqZqiXpjZ3JbkR64UaGz9LJaIshDCTSiVlI13HLyNnbu3c0ix2Qa2Zk8esbBpqGFgwNdQzG9pzChi7cmbHC+or6oHRm9UpaGaslWSgnqgMuPzpaonIQtU2PtblSmdOkJXkL/wUnnRXOpvZ+IFeznS+ss2Z/WKEAtUjynqVvs8oaxvvcm03uiwEL/xE7cJADGIWkgohXIjSeDxqHcFGSl0gGCoZ8dKU1T/zig4SjRzaSxtdEIskB81G47H9idbaRoyL4L1iUtGYQSGVQDQlOGArrkrRAuG63uWq9BbaKN9aSTUSvVCCt+/wkInuREAs0FnhZE4JFUsOAZYE0rdRp6AgpngoTU1d2/iM0/g6ag7lOsoCIBmVro0R1kTVGaLBeh0vMtrvU8G0QfRx6HJbG1bbj7OmliCkQW09GaJr4x/51aoc2lpLWfAlI4Pi2rK/LeVLe6yhItVBpX0PB0iiVGuvtdY22u3eNOMugJQrzLug2lIW1NSg8MVJxdq/C+197Z0mXLDrXkNp6US8HSJ1kDsY6MCkfZVYpF/W9ni7SCiVLge0RLKt7QgsO4o25bgJRa3xnmp7vCKtqRXMCdc15C5UIKi319sCWiOhVlQD1WDxSt/m2RtNT4xg7ZrZeMJ25QUKUiN9XoDIogsS21oIV9N3b+W692jvpV1V7WsA72ZKckRq014bhCsYvwPcrK3ZUDB1XIEckeIUg2oOnePpwlphk4zcVhLBBJdC7QyXBRXInaMecS/kYHT1+hylratthe0CsQBExFeuVoo2BqqAahuH87mla1wanP4L+OFLfakv9TOoL42av6qS9pf8v/I0ItevSbnuKwUsQILASh8PWFwRXxi7lXGc22b9Ansb2UYn9U6JCfdKvy+8kYXvdMeH5wFs0+wPBPCOrT9z68/sLGNeOIeV7CPV71nOQpkzzoZn2/DJA77fk0uHeKDD6XDUAyZC8JkBmBnbgLIrnc685hO/4A985J7Z26nzKx75hu94VQ+EORNzYl9WSryAHOhVmOl40cQxRVwigylYpNCx+sDGC38ff+ANH1k0Ijzyt/Ytn+qWH+SBIh953c0kz2QSgxm384VDd+YmvvCN/MiWT3zv/8BiO1wUjzCHDdkjt3riSWaCJ7o1EOhZu0QaFSsdZwaemUhEeoQjIz+Gex5SwcURadwQnxekb+mmwWce8vPP/pO+LxcsFopOuEfGu4nvGHguI0vY80FvORH5OGwJNxMshpyMejR4HeiC8tDtkQ9AT1Pqvt8Dn4ivBuTdns3tGQ0dtYscJXBm4CAXTqMxvg50255z2/khNVAvgcsh4OuKKM0wxIyTWWrFSWgSnuvAEz0ZQUpmJOAbJ71SmBrDaWZkFpCU8Q4++J6P3HHwCbGBD2XPRla0BrBmZmty7YXVnZkesYm5jrzEgc/coiI8xUhG6aTQ/2k8RcihkDHMAS1kOXOmIjLgeWGWQlWnG8BCGyfUK59FxVDxxiShNXEqEKWQEmgMhFoYbcFFOfuW6sPV4qHMBM7Amcgzr/hUbzk8OeMj2GStGZygjoVMYDkIN9XpY0UkNAaVBIJkOK+sKXHoX3GUNxg39MnopwvyEfIpMO8jgpH4zJ2c2Lys8NngrcFekTFiUljJWDH05ISizB45MLJWYawBPS9Yp6yhMX4Sjnqm1kJ9CeTbnjopkZlbWZjqTFkrIUnjg3w0ZJdw7ylZMZGW7kLoSmCniXh9Xzu7oKWntWRe2PnKMK9IbVwL9Uisod28ieJhxEQhQEqZWTKLCFFmxpJRv5BVCCmzlzPPnBlCRvIBzgtyAM0LicKsHW84sl8/IcdHdvvKTcyMXFAxrDrz2bFnsGdYT0rYNhZJFmuXYlmpBdLkmAXmtd1A2/ECu7/YZeTPUjr0nNKF/d/31N8v6NpjT2fSVginjGbnZttGA2Wf6Pp2B+zVWxPgVSK8NuqpEopRzOg7RzZCfKu8toCcF2SfCFvQ+4FucW51aaDhB8GiE/YO7xUmZQgFkcQUnHE/wVTxbUVe94xEeH9Cvu7Rp4p/ihCM2Pd8nBfuNKB3AYoRVsE7x6Oz+wb0GEmXym4rrGXm5usEu0qYDP/PO/iFoH90NjeGFaO/aa/RaVH23mHPC/QR0RUL4LUyfu3oLwOoI//sQKDrBLoeimDzgi0Qu0AcK69+rYRyZK2BsO+gv+ALMOwI+YLcCbKNbHTGNaKDNO306wGtkdPjieEA+/fNpGXazGkaOvSmSRTGISObFdkN9O8C9B31sjJ0xr7rGG9WuI10U6W8gfCPE9oX5F/biPj924id18YWUoE7Jd0ZQQVipvvVCDcBP82AEmOkpIIMERkFDQIbsBTQOKE/PjOMLYVz984J24hsCn/zFpIKkIjrAn3PHOFFzuwnJY4CoZIC1NnQ/tLW0KbB1+PQY58jUkFkpvtmACmw76EzwrsVlsSuXwhjQDcFfZ9IVKJ6Sz8rrLPCtqKjU0XYDBGVDLcj6WYlbI0FJ75LECNycjQUduYEuaD3hY2C3gQ2U2B+zuhiMDpvfy300dC7CXs/Q1bcK93f9diPjrwIWGT6TWYTJnRcWYdMv2TGSfBfG/WQ8cHxsBAmQb+KyGPh5k7xw4i6E1/9/JvGX+pLfal///Uzv337Uv//6tqoaQjR1phxwQUqPwm6vSl5E3hxOsnX090V5YSEFRfDM0Q7E8MZSY2pgBmBI5M+oVI5LxFIJBxDIPdMdeWGF7aWufiKhnxNhoxIAS2FApy140THOXRcSlN/D7IyS8KDkRWKChBIVFJ1Ys1IzNzEZ77m94gZn+WOKJGdH5j83OasVyhWQJwk0lxS8sLCPWfpsRCInOj8QnWhEDEXhjrzED8y6ves7Ah+YbIj56qEaESBoVZGv5BQxhIZLsbEC7vwxIOc2KzPRArVI+Yt9lsE3CuBz3Dl8KCwqnFIzjg466lgtcd1uoJCL5w98rnbcMtI8kQSQQRqMcSUhBDqzHadYfxLrbk/T11CuGqKharKvBl4iVvOZWKtOy76liITp7Sj9gJ9wYNQXVml4ywruStNce6wxsLwEDjPIPuFzX5l7ZyQhDoYBw08c8NzVJ77hG6dtFFW68nXFomHHZc1sEihhnbaW73DfE+WkSXCSeEgG07cc/EdJiOzC2WE5caZh8zJEy/suJBaIifBp3DDM3estsH9ng964BKNaUgQS+P0MOOhsErgyIhI4CQ3HHzPRe64GDwNHWuvRF8b6cZBWRDaCXNxJwtXxlNTngcBVaNWMAqzNMdTlJVOjeJQqYhfCNKzsqF4oIqwdjAmUCl0FIzAQSOrhPZGumD0mASKtAbTLDdI6Zu2uLbPeglwihVc6E0IsQG9m646tmSCLsSaCapktlx8xyoNpRxDxisU67gw4joQ+cDGj6RlQdZ22p57YwlOZkPggkqAbAiBJQxcuMUoiPTYbHhJuPaNv6IJZYtoj+UAZUOlo8jCxEzvATHByggsxNxYHZUBrxtclSUIlR1JwfxC8ZWqRpAmtXZpyvct9ZooANPKIpU1xJbOwzGbgQwVShXWkCjXBt3oj2AX5rghS4Mnq7zQyYlBV0LN7bvgCiFeRYh1Zh8KqRRSycRwZJCZYIW4jqRzaoDVuelvXTJKRuWagvAMmgkJLESy9KQw0K3hL3QF+XOW4MnRYWVJRigXTEKL6pUM2kFoB/uha+9XyXJdD4b0hg1OfmnfwSuZJOA9mBhhjMghYF1Lv9VeEBUCoKYtcdc5S++ErSPx+l1e2t9DXPFY8NFhv2A2Yz2kscKmYi+AK6EfeGHmIRhl9GaHKg0oTISyc1Kake+c0EGdM9JHbGwZ2XC3IFNtgOCuHf1IbAmQkhUkkZcV7QPSVSxqG03uIPeVrocqoFGxANHa58y15VFBCd1KGBw/GTkJKa7XhAzoVMhrhS4RpbIGY0wXQozUmNHekKTw7Eid0O6MZ8M8twReWomTXNcqSLiSt8aKbjLSt+bipmvGcjowV85qjLeV+jBj3zvSOaYFlYFoQq2Oxwu1N3Qy6B0fF+qmY1mUjSaQpSWlQqX2jnaOD+2MzFNHqRATeDFk4/hQ0T4TO/CwIlavKTljpSVu+hARHNd2CBdqG2ErPcS+Us5OnDL1GNufHStMFbGAhEqZVsrO6TzjBhqcmqwZlnonBcCEWKFaaz52Y+UkULvYVOC+Yu5IJ6xRGHoDXRBpqauxSkvkDZUQgE6oyVkDjCqoQNq0fYyEgo/gu9L2q90FC4F1cDZmeOdorJTOWRX64Hjv+O0ZO0o7hKBFfWQb4dkJPdAvDTD8JVHzpb7Ul/oZ1JdGzV9d/Qn335RfTbuEE66NmnYiZPV6OOTCiNAJdDojntsN3QaW6MypUMfawH4ubZTAZ7x7JvQDaEF+agrpxMluOMmOU+g4e980wOKoznQqhOr0GEqhaMdKD9EYZGVy44yxSuaIMrBlpWeyham2qOxRRk6MLN5RPVLpQBKLb/ikD9yHF7puhakjB2MRWDGMmcCCiTKrUpgRWTloZHZlK0cIlU/A6AO9XKii/HF4zYuPCHCJgafdnqNs2PiGF72h72eO6Y5HecN3/haLd/TljHKiqCOLcVueuNEDUS6MLAzuLLlg9cymZGSFqM5eDvyCH1jdW5zXIx1nguc27kLPil3HzTJeFxaNXLrE9i+z2P5s1YCmey5MvEggUKkeOYVXfK63QGRfD2zzC8GWawRcEY3MLpxH5RiNfqCZaPoKcoIeSnJs4+Q9zK9acqOi7fUsM4MFFCPHAqoogRwKi8wMnaKhBbqKtobMkdf0GCU6unGCnJrvSI2XLvE87XkIP1BnZS0b5rRlYUBtIJSWbiuWME8NwSCQNSCiiOXWYIxG9COJMyIddo27u+T2+faELzRY8MUYdpmeA8IZNWEQZcvMlpmLjezVmZp8lyw9ax6JCLYKY13YpiOrZU4aeJEdlUh0YxHj5AMrWy4oNxPUWgi2XsdyCh1nEiciK5WRbAMWBqIXEiemcqSvLdfXucKqhFpgbTdt4hCr4KWNaoqs9HZim8/s5sqmXLjdfc9d+AOPfs8kzYClFZKtRDFmH0l0TBwYdxfYKJwhHQrdmxNJV0J2tBRSXxjSgcHPJDJnMZaYkQE6MzYGnYZmz1kHwgzJCqNkYi100uDSaj916jYQKp5X1I90cqFbnPhJSA+VyAfwW9AefMEcylGY0sy9fccNP9D5iJjBArGPBBaUhY0bd7IyhSe6TWlmqktksoSxkhmJEpHQmEBTWbhJB7ZsCX6GCrU0CLHMFzac2PmFnQGnil8C3U1HJ5mOmeRCzANpCegKVmCUyijP7OUDUznSLQH1SCoV0UotGaSgeYHTvwMuxJ3DJPigyGR4EGwXqKOhZnhRjmcYp8QwtESfHBSblZArTMBohFdKuAOeA/EWcix4F4gUbOmIKlgCGzNMhu7Bd97gzJuOGFds9aZ8HiF8LZSN4i8LJMcjhC7CJaMLyLOgq2O5oB14XEl7sI2jvVEmpUxCeBWRDs6zse2AV0q6NUKN1H2Bt5F6rISDILXj0l0YbxL2CCYrw+1At7/gQ4bXyiXPdKGj3Be6YmgUxGB9bsw12TnL3hGrxI9HvFNKFro1UtXaPuSuw3aVdQfka6owFKpetzNJWI9Ab9Tk2B5801TpqYKMK2vvMEF6V+g/BPzOqeOKp0AZjW4Dau065L2h98p0DwwFvxeYKjEGpBp+rPiz4zERgrUp8tjG244r3N5re+9Wh9RR14IOwhLBhgBvRtgfYKP45OQeGGkyh7wgu47ZFrpdIH9dqRvYTMblCN3g6LYZKPsOtjvHN8rQOWwKvpUmUQiKbCJeKnUScGH+1uhiZX0dCDeV2Cu2QMoCR0deObJz7AFqgfxWsB1IH5G1EExaWlekNYpmGG566oMhsRKXTP8KliWidJTLEd4mJML0uuJH0CHDGLCl4MdCpyAVrAPtlfXRsWjQJ8DQ4ugLcAqIVqQHLJB3IF9neC1tG7sZWbsLdRV21lPLCekFBsNHxW57lnGhe9203za0Ea8v9aW+1Jf677m+NGr+ikr+lKhpG6Q/mZ/g6qsBpA2X+wy+EbJH9DpEvhEYceSauDnIjkvsYBJCXeFS4TKhw7adgPQZKBiKi0Ca+CQPfOaeV/otJ99yZmLiSCef6QeDozOyMNkFdcFiYtDCzk+sZJoBUjnLjgOO18JNnQm2YKlj0ZFn7vkkb3mWVywy4CgntnxH4S58z27bQxxwLRQSFyaCJHZ2YAgX8hVM7AIn3TBzZtIzWYwPsuOVvOVN/QOmwm/0HYFbbuTMgcSP0y95slfsufBj2LJuLnzUt/zo7/ldvSGnPUEKJgs5GnKB28vCzXRhEGGqmU2Z+XxqNplRBZ0DXd9zKx/4Fc5HEsE3BDITZ8B4kZEnJu5/Gmqrjlvm0m35MHQ/+0ZNqokSB046MWuH4tQqPMmGz9IROPJennhdDnRrvfIVpM3wA4sFXBJxBNlCuAEZYFjApCOkjrgX6n1AYkJ9xKTDq9AVITn0Y8eTG5UV1xc8PrHZOF0HuRMuoePZN7zQsSVjURh3kOyJiUeEI5/VeJru2mbzMrKc95x2AQ+NfYEHDIXak0gErag809uBIXdobfHwIM4kK71lRCIqlY4TyR5Rmdsm+wibPjLMylgziZfGxSkDYzwyyYWtz1xsYcuZRGX1HdkHTvWWp6L0q7CzE7f+xI9s+MAtn+WOwVcKPZ+54VvuyB546fd8cyuEi2FUgigDlb2vjDJjAifteLGBi20RH0gc2cUjYTB8AxaMmCN2gnIOVKdpnhMt6WcrFpyIMlkgHCO2qdzqD7ziX/jIezpmQqyIQmcLG72AKNUjQRy5yXSvOnheCB8r0zdHuvSZzjuCFNLWGPoTO04MLJw1MpOJO1QnIKEAACAASURBVOhZGWxFJLOKYkWJs6G+0umRvi5o7JmrYb7Fz4I9HZvKuUIjCB3Z5Ay/z9x9U3jFj3yrv+BEonjEayI/CcOw8ka/5ZYfgF+2zONcSWNgS2HvmW1ZKenCkGY2DyP8UbGnzDYXpBeOcsOM8JoLypmtF/Ys7DBKFbIMzCQqoKeFOy7sOXJTK/5UKHOiFpCuPWdDKcUpa4bYbrCale/CHQe2foFzBXp8dkJf8dWIoRLIcCx/sWvIn6vi65XpjWFjh+wq9IHwMOAhw2PB18DnDzANPbd3hfEfKvpJKR8FiYrcd9jNmXQj8AQDir411g2koUP7wukws+87hluQvbP2C3e/BJ2UfFeI9wM8V/IFUhQeRxj+cUAeZ+yHwqBwGYA0ED4a9ijwveHnhJ1zS2v0ld29wKsEaaV7DUsHeq+EAOV3oA8RvY/cvp8RFey94H/Xkf6L499m5H9+Re1n5M1AzorHFX41ou8/Iep0r4TjB5hSQF9V/IdCUMUuifrdQq8J2a/EX4OKsCrEJJSPDnOFTrhUZ/t+YtwfWYZI746XBiAJRZuxahg4/7gi7zp8PxMGQEHd6aKgr4TLVti/E+Q5MM5C6gMlZtKdkqtw2RWm2rhmtgkM/1HpfzTsALwHkqEflbAI/ilQf4C032CfF1wy3gX0Rjk8CXevt8RhIZxn6Pesx49Mm446LNCv8H5Efn9AhkC3MSxZa7YVCB9PyLbnMRfe/u1A5YJsDZeR+jwTXo14PlEuRlLh5o2wf4CpC/Da4Y1TizVzV9zCx4V6H0mD8Py/FjavlfI+ttGlaaDkC7YK/qh0f2PILqLfrEjqkF9W8jbQfeo558w2VNgHdK4gETfh/lcD6y8urCNEGQgxc1g6Bp9Yn1/wf0jEXybu/tuJ8AJhtyD3PfZ9oTwbXTFY2zonVlBHO8E3Ea8XmAf4tMCjE8eWEMIMfRDCvRLfCudPwK/fkB9/YP0EuzW2rW4vxD3IbYdoT9kvbHYRFVjPP/9r0Zf6Ul/q3399adT8lZVIS9Qocn3zr+A1FBO/Hm1kYgXRwEpP1dTmrmsi5gG1AArFK8F7Qo0MVhBXim85hbfgG8xGXAOVKwHOnSqO0IDAsThdKOw48uKfIXym6gM9L9ww09mFRGayI/jMUR8JssEscWHHiBG4gAayOhYy4ZorcW/QQpEVEFwDM4GDbLnEA4RM9sjMA4vfMhbj1o+8tRO3tTD1Fcg4A0bCXDHvGnHPha4qk1dSPeMKvc1s60wfLiSZ6fxMz4HIM9FfmOyROxe2fEuS91y045ISvgqVDau0mzQktEiudxS/55wm4nCiSCCYEEIlyYloZ7b+Qh9gkcCZDcWnNn5ASyF0LlBgqMbP/egoe48DXV0YWVioXMJAtY5eK84j1Qq67oGEjzOnrTN3FdEOXyPSCTZAHuFldKbYIt4WwL2g6kTNmNyzcseFGz53me9T4l2CEIWj7jjxDvMdVb/nqc9cRqB31rji6kQCzoVLX3l2OOpA8TtWHrjEB47rljLCqYeLRFyaKLtSOCfjNPY86sSRkQvSoNkuHLqefsh4bzylyIs8cJCvOXlg5TNwJGtgkQ2LjBTZ8Rxe8dxHrA8c+Zon3nHUiV4uGAMXmbiE1KDXjKxygzJjoXAYI4/9jue0Y2HLHG95Yc9CR5DKUV/zLf8Tv+NrLD7xmTecROjVyNGZ6VhJqDaI9gsTh7Dj5COr3ZD9HQXjKMZha82IkzJ7LSyzkjuhSs/cCU8bo9uvrOGGi99x4hWPaoybH9h3gRM7ztxz5p4Xn5jDwJKgho4iAfTMysBz+JqX/g+E9JnOQLISrEcYKLFnEYOQOKeRHAbW65jbRUaWmLi4MKuyaGjybg0UhRyNWSsugZmJlzDwpBM3EYpe8K4BqU9yQ5Ub0G9xyk/4Y5yIM7Bwy1GPHJLy0MNFtpx4h8otc1TKZMzdmYziPlA0MGMsySlBQOs13SVk2XDyG5I6l2uj6pO+4bN8xYF7gp5ZPGBRWiIgA3RkCWRR1hjwFDlrIEvHihI1UFWxIHgA6+EUArPcMrNlCZ+YB6PIwooTAlgMLAz0vgGe/2LXkD9XuSqeBbVANEGl4rGBpNW5wrBpqTZx0BmP0C7vATQ0yGrM2ADaOZbay6+EK/Q1U4bSYMUZUhGqOIRCSYamzEqlkZIiVQSSQ1fxNsvcfi0gV/AsLogpWmj/PbevBQ9NXqeqZC0ELQSPTcFsPV4KJToxZmpXG5A4jzSya6aKU7Xp4UXAdeHUQe8FvcJ4kRUphhjgEV2UZIAUqtTGaMna6MNSySOUtBJqIuSMSGuqFA1silC9/V6hQk1gEakCpSfkmeYxaLrtYAqlQ3JBpOmwW4fxmoSRBhKW67lVDtZGxaTgwfGuAXW1AlkbxLfGBnbXhaylAcMVqlZMBYgYCzUZPhjZvaWRzfGQsZQoDlFSgzHjpDU3RmApEFPLIZtgGF0y9GKMGaRmRK2Nh3WGBSFVax/GYkjMrOYMAVyU1aEnImtPWI64BdCZLNBrIuqMWEGCXynM1pocrg3SuwpahcVb41+6TF0MD1fbIQc80faIJVJVGouJBsNuh38K5igGNeC05y+aoAbkJ+FBCQyzIkkQs2YQNGVWuIkRihKXZhwrbgRR3NsSQDMq7WF4urD2jvaVJUBSiH8CZDuqgfDzdyx8qS/1pf4K6kuj5q+o5P/zzz/la36i1bSkDdrsD0OArhtBN5QayRXWkrjMiaswgCGdCGuhfFsIW0MG5fgsfEvCpw2X5+v/k6XNgXthkhdueOTeZlJe0dD0ugc7c/eq8qYLjJzZycKUFh55YQoTajOf5ZEo91AjC5GzCwNKCVdDkyxMnBE2fKwHzjo1G4UrAaWjcrGJiw9M8cRZez74Ww42MrHyNTP3jyuv8sybdzO9ZKK0jdLJNuR6w034kY0/0TnsDhce/Lfkm57ZItPnM99sf8/rzffcywfe+wfu6jODfkuMxi33nPiK7/Uti++4pHt8+p7nfstnthzoOcrAEVhr5ofa8Ru/5e/2H7AaWe2GQ31LDMqN/sCNXXBJnMuKhUTIkeQJ1RFiodOe3bwwvjzB1//my+3PWp/SjkzmlSzseMFuN/wggU6U23rgj3HgxAMfXna8GGz/F+j/acDGnhUnG/RfJeI/Qtwq8fU9chZ0/pH6leN37QQumnEg8oMPPMktVQqf3v2SX/3tj6x14Tvf80d+xbn8kmP9A/F/eM/wTyvzmxP0JzqZGa7jZ+ntnhDv+DE98MF/yYv/is/Hf2EZJtI/QfyFMg+GeUDoKKro1xM1vuK7buQHGTnQs2XiXE58uN/y/h9fIw8vrO9G/itv+K39DRcrfBO+48yRZ274dIUQ7+TMH4c3rP9wy7/mwr/wK37wr/hYGlj4IDf8oLd8Lx0/MvLiG4olbvUjkSf2f/eG/xre8n/5Pb+X11xsYjEgLixe+aFO/J/rV/xevyFU44NODHcjwSLrzchnAk90HHzgyTd8ZuLMhuyJ8xL5UEZqP/GUJsb/8MD+4Q8s54pcnM27xPjVxLHC61+85ubvP3N6J3xi4tFu+Vxe4zGz/cUNWo0f69/yO/9P/Gv3Da/rP1PSA/IQOdzu+ewb3GdOMvGbest/Ht7xi/efwR7xV4k13HHkNdkh70ZqHHlMD3yWHR9ky8VvyNxRwo4Xeh4l8TnDRSvPKOWux/qeSzdw9J5PBJ5U+CiBX911pHcL/D34+w2fwwOfllfMSUm/UF62Gz7yFSfrcHWOtuU7n/gf/+MbjjcLv5Ff81v+EzsvLH1C/yZSB+dIzyM7PmniEeGiodm89sADPHcNhJyvLcALzqPv+L/rV/wf8Vd8kBve8ZnQJTb7Zq0pg3DwHU9MfJTA376/w5YTLylyZGJhA+UZCwlJXQtjvlPK/o6P9YGP+pbH7gO3//SK4Gf6Y24sjZsdeXyDE9nHz3/ZC8mfoV7+W2aTIuU3Z+Lq+CDk05n+zpERqm+YT3B7Kti3Fe5AOkVDpBxWQjezKOy+UnwDkir+6o4Qn/CXlc9/zAyhQ+4nyodH0h/P1A9gJ2CM1LXixUAd7xpQRs4CS8Wz4k8Obya66UQ+HFsDVJy0T/CpIChelfxpRV4ErRlW8B+F3LdNYZqEvhdkHOHl0JJt3QTnE/pY8cPMMmXitqVCl0MlFLAZJE3s9h/QHwL65Mizw/sJvj2gM1RbkD2EbaAcnPOTs/mgyLkjXgS5h1e/cDwH8n/JPP2z039lyDuYxoJ8F6gn8E2hRgjrAo9CP11NUI8BOxmqin3rlN/BMGVmd/YPHX5Ysc+F9EqwLW3a+9npYg9dovu6ot8Av6v4WtFpi12O+IshP1ZmqWwPZ85H6PcL/d2euTwjsSeMzvZwgMMKpwt1cGRT2PbAubBRIwwd8uGIHkA+X1h3TrpL6GLYCvUEsiuNCfOkyEdBVfA/zpR/hdgBI3SjIlNHGhc6jdgfMuc+s/ubALlSfqikh8p0lwgXePnfDvz2n43QXdi/hm4U6Drs5MSyIncg20D+fiYcE3ooWHVWMvqtkc9wOC/sxw2yXOB+i+5e2vrSLfLdAT4X1tdOjZW4z+gDiGXkE/D7gqwOU6BKoZxgEwrZG9tIDgbPhfqtU98C73qW354YitF9I9T7gfy/PzK8CHM+M3YB+1FhhN0EfPuJOF+QSZHB0BuQVx01rOjqxFMlHEGOK+sqXD41U9WX+lJf6kv991xfcFp/ReW0wxIqFCoztJGkNitz/aEIKXDsnS4emOyZYIKuNOChzUDTSUYTpA5QB5Jr0xRbR8n3VAnt5M7aEhPkT4BcY8V9pkYji5GuhIvRz2g4AGeiGUMxJgq9W0sCUel8YagrThtPEtrjV2KbmZYmH3fvEd+ARwJNaR1ZOWvgoD1IoXOl1D0v8orDUOnkj+zmF/QAXb2Q5cQlLEAH3lPUUNYGPVTQemEsmaFk+mr0q9NXpaJkPaNSiBX6embwJ3ZcGDigeiKLUHSDR8hxRjwR2mAX0StufWvmxFuKx6Y1DZUSZno5srOCWNPCeoEqyjFVzt1KDisWnTUaLmfE67/xSvvzl9UJNSf4gomR2WAofZlbAopKz5GBR6qs+CgcpgXTlcjAGnsOk1BGofTO2p2he8LjNVEThRIgeuSigaNGVm6p9TXVR1zB44UlFhaMLAs5wSkI0p8QWkJs50cSK0VGzp2z9mdOKTNLZFXhEgde5IHaR+awZdYIHBl5pvOFGoVjr5yDoj6R6g2zJQ6pJ+uOZZyxsTLLa1Z74BICh9SgvMF7Uu7Ax8azYWX1judeeR57MjuoO9wmjB2rDpxUOYeBlRtmmbgER7yZiZaonASyDKj3qCzUMOM+oPUVmVs+drd8SG+Z7YGFLWtYYLOQO2OWHYUdFcU0Udiz+pasgVmMRQLGQNXKMh6QrtmsEPBgWLhQ0oXjeCbvz+SxcJaRJ73hU3zgKdxz1B1rVAorogMzE1kHilRKB2d1VqCrW5xbZh04xRXrLg18egWprwinKMxX3W8WKESKRy4Cs0CRxIqinhjmHl03BB9ZFWpMGB2pRtrAV98MNB5wAiJgyTlJ4dQJS2yny46wEFAB8cBFt7zEjpIyVStnei6irLqwyoh5j9YeaEmO5MrEwLQMdC0YiStIac3rjJNFyPQssuOkIwfdMHOD2QaX0pIRCTxEjAG3AaSlFNdOrkmPkcItj2nLoVdEHDHw4JziylkSRTZorNjwhGyd0nV4BdeVczqzamMB/dwr5EBYBnRpYzdeE8EiakKO4MNMVdCcCEvErIG23UPTXC+VnitKKkCJQMyIOd2cGauzYIhVpALLQCibK1i4IOrIMtJdruOSAtG8QUUExByGyksPbpE090iFOmRcK+pKFQN3+jxSpadYh9fEtAjdkqAOzCng4wlPM2KgVdv+Qb3pASpQezoTQlbwSA3g5OsYtYNWSmw/7zUgtbumSIGgRINUWlqlOpSuwlSQ2ODU7kIWwGmsGZwaSvMd5I40d0hVUKOa4CHgMRPWgCwd1Z3qAq40x8+JYJm4tseOKe4bzCMeF6w/MiejasCQZuqLgeigpUkUtCrULVpSe94USgDrEiUkLDZbkznQOcQXxBXWgVgUWdaWHKqxEaSJmDbhguaANg82bo75imIt/5wVc7DaYxZaWqlbKamSpbQFVRV3IWSnWx3KmRIyFhSvIFXw2lNT5Nw7PhzR2pK3CZASSCu046kF80hdIyFv6GfYZsEssvYgQ6WK4yKIWNtL1khchOgGWqkC7o6UQigRtwhuSDb6FWJxyLV9LixAGcEVp6L5TH+OaG46dRHQVWEJaJE2vu/gRZtRsDQ7YSUBek14jbhHXA30hClY+X/Ye7MlzY4rS+/b293P8E8x5YTEQIBgdVVXsUutVpnpVk+jh+oH0lWbtWTV1SKLBAkQSOSAjOGfzuTD1oUHqO4LmanMSkWhmMssIs0iLPIf4oSfc7av9a3K8VoNP12wuYj8ryLyn0TkP/3www9/6qfzQR/0Qf8f6oOj5s9I9eKpftSi3Ec9Wm2cQc7UE2lTQcKuQJGEhMeDJQp4Bw6EjJeCNhB9BC31wmpwzE1AzgXJQkDIGDBzZQMbMkVqNAngoA0HueRwvmIc16x3mdUyskoBPYw8vRCSGMEVWk7s3B3OHJSewJkiE5ZmQjKkC5xcz6hGo0dg4cSWLA2f2IlLOfIsjqxfZ66fj9w03xAt8VZ/yf8e/pa/vP4Nv+x/4M71RLviabzlF823mLvnW3lKMjjoCyY74dZ7unzmrBfsc8/PV68pDyO/2HxHV05obhlR3robXttzjvyMd/ljFu14kU48+f4tsimEduJabvnYOqJc88ZFQptp3cSqTPQ5sovv+bz9DWfes/AJa0nccODp2wcm2bN7cea6bNhZIc8FzOMXjzst8NO/N+Lz49dMVyc6jI+XgcPdmb96uuatbRE385Y9LxGeLCc2t4b+WulaYXmZuXp+Ry6e9psB/ztBOii3hh094hbKpWGHTP+fKyD7xcv3/Pun/8DJX7CzyKdvX9H8HwOuVz77Hxde8jsc13y+DOze7El3nmQRFx3Vu7XnKis3vxtZJ2X3ZYvbHOl5z0ve8LJ8jbwrBD1zc71wvR64dj/wtDyw+m5iXR7465+/4dfNPwDKUS/p8pH+ztDfJXQpNM17LtdvuLLnOINW9rQcadyJwIlGz+z8ied8y3a8Z3ZXRKdkt7AKiZWcCGWi14WdndnKmdaMYgGTEycXMRwbg20aacMZQ9hYpCFS1JMwXBnpZECLI8ctKh1YxMSTaMkIRTJiWtko9pan9patHHnQxJBnvHmKOaICneA7R44O6VqcgLdCiMJqgSd+z0fyDQ0LN/mOre3Z5YGtO7OWezYcWOeEHCN5KjRPAi2BYGcaPFoCae5qUXkPea2M3pMpdJZZjVCGTDRlsQtQT5AJlYLHs7KRrd7S+4HgHKnA3BQcdeh98h1ntiQzJnOUnVIe6utCZ7Y88KSc6bKSu8DsCoajlJ4kHWqJoDNu7Ti7wF4umemAA84iMhQabSgCiyaiZBKGOId6X5uDLhomJ3iDnSW0BBotrNmz0wMNA0JGnFD6hFzX4U6+8MwS8TLSFEFMaGXgiZ3YpQHxC63NbNyA2xTsqrap9FZHQpme+9KS1itKHNBVjXFaSTQ54cU9xkJ+2koXqcLnV4W0NXSbQQrjLDWeKZHNBfSfJU5PMv6Jg+tMuZ9xkyNOUttpbjxHnwiftXSbxHyAZtsw+szOdxDmurlyVQfL8dLRXPVMw0DztGCTMp4jThrm4GAK2JwpqtgZ+ndQfkjgIM+Kuy+44iiDYYvgxMGzgfJpoJwW5POG2SBtImuv5PcZDj32AMkFmp3j7haef7kmfVFwb0f0aLXprTPC14VxD0wDeQPpNtOsFTsaZKP4zPxghHVDjgvzg9GaR/uMPVPK7Uh53FOYInTqGWbjPsDLmw0ln0gRus4qnHsppFxwbQvnUuG+1wuDD3Sa8Z94LM/Yuw6GlvRmhnPPcgRbC+5LOAzGkyeZpRGcV9xmy2B7gmtr+6I3Tn+Y6XeCtSBPUm3DezfDXCqP6a6wREhPZ9q/nPE9sHKYgG1W2CwM7wZ2FxnrjVQyodmyjHv8E+BlZlJo1y2HY6Rb9Tgzzlm4/rRjfxe5zBm53jFsR9x1QX3huAitNbAveN9gzcLyxLDrwPC+4HO9DpgP1EHiImhf8E9Sfd8WYHGUaOgA5wLhB9AhMJ8z7vka+XxhflWQS2EJQukFWoineh14PwotoDct1h3hE8iyIZ8S/lIqJ0kK5qjA4QGgxYJn2UKXIvpg6D0UFZzA0kLzCdjPhemHzGaaiGfD7ifkmZAsEQ4b3n89EnbG9iPhWAqXzxfK3iivO+ylJzYn2gbK7JheJZqbTzmk3yHPexrg3Xngk3/x1eOfR2b2H4H/CPB3f/d3/woI7R/0QR/0/6QPjpo/O9kfBzN/vGSWWkv5o/fFDAjVDGOqtRWnNwq1+cFnDxmcJZwkxBeci4jPJC8cZ8d0FsahIEVwPwasysQuD2yIgCFSu6ZG8Yy6Yhoa7KxsbMAvR8IU4P3I07jnKt+xJbK2Mzu3RykUU1QKgqFzwY2A9RzoGNUTWAhlJtIxs2JdRi5lzyYead4YfYbOHbmWO7CG3/CS7/o1uRPOZcdk19zYO35hv+Yz/T03eou3iYEt3+gzvmo/53erL/kv7nN+FX7BYf0Z5X7mr9L3NCj37orX7QW/95/ylfxbfscv+do+ZbCOp+XExdsjcjaaLGzljku554Z7Lt1Qbcm+MlP6nNnND3xRXvEL+4or7mgkstU9zZsDl7dndjLQ6IC4hRmrXI4UkDO1S/Unrpvzaz7NX/GRfEcfZ9zrAx/Hd3yib3he3vAJr3nJO9Z5xu0Nvsr4v8+sfjhxUd7z1I7ItwfkG4M/GPZNxH6fKG+V5ZuF8usJ+5WxfDOzvjvzF/ktn8vf86n/R26Ob5Ffn9FvEjclcsN7nuoDL/KE+/YNyzGTHgrtkmmZCZzZMNK+Hll+M0PcsoghHLjyRy5tT94X0rsT7ezoCAQOrDmhbwb090c+ifc809es3IBDCBjuPpO/GuGHhM4jXibWNrOViGckSCJoJshM5wYumj0fl9dsjiN+rjvdKmdWoeCcUKi7qI2BM8UsIHi8GrNmFhRnngbBy8yKxCoJjkBSIUvCNOPV8AhzaZnbNREhSyCzptAx0TBKg5C45IErOxG0PO4iF0yU7DwzkHqBq5ZppeS28g5MM+YLykxnMzsZWMmMY0GXkS4PrBhRO6Mk1IR4iMQTODEaSXiZEArJAtEaijdsDXPnGdyaTCBYohkTOgjRlFF6qvfBkQkYHY1lOhnwYcEFJQFzMLSv6+qCY6IlsmI2h6wCaVWrZEUKK0YuZKFFSZ1jCEqhsmYWjWSLoEpcb7nXDXureN/4uIrKCFKUTGV/CPU5JCdYcNCC7jxJC55CIzMUjxFAMp1W58NAYBKPhXoTZk9guXIs+Oqw8MIsC+jC2kfUIosZTgpdmLGtkS+BC08hk9WRpGdfArFfU1whrBOsoaRMmxJOrd7o/cS1dYaUgq0VuwT3tOAvjHkpyNQis7HZQPvSU3YOf7GmuQR/LoRDC28L5U5gbolZcM820NaWNgsrogSaNtQb/QKyUdxHsPoLJXyyorSKXXQ4J8g+I4tDkoMcsGQUUzi2+FcO3oPQoCeHvve1WudsyGtDsyc+AXkG+Sm4v+xov4T8AriEMhr20JGPjuQDuvMc70DYoh8HbA8cA6UDGsO9N/QbkL3Sah0A0AbscVMHdYwPGXMrNHfkO6rTZFehsOYKdY5npChga+LQMxew3RpJgTh5nPO0GTimyr0JDk6F8L4OGVIJZG3Rj9fYc6P0DoYGey0wB+YF4qpDblZEAdkGlktH7g22K8JYN6WSVodl+Spi33XY5JBtRzcKvDaaIaEHgR+MGJXlohB+kZGXAn3A9Y7maQe95/xQHR/OFUoysCs4gvWK+0gYveC3a06pYVltISvpLOjVmskFSGCbHYuDsvNoI3XTbFlRTh7zG8QbugPddixnB8cOxjpo4+gJs9K10OyMUsBPwAg5GTZ6lneCvSvIsSXtC3rd4j/15E6RbcOiCq3DnJKyQrPiuFdyVGy3pjwH+2XD+rOGeBR8CjROoTgsC3blcTtFskPwhAbkLOgrT3gNNlhlFvkCNwLPA9p7pBX03tD7iN0ElgswveThm8xoHnflqyvteYNcefi+IF1h7oCmILOiryL4p+QB8naF/2jN9NNfij7ogz7oz0AfBjV/VrL/7l/7b74sdY8VFESqpTSJMKmwYBU4KAVFK7RPADL6WJ9LiVAmTCCpB1EkBawIiYQJPJL7MDIOw5f6/wUrOFtwJdEUCNRaV8Hwpn/cVW9NaKwS+9UKSG1bUGvRUtudogiJABLAFCkOowULdahjdXKhIhTXYFYfz8Gj68fQYvjYooDjPU25p7NMZwUtIzCTULKsidIxy4qz1qrwBljlSMJXgKcKiZaJnlkCMXgyikhGCzV7Ib6+l6YEA1cmMgMLiaRaUcwGTTEaCsZClIUktVhcsxIsk+RElDNOrMbbVFlUHt/7n7acRVo34GQAE1oxfD7jmAiidCieQqJQVKEIYSp0ttDKQCgRZ+UxsmEUM5KAitImwaXm0TKuSA54K6wATyKX/NharzRqGDNWZloyjWRaAm0GlxNiZ4QFtYzk2ryxiJIotfUmLqg1aIbGPGINkUImYcWQrIQsOBIFI9Fh2dMjeJdw1ZiGZUXM4/GEbHir9nFfBLP0GOZZ6NPCOhodDcKM2hlnBcPXmxQTtEBGiJowIm1p8EXqEAfFiBSb8BR8AmeGllpnH6SgGCoLizux+Ex2iroWNcPjKRZIIhgTXuZqi3dC9IJJYbGIfwRXSiU7V1yWV0SVbAtFDHOKiVKsPA6D+gomVUM0VVs7B63zsQAAIABJREFUYEVx2tBQIJ3ra66rG0ahlALpxzXQUaSlUBAmVMGr4M0ostQhME2FX1IHUmpgLmKy4EiI2B/XtFAEIxFlQc1wRWoPmwcndZ1NxTASIgVKxohEyUQKRQp15euAUMuuSGQRimh1RzQClApjz/DYE/YjKhOImA2oLCAJpJCpwFRHAAukGgilzYGAIlqbyxKOaIHoIkLGmZBLIvpCFk+2guZ63AiAVzKJZHUQWM8dDa5QsyxAU4SmGLlMTO6nv/mrY1Nfm1LhuCKg+gjq7SE2ZIHsHMUESwsSIRSQmPEYroBEISStURppybn+upwZyIi5rp6jS+G/S6+a1vObSI2M5NpKR85Yk+vxVjyalJQeTzEGohWiK1JQEyiKOEF8oAhYKXgD/3jCMACt51HTR/qwUMG9JaLioDTkXJ+SBmgKcHb46Cool0CxR8JrqVEvimFmNYokxmK1VbIxT8gKqf6NkRw6SY08LTNSBjRojRIW/vjB43DHZgG2GA6zUpuBRDDLQKnnWykkv5CdgXUVuI+Qvdaig1KbANUiiVzjNcVDqdc0mEejIHi0WN3NKooTh0qhWMb1YK6ed80V6oItFeYshqiCObTUoasUVyPcucGS1Pi5b/BqUBawghXQIvQeNBd8hj4BZjVu9wiOdlZLG4IYUjrIlSVlJaM42gwaHTzGhsSqiwVzaBS8KYpWl4yV+thS3S5ZBcRByo9HR72e8yhFHLOCNRHchDmH0KLx0cLtmsfcfW2jlFLqsZsUt9TYYHEFXKznnVKjoG52SPIEE0Q8uUh1fWelSeBSwHJXj9ns6nIXEoQa/8ZmNGd8qcPCoNTIaYwVZv1BH/RBH/T/c32IPv3ZqQ4j/niOKjXn7qG2Pmm9gAujwFaJGtA54B6ENmeWNpLXQvYwiGLJwwA8WmJ1MtoEyyj0yXG0QMFVgGHpObsNMx5fqtsmoewsg2VOqsx0TNLXmxIGUnPJm3DDWxx7ueTEJc62XMstrT3ukuOJISFAKJELnbnPM9GtmFxHBLY2sokLV3EmLJ5DiHg7cp0n3uglMxlPIsmas9+ydic+4jWw4o37jFt7zsIWcxM9d3yZF76Yv+ULecs2rxjlimfzG4agvGouWOczn92+Y23GsnuFtImD7PkkN5y8Ywie81boO5idsdiGnANnv+PgN2g74dQ4c8V7v2XuW37wV7znGZOtcCT2csH+pqMRY7IGj6Mns56MkCB1iePW0Qf3k5/IltZxkgbJHbY0tA+CzYqthIMoB1bspFCAxVI9qEO9n5Li8OohFWwGWVVmRBgymqC9EMSDT9SS+mIICkysSkszenIEyxHSgTUrTnLGU+Deo68D4cUI0lZOB5GejI8RNyee2pmPeUdrnifFCOeEf7VhReHqswcu3GtaWnoT/KKEWOjywIaRLUfIDZfyDuEWGwvMa9oEXbmntT2lBK60sMkDa1vqgIJAYU3OG2x0hHXCkemBYpEgZ1pmtilS5oVdf8dK7pjkggKso9IOe7Yr41ru2JGZEXY68nx5xYjnspnZ2MLBDUg4sE1vWT1MhEnwx8jm8o41t+zyjqd2y7Xe0hclMLFmTyf3HDSzTtC9F8KtImuHpEQ3OMJxoGmVi6Php0CKDZsnC8+7W8Q2dAt0Y0JayCHhZWDHwKUlwmBorsOLxoyVzWx5zxUPbE4L8r5B3EgzFdqysHIjK0uUc6SdjU2OtHpkJQ9kc2wYaNKBYBO9G+hlZsWBXTnQxwUphvNKKVBYcMxsJNIeM93okCkjCTyC14jThTBkniwTN/ae7+VjEhuC3XOTj2wPe1oRrrbv2PI9KzvTloSLBTvPtGY0Aq1E1iR284DeznV4kIyGhd7OtNSh49ru2crCxt6w4y0fScO1nehmh945ZACNHcVHttyxsZF1TOyWhU0/4eXAmpE1EKLSHVrcW8UeCuvrgWs7sLM9uxzR+4QtlY+iY42AuAHaILVF5icuu4osnaMJ9T5YZ4ezDRrPiMtYbDgs0GuGZwbXZ6wTxitoXiaWO8N1UquImwTNAq5QNpBWCb8uMBmFTPFA7xnTQrMUGj+SLiN2uTDvCmMPzU0hXhkMA1IS9kSw5kxeLSwdLBcTp8vC9gbCm8y8AtkYTU64W7Ap4cLjayu12JAG8qVAf4QugjXQTpTLAmGo/Jbe6NrHVF9emDcL5w7WT2fKOZE68Foqdyoa4sEVAQfxciI/EzhCm4WSDJGELB4bGlhPsNmjO4e8ATqhXAixX2rj2Y0iVoiN0ASBDuKnBjdH7DaRVzO0EUktOmfscsZ2BSsTjVIrspsH8gbYGI6Iu3ew9YwXICsjtLC0kfPnQn4+0VwU+vXC0GTCdma5d3RPMrpfEFMsZ7xYjfd0RrwquH6CnJB1ge1EkgIrxTFwfgZtL0gyXGOwm3GbjLBgMjPcSK3avizkCdQVsgDOsADzpbG+OTNeRVb3I3716IsuRmoK+dkRbox4BrsYyVcR/73ifEdsRuih99Tptk/YFuY2EnplWsM6RKxkbCVESyxthpKR5PAeKBG3MpLLyGqsw9qukMKErhpwJ8omw06xJddyrh6QGVk7kkG5nElPEnFr2A4aq+yjMoOpYVcJOxnTpbG+LmgwWlWUTGhAL4S0M8bBuGgdZaPk9QjZEWIdfiUfiTdK+3Tg/gX4K6NrDXv+L792fNAHfdAH/VP1YVDzZyd7jDo9An6t7qw01N25XKiVkAPYXCjRkR8M+0Oh+xshrgy7klovrIE4O/L7jG08PG3xi9GPmfNJcGceYcJ1lwcCs3YkAlpZhkxAMc9iHXN7xRTXnKVDtQMb6C5X/Kpc8Z1ueVcuudcVpXRMqly5Cr0zEQiF1gp+XnjqRt7KgRMdB1mTgAs582Qc2H1/QotDvLEpB54hvJLnZJTWHJEdt35N39zxWfkOKT3fyUd8VzY8SMu1NFzFE5/JLS/mr3mmD6yXAOUt6/HAcb3hN7Ll353e0P/9HQHlZ/++Z+onXqfIZ+Fv+Z6RSERfPA4TBKbSM+G4pePObdCwRyRw9/h8mv6C78uGb+0pTlZsbeJtecHx4y2d7fghr/Gs2dqEnQEi+MR0ueKUlKs/2fH2z6O0aTnLlpDX2GkmvzX0kHFXhUUjo0AqDW4RbK4XrGaFmB2G0cqEO8+UE7hLwWLGvTPyrMyh0DURORip1D8OKSMbO/J8geZNIr8H2wrNYjzjntG9ZSsrlt9m+KoynWwOGD0dC1dScKeMvzM+TQ/8Bd+yEc9LO6O//5b8vw3I/zzz7N+95aW84g1XXFKQ44SejCc68TG3fF/e0LrMjdyyTWNtubjyhNsjT1/ec5v2xLJhI5E+PbB2Az2lYrZVIRbmh0T3pNA8DhCCLjSc2ZQzV/MZmSO77p4dZ8R6FpnYSSC+ec/FpxMvwz2XMvAmCc/CmS/v3nFfWi6eTOzcyMHuaP3IF2XA/3agLEbz8szNxR3X8o6ol3xqdzwrBzI9jcxcujPX9sA9LaspcPrPD2yngn40oQ7yMaDXA5cvHN37gv1QkOfCrj3ykVtYuQBToN+PyIXgVoG1Jm544CknOO+JsYImXVAudSBy4CPbo9/dEn91omuBKaNpoPUTq5LgsECe8SnRKVz4B0Qmru2W9vhAuxF2nLlgYMuJmzazGoUwG9oJs9PHEdnAlgnujsgPBW4UmQv9euSCA02eKPvI5XzkhfzAVyQy0OmRz+we97tvWD+94XK758L27DA6XZAlow8zWxKNzHg5saawm86cfvMeu1LSfWH3qREZSXQUMZ6P7+hXE8/cK17I9/TFc2PvsfnE+VVkuwf/P6zRPvPEbrkpC837M2E6sPtk4EKPXDDSSEJsZnp3YvObgnw3sv3ZxFMe2PGe67Jw/uo9lx2kOdE8JNIzpXweaVcN8vDTB5vnzwSbCy4IcwfDAhvZIOM98kwxAq9uQWfhZ38luI+py/GnIG5F+Xag2ZVarbwRbLUgjdJnoIX2CuRtRpcTqwboGtI8wtlYNwv+C0O+ELq9UrZgPzOaZPBdIeRC+Ot1ZT01sLtXXNugFzP6aY/dDrgnhv+4I301U74quNNM9wSknBmysb4oWOdpPw+VfbR/HLD1xrOfQc1RLvingqwNm6AsyupjYb0p8PNao6wPQPHVsTHOhJ0iQdHGwQa6TxX+UXEnIz9E/FooS8JeFdzPgXWmewH+FdBk5Oct2iSa3GKT47CcaXcef9ERw8TlRwbPR3bnCB3gFmJsON0fuPjrnmd/I0xDZB36apu5mujXwEee5uCR/7LAdkE+hbwS1m1g38w8/1964q4g2YNXNlcLzZMe/zZhaUBeexovLDFVt+ZoSG90z1yFPB8zm2fATaCcjfVLRcZE/28F3SqTGauPwG4K/TeRcBjARfTLQPrLK/xvz+TfJ5oOmktwPiIbwX8E/NzRvXW4WwfnBgkRTpF2Z/hPA3kjtK/BXzvakyfdRWRr6DXYjUBSbILsje0LmLcCFy38MMFlg53ObF60cGywDjCHO830PsN85JO/yISo2PVE50AuOpb3e65eFMpFpjwDnrcwFmS74BqrFfQX1RXFpw1uC27Jtd/8roWQmY6JVWvkTzJ279j0gvtyxfj2Ht/WXZXdx1B+oYSfew7vhBeXDt8YctFThkQzAtS4mf83HvmZ0f+H6qAOjfLkf/qXXjk+6IM+6IP+6fowqPkzkvzxk/3fMGGpfU/F/pvvB2V0EFUx84TYIYtiKsxhxqTuemRrwVrc7NDU/uhrxrAa8Ka2PkCsT8Bl1GJtUDBBSBRmoniMlsYSgQcCB4LV+I45pViLyZpsDTVoUfAseCJtyayZCRaptQZGkcQsmUkbijV4EqGM8GMVeanRI8QxAVECagvrfKJzRpGGTMHzgJM7Co6j23BgR7Y9nWVER6bHOIBpRPJSnRgSOPGCwd4zu8oWMctkcZy1J+JobWJlE74YkqEzj1I4uYYDvrbUAJ6Rjj19PmP6MYO/5iw7LqgtFBMtswRMHaP19f0pyqJgIVNkAoXIT9/jO3SOmdqyg+Qa2xHFl0QjI60KYh1/XNKyR1JEqMekasRZjTDhDHWGJshFyZIpmogK9mjTzyIoik/VmVHN3rW1pAN6G2gMghiIVRt7FrqSCQ7U6oBSDFY2s+aO3ja0dqItGVcMkUiQmSaPrPxII0uNR+XaadYwEGxGJWO2sJmFJrUwtWzHAxtbcLpgUt0yahNtmdnYzJozrd3TpyP9UtAFNtzTyz3R+kcm1YLaCHgKjgahywuqCS2RJsMqRTo/0HBPIyM7HtjOA1GEVvao3NPLmu2y52Y+sZ0NFwVblL5MdO5AV/a0nGo8ig4l0FplXBlCsJ5uDLQLECvPoInUSJdGHIZkwcc6YAtkVCq8XKl1w9FWlOJwOiLugeLO6KJI9gQSbTmy1omdjaxSxmf7I11dTXBkOilIThQxsijeAoERL7f05YjLBfA4Ir1N9HJGmbFc4xlNSTg703PE8omdZbrFaGKAqGieaBno8j1tLmhRHIXOZlp7YOX2JLujI9ItiqVCYwlffTgskskkQip0ecYzgY6PTqmFUATJDW2CdV44yhEvHW2GdjzSrgRvmZaFVk6IHihhrpyPBXxpEIS2VJeRM62WEQKOjGdCZCa5ETTW1idzlCKYywT2rOPEahK8CBJrzMJF0Cy4ooR/BTlMLQVnDsmeNipTBPpSG480IZrrsDcGfMk1Ghw7/BSR2cgVlUIQq/ETApIquF+l2lszHj8VKAmSsBnADR7iGvULRKvRIKtxxSCKzGtgxMJCbjPO1/ddotJEQeIGI7G4GdFcH6uAT4plQbLHpQV5bGosSo0pSajroilNBuaASCbqhPkFjXXtA0fR+BhtEkwy6IKE+jjFhCUkOh/xuZp3EXBZ0fgYr1Zws0cmh8wBLbHG6KRQQq6XFbHyWRqjro2P67Z/LEIwfYx2xQ4zz+wP5E7qz6bHPSNzIEqxGSQiWqOwbTb6+GO8raXNM7Xq6cefyWRvxP5IXgv6UBsnhYwsK1gKJvfgphprmzdIPKI+QmprPDYrUjJqBkEYRVipESQRXaH1BWcZT0CsNnqFH52eAhIBM4oWcAmXQFIDYpgtYI784waZ1IhVzUbG+h74XFu/pG7X+QwmVtsPH6NgFCC2tTfCP0bnRGtTlQpiC8gMxfDiyOXx95E6/CIUKZjEx4S81NgYoK7G/8iPEVIc+EIphrjCY2ETbhZkDgQzxJTyeB2pP9Y/hcjQgjYGprgogKf4Qvblj7FTSovajOQK/KaA/vj6fvqXRR/0QR/0Z6APg5o/Iz3OaACoSeTKmskKU6pViqiRXEGuIW06FoT5uFCOhcUECfUGwkcgO+JsTK8n+qeKnBZ0cjQXPdwpCxEYESZqSe6EL0fUFhRh5Y0tE5XKsPDxag8KL/M7dJjId3A3PbD6m0iQB4I74wtcyswnNrNipksT7f4O3zUMTUsMge9tx63csFjgwgZEZiYV/rD5mPIJPFvOHL+945jWvO92TGyQOLANHm8LC5491wyy5iN3z4X1TKnntVzzMzfzWi44M/G3XWR++yuGzZq0vWF1/A7ZO44vnvC77Rf89S//gNx9T/aFJW4w/4T7MtDZjsupIF8Dzwzfntn2JyKBMw3ZGZdXkS/Ct/yH+A9c7098lQeGpxv2zrH2AThyZQcuS0a1sNaJmYKKcT4Jz4rHW6IrA5fzAqs/ySH3z6ahhMdGpQR9wj9Rwq6nFeil7tIt0rCsO/QiIG2N+psXonjm5Nl8dIPu7uoNhACXHskFvwN50tJ8cca1kBvP0a8585RD06JfLHQ/9+gGsvec6BDzOD+y/lKYf1VwAdx8IpSF4m44W8PolKs1pEaJKDyyaqwPyDNofuaZN57CmlruPOCaQm6EJIEznuRWRFaMqSHllpwW2B+xNwYPkeYy0uhMvYh1dDJzqffcsGcXJpo8oIfMdizccGBnt8w8xeMo3ph6ZXErTNrq0tAjykKntbXZz4prwXPmSifaciZ2kCnsmLixgUkGrmQmYMwRmljAKyJNBSFrRJhr+xOVRdGao6HgUZZkNSpzgHTt8JctpX0EY+eeY4FNW2CzkBQGcTxIoGsdV2ul+MBoHVlWJIwlzHRPN3RvjGFaaNczLYYn43Wh7SOuMzjBMiZkivR9Qm1CnJBxJC1omVg/rpFOM9a0jNJSzHEhExec8C4RDZYpEuLEc3/kJXtGG2mXmeHOaA4R9xo4QH5iJDXykskFZmkpsuJSFp7bHUcRxrJmcS1dybQGhYYjjpOsSZuOtJxoXEYx0uMNkHOCCwopMt0brWWCq41QPTMihVEuOJUrJrlkoWfAMWZlHsD2oDbgmSmywWnBvOchrzjlNdK0dYhokSCervOIq6eTBccBxRFZKdipVJCseiiGC0K7cVhb8Ff9n24R+WeSmx1Ohfl1wR0T5gV58kC/qVG7PIy4DP3dwvG/JtYeQpqx3xb4diL4gjwxmGa60Zh/fcI/8bgguGLc3RdeNmvK7cgMtOcFfmfEPyTc3Rm5MOQPt9hdhUj350h+nbFXgSEtRDM2nwaWh0K4LyATXWtwe4InK5wlfLvi+6+OfKQt9i4Tp4XQGQ9vDZcybnVmLYaULS4ZYYqUu4hbDM7g7xdcJ8g20bbgh4Zl9hAnGIXlTcQmT9tmTAy8Q7bQ/RtBbgpeIZ0zFlpSWpBoNLsAmwWiEr+fsQLHfcNpP2KLcPp2YfWJYqeI/TDTeohjIQ8zIsbxHfStR/pMmRZs73n4x1uKd9BoHRySkX6CLCzfJ7bXBseBfAvNpWHFOP+f0OdMcz+RHgyVROigxAirHsvgVoLbeObvI1oC59OZzT4w/2YhYRAS8Rb0t3e4V1T49vvIMhbKLbhXD9hryN4hAeJoNGmh2YBrW+TNyOWccF/fou8mfHbY7Yn8AKxqvXdoYPluJDyA3i1oLvTbjHUD3Tozf11odnXQwqrFVkqcDnA/kYiEFrCMzIAY4qB/dkF5O9AcQH/1wHRlbD7LnF4PDIdMCR63BfMOLhosZ+Rtwn67sBh07QPeG3NQpskzvoV1KLXG66jINSxDpnk40zypGxlpgaCeYolxf+aH7zOfbCB9HUkmtGTCGnAKtyB7Dzqx+Rjk4UT++4VrM+Q+ISsPV4l5H2nWCod7cjECF/Bfv2Yzgj8vdXPl7k+5inzQB33QB/2/008dXfFB/0TJ4+dKqnnUo5vGxCAoudS62VQqRI5kWDYcAe8bHmPQqCmSjZIKXhvIgmRBvWCNoU4xMRaOZFdJgmINSTyLgLfIpt4WYSx0/sSFnmjLgGVDI+hecRqIPpI0QYmsc+K67GniGS8OjUYRz1l7Br3kVG6Y5SliLVsmVgycxHjlN9xte8ZWOZvn5J9xZ9ckLujE0zBhNjJbYbQV2JauRNZFaOSahWsGVuzdmju9xkpHPxolOg7uAqPDZeXMFa/cjsNFRw5KFk9KK4wVFKOxxGZRmiOPVqYFXwqkDcWeUDthRm74jpvTGT8Uymgses1ZrploKAyseABZEDuyYk+TlwqoXOpOdhuFNhfcvwYuhFyTcCQ3U/oJ6w1jIUtmlOouOqhy6GDqwcJMEYg4sqxJ2pM2QmmB7NCilC4hbUYURDPD2vBSsNaYQseZHa/DileXhfOFsTSJsTf2NGRrMR+Zr3ONWQmYJURhJHCSFaVpKAFGSSQCjoDzRmwm8EZeCZOvXB1BUM2gRlFYJLAgZEvkUoiijD1MTaakhJugWYSOSGBGqNXHqid6eUfPPcFGpIxYrC1RFwxc2sxFHLmwERgYnTI1DSqJLXfs5B1dPuMto1DdFqZ4jDULG1lYrNaIbUrkqhy5sQdWcod5wZQKutCJIhkPtCXipTpj2mJ4Blo5cMMDW+5w+YSKxyIsxbCusIRcQdHWklRJWsDPLAJRWmY8iyoWHFkCEQ8CnowTxTUtRQzNM8EyXlocvj4PXyHBzOBjZpUm1iwV8qoerLqdmjLRM9PZhJaJokLSFskLW7tnxx3KxKKFSQwnsEkDl7znknvWJNSo0NHZaGOLWkvSUIfipeB8QyazsTMf2Z6necaJkUJ1btSS4Mwohcgaezymii2oWAWn0gIecxm8IdkIlsEqbFx0RjWRzTOaksRRu/hanO1olg451uhL4AGTE5IjTh2LNYzWYuZwluisweUNUkK9ekiPnCdRvCSwXHkjk+CSg1LIriD+TPYnlm760ywg/5zSyghxBjoa7VIB1tkA50g5goGPIGdDYuVtxAnK0OIe3QQAoSjtUGCutc94BadYjFgMZAUrhp7AnQU9BvoHRX9wWNpSMkjKhFyQONMPsJ6r+yZkh4iRyaRQiHoGd8Q6QbxSEvXcn5WQQJaAnpUwNMiijy6MAbTgUm3rWRyYntGpQRVYz9jF/8Xem/RYll1Zet/ep7nde9a7hwcjgkEySWajVJaqQQESBA31rzXRVEKpQWWmWEWxSTI8wltrXnOb02wNjkUNNBA0SCAzkr4cNjCDAW6499qxc/dZ61tGCg2EnRsnl3mFIh6stu/zvtVzXxk2rhQPxOZA2qy2axfBAmCFMlc0Z3JNZAesHf0R4txcRqYFqY0kpnkhlIIrAmnA1D0beivxVNFSMNdw4TUbSMIk47dWZsAq6ObaDangFvBrgTVhW7sl3xtUKEop0vDdUps7RypBDZcqYS7o9nxdqbi5wAnCAroVtBZk65BHj99AN2M6w3gW2EJbP3uBoM3Bt9UGSS6C5OZEkhSoyWMzuM3B5iAbtRPWABYLIkY4G1I9q4MUDQNSVUgT/RIIa/vZqwcC5BGkPyNlIyaPHoBizU2TlZSg+ESdMptv16NBjh3uSZhOoMv3Zi9FcOgC5MbN0uKQ0LG2P8xUDyVsVNfcLobgVKlL+/9qUvLaoTWyeQM34zfBldCcXBP0i6BP9uyOEciCTBkntTmALKNW0GLo0taqBua2/1IF/0mf9Emf9M9Znxw1f2Jq+0N5dn62JoJgLWoDDVLTJcfwEfpIi2gERUYhldrorAvUGTpAzECVWg3UUbvKVlecetJTRcqAp2+b0HrJw7rnSXas4p7jIUZs/U58TCNv8wveu2ty55BYCN3IY+556B1HBkx7qjlWTSDKSQZ0fyK6jmHJhO3EUzjh2ThxwYNdUqTi5JGX9pq78prhsdKfPPdM3LuREyMxFS7lDV+t3/Hq9MDvbo78J3kiPDcalLJxKQ98Jb/nz+pvSCI8+h2vLy/YpoB3C3VUnlalyhkkca97/P5HvO1e8cfhK35jP+LsPoeyUcNMujW6UTj7jvdyx5O7plRPP3ec393xzc0v+dhfcDPNLJtyYs/qLtjkDasGHnjFa/+CiSfOtmfhgpPOXNzEZksWz8lFUqfc/VM9cP9IOtgVT3iynznHmXqrLJ3nUQeO7DkxIBaYQ4fuIuiKeMV8JBngVmzaqFeC854wCjolbCv4fUSve7gRypVQOyGZa8+aVJJsjZHQB2ZVTnRkG1nK8hwBcpgJshbGcqZziayFMhqIkNUBzUmQOVOnCjulDj2bBCqBxMhRZpgCPrdWH6UNHlSMTId1GX+lyKDUXknxkiOX3FvHSUaOzli0vTCNduZa7+n9jF4YdBsDC5PB/H0TUd3IqiwyskqHUuhso6onW4Frz3HomWVipSeVA2MV9t8UwgVwseFC4bLO7HkHsoFrL7BGIrAwUjiakYicbULLjqwF6iNXNnLHyEVIFDOkg7BrdSa6CKMJQ11ZXKt1rnvPNhpPesVHuWJyiZdO6bWimhESDsWqUMUaNyhWVirn6thcR7HvAV0KoZ3s+5BbW5R04IQsmapCsJ7IhufAwNJSnALBLUROuO+9gE6p0bFo4Gw9gjHKGc8J10P1DtdqefBUOgpOK74abnlksMzIQKjGyJGbuuCsUHyLmLRmrYBKgZqQYmhWOi0MPjPTseLIVbGl0ieo58QYjVFKuyYIjo2BmZ5TYwXVREfEXAkfAAAgAElEQVQluNYmJZvhaMHY5EBixk+ZGoSkHTlHwHGKSr5yMLUB4yCeqSwErZgziIoWAfVYt+H2hg+JYhk0/VMsH/+oMqdsOwjOkKfGBLZRyQW8NF5V9VCK4IviFPRsdDW09MVtxK63xoI7Ca4IUgOaVupSmczwNYN4/AI6O0oFEaNaQboG5uXNij8LdRNqBLsULEXk44bcONJQkUmxVXAYNVVEWrMTthLuDAkLdVLqAN5ZiySdAzkkUk30cka8IAuwRXKXKXdQZm3AdlbOCbqD0t07/B7IrT1JThkuaYMWdcjmqGQsBuxDQhaQ3hM8bTB1osVa9gE9Vyx6ost0BqwRfwK3CPUA6S1Ef0GRR9zU4kz1AUhCeRC8gYSZ7tKQ7FvUuTPcEHDfJTg/D+2vrA24syAD4BTNUK1iHgZrbjPLDlsyOnu8Cm7uYF7Q1CI70WuLNy5CRKD2WJkb12Xv0JIQU5w5eMyQPDVkis+4wagoQQW3AM+R0G0tcE74rUWYZFC0B3JEl4jMj7B06Grk80K8jYQi6DsP32SkCOYMFXBrQaxSzLCqhOopuuL7jXSjOBxlymxacGMAyxAVm4QaK6FWrqMSakaW3AZEcSAfF2ot1EFJK/ia0NDYe7oZoQBFKKWQLGCrNTd2nXDLU3PJhFZLZqnFiHeT4L1Q1/T8HAWKLFgU6q3izjO1gK3ArIi4xuYpC5YKFYdTR1kKPgbyXNAqED1ec2sdzN9n/T/pkz7pk/5569Og5k9IRtsHfQ8TtucvuvZ3FWdGKS3za28FHWYCgo4Bdkp27QRRnwweobPaKoW9ghoEozjYjpVosD4tWInAiBKhwodl4sAFVTwOoyCt/NY8h23P75Zr3ug1aYxwMWNZ2TSy2UCxfcPQaG6OHByzOMpu4Hoxrp7ORFbuXo5EHnkv18y8AFY+K2/5Ut7xsj4iH6E7dGy1471EFgv0YryqD3z2/h13jw98fvlAjY9IEFapVH/ixt7xk/ob/jz/LQ/+hnv3S+5vfspLf89tfcAmeFgjgzsw1IWju+F0OfAm3PE7ueXX9YqT3jHKa5ZuZn5VmSZhkUveccmjerwsdE+Vb3+14+//6l/xn3/8Y/7G3rLcB9YsFL8RJVPZ8ZYdH+wFGc8DI2fpeNQzP/6iw+KZ4iNH7Sg6/vAHNQr33HC2xKNbGF8deDtd8G295MRnzO6mHfDHHXE/IOWIjIJEx9mEqpXwcsBeQtIC/fOR7mGmvhTsRx53D+lLR9lNzOxYrGeoC5rBDRCvO9basXLNiVseaubOPHlYm428FmJe6OJGlQRXRthBEmGh40Tk5BR/tYMXR+R6z+p2zHXkxCUf5YTdDEQnJBEKHUpHsp5Mz9TB9NIhsXK+dBynF3zLK95I4JE3fO47Nhswm9jLgS/1NYNL2AWUsdCz0UlldgETodYeiKx0LHjAGKSQRdlkY3s5ctA9T3bFiR2mM93Rwa8T7mtYv8qsGAPGSznjXCV5ICh4/+z12FCMs07cyx2BC1b1qGUubWXigdEvWJfaoObaYOeJp0QWY7Bj6zV2Sr6NzLuet/qSb3jFTXjiy+C4kvrMu4KMkKTCADpCicZZK4/WBp0zE0UidApdRXtFOt9cJrWivUOTInjEHJ6VPTMjG65UpBbE18bKsp5qERMlRM9BIo9uZCEyioA34p1RH8CNUGIhkBktExN4c8jhyE1JbD6CPxHdI5+fFGdGjg7Rmb2dUevYyQGVjdMsqO7oKkx1JauSzLFtjoLismFroa+F3m2oeSoRNWGHMVB4IuF1Y4xndEjYCGxCYKTaJUf9QB0zPYnsNk44FtcDTxyGwnIH9nkbHogLoEoWoTiHdAJbaUBXA7kQpItAG4z90GXisBuBTrCnVk3uriBliEVxvsXl1ByStFkyVkPNKG4hfhWQzwS+Ecq9ISKY78jzSlDj4tlFZbWxpWxV8ix4LRSZW4w1J+QpoWcPq7ZY4c2OuhTyb1f8WqlXEJ1Q33n8JqR1QbwjWoFt4+rLyqbg7gSJABs9BudGNTMD9gFw1POC7RXpBH+jbFWxA3Q46hH4FuS1wWcgc6A/CtwXuApsQSD0cKpYzNBN5KczfRWYlKiONWXsGDBLuDsPTxs69EzlwK2CLitLD+Fk8E2mfAO8cO3nHmM7MCoZlhXeFjS05qj911B2AUdGfSVedC2G875SI8irQPloeFdJQ1u6JIGzSi2G20CSYOdKmcEOmboqPCo8VnwFdYVp58imrV7b0Vwk1VM1YS978kNz7LXs5oaYbw6iDrgBMMRX7PDMiXEjy+kIR0POFYmCXve4K6hbwRXP+tHo9w5WOB/PdF/1+MXgG8/2u7b2SN/j0hE5lFbZXipWz7jBOBt0I/gvG7fPXwhpMXw3kt0jGhPh2rChECxxG7TxcM6Gf3oGET+0Q7+0a/XcY1mJCksv1KrP9fEDdd3IJcNixGqNw3YGaqC4BcaAPay4PnD5wvARtsVgLXB2bEdBcMQvoZ42/NbD0ShzeSZ4AfOK9WDJI0FZ0wE/TaR1xdUM+w7H0jhLhdZc9Umf9Emf9M9cn5aqPyH9vw8Q5Jm/kIFq1qJPCoixhXbShLRgUjtnrWAVsXYQ4nwBEUwcWa3B46RB3MQJ2R8hKJWAibY4RBgpFlsEwU4orc44csTZmaoJT0ZJ4CDHRG9PTEk4OKHUmUs9c1lWunVl7Roc0WnCsZIFVvUYBc+GGs9OAuVJPuOjFMbwkTVCQRDrEApnMY56R9IJwgdMjcwlGz1BAqVAEeFJdpxlx0WBUA+UJXPdC7e54NcNU0OlMhoMPKH1PZdS+Dw76lb4Zug5UNkkokj7J0bHI1f2HUfueK0r4o0xz9wdDvRZ8CUgbiGQCZYZ8sIX9YEX5YHLmHmyB5xmduWBkLdmZzelt0Kp57Z5/AFrlA8UPiPXC3rrcKmQZM/B/YiH8gUnvgA5siqsccGcsU6F2Rtn+YyTBN7HtwyjETSzBOM6OMoA67Ti+ieW3ig9EHue5JIzF1zQkRhYo+D9mSqVwhWz3nH2M8kNrONM7kF75RiuSVzQiaNaqzYLspBxPPGS1b1sHIu+cHKVpCNGBJSqkCWzqTFL86NkPK4KTkIDI7sMwZgHz+wTm3gKkWAwpsxOA9HBhT1wk74lWYepgJQGvpUz5lYKStaJZB1dPXIrrzHqM7izw9UFc4Ui1txwRFxVHAXxkD1UqShnQh2pCqsrzB1MCZI4VvNUWVE9opIbF4BCVws9M6G+YcKjPFGGhZOBTY7RK6uv4I/44hhXQx1kVYpG1DqcPIPLq6Eozp4BnVowq2QxFgeqgphQ1LPSs7AjSaBKI0lKBW+GY0O0AX5DMaa6MOhHBtvT20osC97OOBkpFkjiqVRiMXapEjZDrFn4C54sI5v2zB3IUNh3UB1kqagFhjzgTh1yDtwdKr5fMSkM9cywOBY2qp7Z2Vte8Wss37JrnjK2Dh50YMEjknEkYo30pcOLcfTG0hU2V1CpVG1wV8mRysDqOxbxDSLvlvby7EDqTCC1Jr06UosyWCLWgmg77Y4GnXn0+zhlEYyOk3ZkRrI8UUPFakXcmVkM6x0n15NU6V2h/ydYP/4xlYYVfCQ5OE9QA9zWSldpcd/cnBhqic1nYqx8dwO8yGiGy67Qiwd6nK0USbBLnNvsh4JgIhRfuI9wMc5YqKSGuaKrguSeNc6cemOQSldaK4C0d26MTMIaW6lTUmecJgiWiWr4YsQqGD1ZVkTBBSN7A5/xxbFbQHUHSRBdMUmYN3CVFLc23DNhOjcuVe4y8wB7r8TUQLXgQTJoJQ3bM8w2s3ZQByO4xEZug3S/MPdGGI6ca6WPR0yFVMG6xMnDJA5n0r5v/0AJEJcetDD3CaYz1Rcs1FYDDlSvOF9aBEtbTCZH4+ThIjjEVtQJ2wZ1NM4RQvH41MoTpDOCtkhP7BeOU2XvNkA498a+y82hqJmlr5ycMXWJDBAh2sbjCDYltlKxvrTfOTFcdmiKFL9SOyEHiOc2NE8i0EESw3qofebYwRBP2AQzcKGlRXx7sOHMGkHGjWOsDA6cbKwdhFhxJUIC8yslWnMdlZ7kz2x+JXYQV4fZwOoe0W5jDeCDEd3WhmEOLFjjvJWKOcjVEKxd3wpZYHMVGwslwrhE9DgicmQJxrqH/f7IMhhdt7BKJfjc4r9VyQLmDBOoIUE8U91zvKkUBIU8IWWh+BM15nZziM+xNoFuYxGYukqKRhgzNmaOATpnBGcskR/8WvRJn/RJ//L1aVDzJ6YGFG4jAsUaPNiUpXwf8xXQQrwFd9GRgGXbsM3oiiGi2F5xN5BrbjUNomwOUMNVI84LejUQfrrjW/fcOWQr+BkfHWpGsETUSkfAszFx4CI88CKsXNdH+nMGcexf7jn4J25d4INuZJe4LkcuPt4z5o185zm4GbVC6jzHOPEte2DHlWU6e8/EjEjH3+a/4IGJf/uz3xH6IwQYrVJ14TF0rOVL3l5/xl34A9/Mxja+4mn7NW6MiFxy1Ff8b/KWPvySf7N+4NWv/0/uZOZEbiyIpXJ5rWQbiQpfbt/wwr7l3fqer/095/CO/5nA/yo/x+wSd3DgjWF/5it7YOMNB35GuvZc/7zwy/If+enffYMfhHAheL8wSNtaXOuZv7Zf8eXhV1yNHVl6zv0VX2xn7Ndn9Auju6t4PePKArt/muftH0sv63tGtyE5Mh1neJ/x18qBkXfseUPgJULcKxdfNXBl99OAu77kkRf8oUwcLoybX4DfwKUdbIbbJ8Zrh9sp+yvYLoV36nnAcyCwK8La7ei/7OnGmb7bAMdSAg9LRvcDux/fwl/ec/7pjn/ghg+2Y8/K+kGo3hjtSGbjwS45zCPREnWCEjxLyXiXUE6EssKWsNKayFagkunZCGRWFAsCnzmuP6u8lidGO7CrxmU23DcLly83hn5hdD1XFBa9AvctToxKAUmMnEgEknlWFa70nr+U3/OayuwmfB3YqTGUQnGn1lREhVrJfsY+g3JhdGJcsZBZOdCzOOhvIUzKPPYsEihsRHniwo7c2IFI4tJmrtwZkyM7Lpn6wmd/fomUb6hfvYAq9BxIF4n6dGL9xvAXipaOWODSjtz690z5kTFXnHVo51C/ElkZzOjDjto7nO/oq8OpsiIkPFV9qy4X0CQMVlpTE8rp2xN+WZl+8cjt7gOX8oJRGvg8uoXFKloDog5HZlc3dqm1+fRU+nqmupVT9Zwtchbh8594ZGeUIMyiPOXK+UPl/d+d+Dy1F5I4GvOhuRJPNdFPEIbE5/LEz/gD53DiOhthDfjrPa8x7n2g0PhB4yYs3z0hnxnxM8fTVc9BKtWEKitSFtwMc/A8dh0rHdk8a9UGIgayLgQO9Hpk2HrsAVwqXA7KzmV6mZlKxX07s/52gfcNsTUfV47XykrHmgth9LA3GAxvRrkeOIeOxYzL/ge+EAH+3yi6VNbVuPjXA253jb3+SJdBx0qNI3u7Z9gXpl9W5Eew+3cvGP/9Nfq/vIc393Cu1POGaCH8JMLNzP5LRV9v+LFwX4zra+XFfz+iU0e+3/BdgK/3cD7Dk+J+3CN/4zh0iv9woPvuHpk98eXYYsMK/iJgLyKH7sTuf3yF/v4D+e8T+XWGd5B/vxD/WhHvwEN4ATYYcsykd4L77SP5yVimPfsvFN8/wtXEWM7w9QXURLqH8dpxGhT/E+CFQzuQSTDTFn9JK/7fRHYfFtSf8b9wjHefUf/hyCgOGVfKodBfKWGMdHWBCg8+8l1OfP0i8vK/3lG/OeIuey7+24r7cSD/KiHfJRaXuPu3Cj+9oHNHXFogDLjDSH79hPtCWmzo7QKd4H8ycPkXjuN2YrcPrYXy3OPCysv/IcJ3G9trpd444t9ck/Qj/ZeXkM8MfwZ8PnH/hyO7n1V46sj1hN327P68tKauvVHDRvfLO/SPiaufZ+Lf3MHfPcCXDnEr4duMvV1Zv8v0fy1sLmHX4E4bHE+Ev4zYj0bGH8+od5AOXP0E/I+uqDJz4xbcVpCpcPs3I/qzwPD1jHzVc/PiRHjqkP3C1S9A1438H1eWRdEp4PYL007h5Ii9Ev/KkZ8S7tdGenOPnwT/l3eMv3gk14y+nMj93FyBX0507hEOR/rbil8FH6y5CEvE7R3XX0ys9cjFfxOQ/+k1fIB+3xF+UumuPOXyivT4huFf77hEePwPJ8LsCKvy9iPsf9bT/9lEt1uxj0qPULqJ9e9mhg8OHhKPvz8x/GRAbqDczzAEXL+1WO6Hys3XDgrsLxzhFz3yI2H3D/f438UW8b/6FwDv+6RP+qR/8foXYET+pP//Mqw6KH2DBzPTji06lNjsuLkn1cACRJ8IuVWCGjR3jfWYd62l0QK+9OCE5CpWPaaV6s5Ul9kkgmuNMY4NSiGSid/HCKyibATLODM2hdn1YCMhSQNTaquEhRWzhNVCb4LPC8pKSDNX2Zi2iFQluULSjBiEWul0Az2xamWVntkpqy/gM8YJlYJYR5GBsyobAaueaoFZImd3CVaILNTak+2GSofITF9n2DZiKmhWyA5fIkN5ZOAdngVLjl0uTHaP94+IPOA5IlaZRcBZO6lCUHvE2wOOtYFEc0E3ozpjDRnPgcs641CKKdFOjHljn2am/ECQA8FgODcAdHULWWb6av9fD8UPQlOuODaqO5PdmYqQ7IKzjKyukR6jFMQtbK5gEfJoJNfqa1c17mPP3Lt28CZHKq1efQsNgqvAHJUkkWx7ClccnefQebbgQYQx3xOZWaRj6XYsvlD7hRoqKSpJGzC2z0qozbGBtsr6VRw5RJIzzJQkjtkZs9PGoJGeZ1d2q6RGqFKofqHImc1FCi3PXzxk8WQ8s+tYiFAcUo3KmcITokfWsJJCxeKIWGSySl9XDOEkI5v1qCUmW9jVTF8SURYgkRGKBLIaK4Wzd5xdT3YQWBk4Uilsvsfh0WKYN/IAOTqqBByOQEDN4awS2VBpTo9BFm4o9Kyk4UQaYI0zW9jYnJDb6AOTdh1LCZxk4uAjMwnVglTXaDjOcxYlo0gVXK2swTgH2pXMgtCxiTSWVukwP7KEjeLuCayM20i/eMY5MGZFuWeQN/R2ZFXhFDvE/LMTIVHJiGXMQ/FKqc0hV3DtXqtQFJJrYEupATNt0Zm8I64jrjhCNnZb4uacmOZE3CBbT/UOr09c8MTeKkXOlLQh1qDGVkeieTwbpiuCYJVnN+BEqfvmZBSHFml8CYWFgYUbjB2CkJ9/X3AeI+ArUFt1tC9GkEIxI5mnUnC2ofV5sl9Dq9/FMeNZnCc7qL6Aq7gCITcHRxYldT98MMRpMpKPiHjEz9A9kWMlRTBZwbb2Z9Vp+xA4RWPdPZKmQ+NxFE+mkIJhLlAFxDduhkPQCltwpHGhjo/gaos39Qe2cabEFULGnFGFZ6iuUV3CnICM5E2ooVK63CKJolRXyB6sBnyOzQnjK0mbO0JMMCJVOrQ4au6pKKVLlG4heQNpYOAaG4uqOJ6jXqAiIIE1whorFlsNtVmmdCtSHawdNRiyv2ebTm39fM7EqTTnlgV5dqc2CK51hXVYmaNg4ptrwhfQClYwWnQbOWFuI7cvYOGEqbXfGYFNhWo9JoaFI8VXeG6jy7JQw4x1z43SVFQraKWKgN9IsVV1SzW0GmIZZGn7HhGqCwCItVY2CSv0R7YOpFub+8ME5BlaXgPUttcJNbMrzfxavTHH5iyR8H2VdmkV2hGyr+2MzLU6aus26FZKrEgsWDDwhdonUt8Gqq62WJVZh1SPBbDxTPGZ6gspGrV63OLxRWAwtl1hjoZoRQAx9/wBliJahRIq5hdKbATt4iopVFZfsFCa2yUF0EIKFXzFXOHcwzZWCK3au0sKW8SZsMmGdTO1z1isiANywDJtD2sFn0GkMQRNAFsgbJgvGLW1znmofcH6jMVE8hXcCXzie370J33SJ33SP2d9ctT8Cam9rhdgpSE2pW0SJJHsgLc7ko38rrvk9//uluMQITwiNjf4Whl58pGnnxReejhNG+UhY76SVTHbYaun+omkDvUZwXBckBjBveYz/w98xltcVWa7ZvYDmy4cZYc7XXF4P/Hhl1/xcdzx2flAfHPPF/3A7N7yXv7Ie3/Nu9pxvJno1nvOoePgBwZWrpYTt97xwj+Qurc86g2LDFRx7GzhK/ef+Lz8A/vzysNvM392/R01vuW1/AX/kH9KCZWnofKaPTlO3PKBu/otP+cNxc58Jh+4tXucKL/1P2X+XPmxvuG9GLXs+bPvPnL34Tv+/Rf/O+9kx392X/Jid+BeAovc8siX/DH9BJGJ6+Ujl+9XEEGdMu2P3CB8zgM3PPIxbGxDjx0V963xannkv7v9W74NM0/8jCojqwbGD8JpXal/9RVPXDGXzGiC9ZFNK/X5xe2HruwqiZFFB572jigw+0RfE10tLK7nZHdsS095tLayHQw31Aa7ZkP9+blmWVmnQM8Rf4Z68NjR4Bb6PuHlzCaRb/iMJxn5xe7/on5escF4GyLvuOOt3PLavWb54pr6i7fYl0Lq20v5R3p+6x1Xw4iJshI5cUmya3KZSDKh0wcsRp5k4ii3rNyS5CPjTSD2kQNXnO0VlIELnYlyz/nSIz/uoD+zaeRge44SKShH5zm+2POuc6zq2LjgW37Eq+41bhdYrDLLntUCVYyVwKPdcJBrejuwSCTJikkbEhRdqFLZak8uVyS9YZPEIUC9U87dwJO9YuWKIpWEsNgVcexxeUG0sLFnswGVzEbhPRcEnSgS6G2jt8QNj1zFj3R+hQ3slNFB0EuDmAmuordQLyvLfuWD3vBW7vhoN1A/8mLKnNKRt7rnYK94lFfcu99y7j2jDtyz5428ZGFAWDF3RC5m5HZGdobsAucw8EDfapNfdZRZcP3KCw4cbGUxT8WxxVveygve6SUFz0DFa3vxmPue73Tkfb3hxEu8HbESiKHDxR1cztRpZlXhkC85X6y4lxW9NriOlD8k/FtBdxAHQUfFTivT9MitvsFZj1Ko14rsPWqOy7Jy5R74IJm5PxF/3MPuRBkdWQpVe+7tCpWVbqp8CFfMGhDLHCTwhksObodednAF1ndkArN4ziESr2/YSuK1G3iUKxYu6eQBdo7wRYQF5HqFqZIBR0/xBf/zruVpl4xMmXxhvHc97+QCF9cfPC+r/5XQvelYSJSLFb3YkN8m9AT2eYdN8GSwiTKYoBvs/+5M99axfaj4SdAuwAx1rPDlCvF5SHGnlBtj3PW4s4f/e0HvlHrn2ZZE3ykyAneR5TgTnRLFsxaIX14i/QF5t8BlwQeDQqtHvwGxTPpGiMcWx5uLwQm6p9YYJ2K4naFrAhXKzxqPR/6+EKaK3LZotMkMVnF9QvZG2Qt4yHNCvnZYnykdhF5gL6QOTCv23tAcOP2mUi+Br3vWYrhvEmEaSCVRE+gJZHJUBZXK6sA6xfVGulMIhr4DmRXXC1wbrjeOQDf28EWlLCv0DvvjQvUt310OQriYyC8zerWhV0aYn4cmecOOFQ2hudCiw76KrA8L/mbFFiFJhjuHuyvQJWRQGJTq9hxOH+lDRXxBZ8CPEA9tKLMo7o+Fer3gbgr5l4K/APljRU4bLihpLsTVoV4xZtxVx/7Gk6VneXL0PuEGTy4ZDRnVynowmBReCHzw1LcrDJXChns05FyQxRMPCd0SZUhUL0jfk3crdb8Qd0b9Vgn3A+bO2L8SsDPbk+EeF7rFs/5xgyMsO2X/40q9XllPSu8rNhnBO6yH1SndmrFOKBIpZaDWGX7aUb9wFFmIl0bdwJ0LXYQqBtOep+3I7aWQzpmPVrntYexyu29uxURQWeh+1FOPBdkGynBi2xvDK6PraIUBF5HTbsLFwjQYHAt1M6pF1tocNJLaUNDcD39f9Emf9En/8vVpUPMnJOOZJEyiuAwlPJ/uZCpz6y20kT9I5v3XN6AJPZyoecUo1KzM2rO9cPgOlnDCqbZNmjjIPdTmTqhS6DW0ligUJECFi3BitBNmgewuWHEEjCQdeY4cvos8/PlnHKcLXuYD5f7E9GVlYmbPkUe55agT53HgQu9Z1PHEiFOH5Jkuw347cBXfsxI48jlnG7nmD/w0/5bP8zv8eSQ/Fl6kR760wr3ORFuItoAm7rsR54Xb8pp9/ciVPPITV7ngzJ4zixnv9JKL/QVV37N5z1pvsfdnpuN7fma/4cl+xkn3OBl4wnMuHWc38ZA9zgeGbaZ7Kog3prCwHx65CYU7e+TCP/ExbmhMCKBvjCs78ov6B/buA7+RHY/yNUkD9hHqx43wVyNL7UiitNbJQshCtXbG/0NXFW2nxgimgbiviDyx48hFXRjchlrBzop7dIgH92To9UrsZ7pa2EkmPp9Y6i5QbCE8gCwFzhm3h75TOjYq8IEdCWULDr02ZCcc/QWLveCsOx69pw4X5C+M8FnjKEg9UjXyxEjqesSEUEGJFINVhE06tHcQI0kiKz3ZOqoWwnVFJ+FRJ47ckEW54SM7e0MeBP+ZQoGkA0+2Y5UBh5JUOV5c8WgTJlec6iXf2R2HeE3ZR06ucKQjy0A1z8rEkVsO7LhQR6JSyFQJVNP/wrCZ644Vx4axMPLkJsrtjlPpebQLVgLCmWqZExHbByTNZCfMTJxNGHym4vnAJc51mMzsaqGjcsdbrvr3EBc4gF8DOiiyc2zi6XuP3gl5Kiyd8ZGJe7vmYDe4KrzrMuI+8EEuWOyGg9zy3o8cOs+dm3jkgjfcteETR0QN21f0ChiNMDiSTpy5RIIjvwr4gxBi4rY88NE/8k47MgvJRT6y5wEPzEROFIHFe5xOfNBXvNGf8o4X3EhpSIVJ2Sbwl6WBLuk56MDj3pNeRvJVxl31rL9KjEfFfOHcGzvvqMXjzLFjZWFmI7JdeXRwZARvMx0HPIEUMv5zD6FioydLIZnwVEfUBXQaOcoFh7rD8Cx2xRu+4L67IV912APUrm/3TFFqygMAACAASURBVCZmF5nCjFD4joEnRuY6MOCQUdGXAkewSchdZLYLTnLLoQP3taGLkT4IPsM2Cu914tGuubOnf8pl5B9F8nvDvqM1+iSw6LCykTaQGGBwnJ7dGwjIAvHXC/rRw0PBXgJU9EKJHvQlLE+t/MZuFHtRCZMidaN8lzGn+GvH8gQ2CNaDXgfqb8+EIFQnLD3sbwLuqn0uMTf+xtKcFXIJsFLeFeIZpFe2LeO3gLU2cbIvMEI9FSyAvhJcX0mvwQfFja2CHjN8BTe2eFudQAaoc8Z/FSm9sHae2BW0U6qTBtd5Z4jvyK+PFDGQyiJCvC+Eqq3oYDPkCPieKgsire4b9WjwyFWihgyHiqyC66HsCv7KOJpwtRPKy4ptgA+IW1GnUCspGf1Fpt4VbHJoaK5F6ZX82BhAxZX2tU6JL5VFgSkhtXVR+7EQrp4fhE4gQlXHMYF3YKGwJqGPDum07X8qhI8VebMQ/ivj+OWGGxT7P6BzGZ2UpyeI1VPEEF3QG4+7KSQPy9FBXGEayQ6cFrrwXC/dgbsUZJngmzPuwqBWykfaA6UO1tQO5LxQ1WDaKNfG1kO8HCgfTrhZKZeK/3kmf9Mq5TlWWDx8l+DkWUNGXlbqpZDvQbuCDaChUkdDVZE1452wuErG2jDkq8a5yfeCjKAng3NBd8/3ViZSEriA8lA5r9bcMJ1Hz82qJRgSF/yPMvN9QbfaGjC6il4JnQOeCjpGlkHxAUbf3E/fl2ZYyYhrDCinjpg/RZ8+6ZM+6Z+/Pg1q/oTUjPmhwQpRsjOw1kah4khWQGDKxk1eWXTB02oa6QTtC10y/AryCFMVPtoZuow431oFaiJ0DywMdOce0khmo9oCteecX7DKJYU/IPWEErnIM0/2gPcf6YYF5Ei1DZySYsBcoABZBiwrO2BXEuOmWDCW1AZGc4CxJVTwkhmYmeyBYpGqjo09yZ7ognL0wq1EtCQ6XQl6JEplrMJkFYexiOOsHTMdG47VIIpHZcVxoARh5QJXTgz2kRIX1l4Rp+ztgZtl5lYrQXac/MQTl2Q30EkmCxxHYd87Dl1k045C5CyNCAKJHBx5dPgJaqhUjMREtYHEwIO9ZJ0m8roQ6xOTFVRAnSGbMB4DSNvk/NAZNZt1RFasOmxzhNAx2AO7+p59eWQf7uklIVoQejgG9AQBx14O3KXA1TkTVsVMCNnaXJJ2qqfaIgSLeXJ1GAFhoJeMt0QJlU071nJBltjqnxWCRdgcOhvRb1z4J65sheQooQUMh/LANQe8PrCFx/+HvTd5ki65rvx+97r7GyMix2+sQhWqAIKksUkzWksLyaSl/m2ttJMWLXZbN0gABFCFqm/KKaY3+XC1eAmtJRPNQAjf2WUuMi0iX3q4Xz/nd1hKJG3g5GFxRuDEDe+44gdideDRCUc1VCZU91S2Z1tG1M0rZwZQ51ELOAvE4jjT8VGuOMk1Yi0igSl0HOyG+6omBSWxDu6iNQxySa1n9mx4KVDLiY21aGlQKs5sGeSSWQLZFQoLDuVsDXvdcBbwbqZiQsxRu8QZ5WEXCLMwhpaRLQkFm8nApA0thR0fMTImFTsWlEemOuJrIamw+MBet4yux3pHfbkCgQs9J7kgWY23BK7mk9wgoWGhxxcla8ujbvhEzQvfci5bDv6WLFcUAiVXJGeMvRA26+F0Ns/IaxaEu/afuShri1SdE51/wGsPjCQ80QQnkZ49HQOjNvxY3XJjjr294SiXnNhSy8SDBi4vFtpuoesLESVayyLCYxt5uBGq60DTVQwNVC7jKuPcgvTLOgCRCyY6iqtZbEusajQkgghSRTIZuCJpxbidyN5AhWSBSTsGt2UmkdSxp+fseswqjEv2KJ/8LY+V47aG2TnO7DjJhsKW0c6cZWTgYo1SqWeyDfdhy6HxXPcgKqBbsl2xZ8ehblmyMi8zpYOSYakrzC4pds1I9adbRP6NlL0R+gENZXV/PG6RYkS/EJ4R8V7AWSKkggw1JWUkNfic0FAgT2hxlFONPVXUecC8YBFyAecGXAY8mBSkKhQcUZUo6/DXRbACxRdGBVrWk28pmCl/rG6yYmt05I/w+uIgBiwZlYY10tQaQQxdFLwju4gTg+W5dKB4bGlwsiDJIecarFD8OoNhAUlGjEYRz1IFCgUWR51Bc8AvgmFQGyWAWUbVWDwr+Jb1fE6VMVvbs6RWKgMZElYCWWbEFTAoyNoaWWqYjOAXJC9UpcAEBAfo+jvN1px/nPGLw0oFFx6YKZpxCzQGrvgVAp8jNnk2I8iieIn4Bdi3hPsMbY3TGVKBkNahQTYsG9IZsKBjQbwQQyEHCNLg5oSmTMnP1Zvl2aWka0uS5XUPZk0megE/IVWGsG7VxYElwazCxYRlh8UCS0Ld848Tj6uM6AoORykg0aAIjoJVC7G29ZNkqdByXCN7atgAMrX4KaKmWBjIjYB6QhZsViQpmhw2VDTDAp1QNOLU0HOFHKCZC0uMlOmZcC1go4c+Y0TEN+hygNnWYUsEskfnmi6f168JzxCsdd6ERHKdGYJR1Wu7lF8EmyEW1udlKoRka/NaXaBWdFgr7kMxJn0eDo1rmeBnfdZnfda/d30e1PxFaQ0irVsij1IoUjB7Dj5rDfbAtzpz+5sf+KESwkvh+u2GIIGTntmGgf4PmfJfof2HBn850H1Tca4dNmaauvDyFk57z/gJiFcgAbMjlMRvPzj2P7vAu0ItJxbbcJlOnOyBlxePvP76wE4+UOUzFjz1yy1znogxEF1L0MSLaU/7h0finOheJ278ibxtKW8bTkvE+YZihU4P9DYwyo49O/6L/wX7UPMf5AOvvjiTfEXRwk2545UbeM+OaI5NiZzM8Tv5KbV+ZOCR0So+ylvuUS75Ay1H7vU17+aGV9U7vtV3lJuZe2kY3TUvBfqH73hTR+ziDf+Jv+Z/X75kqL6hyb+B3nj4acfh5iW/b77iI6+4Kz1/0C84lJekAd61l/zLz1/zi5dHOHiSbrgvlxz1ilPZ8qF8wdd//1f8nVSo7nnpCl1ds70BfogkX5iAtmSqF3/Cx+7fQMm1a9uvK5yqQOcbeku8cEcuw0gnmUoz0ifmasSGSLx35OPCZjPw1nnyD/fkj2U1eNUZN3tsSvgbj24rTo8D54vMLB0zGxbzSDSaENCt5+gdo+9IWpOeG9OkLPCdI55nmm8Wbm4mLjgzuIr6zSUSHT7M3PLIVk60IdJYpvw8kPqaIhUdJ95w5NvwWzaVMdgtasqVPQANrRgX3nPZzjRXFTxM6JTYWCGwcJANT7wlGTzIhkUPa/MRjkc2PPhbhEIxxZsyW+DOGiYuuJcNf4vyys50VrGn5juURzoebW1PU1MCATgzWebQX7LYxE4+8MIuKVzhZGRQIb25JY1Hjq4isSFIw0YWHA4zobOZV7In6J6T9BSrqbUQdo6qUqa6cFcJB71hKYHaD9z+RIlH45O1nOlICJWNqBiPVpPEMQLYSEY4lQ1HLjlJy941fModk2zptaDSsX2xZbPZQrUnbYW9D3ywS8Y5MO16brv1JrjJxkVemLWlQSnS4Gi5MsXLzImF99LzG7nmQSo+xUDJZ1B4T8/3vuPvv7yhjx+wkBmrHZO8ZCkt1RcNby53hOrIvRSu32zww4Ru4eVNRi4z594za8vJKiYKNZBaqJwjkFcXh6+YbMtJA1f//Q1+ecC3gXnect9e8t4uyJZBhQMwimcuRiyJU1aeZMPUVPBWOKMcaXkqDTHVXPgtZ5l5WC6YQk9xFd+nml+7NxxevoDT77ELKFmoJOJwHFOA/gp/OiIXglwUYpXRouxtx1ku+J//hOvIv4X0CuxWqPvA8V2kOx8R7fAhoeczmit+8RquQ2R+nwjbxFwV+tOEJV1hIT3kQ+L8nxNNP9P8jcCLgo6RLrIe/AdHtoJ2a9StbiKudfiuR8YZDVBVRsbWg61CmpTDDwu7b66J8xNuAj/KerkSobmqGd9PuEq4/HZDfj8Qe2XzbUM5jBz/JdNtHPpFTTnMyMOIVTum3+8JN6A3gtlMPgfyeSH8rGbXj/DdgC6F+VzhvnDUtxXu/QzfH5neFTa9YfWCbRzbvwK5NOwQuW4VKmAxokHeCe0XDU//eubqVeDqReGrl4p7uEO9UDUCTxk/G/M+IrWQvzusa9RrQQZP+U4Zf5/Zvp6gUaRfBylNhuXXoD8oeRwIWagulOFxpi6KPhXKOKJfC5aM5Zcjeif4HuadkEqH/G9n7v4P+PJ/zPSVMJ8h1MJFJ+SPR2QpbHYG+wGLBleB8KZjqifai4bDLw+8/ocGPe84vV+oIqjP7BrP9H5AZ5AQsAHyAcJfe1783OE+OphH2huH3E/Ex0J/A8u7RPkg5B8e8F9UsFmYSyF82aF1YLl/xLVCCYalAZegHJXKKd0WeBrQk6FdYvuiY/7VifC+sEwJ3YH/m4qminACeTQOv5x58R+vaPYD+Zcn/HcFtkL57wKlL4T/nJm+y5R/PNNdVMzfz7TOUWyk/K6QBNx1TRqEp1/C9TcCb09MybAfZqofa758qTifECskDzrKylhqO/w8cRUi6gp9D/Y0svwIXAKlZv5hIf4A20php4jbkP4Q8d9D89WOpAN+NOxuYrpa/80+67M+67P+PevzoOYvSMYKzkM8LisBY3IrnE+tIpgQmfDlyCYVnGswDNMzFmCoGmwuaFlvQJTA6BNL/Qzby+uVzpoAdmRbrbfr3U0EhERLoqYAwRbEYBFPokFci7iFwkwSxxA8T6GirhqKVuuYyc44RuSPfL0Crqx59khCgmfGU8TjEbCIkCiSycYKSHVrZe1K1jBqEl0+0btAkAnvMzktFN9y0pcM3DNKzZEdtRQuLVBlQdUBgTorXVxvIOfKMUjNJh+4nQsuGtt6ZtNN+DLRcqLigaQzg2+pRJ/dBUJiw4wnM1AxEExJtIxOOYbE0TecdMconigwScN95TnlyK4sa+20V861sRvAZY8HXPnzjz4pkYY9MBPKTIiFXiIb9rTc0ek9nRl9jtRJkQRhEZpitByJONqY8AvkCqQImhylJJLaykIxqJfA2ZRMxMlI4ERdIsEcZ1FOUlhWUAPYiNqZZqlgmiFBl2ecOzJry+QVWwSXCr2dVodXLGx8JFcRtSO9RJoycMOBl/kHKjcRS0PDwlYHBvM0MnCVfmSX7nFr6Su1RUJeEB9RmXAsRBOiGMpIIGDFcXQdx3KJU0eUS+YSWLRmlI6KmjonbsuIijJqZpGMY2ERx0BHY9Dkmd5NHMVx1A33NASZaThznT+gNoA70bBfK3ydoBQCE6UkOtvjfWY0Q8uJG32gsSdUIju5wpXI5JRcwRQWZg2cpCLplplCDkJ0RtYV0KkYXtZK7YWKJA6zgsqCWEaSEnBkSetgWhqiVmSpSGYM1UBioFIjqWekZeGaZMIiQuUESY4wJ3ZuJvsRZWQKAS0jLQmH48Id+SiBozaYFo5SM+WWREdGGVzNQkDiWs09OcfMlmQX7BUem5FQCadyydY/UvQI3jEEwYfEHBwnArM2FDPAMTqPqVtrtq1n4Ioz1wy+YagWkLUe12TDwpYkLdk8kcxSRop77hBzmZInzNbPgByMGAKRLWd3yagVR9lRm2dwL4jSIlQssmGvO07OrzfTtkZtcskYDSYdWQSjIN6YPGRXwBxJPFH//NciPKQQqSrBAM1rVXupCuYKSMJl0KKIrWBrkiASUBYQxShkhSYK9VAjaYUvWwIpDiv5uY5+hbjiHUs1I35EUFSEWVf2S3CeIoK5BckZn9ePeXPPJY7FyAo0+dk5AclFvDtRfMJU1miNGc0CwRyLJLKBmkdM8AZaHDmtrz1JQZ1BWKMkmEdzgWwkOWD1GSiQK5QIsqyvQ40ktqZygCRGqqDNCZH1OSxhoiistOKI5vXZN01kWddpSwHRSMGoC1gO5Ly6cNUcvkQkP9NiLZIcnCtBJs82tfiUSAv4qDSxYD6QfURKQdeCO6SAjx7omOsjdQr00dGQwCLZ5fU9LoITTzZHXknDKAFyBGfEeuLQF3Yh4otBKkhRHGvj25o+94SSn7nchuCoEniJzC6CdpiNiBgSW+pYsCXhi1LPgkusBQmOdWNUDWssTaDKgegyKo6QwJeaySUEcCUgCovPVK5gUTCvxAoqXeHw57bQ1wu+QB09JIcrAtGwIhhC8oVUF6oaZoHaBI2KSyCl4ByExSPJ1mcS6GYIi65uJ2FtB0sRbwXJhnkYM1SlwZjAjZgrZA/4BQnrM6lRiTlCUJiMyhxahOJAQnre8wqLV8awljOs+8c/f7D5Z33WZ/3/X58HNX9RyqyeYIfD8AhSVvKHB5xlIpnoCmcPue0oJjhdPxxPseE49cSmgmsobkAXTx494hWmwoxy/1QxnqCKGXP3z8OSAO6WWCWOUlicEnPgSRsOoeX78i2//fSGh4ct48s3PLgbYh7ZX33N4D2PKaPF4QUeg+P85Quq5Ym5DpyqS0iJOkfOxXFsLzhag5RL9mXLna/Z8cg/DN9xnf5A6B3ji5roEmft+J28YciBn04/clM+kquFN+7IT/k9kYbv7Gd8mo1jEF66Ry7yHbcsvDz+Ny7vvqdbEi7OhJK52QV+kJr2eKb6kOEcqD6d+Mef/xP99sw/8zP2qig124eR1x8+0H8d+aeLjiMdOx648d/T3zzx07v/xi/+6Ts6S7x/XfMrveR721IIeH3gDU/87OFHXk1PzF6ZX7SMzthdrPBEhkhoHLr78y9326SZjXvPxiq+GBfi704037ZIXdi6ibf8gZ0p/bsDy3+ZsR70TabOBzqBRTbIrOQjlA7SeSGc1qFi2Hl017B8d0QfCl3KbKsHLuV7buWR3dMeeTyz/eoWaQRP5ILIpUx4juBXpoNYwheoXMFJXjMMZ8OJcbFd2MrEpWWa84zuEy+WO769eGDULxEKXh0hTbSysNUnPAXE8ZJH/tb+K5ePR2yssCS4KiEeSnG8lCe+tV8iOhLt50x8wYSQJJGtIrmVZHLHNQ9ccJSWROAyj/xt+sCL/Xc87r7kY3PFey5oGfEUBi4omtj5e17Kjxz5CfflJwzya3Y2UmnDC/dIZ+8QES54YPPwI36JbG4HrviRpMIVK2y2aGIjA60dqGOm8RUbt+Cd8WgtDesBdecyRwonWo5amFNDCAuNnLlmJBMRWQdlXhJrr1dF54yGkRd65tYeubCRnYxccwYCLRPmEnMF8Vxwj+CvPPVNi9PMZS0wL8w/zIQjRIz84oHtyxm3nGlk5jpUJAKL1dzzwE49jSR2RO7Z8lG/5mA73siJjY1YcExZqBJUoRAkEhGe9Jan+i2QmLjkTTPjXj6Q3tY8vOyxOnLWjnfccG87anM4USapiSh3tuGT3fLJbnlky1JayrAOT2KTyFWk4swVB/b0lNVGxpw6ZtmQxNGGSGcn2u2E85DrTKRhyjdMemahY8Az+cD8fNDuTbgsI83hBMeM1cooypGee25YLGBpWNu/AuBgwjGacCmPfMGPf8pl5N9E/qqCrFhruDcZeo9aprkADWBH5WkPlTVsNgIy02YPM6TR0FFJk1FtespVgWLYtibXEXfhGY7QxBb9JlF9aWib4JzRGyG8DSwPERsyzUaILxqGvqH/YkF+M0CoqSXifUaq5+hSbVRfecbLgfamotrm9RC8L7QnsJ2yNILe1vjbCAFcX9CrGkGR92d8cMTDSPvGI5IIXwnyomFMaR0uIJTRaGWtni6S8M6BCs0r4KqHcSQ/Lfi33drOREKuQf9OYPT47wxJwGhUAZgFeyrYZCAtMh5xF6yRpiqgKa8TlQK0Rv0N2Atjfl+Qb8Auduz/1/fkXxvdt1vq/0l4+peB7RDgXcD7BG1BpYbgsC9Btw1lv195M7sKRsXahPcRLxG+2FF//QD/AfgR3Ag5KXenxGW7ITSFKS34vkadYf6I3sLVdUe8m6i2RrpIOD+iNwmNrCybYS1lCFcgx4LVSmjBfGJZBL0/EV5XTMOMGwo6eY7vJpoLB2ltBF9BQ2sEiMbga4e/DtickFng4HFLZLnO1H8XKO9GOAe4bcmvRqxJSG9Iv9DdCMEajr/eo11F3FSc5zP+BGaF3BT8Ddhr0K+3hKuElgm5rqi+VNzftOSHCX9bwTBhmik5YKNhH1cY8vYWnMvwqdAV4MsAs9H9PuOPKxNIryvk9zUqM9wK5gpSVsYNHjCPV8csGW4Ut1XcLmIPBTcXaCPdPyr+rwpzG7n8mw45GxIXqsfP2afP+qzP+vevz4OavyA9332QLWGUdfNua24ZMkXWgyFSk1UwJ5SSEXuuDs0N07IjVTWlh+AH+sHjJkUbkDmTfOCcLkiD0i4F5MB65yZgPbMLDDgm6Sl6xRM7VByf9C33y2vm+ZqZa87sKKUwVS/4JMJZJqKtA4fBOYaNp86FQQuDdFRxJBRlouMklyziyXbBe/mC91T8NSfeTgd208DSVkzbdSM10PGOLzn4Hb+Y3vMijmSf6MMH3vB73ukbPvKKj64i2onefmRT9uy08PL0A1cPT9jkmXIAizSNck5bZAr4s1HO15R85IvlE61bOIkx2c8oybE5L7TDyPXNI932CG5gQ2IrR66bM68O72j/9QCtMN7e8qN8xSMdDY4Nd7zmI18ePtFMI8t2rVpOVjDvoEqUuVCagl2tCOk/Z4UErp5QW6hnT3oo2Lc7Zt1RTNlw5MqUdkjk+4x5z+ISwkhlNdAhFijLyrX2s+CmZxPYc8tOenbhOAodJ66546Ud2Z1GuEu0bxraIrQ6sisHLtJM7TLmMqaQrCDOoSjB1o0mGeRsdHGkrR/o3IF6XGieal7FgUPzie+6DxTAq9DMmU5G+vBEw0jDwBve8U35Dj8VmGU9zIhhargEb9ITv3C/4uwiH+01+zzRBuNcDjQacXpgsQ0HVrjukYrMwov8wE/nO66Hkf1OeGLHiQ0bFpwZk1Q4Jjr9yAUfkfwlM1cUaaiL0cnCRkYu5J6C582y5/owolnorge28g5HzS4ryQl1nrmwmY1FEEVwBBYcaXW7qNLMAxsxHrwyW3l24Si1QF8iV+VAkpZFlGRngqy13ylB52dqTlzoA1flE5f5zIU7cikPLAk6f6STI6EIYXC4p0QIEK4dFQcumNnFmc2dw78rUEHXZvz1TJgXSonkEDizNlo98J6XouzKIy8Zuc9bTr6lSOHG7ri0O2AhU6PLQlstNH6PcGaxDYu+IpUzIw1WeWQLw6Wxb2uEDadyyaO+4sQFvkyozFQIReFE4MyOs3VEUVQdbgzQgtWOqCO+nNjJiQnD0SF0LKVhdjUFo9eRNj3SuwWtwGRZo27F0RBp3EwoE5UbgUQiU8vEbTlyfZrRwciTYBbI6jnjURGqMSPLWtNc5QS+wxBelE/8bfolf+6YGu0izB4qg2BwlWAe0KuyujQsMCcYMNrWMH2GoKeMzQZLjWPEVZHUJ6wUfFuTGnAqLFOmSS1cPpE34IKtTtVK0U7RJyAW5FIZ24pUt2w3AxKnZ9AK4CNaQ/QgtWAXidSDbCvER8Q5bDIkNWhdMFWkiyy7TC2erAV/mcn75dlV61lSopWVT7JcRegbZot4B9h6eK6T4qmYCpRiqI/YhcGVokWJx4gTZW2lXmvh0y1Uw5n8AXwOUFpyPoLNMCYCICmjc6IWR1EHYcaVgp8UiwI3MF1B3SilEpYLqDcO+5Dh3uM86OuFdF/I2wk7zLgeciV4zVBn0ouM7xzpAMEE2RjzOFM7o11Ac4SXyvmnhfr1hDysvL5Fak6TcVU5RDIpA5UhqmiYIUBrnjJP2BZODSiZ1LMyYYIjp4TWrLDdCDkEUjVT1DCTFXifArmAWoIs5LmQd6uDpTIgtegygLPVmbTLyIWwfEr4Tw49KWJG2ixUL434ESpJ2AasBYoRKpCQCRuHmIe7Qvt1YKlhcJnNzNrOF4ANnC+gez3huxXCLu3M8laItwtpWNhtEzICeExWOiJzgwWYLqGpIwyCz5BuC/7TikQM0+poCgEcea3lrmuWXYQj6zARMJdWplEw7CKRz4ncZ+xgyAKyhfimML6aCZ3grhbyW6X8JuPf/0mWj8/6rM/6rP9X+jyo+YvSH0lqSmE1XRjrId6eb70RQ/LKQ/AaUQ8pK2la7cbPXm9UwGJBkkBOiCRwDpJR5oLLUHvPuXgCPZkKZOLSH7kqI20USnZ0YcQzcsknunBH3fc03HFVHtnZmVw+kbQiqPAkESSzTSc28xNNnkhNofj7/xtyWJmnZWTCSOLYWk+iZ1uUVCrKBHpQgizUnXBRBq7rgQOZRMAdhOZU6L9omFSpy4mNKve6epQ7IluZMa3Y19d025Fzrxyk4dVcSMYaIwnXHDbv6epHnrqKc/uKR/mCh/wVk75k8ZFhGygIx7DjSXeMEkjZ4+wN+3PPp80bylcenTPJOdoSuMBWiJ51jOxIjaMYLLWgFrlMnnbv1oOEZqSGsvwxjvbnq9G3DHiCChbKGtdIGxZtGF3FgUsqClMLyw6sSWvrBQ3JFO8LeRnQKJTnZ5QhkOvMJM+3kAksJbCFSjIttm4ga6AT8nwilDON7qk5EiRjReC8HurdAsEmhEiiZja/2trdGvqDE44TskwwrO1oXZm4st9Ss3A9/kh3WBAfcDvHRo68WAobP2AIBWBwMArM69C1kZltmamXwqnbMviOsyt4DmzcRG8DGzsSnyN+RTwOY8MjW+7X+GEBVxbCM+pVrcaJUnGi5QlHR0ekIaLujNgTPR9o8oKOxoYjS21sRoXDCpgNWahw5AybmAinEYnQ4ajEyH6hCQc2tVHlyFU8U6UZsYlIQ/FCkMhGToQ4YOfVqt75Mzf6bo2hmdLi2NoZK8a2nKh0AsYVBlygkRnxC4VCzz2vynuuDxO6byHOJIVBC5kDl3bm8pjxTwqn1Q7StA1kheTQJnCdZtp+ZnbKC/fIgwlbuaOzxJVuuOb3Syb+YgAAIABJREFUkEdu7be84p7uaaYfN/g04JpC7SONzHS2p7MHTE+43MJ5oOyhuRKuN0BOBMl0MhFkoJOJV3bH7XBkCYHbOrKxRLABLxFNT8hsyKK4s0Ormqw1yZRQFhqtOeMQLagueCKtJTY50QyGjFBdZWq3Z8MnrBy51Du27LlKjsolBil4ibRLoT0bcl4hpU0Z6fWOS77jspxxhwp52CLBozbSvghsWNjYhD8vf/aDmnxr2F3ERfAe8rKsUaf9ip8xzTQvIVxmrC7ExuPqhB4NeoGNMTcOpzN2I2vcpJvJDZit6wJtQsxTfCTV4GtFBlZDbFldPIs3fMw080hLBmeUOpKuwPrCCFjjEefRWFAtjLuIf+txh0xysFw6XFydLLaFtDWaYSKYIy+Z3AjSGzY9uzS6vDYd1YZWRlIIFeANVytGzbg4YhsIm7TGrJ6EYonsZoJbhy6LQgiecAZ/SiDr6zYpWDxTtYBmrDa0BvoB6yAPhouOrBFtwIoiV45yG1kagbjDHSO+npGS1yhOH8k70BTol4m8TYxfCnarVGK4UGHtCM/QZtetDNu0W4cudZoJla6NWNUJqyCIslAwt7YftVvQvkC3kCPYxmAuZDNCBPEj7rYQe8h+wxI7zD9gtYALOHPEOCEK5hRGQxYPumHJE81SENfh8gAkaFo4gQaDFlztsL3B1j2DVwpGwTaRlBW3zxAM366cN2uN4bVQH05IcdQHQWJAKKS+sOyMdrNAbWQrBDLOQ7X1mCWKc9A1lGYEvyLNtSnINVArji1jtV4ScSrI1KDbM/MO6COhjQwNaCV0EdIW8laxznGuoG+N2q8RQrk+U2rBQovEEZ0S3irwC2VrpMtCagwpCReUgpAWxZ8dZEUko4uyjJmtOVRmrNF1OPVZn/VZn/XvXJ8HNX9BWkHCFWhYNzhmoOtwIZtDcGBGZsG8oS5iYhz3xulOiW8DZoaUAgliuWAsCzE/38a2biX0PxnkQqzXjH7gipka9JG/3X7km/iOzf6EekHbjJSZSb7n1e4P7K9vuOR7XuYHbiySpn/lsu25l44iNywGr+aBzcOZOoG/ycz9ATdm3B1UeWDTJ0JQZiJBIjtaXsQH2mGG7zxjSdRvjXabeUnhJ69+5FPY0GYj/CYix4XuumLZKDuZ6XmkZWLrRr7mN7x2n3iQF5zrmvmm597BefuK2/MT+cMDoRx4v93xzd+/Itx/T90F/rXbcs8LPo47Tv0LPukD9bc7+O6OISdOSWn8xFZnzqPyq3fK//L6K6yt0N+MXNYDL/Mnap3Bdhzlmk+8YG6a1Rrd13iJbCaw7xcgEW79ClPcL/BnDhM+5oDRoGVBSLgz1DljZeTsEnsqWoT6esP2JqApIlFIuceo8dmQwxk5r5EMiR4+JahBJkOK4QbY1Znjcc/FZu0sksozXghOHOfpgUsObGXPSU8EN6LDRHkXyUfB90Z3+0TVZ5ZUw7KBPzaTFaEQ1jL6ZMTzwnFWmi8K3/DALj/wYnzC3RUO7hLpd/S28j0GOj7oK17lH+BeKPv1BtmVTCtnah85njLT9pp7LvkoO9oy8FIUJyd6yxQZqXnEM3LBSM+ZG3+HqxbEwcaEjcEfRCjmeCGRDTM7ZjKOC5twupAY6eTMtewpOWGfYLssxBuj4oLxHnoPfgnk3hGdpxonwnePMAvJC9It+B7qeMfty4ScBvrHGc2GbCBfA1aoNVFZJh8T+mioFLrdQC8nDjQ4uaCzzI08gDcu5EAvBdWK7GqcGa1E1EBcQ8/AF/ZA93FP+tUa91neKovUeAq3MtI/ninvJmQP+QTjhxm9EDyGtYnmHz3+9QLbid6P3MiBW/Z0WujY85aP3GjmZ/yBr+dH7Nd3WLohSqbsamiEWhOXPNGXT4gOtGWDvTuQv1+ZCtubMzEPhHrhlTRM4rh2I6/sHf3DAUW4+smZmoFOZyBzKZF0d4K5wHVCdgI+gCptnmmpcZKoKLQUgmSaAv28OjRshO4L2IUjJ/eIypErPXAhj9zalp1MeBw1Qj8t2PcR+zXQF9rxxGU/8hPec50OTL87UP/uiCpUfwXVdqHrDlxZgg8LXP1p15L/r9KXQFS4L1RB2b9b2NUN6Q8TrjP0YuKr/wGanxvjBqpve6QZMYuEqCw/Ffwvtgz/vKdzCnulvM5UV6B3FbnL2JsImnE7xS6AxeC9weOEqwtye4F/2BNPB6rbgHRpHRZfQPWtojvBj+BQoho2KzRG/PuG6qKF/zSQwkT9iw2ET4xWqL7a0p1OlN8IkoTx3ui/7MgvB2qvWFfgpqZ0I947tAHfQtjp2vxzERjNmLXC3zZsv1ooT0YzRzTNyA7KB8UeZtIboesE+5Ux/xqarqDqSLVQQqG7CNj7BBdKd1vQt470puPpV0duYmCxBXYZuVWaFzX2wii7wjyA36d1DcmJzbXiLaNfVeTpkeCN+qtE/UIpXwae/s+ZvnfkmwoZJtgF8u0EO8X3StUb8ZwIHuRS0cuK9soQ3XGSM+Ey038VeP1TqP++IX6zMN8tpC877DBig6CjkXcz8k3A1XCoWzZ5h/MeNgU2Hfb949qcWQkmkfI4MD5sSLrlYXxEjpG62ZIf7rAqgN+Qf9wjCt214U8t8f0J91oQUVwEqTzz60zzTY0eEuIyF7cQLmHowf1jYKGi+nVEnpR8HHAvW9RFag9yJcgWfDGqQ+bNFi6+acj9RCyO5lVNc5hwG0fuArmJaAudesa4o3QT/F1NXo64o6f92pO/LVQ/rbELD++E3F5CMeTVkfDKwacadzviXxWoV0K2/J2nvjGOm4JEwSdw255lG2m+9chPwecI6vGtI4iSnoz8PqMuk44Q3jrWXsKCeb/ujfZ/ujXksz7rsz7r/6k+D2r+wmSyOmr+6KNBDJO1qnjF/gpJjejXwHMwQXKNzx1iDQVPea7+LFat4EjzrLy/gmZHSB0Fx1IBoZAJKxGnTPTljm054I01llIWNAnqMsiCZ0GYVld5WuhtYgS8GRUTWjy1OSx5XBJcqYjLSDuvG6IqJ5Z8ogkVWMGRcAzU7OnnNZO9dYFxnAgtBClUkijMVGWhnUEnqGdH6Ap1WfCuQrQm2BNbe0/HE/dyC1Ko8gFCz1F7JnfEOyNifKgCP6qwqZTsPOtbNoFApGIST1KDbIRkVOJobcLZmYRR/GbteSmCLkadRkxGijvhRJCyXWHNucLNAtYQzRBNaxXn85/Z/Fpl+ucefcquIVHjS0HiRJ+MaJEkQpIKsRYjkgWcCSweXKE8H00pmVBAnyHUYivEEMm4ZOv3CrjZaGKmtRnVkeQKU0iYB3cubFOhDRFhxudEnzMuCZoViZk6F9QEsXb9ngEmaHE4AmrVCti0RJULGhd2Ca5soZnLGmsiPsN4E04jgxXO2uMWIFVonsm54C3RujPBTlS64Mu6WTXZIUWoXEKl4IsQJCPMqBQaU4SJPj1RMaxcgyhAxULAaaIrM72W9TGykaDH9YZWHF3ObGNhIWFLpFkSzfTsV89rXa9GI5RIkoQrkTYBy1ox7wC3KP0syHzGScTP9lwBXKiKx1nGkZHnRjpnI5IynjM1E5kdY2npdaHjQKU1WkYam/B5xixjLAQmqpwJzuEZaGykmh1VahEiHoeUmiCZHQeaNEJanwUp0M7gR0XJmBeIGVcyZyYyRwKeXTxy4Y26HOlkJOgDW3vHZT4gkxFQikFV3NrIq4m6RCrWqniXjCo9A0GTICzgZoIc6G2kYyaUI60+UaWFRABJZE2oGMEW+iQ00UEEnwuBiGMilBm1EW/gaXBmOFOUREWkNsMlgQxNLjRknEzUdqS2gYqJiieCHKks0+ZCnwa6mNAElsBnwxWH6pm2RLpZaBdZo7YRvBVUBuqycDH9+XMhxAlFlFIMr55cEmI1Lka0ZNCFpQbvIzlkSjXjPJRgzJo4VYVNXzPVhbouWAPZgzlHkER0BasSpVrBywbPOQ/B/i/23qvXsiW70vvmjIhltj023XVVtwzJolgiCUKm1QCBfulX/d4W9CJIoKCmKLLpiuXrmrw3M4/dbpkwUw9xqp6b6kKXLirHSwKZJ3HOWXuv2CtmjPENM2apHCyPoaUw+4iGiHNQTCmuLnSihmhERJEiRAfDYqRdCM4bzgEcKM5IDoJOTMEIXmgQfAGSkp3hXQXTKpncCr4olufq7lEHvkK/ZyeMIoSQyE3CN/U9z9O97LJCLrUa2hlSBD8LEnrUp9qih0ESFEd0xuwLRrUvWQZyQEyZ2gz9TNPP5A7GxpGajPhC+jVU13IF9vqZ2BQGZ6zaRGmo1ecBcBO5yUwzNE6YWhDJdL62paUCzhU0nCDAtFBmLxSprxtlrG6gfmLqE4OH0hjJVWcypUKhrZ+ZPRylXqPWFXIoaD9hLpOKx4vgnhLohtYDtPL0+e20AnIx+DWImFL/1PIbVlCLYNkwU05tou0SjTdcefo/bWH0wik0SAgESUipMWBpBvLCKB6c1siRqOKSJxiIzOASWR10J4ZQaINhTuu1bOFohdEZpzaT+wlbZ9w8EUOkeAh6pLQDkzdaC2BGCQYhVkeVleoK0roIW5/Z9WBtpC325DqamRujczMmnuwAnyiuIC7TZMMPQOuRueDM0cSCbyqkOLYR0/JNN/e913u91++B3g9qfo8kFMTmusGn1CiFKfVRMNd2JnNQmvoAFY1FEzEb8JbwNiGaa0uEB2+PLBjRMuKkReYCaphFVD3eC6M4JjyGYC6z89fcuCu27QOzu+DOOdZ6ZG/PmOJ38fFD5u4Vd27BumTSuOJB1txpPf0xDTw6z6ETwpxI2nJs1lia8IuJAwtO7pIjLYNec88zHqj+7H7juX7xGg5HFsExBs+DOvau4yTn/Kr1fHTWsDR47Nac5JxOvyQwULjkrXyfz+SBzIIbPmRuevJ6wU6NmRVRWk4+sJdnzNay0x2fLWdu9Ip38n3e2Hd4687xZK7KW5xlvlg+48eLP2EvV9xoz639IRw/5uz+Le26Z+rXsNwx+zMe9QW/ENgSaIqgNpHcwNApo18yqWfwE4uuziCiE07Ok1fC1e/0nfdfrkMOPPABg5/5ZP2GzzYPyOYVN+4VJ3vJI69w7si+heMqc9ZEXp8pbe+YdM1BjgyrTN+AmpAsYevE7I2h62lCx24tNKtCanuOPOdgV3i5AzWYjNY6Uj5jsDNGthTb4OYNOTxgTUZb2PkVk2xJmtn19+RYhwRdMRo74ZgJuUGs4PJAtkTxZxxSodNEcVO14fOaZUnAgi0HtnYPQJETKaQnp9qA2j2tTTSjsZgjZ80d1/olq3TLpR1w4QiWyLYBWQIr9rIh0VFkSz8GbK6YcZMjndzQFBA74ZkQ4CBr9lxiCKs80KVYox5z3YCUAPiCYHgBcwYaaSk4K/iSUDIkjzP/xDgwzEeyFk7FWLceZkMVsjqSCIFMn2Z8ipiD2RtJFEFJtJjWYUAisOeKo2wQMi17VCaSKkUdlhKaYgW9SsvsEsGq46OoMUpBJdKWExJTrQUbBZkjoakbg1JgagpNk9ACfZY6xBbPujSsp4ELl9gwcqdrZtmQafG6R2KqsN48/6Y2vRj4LGTXclJHcr9usfMs5h6fBtKyJzUdibqBC8WQbLBccHza/jYlkyUjkrBSoyZZZhwTLQUxTxYlyERjB4okkkDDQJA9s84UrdXNzqrrqzbZeVqtQ5VIT7EGJVOcsW8c92vYrKC0wugcaCSxQK3Dj4IODlqBULASoQQOruXrpeOj/+qrx29Zg4PiKZLIaab3QnYDh2Vi0SghrlntJ8hKkYIUB8cOdzqwQGlOHf7tkv5+gDmTiLWpbAJyoSuGns5wx1vY9eBaOIwgI6YBlys3zpwhNHRjjxsmSEc0eoi5NjwG0AHcJEhcsBpmFrPg9x5KZnRgKaOnFYv9iJ5WdNM9EhWzHnEFUk+T9liJzN5ovfymFUlFabLDTQErBVcKfQafatOUxgy5JWkiquJKw7Q8QQfOCVY8dTJdp+TFG6V4wpSxxhjWBdlp3YRbwO0861lxs4MRmgPkGFDdEqSwZkdoE24WfASygG+QNCNTSzsPMGRsWiKrCDERJsNcj+YDfgQdVvTHiOW5DsbnQMuI/XpaNmU0gxSjOxnttEaO5/TpLbprWO5mjlMkjAv8bonuZpiFMLVwKyz6gfY5EDJeIEwgQ/1RUfDJQVGwQvEZLOOioK6uVYOHRUpAfmIRGU/VjsQGtEgdZjUOUmE9Bpw2zIxIPwPgjg2LIdBjLA6gcz20q8wzB2OuM5Lk8E5wy0JcRMYGFtOScD+zHgqmHc04Y6aYClaACKGFMUzkOcG0onnYUWaPhBoNlPkCf+84O71DlyO0GSLo3sOpo7UJm0utyisRxoazgxD2K/RguLsROW1w8Yjh0RLwKcM6k7WQSKgoFEHmFsmxDsgdkDJShBRg6u39oOa93uu9/n+v94Oa3yPVfqdMLBGRQhEPCGp1UJMtQzFcCmgUWies3MSsO4KbWPaJJTNqmTzDxh/YyC0u7usD4pApvpDCwPl5x9m14yA9mQ44gY38/HjB5+Fjzre33MWXfGFbPpQveceGH//Y8/Yw8e7fPeO1W3GVRoah5WZ5wWsfmGhoRdm3AbvqISZOjbB3DeNa0G7No7zgRteMydjLmq/knAdaHvWanz3/hB9c/R3/w/hzhl8caS42HNuWUVpu85a3yy3f+YuP+KP9zD+VwhfygjN9zRUHJAm/8D/gf8/CH5aWe7flnf+Uv0pvedkeuSqf884Mv/2U23zFGANfyi2Pq2e8Lh/x0/Lf87/+fMX2O9/nD/Lf8GH+jMfDgX+4/p/4P/IPONeeH9Pxz4fv8KP/LbP88S2H/9nx99//kA/+4MD9fsvb+YJ/7LZ8JIVP7QFvI/dnnrBacPQtE47kMs2lQAnMy45hteW05Js/qPENn/GsPnu7Hdu//CH/mJ/z9fyMG3fJ5/4ld+WRh2XH+s8F98yx/uOOh7jgXfFMzrH5b65xf72jHBvaVUCe7+mXjvjxGTfBc/kXPU1vvNts+cqe8VaeUxgw1+DNU2TFnW14Y2c8ygW7acXDLnC5CLUt5YVyE9Y82prJJ9qPA3pUuIXWJRYc8HIknUbkfqD/TsfDynEjQiNLNkuF41uWV4XS7zGUM1twWUY2+xsQkMuMPxfKGjqdWMjI2gUevkisrzrO3CPRfsYH7cDS9kzm8SSieQo9MXW89R0P0vIn84bdjwvr12AXmQ0HXpSIyRpxBceA2sRNPudreUHjGrb5HlJmPLUc3wwsl455VZCt4B8L3QaKFuaypy8bHA61mZwNt4fpq5FhZWx/KOhL5bhqkG7Dfv/IusvIeeDUGCdTGoxN2uFihqUSVx0TDZMJo/REHFEc+7Lixs55tDXZK43OqM2ckuNRlRiEBggIxyIM6ln1AldQ1jBJBeU6CjaBPWbsK0MeCvGl0n4cwBt6pcwLT5Mm+qNj0bbMRUiHzPHhnsXzFdeLkTscd5xx55ZctbfYkJBWycx1IEZHKQWmI7TK6Bqsd0gHHAvzvxwoCPZxw3AZmHytG5dTJu4Nu1iRRGgwFiVhLpPDibmMEOr1t5JRAlF7khQCM41EsmSKZDobaGXEOkcOCkew7BlwmAneAoHqNIu2ZWZFkkRSx936Av3hGe78c+xVwJYdjj0Jz2H07L+e8D8v5EtYlEIhgvS8sY7Td777jR/UxM8LQeuGlA66IvCsw8UZKQH7mePub6F/7ihXBXvMlNcH+KK6BexwYPybA+FR8MFoX3YYHfH1A24qNBPw00j6f4z8+ZFwPTNMif66Jc9SHRbLwsMJ7OCJfwf+J3u2k4PXR4J6pn0mXAE7xd4V4s93dFcr4s/2lNcDcoTnn8D8HyfkHwvzu8giHTl9ASvzTLsBvXbIv9xS3kERpXvVkMuIzhAFdDaO95nxl4mzG0VmZb7ZsYlLTjcT6b4OHlafXpFv77BBaX/g0GdK9pH5bkRjdZDMuwH3bY+dCvmuEC8z/R92MHueDxn37pb490q8N9LDDe5g2CDEd5km7Uh9wf1lYfUnEblJzAWYnurojzP9Tw6Uh0T3q8Lplzuav1zgnzlufmSsXwVcm9E3YD/6mvlQ8J+csXs9sr6J6KZnnifs0fCj0Jwbrjuyuosc/tNE/uef0umK/NdvkKWy3mbS//kF6a3DDTNhI6SvJugb3oWCXCvqjTY0DD8dabsTlqDRxOnnmUZ6RE+4UHDHE+dtZLPu0HTi6hOHPABfjWzPQIi4BuTFJe74BjtlyvHJEZgV/3nBSLSrBeV6wNSQn0/c/oeBZ3/RIl+dSJ8Z/rplPkb0sGL+7JEeD1HIUumFq+cN4SrAT3aUmJmD4kskjIV5o1i7JovQ7md0LISc6EqH/MMR+YlnvNkj32ugFOyfJ7hLdBcK10dSM+ImGP+vkf4XGU1GGsE/RNxW4FeF01Q4V+HwNzuWPxfC7kA+wfhS6SeQ2aBR/LYhrDypZPQRvExkCmoBPdvw+JM7zqKju3DoJ9PvdB15r/d6r/f6z9E3nTH6Xv8KJZS5dhrSmOLN15Msl8kERBoQyN6YVSh+xttAiIUiwiyGoGgRNEEoIKXFSqj0vVLQIjgJpAA5TIgcaYgIHtKCpvREqfbfo3qKLDGWFGsRW5DyFTFfY7nHmIABSkOyM4ptMCsImck1HBtPxPC5xeVAdDA6mGiZZMvoNswaMBzRWh7YsPcXnHTBGGDvGyYNhBwgb7jhnHehZfZCyC3B1ojNmBhJPDOek/NMviVnD2lZ21tSIBdhdoFHt2TnL7h3K0a35pgbTqyY7II5nROtw4iEMhOSY7Zz7sIlD9IDHrUrLPZQWgqltjiJ4SQRtWPHOTMtroz4YpDOn742kemxfFZPxkNkDJnB7MlB9c3WPngmNrh8jpQVD9Jw59fsQs/RtezYcOKakq7ITcCWiewy++B40C2PbJnajthkkotEP0MLKRTmZiQ2kVOA0Sujb5kkkHBEGrL0ZFPMnZj9RFRPsUAWGH3ESSY3hdkFUtmQWVEQ2qeNrnlIIdOTWaQaN2ymBrGIuSNKhdNOoVr6+2J0JdFyYiUHfDmBNNWerhkLBXOGWmKZ4HzMLJMhckCILAkEU0SgoTILRo2cZCCLYbRMeJJ5utjg52ppF4yuLFEUb4mOgiueEx17XRJpEIzydAreTtDFClwGIbUD5kEnaFPByYHEjNFSMkhsaEZlYaC5glMHNSYLhNQjJpSQmRw4cwSrDr0E4ArBKiclW09nni6lGmyUjiKVRSEY3pQuG660zLKkmOHKI57IiCO5gEnNAwo18tlhhKKUHGsANBd0rs603AjJG45Ek8EVwcwxYYhT2iyso9DkE6aPOE40JRGo8YgkYF44tZ6TeJw5OouYZrI4JNeP4aKACg6PmDE7GFVxGG1OTz+fJ2tgYuLoZpImWibWuZ6CU+qQtnalRIrs8OWEJ1M9lQ6P0lLwVIhrEcEMSm5xeDwDc9iTybW9TCeiHlGgtaYO9n8TrYzMDEBgQmqjVF6hU6CbXY0+lRlkJEoi6Tf/bMhFoAgJJauQA4gIKgZugi6TDSQprggiBdNS4zxZcBG6AfyoJJTiR/BHkAqSTb46XiUrroDmTJsMyZU9Zgak2pTjikeKx0eQZIBDskeNWlsNSBZU6s8RsqCzq21Ps6edDZ8TzaRIWpA8wIzLCc3+N/cuuSBlRhQojia2CC2YEjJoUYoIyRnOQv33AugR3AFcwtojJgIpIEVwVu+lGs01xApa6j3sTMACpY2VsSOh/l6ltk5J8ljxJBWSNaTc0hiozbXvQIBSkKL1np3r94tFn+57hdE9NUpV94hmhVjXYNP6uZut1i6YGJQO5h6TQnIRy54GqVY4LfUGzgEr0Jjh54IlKM6hCZpoNZKkgVknzBckU+OsBUQdyTwmrsLjzWM0ZBVSqI6qYoU5ZKytkS4yzAFKN5K9oUWQ7JAMYgUrUKrlBnxtIJPiaKJDcwcpQHFkra2fMgckPb11XK7XstThYFMymj06CC4GsIZigq+lZJgKxYE5QUtXI+2WIFodHGnGlRrv1ZiRUqNvOiuLVJ1YVupnpgcsOYymXvcEQqaJoLOr1Y0GzgyK1GccaZBSMB0peLLVr/NaUDlQgmMwatupy8zhv9aK8V7v9V7v9f9d3/ynpvf6V6hm3pEFyRxQfsPPCBSiOTI9UxkJ6wV7l3hgge8XpNZ4NM+trdlvPOUZvNWeozqkbxm6CXOBko10B3OjnA6u7lJrwB78HVeLdzxLX3E977iIX3PGa54tD0xlyXc//BfS4PhB/E987/ZXnBG5TZFzHrmWEzf0+KRcDsr6tGO9mHF7QQ8PtFcLhjCybN+yHW4oyxVvXEfHJZOcsSpHnqcbPk6fc7k78PagPJRnvH4aTL2Mn3NN5oXd0PgTm+aOBb9CshFdQGyisT1rTpzH1/yAyPzlX/Fs3NNOE11KnDeRz7YgH0x8j1/wp7u/5dIG7txX/FnzK/7o8pqf8BfcSOFev88Pv/iCf9/+By6//Za/D9+ixbhb/DWLf/PvWXycuc6/5C/+7hcE/8DXZ3v2rqNJHevwwDlvOT+85tuvv2bj78kfFNx2QcIxOuiXsCAjPxtobwT+7e/wbfdb0KG8pDglqXGva/Qp5JLoOMqCCHQy0uqEqGE9vLULvpZL9lwRrOVu+cjLf9fCMcNCYVLEF1jPTF6R7ZJDJ7z1S+5kzUhDa5lmikiA0M5c2g1LZn4iS27dmrDsoIN86dmvjIdQOBB4pK+1yyZoNnwp5OKJ0mDdgtLNSD7g44G+7fC5ZTEd8MtEbgOWYCmJSScGp9As6HOtuc9aoA2MePbaceMKzxeFxXRH337IL2zLJC2XZaaTp0w/nkiDU6Mn0dCSQ8A+FGjgcbniS7bcuksyPVf2NY1kjrLgliveyiUnlmRa8B7pM92FJz8IfnQwxGprPxrWOUSVRXH0IC5CAAAgAElEQVTYaaDLnrwGGw2mHt8NEAvlEcqqY25g+bwwRzjpigeu8Cpsyj3L/YDbGcV5il+ykyWzBBrx5KeNRJJQqT7iSLREaUjOgYFah+YVyTY86sfcyx0Xi1+RzyaCViDziiMdHUdnTJ86mgeF5GEthDOHmxwaldSB7z25M2JrTFpIRIo4ZNEzOU9mUTcXEphE2PZCfJgIi4C5GgOt9J2A+lrn6zyE81AbfZ55oh0JXol9x1EXRBwFOHQt7bXgmqm6FMuSWXsmGna6QFcdzEYILSYeyISSyerIT2ygloRQOVkJoUisSQsP3kZaBga55mDPeS0t53JHtgZvARXo5ZZr3tAdD9ihguUbSZS0ZaEtXTgSvndE2sKvJwXqPJm6sdtw+MYDszIGe0iPRvthIB8T5iNJIFz0MBzpIzT7wvFoGA3FD7iXAt4xxohPQnMRSJsJf+1wjSffRtLs6duMMCMrhYsCrxpsN5GaAuuCrQSaqdYXX8w4MfxtgF/WdW1II2HZwGGum+8/aJFvZeI0kQ5CkxxMESsZWQNb0GcKfcKdQWkUPnBYPkHjyXhSFsK5cnycWL/y5MMIdy1tB6cFrM+gPUTGTokk8iB1U70oIEp5rDEfWhjjhL8AnRy2d3AO0gu2n2tkzhvSF8hHiML0xmh6QVujeZ6xjWL7hM0GQeHlDM8i0yeOebXBfX/AvQD2R3S5wM9g0XHnYfMDsAVYF8Er/uMC/cAYM1wZdAW9K7iLkWZTmMRqusgZygTZsR7APSjjlLE/CDjXIv/3CfEZrjziIO3ArOA3LeqMw8mxed6zPDsx+Yn1LlDeFdxqgZ4HxjzQaaFvCq6LWN9w/sII04DeG24EBiE7BVPEC+ZAxOESyOlEf7kkHQfUKQ5BLGEF8lzQKaJKZb94o3GGnA5IKNBk4r0QPgrwyUgxwR6gtANhKzzMgcWiYw4HOpdg25JezYRnyvQjIQTDQqSs5+ogc5BkxB4ycVdoPgrkl5nS1msZwkxZe44+0TRCr8LxHIITGB3zV5m2LXDeUOKALiaaD6BcZuZXAT+CKxPDO2i2jlyEcTbWy5nsM4xb5Fsn5FHha4c0HmdGyIV4BBtBgpDz724Nea/3eq/3+s/V+0HN75GEAiIgHjPF1bNqilSopeJAA3tfGLbKkHv2ecHSdZgzpuwYS2DqhHQGO11wslA30G3C1MNk2L6Qe6XMAuYpZEotoubCPXKWB/pxpi83tHli1RrnesdHl++4Hd7xUf6ci5tjZUp0jqYUFmlGg2LiCCnBfkDalnY/03x1hL5BV5G1ZuQ4opsZI9BS2IugHPi03LIteyTNaA7sZcMbhDMxXtlXxDwTJDGFBSH0aJlJFjArLMXw8x7X1lPpjcy4dz/h+yky3Qt5drRXM61zaBk48w88H77k0pSzhTG4e662LxjcFV/ybXZyhe6MbfuG77pbfmpnOHGc+x3nHxxo25bm60D47IQ+M9ImEaXFpUAXHtnYF7zaf43/7IRcNMiFQ7YGoVafSg8hZ/h6T/dL+8YPao55S1TwTGSMSM/Agpg7YIGqQ0iYjGQpWHAcueSGDUe5YAG86zNnfy7oMdNNGW4yBUUbJVIIq46dBHZ0HFkw0qFWH+jG4NAw0+qJFuHAmkdd47sGWQi2CQytY1JlMuVYPKMtoQhWjEkdR204ukBsfd245drK4lGWMuPLibI2jn1LiR5flIMLDNqRPVy5gfAE27TQMeGYtOdOHGdBWDOgRXmjr9ixo5dHtBjiK/xYzWjkwDLfsHLPMOeZr+qprteOqSx51DUzKwY8QiKJ8GgrbmXDbIHJVeAwDsK6MN4UfOzRwwQhYFPEVg5rWkQcOp8QCmUJKSXc7Cu0ORs21eFVUoFltewf2fDIFpWJNk64qSCF+jWh1t1G6SgkcFBwpCfmlteMmJKkIUoghkCylmI9g6y455wHWTMHhY4nnnqhYa6vqSmnZ4HmVUFeR+iFuEzVjbDP+MuWeaHklTAXY8QYMKYgJPHEJlBYoniEiGjB94HkE9IFlAahoUjLqAugqdXaWpAzqa6j60I6FFrnycFRCKh5inj2YcH2KjB3wsiWyBkTPQOFo3pkoRDBSV3HwdOYkChEcWQaAqlCntlykgXJVdeACYhNKMZEx0F6bhCUSLSOIoGCEOREXx7ReYTJwIymRJK1eBPUJfSl4EKi7OpJO7rgSA9ibPPuG//UEZ0QBgcPYC+EmCCMAggWAtiEi+COSjlV+EgwD+elsqxuwEVPWbTkMOK7gvinpp7ZEZqChbE2KW0Mty1ohJQV9QFdF/CK7sEtI02b8WurzgU8OUVC6eAYMQ/yqiDXETcb6agVCzMnRIXSKLY0/Hn97JCFUc5qbXJ5rLeIXgbylKFT0klAO6wcsR2susCgBWkdPmZoAQcaFZ2fsFgipBHCJCAtcxwQ/8RjmSEvM/6iI/90QieFba7fuCg6ZtwtyDXQlVqpvHZIm5H7Cj72HwTcJwnbwqkTVteJ1Ssovyjgc3VzRMGy0FwG5DBjTQSFxblBiuSj0rxURANyjLg+s1gKx18BQwVwVxtgqq/z2DPmyPLbE/7YkfGYz7AV8iDo3CA5Ib2CjwxJ2CwhnGdKU2iTp+wLbivIZeR4DwtPraNezMgzh12NZDx5eIr2FE8eHeqqlcZCRQx6BcqM23SMJ6Grj3g1ngbIWCp7ywkiVt0okijHATRUNtbBCA64ztitUR4zqp525chvFXNKtAotplXcOYQPA+WzGVXDlVSHzn0hBKFNNd7kdsClownGuHfoXBALWKsUoYKuwwpdnGhEYOUgCIMJ60WP3o9IW9Arwc4EVgVZN6AtTEekFUoyiIBvKWUgRoeeCeF5wXaCOodmo4kZP0IZDXPyjR8Yv9d7vdfvh95Hn36PZCj29JTsns51IVcAJY4iBjIR9FBPs6QOb3wGl4WlQZNn2mxYBMlG4zxiUml42dBiBMAlQXaGFcVIGAJ5AXOHRyukNKy4DRvyvCbOHVHOmeOWW7fitFBQkFkx7XDS4azlVpd8trrg0LXVsiuOuVdKM6ES8TmhHo7acuKCmC7I1pBcw6NbMoQlZlKhdxQ6IlsGOjvhnNEmJRwEzWuSrGikRhi8OTQNeEn1JF0Draxhrhv0x61QgtCkwlJm9my4b56RQsfoPKcC5gNiQlMMbwOlLWQn7HTBKOcYmxo92IONa27DOQ/n9YSuy0oomSIHGiacGHPryW3DlFuibjGEkCf8bKCOGIx5G+Es/S7fdr8V9TJiaN00mkeeWpFaCl12CC2TNJwax9Q48C2BucZBTIhUEHYYoTtUKzxiaIZ+UPqoFBKbYizinq6MBDwmS5JzT/EzaGSkZUdrA1oiySVKlykuEfHMrJhYYK5/eiAWildOrmcni8pM6QznR0RhLh1HevbSsG+UgzdGMkZg0C07PUMILOMRLCOl1EXbSQURkIkakOYMPRnrPLLmkWA7PCdaixSMowUyDZ0M9HpLJyOkgisGJ1g8wuUknLPnilsanShEKprRM9MyI0TVGu3SjkLhuBZu1oXHbc9xvWReO2AmMzEqzMFX8miG7OcKE13WNcdHOB+M7WFikcEXaCyhWoj0nGRB1hrLkZQJaaa1ifYpxKlMiM04DDHD1QAFE4ETDZN4ZpmYGZhEGIgokX4O+GkFHsYGBgvMds6k1wy6qkOhDtLCGJeFuErIGixH/L7QTkLIgrcGwzG5iamZGdU99UjNLOyRZZ4osTBpAY10OdOXzGTGQVcM1oIJCTi2hdMaTmHEmhqPC3lkWfY0JTKVhpOsGELDThVjgUlTocQIjoy1CboaQZhzz4EFk3SoOSKBgfYpypCJUiOZ2TzOFWQFRymMFmrUT3pqcK5ltIaTOGaURM+sW05NoHgQfRr8u5mkkSSe4nsExWeQURhlxaMoIjOb6f53t4j8lqRZYOzx1qAhMzUCIvgoiICpcoNjlobGGZTalGONUbSgCuoy2kaaBtQMy5mYIUtLxJMUrKM2E2mB1sAJ5hpS/UtWE4QsPHZweOItlVWq0FTfUFRJYtAkUjAmr1hjmK8NZpYCTCtSb+Q2Y1pw9vRLNlb9UPMaGWqMpUZywGxm7BNppeTW0CeY7aRKkZaUheIMGmpT1WAYwvHMyI0gBk2rxJVjOo+1jlxmagI5UbZGRCAusdxgUbDZY8mTBIqrrZPZQBVscjAJmGF+QHOBBCpCDoGxFcwnQjQ4btBhiSsKxQitgZfaXDV7yJ4iAB47COEEYPX6r2Bu65pB65gXyrAuWO+ZhwWztphPlFDBv6kzyiJTXCE35SnvVu9tQmFaFlJ/Iruheo7NUbzDusK8SDx0ytALcV2YAtAkiouUVkES5iB2ytQrUwDrjNwYgmEjeDwUh1LjQTa12KhYabBWyA3VvRQczgTxDZSECPU1bSF1idyVp3YCoeQAnaf4CquenyKQbs64WZAi5FLdrk56tNQ2J5pEdg4JWg3WwSrnqRg2KyWBFYEyM83laWdSiKvaECbZU1IiBGBZYClkByEKOj/FEYcFMnSYK4hZHap1e1IzUZyH4glSuwFKKu/nNO/1Xu/1jdA3/Gzrvf41quZ3T60FKBgGVtBsuPqoD3biOp64uotMG6PRRF9mGpQNkeV0RxdnOoW1mxhJSFvw0iOvByytKfOIDJn4esByIUquwxzOOIYdMcxIUH4pH/JFv6V997cc2iVf6zU/ervkR9ef8D9unsOXX1FOj6RvzQgTfZ74qlwTm2/xp5efwbuf0Vz2yEee6X5HNynTPtOdL3gbG94119zJOT6PPPMze5m5ihm9L6R7ZTEZHzS3bIHH8BGzFRb7keWXO9rwSLOaeWEPNGXNURxdD72947l/w3K84ZNVRD8fufiuI58r8k+F/CbQfS/yjgVv+29zET4j2RvEgahnOu047yfWcmC5SbhYsMM971Yrgn9A7MSbu5n59sTug8z6RYP8CJodLCTStHsMYdBL7i8U2X7JeLOjWX6IGiyLwE7Izzrm5UjzxwG7jL/bN95vQVt9wMsLTrLlpOdsuOdM7hhLi/OZIoEDgWGzxTc94h5Zc2IjdXPvyWwiND8b8W8h2YRbQ7vMsDvRd2c8lML5+MBZcGyfgvqn5BANNKeMSma7feQZd3wkj5z5geQSui34rmDqOHLBjktiEUQrY0VawaRnZsNQGugdeh2ws5kSGgbWDOrwvbKRB/qSiDjeSs+deb4rb3k+vCWe6sO8+oIw4SRjEjE7suxa7NH4+PItf2Z/S7aGc2a8uyXTc19eMOqaJcpCM5GEC57F6xb+Do6fHtj+6RHhltaMMx4oAgOOQoOzgNbtExOF2TryInD4dE30PS5mvCovDx65u0E0M2I0fYBTJEwQVgELBU2GZY+eYPnZHWnOuG978MbKnbgoRz53F9y4LS+2J7Y3E/auVu76bykdE5FMMQOJGEaRhoEFR6lRuKMsSAjKhJOIPLEm2pIJtxHuM/ZKmBfCkQU3XODSzCH3PO8WyLOCF2UbjNxFuC7o3BB/dKA5L/gXkeXLwkoDLgSSaxmk5WiCSGYhiUUu5CnSLj2kGTfBehVxYjzQcetXbDBOriVuV4TVqfJHYiBPhV5OvNAHjA3HsmCwE5dNIKvHc8KXRxp3TsIjAm7twEFa9Oxkw61t2LFhbUpnhSi1+H2SwEiLWiAlhQXIc5iXHRM9Y26YTSkqRGvYlSUn36GmTGXB23xBWp2hZ44UlIN0JCmINQzFKGqob7F9ZD4mprwioYRiNHtg+btdS/5LFb/OtMcZaxtseeTiRUv+AtKxEPYj8fyav3r3JX/+OPKHW5gOM/KMyuOIHtuk6gzoB9SBDQKdMHrwm4bXX0Y+OHn8ZUd5OjBJz4Q4GetvKXcx46dCvoPduWP3x+dszhOrdIt0RvsViBwofUGyUWLBvMOdrUgvH3Ehw7Jn/x8nFl9H/JWir+ogrbwFf2WwgfROCbcDNijFRWwsNN4QN9B8X5nXEc47NmSYIOHRpsfaFnfdIo8n0oMhjwcaQP+7FnfnCb+AshH8D9ekQ8F/NSG5Q6cIHzfoZcPwT0faArtZ+MevjT/7dEXzOKArw2+FeDBkBaFkmHdwgHym+NYooWEeZ7q+ZRoTu27B2fOGsh5gPJLfRqRT8pCZO2Fx3TLdRNzxhHcGS8h5gnvwDspZT3424ZZCuI/EXrHrgHwake9CPL3gn3/8T7z87hnL1VDPvl71yMcJbRM2LAhhgAvHoouUYli75+y/VSQ0yCi040C0Bv9djyx2hG8tmNuGx7Mtmz8aaOYMvdRK87MG8oSbheZ5Q54y8ymim0CXoCCMrxP9x0vGcICN0txl0heFL38J3/63SnfmmHpYfO+MOEH+hxv8fMKiI87Qdop8LKRPjWcfKcf/Rfjlz4w/+TfP4ezI8EzpriK2Ntg4UoRyzLQzxFXPXbuB9oF+LTTbE2ULGoSmFbgZUQustqD9DMNE6I1MxPmGd1/Bi0/BLkE/dOiPjXGfuPIN5UqRLSC5QoSPqXaZC/D6EW0Lum453RdcgXBZA5i5EWarFqNyKjX+9D769F7v9V7fAL0f1PxeyepwBqknY5VeWO2xpFrPXcCLJxehiKKWER5JbsLLhLeEOSM5CPZIkEzRSMkemzoqYTLgy5NdVxpMQK1mq/diHKWemJmsGMqC5HuyepA1rqyw0lXGglHbi0SZVcmUpwpZYXTKGHqi73A+kT004hlcAb8glZ5Iy8EJjXkiGx4VHl2h15kZQc1V6CIDDQlva2bt2XthlOrYaGwCApNmZvEoSzJbbhuPXyiy+BqWLbYULvQtwRwqwkGv2LUn7vQLJtty5IyBD9j7cwpKkBPiMkNuufefMMg5SVuG+BFyukRPPTv7kDfLF7xs3/D/svcmPZJs6Zne853BBjefYsj53sw71cSuKrLYFMEmVYCAFiCAgLaCAO201D/SWj9AOwkaW9CiB7CrRXY1yaq6Y957c4qMCI9wdxvP8Glh0UsBklBQ8aryBXwRyIxwcwsLg53vvO/zFkTqfECoqOafwGtZcrm8x20HudgSGTGpQx2oWRCNQeyI+O/+H7qSWHCL1wMLLklqmWTNZBxJejIDGWE0wujyDPBl7jxOeOCUURdkhKSGaEuyazEORqe0FAym4sYn9vaUg2zoZUtr1xzNhs4uMBLp3JIBi+aI6NwVBILV+alvZHZZFJLIWEYnFIWQ1AMNIZVkY1AnjJXlaAsiFVEsB7vEqWA1MrgNO3PGAWEgcTB7jEyIzRQWSAFHgVIzWqF3gcIlEuMMNU2RmkTyiUyDpIqGDosyaEVtD0yidCzYZqhiwOc9lkipc3gnyYqJE1QKbAaXK7ALkinZFxUxCZ1fEGVmyBgSqyZS7oXObriVBzgXWBTXJHOLL0sCPU0Jtp93TUVmh4JQgfQIE15nMPatXXDtJgq7o1LFpQJLQqRn0BWdLHEyYDURWTBIw4hnkJIjazpWBFmQtZrZTWwIcobm36C+Rz1E51AcgzQc/D1GLYhO0SriTaJ3hlQrlcnkbmDqawwRy0RDj8extxuSW7DnhFZqgpRMVIymmMuudWa1ZJuwMmDjQHQVV/acCccojhsBLz0+dohXUgp43dPoW0TusZczSnPGkK6Id1BnbzqQTE/FaGuSE3AwOUuvhiiOQSqKPPM1AgWBmiglGUuQkmBWDG5m4MwuxC1BKiYajiZi1XPgHuSGQpSEY7QbEhWqoCIMNET187+5gq4yhGIkOaV187WQaPA6c4y+6yoyaDUwecUUGSsTgsNlQbQkWzc3eCNz7XYEskGinR0mAtkYjFokJ4xY8lhC7lHu+CFjRfaBbHWGAWeDmAS0lFmRscHHkTvsMEHy7JQRS8JgZUbIarCQSkyOZBMJJbPrYrwDkktC7RyhEfJcV5zvjhcBExDsXCAweXyId23agrWGpAZRNw9MRYkuEMyRppw3B3ycjyFqJtYjthwJBUgJtj5wZGRRQbE3BMN8Pu8cKeSJDIwORpnb3LCzu0MGg5kS4u1s6+gNm3GiiqCimAhz3nKa3RXYGYpczvB34gITBZvC7F7TiBkL8HbmcecCN87uoeAnoocKi0rgWBgoHUbiXRoqM1QQ/ASScFFR05OqESqQyZGc3nVTC2SwDoLJeDufZwXUZ4LL2Dvgr6YNaiqCzTNoHJn5NCZBFRiru+PGk62QXc9YZ4oIoVcqW5LMEavgJkcKwmQG1EwUIRMic5ysyAQHtZTIUFHmG2wyED1GB5KZYdEkgSKQm5YRi8aCIo4wNrguk30JIWNSiSGDjbNLGz8/eWYFnUHHaCYDahTHQDkCUw1xjeab+Tkz63zPLCAN83NfMhZDxkqeY2IC0QoT/94GFFHmc2AnD4PF+AiqRBtBwMZyBn2b7v/Du8Y7vdM7vdP/O33X12/v9P9AQgICSj0/fCGAJ9qRUceZmE/Dy7Lh5cOag1uio7A+hbJxBKO0eMa1wXqAgYV3FCcGLTLkCt0ZtFjQJ8eyXCApU+T5XWFuYTjIgoN13A83hNiyX67p/SnDv3vB+s2CH3xyTdG/Ia0LhuYx1/mEF1mY3CmP5JonfM7RFfxq+xHnzlLffEVuTnhbLek2jpwWDPYEVFmQuJVz/nWoWDjLc/+a/+DZ56z0U66d8kLe44lcsIw9L/WM/2P9QxZuy6f+PQLnXJsNj8yBBROBc75Kyo6SnX1AXm9JTy7wD05oeM5/8YN/xubyU6JTbu0Je17wlpJf6Q/4NP8BN3HNTfmUNS1w4HDP8a/4T/hvjj/nbOX5N+EDvnn+M4r/2TD885ZP/6s/478++Uv+84+PLN9MbPSIp2bJDVt2fKNn/A/P/pLLzY4HvuA9/QzsNf0WfLWmHS3LdmRZ/C6vut+OrjnhPO94Gn/NQ3PDv5Gf8/f6fQIeQ8dGWwwBnybIQqclt9Jwq5YbqUm65KXb8PjjDcX9gl43VNUlUhx46za8sRuilHzhHvAiVnxuHvGtnJDThufFQzYPfoDEPZ/n+/yST/jWnHOSKmxaQPJIlyhOPI0dOJPXGLEcqeb/V/XcimUA+mxJUoBCvz7jrVszYqk1kJm4ZkFrN1wlz2DXHHPFvwhnnDRP+MNHX/Lk+C1OW0YpGcKS0ZzRZsP1+QlluuE39n3+uf6cc3fLIv01Q37IRl7y2F5ykC8JWlPLmiMrolE+P1vz6JMlZ7alSROfc49rWfEEweQ9o1mRNWLMQOKUNq05+AXXMreRrAms4p6j2ZIk0VpLuHfG1+59vsqPqGTiuKjxj07xhbLQlpxbmiHgNxM3zYqp84R2JE6Z8WHBrrDcasWYV3yVA83jFre+wK8iS1qCWi54xNfhPis3suKaKctseZcAYjiy5lrPea1bbs2Wm1wi3OOCM8zjBe5E0S0gjjpnVOFGSsZo8cuM/ZC5oryxiHHYYUBcw0t5zML3nNnXGOlpMzw391iS2MmaGxqmvODanPKKmu8/PMN8ewUlTI1lkkBlYMqOC7uhBSRlaqvUdDhNbJqMcRNiZmcOOTJIwZThSk/oteJSGiaxJBw3NOxNjV07yPPQvXKRio5CJ5JAJyU3uqHT5Ry9IHDUhou8JNYO08NRGq7khFEK9pQ854RSPbeynaOfkpkkM+aMNQbjBPXCpIYpO0aj3NoF/b0npLLDrXuqYs0b8Yx5Q8o70vq7X4lrH83RpOqRIdUgvUIUJClcdth4ynsVnBjL+CLhS8hXBV2YaB4YiqOSP4W0T+R7mfFcKc8ixVsohx6NAc5LZD1h1gIpwy8zRe1oj0o+AodA7MF9klk+31M9b7GfZfJB0U7hgxVc79i/cdQnFXqzp1oJdgK1JfakIDQTnCuSDXSAEZwofJOZLkecs8giY9pANTmGLyP+/QquB/rngjw1RN/D20D/yuJOLc0Dw7hqIY3kziM3eW5xOrmLSD0o0OtAykKBZSGgPUhbYXe3hN9E/Gmi3APlhHkrNBOUz1aYh68pziD9nWL//q6mfCvwQolToPsTWD1KDNegXwGtYN9GfK/o5y1UCu8X0B6hnZBLIXyt5OVA2QbMG89QjvhPhOmLiH07O9XG60zpCzQN2AegH97n4rrkySslXEJ6PvIqwsnZCcgN415pnp1B9xIpQDaek2kk7wPTI2Vylm7viC+g2TqUgfIHghXDkAOmA77p8d9X7KGnngK2dHAIuFKxQw+nSl2DDIpcR9q9Uv3jE+xnA6YDf88Tf7ykOLS4LwYkFvTFSFwIPinH5xk/AU1Hig1jhHxdY2/amXFzLaSvE8OvlPKDCXlxoE6KnI74BxMn3xr6v+pZxhX6ZUf+JuHeM4SU2a1anpztiLsd1a1lfJWQE2U5JmInpKNSP5C5mauH2IP9XMj/LhO/TAwtpAn42xaOc+rKbeCYFX+oGP+XHn3Zs94IwyZQnFsWg5Bfj9hHBrMxmJuMeW7h0uKagPspJG0RI5hWiFcRWej/1Z/4O73TO73TPxi9G9T8Hmnek4h33U92rqgUC1aJaZoHOVKytxXHsmakJkvGlj3GBKg9aV+RvCFOIOqxBkyVyW4EzTAK2VsmhKGcAa+QUDGoeBa9xemGYBacccN5nPi6usfen2Jues73I+8NPX6aGBZLurRh1BUdJZklZ+kLHskrervmdb3A5ZaFFvSm4cKdMFjB5wWTXRN0ohAlsuWFOWeJ8MY63l/tWGwSrRfe8ogTSSzlhiTKt/Yxfrnhbb5HpKYzDZYDjY5kyRztmmMu+JQf8mb9IbsHI6vmPu9P/zv/9OEvcfnvEMARMfTkXHMZP+YL/+e8SCWVrNjkX+Fzx0295BfuT/nb3Q/5j/PfcZUf86Y94d43iZu/z1wfTvmF/yl/bv4VPy6+ptEJz0DFnlVqkfyEXzQ/5Nq2/JEceJK/werAVCm9KwnjiB0Us/idXna/FR3Y8rF+y08Ob1m7G365DLy1H5AlsOaShj2WwCYFXBAGWXCgojXzGctqmCUPttwAACAASURBVGzN7dITl5YBz5YFqPBW7rHLa4pseCGPeW08l3LOFWeUcp9X9gF1MzAifJGf8hU/5rVs+UgdRZy5H0kBhJqeE17fXbMNr+0jMNf01HQ09NIwUJJRpqpmb1aMlDSMQKKl4Y2ccGUrhJpW1ly6LYjn9LTlXnhNEaDzDUe7pdUtN8bwyp9R8ZYrfchbPsDyBZ0NHPUeI5GlXHJfXnCkgRy4p0feiOPT9ZZPHi1Y3hwBS8eWfHfuvAwMOEYyo2QmYxgwDHh62RKMo+KGUiIwN7yNUnNYFLziPm94QEWiqwtsPVEx8oAdk1qC76lT4kW9oigLNl9fIAOMZsXOLNlRc+CM4CzbTYsr31D6ljoHrKmYKLiSM5KMVLlFSagGjMxDlwlHLysOuuFAQ2dqIhXXpuG4FfJiRmZlwOVEtI5eKnpdoB6kzuSFcFs5XITSQLss+NJtqVhgY0+Uio6a3myYiIxU9KwYZcuOI2/9hvdWE7a4oihn907AkdQR1HC0SzKGwkSSRoIWJLNktAavA14mBEFUUTGMLLg1p+xlwzUP6aRB5/1levGEyqAFWAl4M2AZcGSSgYmaTs7pWaMojomegh1LjkWFBiFIfXf8JQepCCwoTU2vBehAItFLSS/CYCPZKziPUtKbGW598Cuu7Tm77Tcs64FDYbhwJZ1sObg1b13D09/hfeS3IbNWJsmUG0HvODyaZ34LIWMmy70ADZk0ZvxkkIOdORpbhw2CvozYK4+NE9EoUkE5zHXGQoJyAKtoCWZwuF1GhxUaR/SgSKpI2mMmWL2OlK8iXIO5tlQhIO8LOUJqBWkNDBFSwjFH2qSOUGbEOtTlmTzr7viqvUAH5gySySSruNEyjQlfluQh4J4vwBoWixG5sIS9IT8w0AwYO1+zJs3V28nm2VkiBsoKU0TSBKKGMjO/9yi44LCXETOVVGMPpeIHw1LBNi3jKZgK3I2FfcFUHvFBkDcV9irgno2QAjZkzJWBG4uMHpM6OGSKHjhfwnhEpgS9hZ1AcLjeoFclNHtMdpjrCDeCVIIXKDsHEfCgTcH41uB7wV9Dd+GYFJIX8MJtgtPKQnt3wXhD4QykyOShR1jGGm4dpiwZihF/pjgNuKuEGQzi8xzZ7RLlCMZnlIQJghsVzmUu1OyBXokT6MYQS4Eo5LPM/ixTjHcMZJSxjCRvMLpAbo+UKyDcNUNFZg7QFGANDIL5umI5dtglhFsooyC1kEsobw3TVwF3cgq7Dm8Spi6wY6IYIuU44qaIGWuKNxEmRVTQ20weFbYOE6a5Xjwb9Jip3hSYNyM65bna+wKKRQ3rkeANo3FspoLFFz32U0V+fEKurjEyUYWZj0i2BF+S4oD2wNGgGSTKzPhTkJBgiIh/N6h5p3d6p3/4ejeo+b2SAbVgElnzHNtQIGa8wmQM5JFHQ+TRbeR10eMIiIyohTFGqhwojhm5BbtZkEwHNpFlBBfBzzhPTIWWQi4mwt2gRiKsZOQs79kM3Vx76Y+cRgj2QCxn92siUIxQjlCGPWcnnlEyB12Sk8HYmpN0oEw3nLkRYw6sOsuTsSUVjo6RY5OJZnbxbPWCH6RrTvWGprvie+GSeA3u/oLCz2OrZOAk7Hg6XrHKB3yZ+NZMnMUdVguMzWz5mmd5xzZ8zRP/b3nDD3ljHFut+Ej/iqfH54SbEnm84Fl+znuH5zyh4+h+TWfPiel9PB2P8tesp0xzm/jz5l9yo8+5LxUPeZ9u8y3hZ1tW4Wue3fuKnw//Pd+ffk3sGhDlhCsqhKAbFvT8hH/JkcRDPCsOVOOE3ymr1QGJR2xKd8S/77aiCK41uL0gXtmsMxUtHZY8I08p4kAxKDomCu0YKJlYYtSyiAeaoiMx0YnSaka0oqRAKUk4BlOTNaEsiFoxSsPAkkFLJhGyOjQuMWaBESWbgVQKcTUxNUInASVgNaNqiRQzRyVHklvQ6ilHc8LoC+Iagvn33KiCkRrDhMNSkchac9BTejyFCdS6Z3CW202JT0JfRI4i7Iyjs8JIicXySfwC4X+iDq94Ulzw3DuiJo7S0LNgxNObBXupmXAcZMGr7ZqibLiuSja0LPIbSnMDMhIVDmbFnjNijKzcLY6Wloo9D9nxiNKcojgaMqUkYMLJCBl6GhIZLxNGj4yidJQcbWCpB7p8iqVnv/DkesWtmyOLgzS8lTM6cTzjFY9tgcAMGNaKKAXRzBXTmHKunFad8dLq7iJcEzUJrxEvlhFlMJaDt4xiZ4ZQjiQDraw40nDrlhybiujmeFfLmpVpSQXszQlO1xS5p+eUg5wx5jU9C2oGhIKYGgZb0VLSypoL11GdNlTVEdEZAny0DVNyZBp6lEAGrnA6MciC19pwz0xsuEQRjFWyJiYtGMw8QA8sCZQYlIVG1FqOi4o8zdWzmoXJFIQZqY1KSdKCXkoGY/GaqVEiBTu7oS/93PwEjJTcspmHSMzRmskoA45Ba46y5LIsiCdCr0ona670Pj1rRrPgyiyo/QMqWdHZLTey4kDDC/0A1PD0u347koSJFhcsmsAEISGwYIbOHud4x9hk9JHiTjLiW9IrD51haibGHxnqLxN+YdEC1BtiDYU3mMmQWw9B4ZjJDAxPHRUdVJlxY7DSMu4ER0PwAssj/j1DWiXaXlicHQkyfz/VRKwMh8YSzgpKH3GqlArhZkGu95hTh44JtzTo2jFJojwFCZbYR4iRkJRyExgWGfO8h6pm2hSYvoXJkVwmO0MMBRIMcTkSH4NvwUwlE5GqzRhjiBslLJQ0QlkpbHb0WbGXFtMbKCGUhmwtkw2oESIVZhrR9wy5jLxV4bRR6nFAj0r60BEXlu6Jx+vIwo7ErIxBSKcl3b2B1aOOfGFxOUOZaP+gQGuluo5gJian+AdyN4QMoCXqA7oeYQKOwvJiwPmJ6X4gXs3DoybObPe+BvthJm9u6FXw3lJESCsln1iyTwh2diSXGc0zC4uWGYg+CjImdCukOFJaDx7CRpAyoFNGgkAL2hhCAUUFrgbRCeMMk1WqAM2LwHWx5Pis4N5bj3WBQ5c5djWuHMgbiGuDbTN2DdwbaZ1gKihDwoY93X1lsQZTFFgzkm4ShVjig8BxFNZNS6wSw9qxOFWKLs1g8rqmvRfYPJrmhr1KMLcQbCYmoTyJTGughOQN3Y+UnDpsLqkvEjY65FxJqwFZKONqTV/XNNs98mQi95bCj7haYAOUgo4OxDPFe/SrCf9wh54qh6ysrdDogiEcoJxgrcRa+K7fit7pnd7p//96N6j5vZKbXzIB6a4BKmI04LVgIAN7nsSW5lcH8nnGPU4IEGlIqpxpz/priN+CeVIR6x683O2WGagUw5xprhdKb0YMBsmWwMCq2nMSb9jsO6RKrMqRTReI+ZLitGGiJNue+gb09RFr3vL0e2DtgW94yNFWGBHeG15h4wBlxHmQVzvqqyvKD864OjOQe4xtOOpEycAPbOBJ/5Lm+orVVeCzzz3lBwVN3aJ0aDS8N/U8uvwNj5YjxV1C4H54gzcPMCQe8Jo/lpd87P6KyURu+IybdUltLvik/JYnlwf+5vWS/kdLPpRf8eDlZ9yXSP3+gc7A3vwFjhMe85zVlHBf3PIffvzPeProMf+aP+SP7Desny756j/7R5z85Ql/Uf53/Jfxf2P99gW/3H0PTOYhLQ7h1jbU+cCf6i+JhdCxZSk3uCEjr5Vys2Mr7VyHGb/72aeRxKFLHK8CTQMrPbIyOyIbhIjhQGWU3ArDTeD8cWJgxV7XBPWcmJk5lPFASaBmlyu2JmB0IqPcsJwHJ6lCTUESYRBhEjtjuDVgck+hiQUZYzJ9mTD3Bdk4nHWUaucKZlMCGZsDWTIdBQdqelsziYdTIRiLyeCNEgCLxwOSIgM1F5yRyDzlLY/0NcHD7XbDIu9QMxFF6aiJWVHJrKTjnvyGj/NLppsjblmyW2+IGI5s51eGnV1yzYpJhVZLdqsn5KVwbUq2tDyWb2ln8gGGSJfXHOxD5PAtp9sDS+loc8FbPedgViBnLPXAPXrOZMc6t6xMi8mZndZ4MTRypGbkqAtutQHjWEmLao3KLfY8gYO9KUjZMdFwYzf0mtiJBVcTNLLTDUlKWkomMYwYAu6uvjAT1aPq8EQqBhpGZnJNRnUG6bZuQZQFxXSgcBm1hoElLSsOruRQePbUvOGcpEtaOWCKhpFzfC4pU8fB1LxhzVFr+mRZSgKEmEta4+jEMmjDFRUPHpwS84AmS7QLbqmY7sZyMA9IEglrB0Zq3sYTRBIFHRnFSECJc3QON0PfgTF7JvGYLGRTcGy2dOLAW0QNieLO11fO1yRKVCViMECVFYOnN83M4gGUTFTDUbcsZGSBclRhvOPaEAyDqbmptkz3HLtUs+OEC73HgSVdqrm2KzLvYYwjUnLMKw6yYM+GF+mc//Q7vjqSUnDHDG1F1AmniqkC1ckdguTbiUngZmX54CcZKcHcgn2VoY2UT6H8wJHvQ3ybqM89Uo34cyV1ltobwqsOubSYsSCtBxb/xKH7hEmR1bMCrEV+kTC2YbKZ41ZoPnCYCOUhYjaJ4lSpfSLUE5MzHFyN+8hSXu3Il5HCCrffDiyWBdX3N+xeXLM6B3e2pChbWFnc0WO7PWmXsFaRZwtk2ZP+XlCOrH50yq20rCWSgUFPGEKJTAfsicd/nEmfZbgUcjDk1z31ueP2LDGWdw6ec+DeSP1+yfgvIuntxB36C6wQHTBBuTnlcPOSzT8+wfqJ0yGwEgcfWFJOrD8W2m1FPDP4hxP6NwN9tOyuA2cfb9j+RSDniH1VQNvDFlZ/saETy8mLW/hqmssuf9bgd+EuOlPh3Yg+TtjWEV8Hul++5v6fVOiPhfAVyJXSWLDtEVzg6R8puespnZ0HZS6R3hPcQ4fpEmMOmNrTPJljWHVR0n7ZU1ZCyhbbzazAHCLSlMgK/KZEi4Reg1wqXCnupGJaZHRrKSPYcWS5KTgeJup9Rv/mgunnT3n9x0uq5yPmf5x4fXPg+ZsD/+hcGB7D9KSieFuyvAXzQ0dzIhBBnUFPM+49kM38cqVwfHNLXdbYHyQWHwgmRIpLg73vETsfl18JL5sF/geOjdtRktBOCH8dsKVQG0XOPLGJxKpieeLxzy7w/8TP7Uy/gF0bqX+yBXcNDor7ay6c5eFDz+LPgG3F+HbCnBryh5ZsM5JqpucDtzcF5+drYrpl+V6Ffa0MpaeIhmE6sNgqroRU/85uIe/0Tu/0Tv+39W5Q83skQRARksxERwuzu8ZkcpyhmsiEpaNJSpk9GgXBEcySrJZlSKwmpRrA3cFaVYVMjaZ54emyxSZDkSKSlYKCCQvSUXCDY5x3IE1FzBM+GTINqlt0qonWkwwUCergyaki0GIJWCCbEZU4F+GKY9SJFYKLAZNasiuZpEZ0AdSMlCQ5shgjmzAgQWgi9JqJ2pNEkVhQpMRCR1xoWaWB2gwUGnG0FGmikpqF6VmHHZZMqW9Z9ZlVfslDrjHJorlBc+Is9Dw5RgrNpOHAafWG0/QGiCw4UKY4txCNB9bxW07sQ071Gy6oEb8mlpfU5jNW/RUyQKFKSU+pHUkckwHhyFna0U4RGqXQDpOZ7b1ZcCYTDWQx3/mdI6WlVkvRz802K7nF644y1pRe8TKhZHxylKnG5UimxmhBBEabMVqxGlaUFIxlwcBAUsWaAoMh6ESmxIqh1ImCnmwDA4ZAhUimdZ5RHDYXGCnoxBBEUTW4bCk1YVwkGkhiwdQMlIwYkgSyyYxaMFlLMDUZP1dNSyCrEpmdEJ2s6OQE1URP4iCJKCPvGzPXrYoh0qC6QbIj2A0dJWI7soJJEz7XJL3HwDVRFig1QRKDeKI2DMmwd6dc2S2gjLqhYJzrgXE4ElaVIss8Cslgc0JMJhnLQE2vS4psmCiI5hrN4NMMJTXiSFLPbWckWoY7opBFJSGuZTQrJmtR7SllJGJJYrFa4XMDDIw4sjhMmjBW6TFkBCOJTEYlU2iYxx+ayBgUR5SZypUIQMJhiVJyNAuGXLPI7QzatTpXXKull4q9qRlYEHUeHCexTLIisKECxCgqFXtqgvMkPEE9EU/nlhxkxS1nHMwZk1yTJBNUGKShpyaIIdqKSTyCR7EzXJ2AkhlsxVFWc+SICU+PkAh4RqlJ6u9a+ioOsqa1SwKzuyg6UBuxKB5HpOZIQ62C0fk3K8hcvSyKiCVjiWIJMnftCkoWj2WiJJBzRZQaLxZJEQtzvbezdGZJT313vh2isMiCZMdgaxIGr+A0czCOXr7jlU9A9Epy4GW2II0mY5qRsQQ/gmPC2hmIm/0cPbMOuiJRlHlmw2kkl4lYQqEJKOZho0lMRskyl2MlzYgFCGASERCTMcXEUCrWd/hckCPgBElzvCO7BSEdKRFEBZMbQq5Bwhyp0sxkldZFSiuze85mxqLG1JHWj1S1xw8OCYILipsbCEhiMFqBtuAm9iXU1uDUzJXa3mPyYWb2iNIWsCwDPlbcZaYZnHKiFjdWSDJMRYeY2bmLygzyjYZJzYxUMhOY2f2mNoFvGVNiYcAuEjkHgjckkwk2YMpMbQYcC0igMjL5TJFHsljECnhl8P0MnfeR0WViMf/80SumDlh/ZPJKVSRowUZmaLRR1CY0wl0bAzZmiqAE64iuwOhEFWbgtugc9VIV1BoSCYjMHfaWKgLJEK1BMtippkkzywwjdxVFCSsg8e5L1flzYJAskIBsKMMMepacURMJviW4ufnQAsaMxCrTV5DJOCdMJZQcoUio3EF+1WAiiGREBu4AWGDC3HFtFNwERSKWcY58O5iknFvipGddjxQ5oqNHc5yhyQ6EaY7d64JAJlYJs4BYmDkJ5xVsQGTeMCtjZBHnYSGFgp9hw4ohFsIgcT6HCMEmBjugPrN0B3AQxcx3VwcYRS1k966g+53e6Z3+4evdoOb3SPPyJoFxJLHzgxeAGhwGIyW5aIhiGXulG4VEzXCMpGXBMMLtyyOytwy3kMNE7kfCbSCUHi4G5LBAciST2H/ZwjDvHkcjkD2bCLvjDj17ny/y97g2tzzbRn49vcenv/a8/HXkN3/yQz74g3M+djuGv498m5/yQjz3GNCY+dI9RErPRhOTBDbrHvqWphu5vTkSHq14kx/zhvf5Nq24kRM+khvWq5Y6Hai+6ZkO4OyElwHUMpQlY3XgvaMjfwWp3hK2NTmCnW7RMtLmU/Z2gThP3SUuf/0V51hs2nO4Vbb7RDVFnnJF+fmO4a8y7GF8FXj6p1f8Rw9+zXMekSUxdIFwEXAmcWss26d77tmvuJFHfJknfNEQzIZcl5ixpe4TCx1I4uhwNBKpJVMdlWM7kRZmfggylqMTVvWa8UwZGenzktPf4XX329AzbTl7sSP+r3t4AvbRBc8evuGV2XLMNUHvWo1yII4jOfds2fO+XhFMQZSB6nJi+m+/xlQlT37eIQ86+km4XnxMYUd+ZF7wGSt8ccsj7vGI+xiJlHdL/4hlEouK4iRizeyKKFSY1GHFkDTRYziq41QiWE9MBktkpT1VngjiGbMSRBGjzCsJC+oRcYgYRAOljIzJ8VzP+Mad8VOdqOLfUsfERbllpxsmtcSoXLrH/Nv8PQwJtWs2JxdsCuXr/JDGGDpmtlJAGHNFoKFXzw0Nb7XGqtCzpWcA7mE0ojIClhP2nJkLxrUQ7ZqUVmQpmCTiZM+aDiOKY26WyQAmUdGy5IICIZEZ1DNJomZioTs2puVbHjCpoZDMgo6cAQFPy1r2CBMOgwxKdRh5cH7LJRMn3HDOgoJMrQODwkLnhcRAwUDNgZKjeIY752A9r82Yck0nju3dwCuL4mnnIZKsucpPEE14SXhGRkqOumCQmsiAkQJFSXfvbVQIpuAyF7yVhkvOMRp5pK95H1hqh6pnZ884SIkwkqVkwFFqgU8GtZ6M4BgoZCCTSQgViY1ONMBbSgYNKBaX5zagW1twpSXf08SJdqxyZEciSmbMltey5ZINT+SWJbc0aQBbMCIUMmHvnJUJj1HBAyrgOeJo5895tyYrRPGSWRGoGJliIliH18ADueKC9znTt/xB+hVV3LEzZ/RSsJEWlUTNklqm39Ut5LcmWRt8WZL6A8Zl/IMSKTKjc8jRYE8S338GWmXKbOmMUPykwf+wRvYT49cH9I3iygqpBiRY9NoyjOAfwMmfLQlXOzpjWX+05HBzS/HKoKYi9y0QoZ4XsLoYqKygExATsauZvjrgnaN7qRRvEhMJ89EZMlnMq0vKbpqhwkt4/LMV+mwPaYCrzMs48vjZE1wTOH7eU+4s6WVGB4tvFLkdsUPG3vbI4wJMpnoqFGVF+zzRv+5JTyxSKP1OcRlWH98jpgvcsScOissb7GGPOfbktzD+asKeg/lIqD8BUoLOYI5KXU28/xG4j0pUbtEJwm/2uNPMNZbVB2cY1+PeRg7fCMtTsDc9jYV8a1h/2FCHiUoDpsvIYWa85KjEEU72E/1VIL0Q9KBsHKRPhzkGWAr6qGDaR4x6XFBIitSOURriVaA2CZtHfvbTDbJIcFA+u7HUjz9gGy5wzy+xbwZ6UdyDxPqP1ly6RDUZfD+3cOn9Fe2xZ1Nl4jJjyyXyZcc+Wx7+oUOv8/z7cTBcgO+F4kHJ1A+EriG/EUyrcL1HDyDXCg7yBjaXl9gc2VxZ0Mg//Z5h/UOD+XBk/dhz+fmIVAWFAXMIyBmEyRGvBP8izSVbWaDLPDhL+DNIGKbkKQXM60AaDdWPV+ihg8vA4qNH3MaK0kG1MsgBogFvDO1tpvhwQS6E/qalPkksRLm9hvaiZHt2wrOfXhIeBzAFOc9utPbqgg//zJD2PUMB1Y8e0375JcW4ZPqV4eqzkVV5JFcLVn+ScKeR7f0C3mSqcNfgXWWkMMTekQ8Tsng3qHmnd3qnf/h6N6j5vdLdlpjcVYTqPKnxea7jNRhyzlhTYHXm2SR1GCw5Qk4GUomoJWYw9u7BfopYV0Irc22nKpZMvBFIDQmZaxrVU8V5YfmmOOfX5o95JbcoF1yY9wjTI+Sw4Uu/4NPmEU/rK6wIb8wDdgQ+pANKvuYZxmx4VA8McqCXHYtlpCwEExSbG27NKS/ch3xmHnArpxR8ywV/zUMDRa7nzysTTnpsrtgbQSTOcM69RWNDi2J1dgZFa2lZcqQg6ewauH/TcRLm50rt1sitY0vmXC9ZdBP1QbA3FfWVUB6uObn/Sw60XJmHIBW+Bdl7qq7B5czGvqbiklIWtJrZ0zCUa9bhmkXKiEJHRcRTaiBoRLojTQfHZOfWDm8YRThWDTfFkVEVsvvOD2rO41uetFBfGagzmwnO5DkHPeGgn6AscByxEkAyxsBCX/MwCJeF44303B+V97+KUAv5pwdkfcP+uKFdlBjtuaefcSFLPIkzTtjyMcRIKQPetqAFLiWcHbHSYe0ECmaaK1eTZAY31xUnqRA6nESMRjwTSx2pUkScQQygA54BpSJriUkWZzOlTlR6ZGUOiNRcsKXNK/4wrVjqXHY6yoLbXBIlgIkETnjJRxjxIJ5uueCSA5c0wPou2lMixqO6YMye7AoyBVEXBCm5paLCsmJLwYGkGUVZcEvDS5KdnR85Vwh2XqSZA43ekDAYOZKZiCajjJTcsNWWQhwtNUHBiLKkZZ2v2MpImydiGij9LRVHgpSUkvHSUnGJkZEmR9ajp2oDfnOgc4G13XGaaqwItelos8HbuZp1jkR55jFEQcZiSCxypNZw5/QpmERIdo4SCQdUlEEXHHhATUupLWUKWCm5kQIxFqsemyuSiTiTWOWRAk8UTy8FAwUjDQc23DIfXxMnchJufUVPgUeJmkEcNgs+R6x1GEoKjVRynD02OVGJ0ui8yEGFLHMU1UnG3w1zRhxGE4s0YDMkEbLxRJ2BzDtKHrGj0ZYiJeL/yd6b/FiWpmlev/cbznTvtWuDu5u7xxwZlZVVSVZR1UmpSt1IjarFotkgli3Wjdjxz7BpxB4JFiBAbKolBK1qUZ10ZlUm0Vk5REZ4hE823vEM3/SyOF70CokhISqU/qzcZJKbLb577JznPM/zoyIKdHNWiaJ+TtBowmtCVPCyxzHM6GADIglDRpiHihtGalE0K84NrMsVzoys0g3v51+wiAeW9sjWLWhlw1ECrqw5lW8+Etc4RXNATZkTDhS0gWQUTwFfaJqZhiXZkXXPdHrA2YHiCvmlYpKldA3BjDitkdEiBrSZMM2EtrM7JlVArULoEGYkse3nr1vTgyvgE6Ew4+4jNBFsVBjADmBVSSpogXYw+MEjcU6j2XbP0Cq1JroJ9rFmqpYkrui2IPsOxpHJKp0DGQKNVbLJGGfIIky1IosRYxU7FRyOIGWuhx7BWENcgHc624LRshwVUzJaHF1fw7XAB4lxDaU90qgDVbIzc7SoG6HIjGQeLIwdkQPRLjFmwGZgnBO4bmOQtkHTQK4mtEoYBJcEmSwa80z/KYo7ZqqDgakmhRFjPHJXaJdgFoq6iNZvxuKTQgS1nsgCxgNSMuKVzo6UIphUGP0597ZF64Ymgz0qq1ihNRxxeCJ10De4awUv6Jtbs7RQ/JCx0VEdC20UTBS0V6Sp8ZPOkdkqkQCrgk8yt9mjoFogCGIMSZXFoHS3CekzOSlrwFV7plXBVhX+UNBqvs+RSckYRFpMKuikmCljokXUoxoRM2cTg4u09k3KMjMDJLyhCuBZEFwH0mCkhhwYSdSiWAG8Ac04AaNHSIEuwzQajA2ULuJaKLHMibPSsdiOdCGxz4nSAXXPaJQ6O9b3FfbZDnN6Svc4UMnIbjHwwAR4WUGEpAnxBXUGyTW2j2+op2/1Vm/1Vn+79dao+Q2S4lDxoBFXJizV/xGnzqlCSWAPZBmZRkXGQC0DpVZKtSYHj28mzCGiCRKOUgVsozgDcuIpUyalTFRLuxISPRUTowYwW5oSaHs4abaE8GPeM/c8OhuY5IIP3v+YkgtP46d8sH+O+U0UZgAAIABJREFUDwo+8K7eU3TgIA7xRz7R5zzWQpMs0+6OJ2XDUo/su44be8ZOLqndyBP5Al/2BBxncsWRBT+vv813Lu9wr68oVOz0ybxlkQoH+5CvlsL2/Ru+aCyFbk7n+uWbLQ3DrT7gx/IxD7sNj57esbodOTYQqwXnz0bK0fArzhgen/Doe2d0v9ySPnTsH3Tc2I95Hd8lOUuuhfLQUB57bi7O+Ew+Zq8NB71kOy25vSl8sf6Ef2E+5o8ebhhVOOqSDSc8lBtq3SBq2S9OORrPpA3IhiyRxaQs4sCUI21vae4zfPB1n77/d/ImMtaZelnmmaVD5ARlYQ4szB7PYjbaTERVybF58wBuEG2IJJKPyALysmLwDZ1ClSI5DuS2YpIW8BRtybIgY3GSMWopzA/3Ki2ZliQWLZnaQJ4EF5TKJcRVFPFUKbOyeyoGoi6InKBGwR4QetoMq9ITrHBkxfQGuVIYccbhy/zmUiVwyR1eNyzcK6ZUCEYQRmoJiM5pkQWveFp+iTUwyopae4ooFYkn5Y5JxjfktJYV96zsLZGGBQNrmZgkc6TCa5rrfyiNJDJHGpuYSyyRBXd4u6cuHadlYk3golwBBmuVloKVhOIJumbUiiwQsIgcZzMNYdA1mIkgicoaCismUSYpxJIRaUANlexZyD1Gd2AMRWuSrAh0oC1gUPYUE1GGua5jeuY5X8EXT2UGWraI1DQ500pCJJNsRRbFM+IRSlmSRXFmS1t2c23ROhxbHrKj13N6WXG0jlZHKgpZeHONKyzVs6SQZOCEI3XJYKo5UVhljuIZWVBKi8lC44WF7KndEcMRKbBAWTEjrFULRQwHXbBhwWgTHQc8FRuOJLeiYuKckWWKZFvmnRk1qFpycSBKbQaMBIKs2Nk1o1i8TogyV7twWClEmasJ61zorGFBZk1PLRVOMp4RMZFIYcRDNjQWrGSKUSKOiSW9OyNL4ugqihSEQqEi64qDLL+2a8ivS9mBywL2DVFHGooVtBxm1z54hl5mjHIqOKf4A0ipKYdM0Ymq8bA3GCvok4RgiM/A7y35xmCOhXprSLcR7xSRHl03ZO/mB/TRE27AnBlKa/AZ9LljPBbMqSWFAR+Bhaf4zESAsmQsFTFFqmioU4BRsZ8aeGyxJiN9T/XyBrtIFAUag1wY6mxw65ksdBRB2hpfZaqoyEEoasktlKqhdzXu3QV26jH3AXQidpDPDMY5pIlYL/NUdR1gNcIDS7aGKgrSKrk2aC2YpxX1PiJTmR/wGyBHKIWTQ6F6tsfkRH7HUpwhqqBOsTFhzDzQKzXoqmAWSrnKmGzhAZhHmVwKNlsKEyUVyIFcy1wtTgYrjlWbZ9PaWwqJOCYWoRD6mfrFsCflhEgLU+bBzTWuEpppQkXAO9AAxTB9dcSfrzlME2tvKEMm3xxpVtVce4oJKwbcSDqp6ReW9qGBJJicsLVDJ4UqU52ArjIlFLitZyKCnZBTT8kF4zLJJnhgkLqQn3QzKexQwW0mN4U8KjJlykmhVEIONVM/0V5YGCvGOlLXCVcX1BdYeEyj2ARh6/CuwDoxTQPaZ8TDuH/Jw9SQ+4S+GCj30BTBFUsxGdnM1a1iLMdc4+qO/rpnuZ1gEHQBzitmyNgE2EhqAVNjTY0OAc2GZQtNM1EWE7wnYGAKEdlNsFoyDiOdBHJj2TctzkW6U4M5SZBAluVrvIq81Vu91Vv9X9Nbo+Y3SIIiOncLihiKChTBq6GiYLDkXFMPLaevhNaOtCXhuppdB9vRYDowRbADtJoRG5ClYSqKXtSwcTDWaCz4dcckgjKR7DyFeTYlllPhor1icb+BfMQsMqnO/M7vfcD0SPjt/Q/48MUd+QjT0vIuL9ilDTf+Ce/ZI9+dPkVdTW8ecnbzisvbe8yl8PnJO/zo/A+IdsEjNjwtz/lAP+eh9NxFy19Wf8Q/W674Rx/8kCdfXnMw8AVPaeUF73LLL/mAZ+tvcdoeuLLnMzGlCTjfoCWizvJzvssXcspH7lP+0dMfUPd33D/1DBfCAz3SvTjlRf6A8OSaP+62eDbIh5bblWOL4T45rDshrzz6HhzeM3xx8R6flg9pJHAjnvux5vkvO/78kz9leHhH9zTyMH3JlJSdngMDizJy1MCPVx8jywsmO/KYA5SBOoDNG5a5R++V5pl+442axuxxdUHOClSQ9jue6J5ertjKOSoPsBhcyRSbiW1B8Hgz0ZkdLYFKG6ihLBPZHQBFh4IZAid14oHZcC0TozpGUxHV4wkolkkaBnWMCJmKqC1j8kjlmGLG5h4xQhLhVtYc7QJjFClKL0siCxpNNCYSgcoMnOSCuoxKxwGLGqGTTMeO5s2De6uGh+YlD+Q1T/iC4oRBW5SMNXCkxWhmmW75Tv4SR+LGnJOkZZtbjCtc2Bt6llT6BOHAJYFLvQE545Q7HuoVIa8oWuPMkc5sUSxKpCFzITvOdcNODB2RRiLJDTTccak3fCifMciCg5xiyQjKkZp7OoIaOvVEOhYaOZEDHsOdWXDPCcksWLFnEE9mSdQKU5YkuyKZhk4Dj9ijeU9qleQOFFkSBXoHjrlOhRSUBZIvqcpzWu7fTOnWNJJwumGSBeoSlgErW5KdiCzQ0hDMmt6ssaq0DHRmR6MjRxa0Ujjjlg1P+Tl/SK8VlfQ4Mlk8otAyUpsjtR44o3lTUzREsRhbGKm54oI7lszF0Lkqdll2nMie1vQkM3FaJh6L44aGvZsNvCvTsaEh65q6jCzMxJ4ep4GauVbXlQHJCRPBVsLgPBs54cgpjgGnLT01R9ORqbAUgiw4mJaeTJEApaCiZHek0gsamai5Z6kNS50Q2ZLdQCg1PacE66ntyJo9p9rhJDA6YVe1dLogSoORglWLLRVRlgS++Ujc1M6mLJkZM217rHE0x4LtQfuJaVNYHGbstLYQB3BJCYOQCtiuJm0OxDOl+o5Bq5pys8cdlPwiUA6FZpOILy31uxWSA6wH/AMh7QrmWjHPwV5CPlUkKFwp5n6g+57nsA9UYwMrQzjrOZqIGSxoZowTVWgwKpSQ0R9a+E6irhV/C9VnPawTIQKLjDxR5EwIDwS7DMiNofIOqhEJiXKllEthOjNQVwwezCee9SrT/SjDEDEL4FKp3iuU4YjRgmkCaa2kR1A9ceQqzvssDy1eQatERtE7oOyhzvgV+JRgyCy3BcIGfZQxn1hSqRhlzbLcEdJA40BTZOrAP3S4tSVtEgUDHyjxY+AltMcKzUfKPeAXiIm4kLFJ4cKiC8iHBK4iFkPpZ6rSsJtrQboLlDRvYHGsOX1xoKpuoLaMIjR+gQlbGOD4y4R7/5xweIEUxR8s+ThQv1szbY+4ocZbT/FgTyrCqqZ9V7FJOKSMWwn1LeSjUlaCfwdim6ieW3QzYU3BXCSmTcG7iiEk5EHFYEZOP1gypp5qb8mvDDYl6quElUR5CJIUd79id7hh8X41p47uwYpFu5rSHmAZ4cQgt0q+m3ArRz6L5O1AfSWIOnZ3O95LR8YXe8zPgBvQ05lGV2pFdwfMraEsao4ftFhdEF+/5OL5jETXpZtjYYNiBkGWhXyuxO6eat9TBiBs8ZdgLxLhVKg9yEtF7iaWVwObd87Yllu6GkonHMVjXcXi4R3spzmVc/qW+vRWb/VWf/v11qj5DZKiKAWKeYOKFd7YN2TAFiFGi5qKzVJIft4kmJyi5g6re2Jzzu7EUi0A6VFriLUgqYfUk6SmdwplfiM871zsMXqkEBldzQQYVxATsGbCZMWlCcsRNSNGMqaAKRbNFUjAuxFlRnRqsdgyYfWA05E6gEZhUeZKkJEDngNGHZmazJFlPvBe2OOHyGlKqFXEJJwcKaQ3j+MFkUx2iuqRjganbkY2m4QlUucJNcoreZ+/bJes2td84T/ECDxq/2uSSUTT8Nw85quTzzh9pKR1yy/8b/OK3+PGVDwugSooA8LV8h2eyVMOZs1eIhv9FuXwPvYAPo6oq5kyTEmJsmaUNcILqtJjJXLklNZaJPeIyQhu3h7KBYqlkDEav85j92tRFI/8zb6FAJVgydTljrV5zamuaVWxmmkTNIfAWdOz1Y6MoaFjcMr2HLQJuGJAPNkoTso8EpsU7zIFR9QZTNxyYKE7OnZY4ExvaDlw0Jq6wHLqaQcPQ8SfGIIYIom67FnKNZ1uCFg8I5UeWefEOil2ajnRgJGeYxUwzBWpVkYShcYcqDgSS4XLI5U5smQ2Oevk6Gq4cFc8kZ9iFE7zgVWOoD2N8RgTsHHPE11yal+gXFDrAWc8UQsL9pypci7XrHTPTlpAEZkpQ6KJih5TBpZyy0qvKcXT+T2d7kCVC4lc8JIH4ZreBCoTWZctVgrWzUhuq1CZgBJZpi0P85FkYYcg0lGxoeOaWu+p1WFwbHWaa2HqWeqeMwk0uxZKoV0WVlWm01vOsLR5wVMNNDrx0L3mgf6KB+lz1v6GikjNkdNUkQR6Gei4o9MddemxtlBwc6VNC53sWOqG07zhrGxpS6TTjDeBFVtW6tnxgskUzuWGaNe0eT0nqDSzzjveKb9g7wOqE13ZsJQ9Zoo0Rjj3B5ZyYKOeRo8s5StW5ZdcloHTlFgn4UQTu+rAvXcUKgyFtvQsZYeWBauUaKpAI/v5XGrgQIehUBdFprlisvBHFrxilV5T68ja77kvimfLgiuMGnKpwCieSJUzlb4Z8GRkkY4s7QEvB2pGjE4UUYwMtGVgmUdOYmIsWxa+xxYQY+bNHyxS5sFjZwtBA7VONHKENztC32TVR4fGGlKYr61a3phczBUWU8//FoOmmmYC/IQJnjIqQ5nmmpImvEAxw1zLAGS0uGxBhpn3LA5FUAfYNP+fEQiWNgo5+fkMG5lHhvNcjSlGyVWg8R2CYMxc110eLO00/+3PBmwBHwR90+85O074zQJsINgRrYVBFHFQS0ZCxWJMjJLBCqhjNRVsqhirwtFnTFZaFazmueJZahZ5wqSMuIQRJSZA5/HfyUIlQomCQ0AimmZDz0ZwCSS2mMPA8iiY/QrUsAhbXF4gixFKYZSAaOSkvME7jx6SwzAhsYJJSGYHdcTYmmoomDKbafa4YhEGCDInMi0zcS0VjFpMKhAcfoKUhagWa2S+eyoJF0GCRxIskmCOHlLFOBVUMpotBIdLBdRgsBAtJjgyBVzBhdlkpc6kVhmqRGciNhdkX9GhM9EtFQRLVSrMVKOlR1JEis71KZsRB9J7mmOF9g6JBYk1ZZ7ZwWewPcjRYsIK0QEtikw1TQBj5gqx4036xSpRQKNHDh1+6iEqEh0uGlwUZNfBwbNsdyzLgKaAGR0mKJU4os8UC7IHnSyu9dhsQDtycigGyRl5A7sgGEwxUClpoRxM5jR63DCfvdFBa5RcFOMVbMak+ZyfT8LZpkN3AR2EZDyYGjPVMEXMJOjwzR02F5F/DPxjgPfff/9r/m3e6q3e6v9LvTVqfoNUmP+Qky0W++Z+WYm2MKaIMkLJvKwmpr8HD5Yn/NwG6sWaZf4rPvCZF1mYPog8XkOuEsWvMOsV7RCRoUcPZ+SVoxZDpQl1gcIOk/YUCjeN8NG6oZ8CxyfvMtQLmqs9ZfmE0V1i60v6y3PcUCE/L5SrA9ZBS+RMLbt4yc/r9/nEXHOWBvy3lujKIL888uj6lj/+3S+5viiMUtiZb/MVF2xY8r3qZ/zpV3+Geb1nNSg/e640KfOEl3gqDrLmQjdclhvsdOBVu2bIDfoyY05G8mkGTfyB/RTPZ/yQ7/Ofv/gP+O/+02c0H/y73P3kv+Dz//gfkG7+exp75ErP2dgnrL934F/xDv+L/jE/Hd6j7hIfh/+N1Ystt7/w/MWjP+FfPP6I93Tiykzc9A+5++eK/Ysv+d5HL/hT/pzf3T/j9rZh/PCUSQOdHHEyEqTmidki+RVYYR0Lw7Mt07NC9YcnvBoT3ZMT2hPe4Iu/uTroKaSRavDgIt3Fii0JrHDJkY+4pYjHeaEZLe6zxOXqmu3iETs5Z0jC3enP+ODfN7jQMfaC+Ix934AzxFzTV4+41SXbsqaXE5JYKjtwKhsuuQUCxS54xh1XsqIB2ptb+DwiPeSuo5xbLthR2cgjuePM3rDMIw/0Bc+lYxUSD766o78L+JOMeTxhzzOdRLxO1BoRqVkROOWKG3vGl/ouL/V9mvg/8a2XX9AMOy4+WPMd/5JH9Nzrgqo2XJdzoi7Ym1PO2PKOeUUrllMid1LTJ0/iITep4t6vsBJpNVNLopYey7/eD1nongvZEg1UMuPIg040zGZSx0AHrAhkb8gCC73lPPQkrXjY9rxj73Em4YnsEVbSsxYlmsCOPSFZzuzAuVyxzDe44OirE+5cYcEWi3AmPW5K3P1Zz0kC/fuO+uMD503iNFvc3TUn4ZbuwZLfsr+g4HggX/FIQAms2BHSkugdlYyc65bTYU/bJzhtiK5FgRV3FPacmS1n8cDpYUM9WU4kk/OBRQtm3PK9+ENMG/Hrwr19nw1LsjllKi2nmmjkc16x4GAcj7jngjt8Hzm1gT+UXzD4R4ympdOeR9xw4nesxwPVzzc0uxF7AcNDQzzzVBpYph1PpdC7c26soc1HhMKCwrk58JqBLSvUCWUzITegXeBhc+Bb/kuO7gGuRB6SiUa4lBusFiJrentOW3ac5SMn28JiIVQ+sVRlkv18JgExgUwisGRJ5h17y3uHz2k3I772tJeGo7QYPMtcaHKPSwF1SkTIknD0rOQe0fT1XEB+jdr+t5nlg4HSFZKAbxT/rYJ/4gmHCBdP+NnNS55ONfbLQP/jifSJ8Nj2TDeJ9h0LYUT3BddAtXXofabdCvl5ZHiZaJmTMsM00ZaGnMAfhRIL/iWYv96y2QidLNBRuHohnDaJ5IFbZczK4jLDNsGXmfb9Qu1Gxn91z6pY4ipQdZ58OyEpMb5M5KNgv4B4/Yr0kdJ84jle3bM89ejGEP46E4cJi1KGhOvWHHeR8HJC0oS7EPRhi48N5s831JsMO8P1r255sDYMXymuEkaU/I6jvrhAp3vaKSF3Bdsp4ZBpXEvqx3n09y8KX/zPyicXBnJm81PlYZ7odaJ+6nn+6Ybz94VOFf+7DvdoxInw+s+V7i8D9a+gv1WaDzI5TKRaWH7s4GDo/5ni6ox+dSD+yFBVyvBsz8k/EMzjhv1VYnUjTL9KxC00z/botcInQq+G/TVg4WTIlIMn/eyW9ntCykr/2UTXJcouMhwi1QFMk2m/b+jbDacrj76OHK8j2QiLjWI8TI8m5HSkeld4/DsV4/2e8i8N+ceR4+tC9SjiHoKewP2nA48/ikwvwR4SzcqCZIx507T6qwP9X4J5Zdj2hQcvDF++go9KxG7BjIHwK0GfX5N+X7GtRZ99Ra/K0mXYFsoGYsiYm4lwD/oTQ/A7Dm1mVYFeJfSB5/5FoP1VT3ut7L4ldCWRWkGnTLjO5IXSPHTs76EtLeZ6ZNrvaL67pr2+5e6LRDgqdXFsXwiPT4QyOcarTH1t0I8EMy3Y/nBD90uhnB84u3To6JEXPc25R7/cowdDc1rIn35O+B8C1WtL/NAyvZ8Jh8ThByPdDxL5CLu05dG/8zVfTP4fSlX/CfBPAL7//e9/82OKb/VWb/V/qrdGzW+Q5uxMIatFZ9A1b7iRlLl9DQ6CUSZTyH6H+sAojmQVJ0qtdsaCKDP+NRd648lGIBQkCqb8DQ7aAhbBzT+LgMhInQPtVGB1Azax7Ces3VIu9hza1ygvcSlAX7EKifP0ik2554jlQEUyAV+OLFNPJhK9o8mwzImj9CSZcAhdOWBxOPOaB+U5p+MBxoIEQ4Wnp2Zm1KygJIpsEFtIWhASTiK1tjQlgXomdXT01OUetae85t9iP/4Ow/YTwu7fRvpMFyBrRSkWsZ7BtdzFM+7lHW7MA5ZsUJ1o4sQ4PeC5/SOeuXd5nH5IRYZQ4UeHTiuaFKl1QxNH/HhC0oqRgYoJm+Fol6y4R8w9VpaY4lhOC9w4oMEzFUuplEWe8F/fsfu1KKAkWjR5KJHaCCIRUzINysokeikEm4gCBKjKwERixGFtw1EK23Wh6wMT4JvAzhqiFnISJmr2csrRnNDLgklqVMGSqBixemQpPUYCEY9Ki0xlDgkU5l0V7LyPUibEjDgJdGbLgjtUAlYSzXSHK3mmtmhBGbEMCAlVB+pYaGJt92yk5VZOiXrB77t/TpUEmaCJE6t0j3GZQTITDTuBSRp6OWGhPY0GzuIt4pToFhzNGTvzlCtfsZM1C9kTsVgdOeOKrRaiWeDILMqOpT2w0w7UIUZQiWQKlkKlPUUqCsKAZzA9XZmTdKYU2jxxyojLe7pqwlJTJDKYI0ZGhIaBJQ/ykZWNrPKEyYmkDuhAepwaGj1QlUidwR8EHyxHmQs0TU5UZaDyewartLJhra9ZlnvERuTNro6jQMmIBSMTXRppgzLlmqGqiBSMzDUiXwotE60GqmQoYgm5IAa6PNDuJ2wuhBaOfoOVU4zpOJYVYoRO72nZMWpDRcDrTBa2tnBS37H219T6HrWOzO+QPZU6FkHwA8gEopYs9bw3wzxQ64kIRyYfsJJpCCyZmPlNA04mnAAZJFp8dBifERnmt+OYeVPJBloGsjZkyVQkTkKiGqBqC55AUxJOEx5mHpQGjJQ3ON1IpzvO4gHbz2ffksmmYMi0JePSSJLEKIKIEBCSZNAyb3Z8w3W+rchLh/qBzhQkzHtSOEPK4F1haiEjdGNFtYd+skBHEw64EaQ4tCgkRWIDSXHDgBsr2lQwRRExdDljR4faAOJwKeIOFQw1pOO8cZMcXTbI0GJDgKnB6QFr8kxQywaKUkshZEGyxWWDZIMJEyYCewe9hxDRKPh9RPY1HQlyxhRHMzQwGChvko1JwFb40EO2ZC3z1pYuqKcO0wfoa1ZpQEZLh8DY4NzA3aTgMmLmOxGZDNZZUihgHVHBqcMMHpsjoh0mT7SDYuOKlQ2UneHhDty2RnOch5ZTQYqjGwrLQ0PuPSeHAb9fIjtP9Ns5ppOVLimoQUdDNXrmIMeISTWUgtOCRE8zVbhDxuwrZBwxSSEq7SS0FmRooBg0Jwie6pixR8FKA8dMdVRkEGgsSMSYETUJo9D2DqTFxj2CYRFbGALlPBHdhBTF9S123+Bu9tjGQWtQr5yGHjM4XEp4Ncgk5GZOBNkMEh3dBHZXE4cetGE0MxRiFRWdLIvgEGORacJoDfvIyiUkWTCKi2CHBhkrzHEPxzXVNrNIW0wrSG0h1HS9stgv0H3BpwNWlSoXTHC4KVFUKPPtIGSPDFD5CZMLi3HkwaDUARg9dYwYUawm2klgWtGVPdWY6HoPg2HI05yGVZnTfBhkrKmOkaRH2jHhDgL7M1zv0dyCbdFQ4/sRvxN8ePv481Zv9VZ/+/X2SvUbpTdoAYSCzIkaFcjz94oIiMOUGocQbCLLRFvmG6oJS5Iayoz2djrhS6I1yq6UObZhADsyeov1HtEGoeFN1psoNb3xdHVikJaJApUjViva2HF+WKHrS/b1gm41EPcTkznhIPONb6VHDIXBtBwsVDphtTA4KB4ySqWOIIZATeGEicRGrjjzIG7EJ8foCtFaoMZSsBKJ1jKaQmsKDs9ROvZVQa1HpUHEk1jidIWSMPYV0lxhlmdI9yN0+YKjBymK2siEgBoamfc8GrvAkYjGsG+E0Oy4yH/NO3nEyYhKR3KOQ5eR9kC2BZMFNcLkITghSMeBFVGWrFRZJoMvDVih0sLkEvtaWZjESYq4KDT56zpvv0bJxFQn+mXAttAbh6fGqyUgjBgic7KjiEHFkA2zucjIWAKVtdRhiY2ziWMSNBTEjIixOAJL3dEVT2WOWB3xGqlLROw8HKsl4krAmYyWBAayNagtFIkokQRzogzBKQSxJJkHgisK2Sb8ZOeHsyx4nbDmgAXaAj5HvC2Aoy6Fx+lLan3B++MtzZTBwliNJFtT8Hid6DjyoPTkSTj6HadkbKxIlVCTWOaBB/kGL4lSOoI0eDP/3CgKukLzGhEL8jeP6BbUUlGotcfJTPtZpYRPGa2gCxXLWLFwEy6cIEko1USwI0kGrLEUllg8Xjc02mM00OVIyBPWBZwqVfRIMbgs1NZjMUSJuJKpJoOPzDWK7FntWsLaMJFAEj4WupjxFVg8VRY6N80mrAaK2WKloaLg9YjThMugpSAlEeZSIxahLgNeA67wpqaTEPUQMpLnMyBisG+MZzUjRgeQ42x8pJGaHq95pn1lS60eNZnJwWyZCFEExdFlx2oSTJ7PLBTaNNKUAUfGqRJ0hnUbSRgJQEHUI6WAyW9IUBlb5oqjmnmDTLAkNTgVjKTZfC4OKzVqLPN0sEHJqCkUO9vWRcCVgOeI5Qh6gZUKhyIyoaKoVqAGrJIJGCKBBMZSRYfHECWBjhQriCYcgSoHvumu8egTlVEcc9VJzFwPsfNHE8yIFDAkssmMFUgWUmvYt0pVF7o8Eb0i3qA2kZrCblU4raY3dRqLFqGYjPGRIIqXTLYKdcLVCiajZs/YdAxWUTWUKkPTE3MhGqh9IleFog7Vmr5STjRixWOLUhwkC2Mj2BLxdaLUEDro6pGcFG2UXEZoBJuFjBKT4HxiqhOhBWzEKVRq6U1h146sFgUfEsEpjUskazAowSilyRS7J9uZJGSYjb75htSQgewLsUtE0fkVkwmEGordMZlCXQWCB1qFRonGsNAKTCBXmegHpJo/d7WbED8hfn5lJC4xWLBGwAnqJ0xlGCullgRi5jUldyS4TKlB68TUQHYyV8glzVU3cahGolNypeS6kGultT3FFiYj2LrMZmd2FOmI5oiY+Z5FNWAMlEqZ6oirCk5Ac0fwiX61gWViPAkslglXW8QKx1ppqoxanQ1/p/NnKwvRKKnJJIETF8k1YBPZgDpl8IpYiFWiqTPJFaxJYDJjrfg6I6bMZ7dLNBVYU6A6MnWJvlHWdjbbtE4c24Kte6oGosBkHKNl1usGAAAgAElEQVSHtgqoVzKCF0MSwE0Ul5mM4q2ld7CrYaVQmTRT1CwUE8gWbD1xqMB7Q64STZMIlWKskl0mKFRmpldNtSLiGJ3AItAuDoSqJmmFuIaDj4QG7KAcpXDy///l463e6q3e6v+W3ho1v0HKMvfoKf/asqEIyJywUa2gLNjIyP7BBUOVyRlW7Dg99rRYXmfP4dJAB6W6Yy0VqyFziBnTAQH0UmneUfwrgLmPbRESns+4oHvwd2Ca2FUfc2/BPXIcze/x4//qhJ/8N9f8+D/7D9lc/oh/+Mef0v30Kf80/SGfyYbfR1lpz8/ye/TyHp1cs3aBi4uI/eSes03i5KcvOPn2ih88fIe/0o/4Sf4T7nzF59Of8R8d/ynnn39O9sLiw1P2ds97auhE2HpLzcQ7u2dcXl/z4sm3+bRtyWctx9qTrMHngVaOPJR7PuQrnj9+xt/9Ty5YrV9yuSvE9ZdkLTy2zxlYcGBJP2Uu/DVP5RXX8giXMmIc2yeXPByO/Hv5v+S3pyf8qv02/yN/wKFtiL9veXxp+J31T/j+yx+wDDfo5SmDV5RzPuch37UrPr5/ycnnL1h7w505sP5kgfktIY+CazPLu0w59ph1C99w2Eqipjw+sviHDa41fHW25ro8RHNL9MKEMKohHgW9S0gsGA91KrQuEjXTbDP5J/P2STaKWUN3KTTnhaMbgci7fInogSf6IdfyiPMyE39W2tOTqW3ihB3n3HNqjrQuYXKD+AlvRxrinCDTyInMb4BvXcfklnTAWRaOzwPxc6H62BAkY5qBat3QhoR/uWV9dsqzk1N6aVgBv29+xdPj5zz40TPK6x36Xc+hUSajNDrwnhxZR6X/X695UHm8ucG5hDn15CdgZeJJfsXfK5kxRq6r9/gxFSOFczbcascLvstLnrDW3WwySMIh5BxY2IFzuaeUiYflQLPZ02Yl5iPTZz2Lu0y8Lxif2DaJ8neEvBpRuSObd3mRDJVL/JZGzj+/Z2cKT88b1lcvaN5NWAlMLwK1E7qHFWtnaSxMmmnVcfzxBvvc4C496fXI+FeB5jvQ/sGAFkv90wyrA6ffjzgfOSGz3N0S2prOHZicYeSCcwaessPFSB4Uf39gXa/IxhIxrNnzyNwjdwfKPZgISSfCxuAHoIX0AeS1UCL4pKz8QGbLCqGQqLUnMFO0zunx1xum64B5FwaTiQiofQMO39OFK9rbgeufD5y2hsqAqwIPV1f4opxMB6qqcFptSWpomTjQ0rPAG4dKYeKEIS2I2342yk8Kzu25pOaRHqlk5JTAXhra0tPZMyKGPROVRsRHyqoQnRKxHMVyYg5ccI8hE6ko2lIhVBqxWIadkq8L5QkcpRDVMeEZgmH4amI5bjnvetpLh19V7P2I6D1PzOZrvY78OuT/rqVceQgF+0AJRaikY9rtMCswzyceVnDyyGA+FOozh6kFeQdWj1dw6Rg+39L6Nw7P0sBloP77DnPmkasJgkEuwH7LwUdCNXnISnW+ZNQDZuW5eBAJFxn92PJ0eYH5l3fUHxu0K7QJ2q6D54pvam63R8rwmNW7a7zsERI8K+g7cPw319R/8h77n3/GxS8z9junmG8lcuxxwVBSoVhD3TpkEzDnC8wxcbgUwvmSlTr0ZkSipdzu8BeW0w+XyLpHzyO+Bs2CWMWMA65JuE4QJ/haUA/UniwCOWKKQ1UwJx67Vk7aeUzXXNSs/o0RMRUmReR/Z+/NlizJziu979+Tu585phxrRLEKQKEIgENLLfVEs5apJeuL1lvoofQaMvWNrNlmTVlTJJskQBAzqrIqs3KIiIyIM/m0h78vPKlbtYw0g9DI9QDnhJ9w3+7+77W+9bCieddhZpGyAi8WrEM3kcVnU/12OQ2Yz1v0mwHz6YjpBHNroBiq04RcONjUkA/wjTmzuiOfRXThqINB7iJ+bSmPHWU+4Itj/d132J5Zzv/AY/cjeljh/+wKNgHzu3PK70TKTw9wlQlrA9Wccr3HGM9hLBxKwzLU+Pt3yEXCzlfIn4/oA4t8t8JsHO3fbrl9DrOPTtDP7gj3Z7gn05okjMjHntXGwWsHL3vkbAaPMvk44nNN3IxUH67wRUlyR1MM9nLHe/eh/iig/1yxTcI+CsjNkTxTtBbM9yrcpkODYG8q6u9a0rtgFgH3JwPyvlL96xneHHFfOvKzHntRWH+nwX40Ij9LnJ85rsOS148H1n804tZ3hI3HuMDGRowfkXnDUhPd2nP3cIH5X7bk6wP8MGB+MdL1herhHLuIyHpk/s1TXs03PPxvA/bBFXNTEbcdYZ5YNB61gnwrE0zDi/sPacodm//mBjNkvMlYp+QkuEcB92lEXhW8b37dS8lbvdVbvdX/q94Oan6LpABm4tQYnVDCWQSMIWaDI5AIHI1j5yqKKCoWnw80MVHnTDKGbmboHcS6x5WIGyO2pGlLUQCbSOtEbhxIwSIIGVXDa9nwlf2YY505yMfcYuhnnkp/j/6rRP8XmefDBzzbfJN/dPY59WbDL92nfMUL/kC+QDTzyj7CZ2GFp5cW9Vv8Sc/poWV2NcC9GeVkzpW7zxP5kKdyj419Sat/zvm+QK2Uago/ndHjtOaGJT71XBz3LLc9m7M7TNNhQqE4gyA46fBmx2m65LH+isf+Z+T3Auf1kW+d/wwOl8RlYeFesIkbKmvxSdlwzTvha67yu4g65iETZ4Yw77jXXnG2vUOrGUE+w+gAZxkXLnno/4qPnt9gTULXMLqApJrWLWkl8EG/5cHLFlvXONtSv9fTbzzDuSJime0ttHEaoP2GK8oZ28UN44eRPjie+TVX3GdmDckkCgWocNkTosAAg/GUAkWF5CpsCVQ3CSMeV9VQWlgZijqisQgVhkQjAw0jNZk671nILQ1HRpaIQs2BudxgacnWAIoYcJqoylSvmo1DJeOjUqlDKkU4MsuRxdbjjgG6Ht9nQmtZN4ZVn2gOA2HVYfUGw55Zzrw3fsXH/Y/wV5ayzYidI4xU2lKXSKUtm4OhfpHZ1Asq2xGJmNmMiojRSKM3PI57TBlZGeG1e5cdylJ7em24ZUlrF1R6RyIxSEVkhiFhpaVhzzqPrGxH0/V4FdbHRHw90DwTmpceFoa0VMZ9NTU8cYNywU4aPC3rtGV1M2KdwYfEyesbzBlUYtEj2FBQ7akZsCUSxLOMltndBMlNRpDesXoq6EnHqJFEjd8WbFKaNGJ8hykHmtxjimdWRgbJdGKZEzkrW/w4UBL4VJilhARLBGpt2WjHunfI3oBGfBbMncPsDZwmHIbMVE/bjLAx7bR7q4pBMGS27FhIYZlu8YceOSgmTzEgEGyKNNLS6DUnusPtCptrpVrVEEaadsT3e4JYZMio6ZhzZI9jpZkkNQmPlcyMfvrWvET7ABaKSygHluq4V25Q01KJI0ikljtqqRlomGdhk++Y2yNiC4MxJByZhqVeseGGgYC+sY1YFF8Ss5xphoz0k3unw6HqcCJoFNxRWBwSDAlWgboJNHZyM13oK37Tq1bKeYY7j/YFqZQ0GAIOygShleiYFXC+kKoI64xJijRb8kOI5zPK64ydFTQqajI0FjkrpItE2WTCPDPOQDZgNoo5FPTokCDkOaiMmA6OS2E/TyzvK2Od0YcZi0PUkOsRuy24vcGOjlIMpckUM0FiO1HyHF7eM9QfKftb5XwLej9yfCcRt8rZvsbsB6w12CYzJsXe6+lvM7vaQ1OxXHZwp4SYqYZMW0aYCdIrZgRmkJNgVJBRcQa8CJSp6a54oJ6azbOAt0pREG/wxlKbhOiIVsKwKvg6M/ZK3nSYIJg0gXjnscJQUA9ppch5QdrCeA2zVUJWkWJB90CxxJCpTiPSC/G0YN8/4maJMgDWQGUgvHHyWaWcTj7D7sRyXBc2Dwc6C4tVQt1UTa2nI9uHLeYaFluwrpDXkXE0+GwYNRFVGLRCGktpCsvVAHMlzRPt/Z71OsCPYWhnOD+j20DNEdsl8o2daMAnI/lMCNeWcDSYc0O/HMkRwmgYK6E6PSLFkA8QiiA5sXBgVpHDIyXXI6fXhtgXfAYTKvKqo99A0xmMGtJq4Pg+uCcw+MzsTJD7R1QicmuIFlyl6MNCN0Tme0hO2Mucu9AxnLXoWpmvMgwRqSfWEE0Lo1Aay2FmYa20LtN8NeJmhdSDVjDWCbcAmQfaMOdw70DdR/xQM7wGcQUTLImCnhSGSrhp1jx0d+i6TJDuvVJZOKRMaSxmZaHNlOq/BqvxW73VW/3XrreDmt8iiQJFJleNTlbjiVFT8GQSGTSz1sxpf6SvZkiBbC3JOWJRVmPHalcwHeTTitZHhqoQe4N2AlGQNkDricaAzW/KaBNiIifa86h8iRuO2NxyKB3jzHEr1/zy3fdo/vEZ7y++4sPxBzw8tLwcRz7gKxwviQhqHI/TUz4YB07p8cOB5XBHPQ7kkhjvB5hPL6jv6Y/R0vIZa95Nv+L21LL5pGHWDvjSIhJoKcxVmamjlxW72ZJwNvC0fsCWM07ic0QsYoTB1DzlHkt5yEnp+e7rP+azZKcGnu0r7g9bvt4b9mXBfV7zzu1LLm526CYxVF+xLXN2XGA54ssd9Rihh7GeIaXmATu+IV9xWW8oOnIbNpRFjd126OgY8agMLNkhcmS7tlwslJI68jKQt4k6FTa3SskjcpzavWSR4cGv++z7+6ljwdauubMb1Ag9HhUlSSLqZJoPCqaM9EsojwIv/EM6bRjFsmdOb2p0wWTXrquJ56GOohUJS9Gel3KPrV1wKyccOWGQgHFCLpbenHGjGzpTk3AcXcPt4pSH509wM6WIwYonS82OmpdywifOMJORioHRFKIteDOCiUg1InNhkTLhsqPqIsMI2MK5XvM+z0hs8HaPNSPqoA9QZ8vpfkQWhp1Z0+mcRddRHw6UrWA6RzNLaMjMHkaMU3rrOIYGkypGPFkP9BiMCO8fbtn0f8F2tUD8FY/yLZV1U+ObnSDaVkacUUwxmGhhHDEp4geQVqAPlFiwQHVl2LwUXBix5hlh9JjThuQKw5kSjiBXGR8D5XrEMCe9HskPRgYfSTZSdIoKVHnElsjwwOCXmWqvUCxtNAyyJM0F8yhSZpYbcfTMGExmrCOt9QxlQ+aEaJaM5kjWafdVlxZtyhSX04qDLNjLgA4VclMo1wYVRzEGu8zoaphiBTcr7NFgmgHrCsFbsp0GJoMuOOicmswJW6xNpOUcc9ihpTCyIjGnohBUWZYK98yjX2SaQyQOgqjF1kI6s3Qbh6mF1i4oNAxakTVO7X3SUaGc6yX3ecE6F8wwA24gOgY7ZycNRjJRhb2s2EpDMhHhMJXM54HzdMdm2GNyIdZTnMlkhyuZmh6riTp3eDOQ8agqYbA0W7A9xDHg1LIuHSfmyEwyTgz0gAe1gdE2qDQccTw3kc2vcyH5B1Cyil29ieNkwVsl3UZkL9SdRbpCNQdvhaJQTgTbZfKE/aA6RPL11FSY16Bzg24N5nnB9J4ujnjjsRqxgyFeWoyFcSFYf8STMYOjc467qqIwI15lZlsYrx2lVDiXYFMoMjUcOg2oG9GzzHBpcHee9CpiZ54meBZ9hx0y+Q78JSxOLO3OoW2F2Ol+kjCMZ564TISvPCe3lmwGtE1AQbJgNdCZhtbtmA9gbgzhVhBfI3FEe0WTYCOoKcRGyRVU5ClGZwsqPaiSOrA6YpZlmnqcRMpcyIPgWyG0UO4KiqMsCkmnuGnuDMWADQ4ZMy4IxmViD06FdFqR57BvFBssPis6s0QLNgp2K+RWccFMQ53GoF6m5yc1lKSY3GFSQgfQYokmYVY1DJnmRnHFUTIwTE4i7wTC5PKciyHYAeMzoVjKHspKoFHspZn4Q8ee4dTjpCGOhnwQRCy9ZnwI2ENG6jwNnx4XWPSIgGYF02HqQgwGJzW6n+KWpB7XwEQbVqpco3cWu/c4RjCKLN0boH2BZSKvMyZZZA9WDGKhtIUyCGohPYRyUlFiwPUJJJLmUKvj4uioXhiq5MlDwbie3ilVhJAq4i6SBmXZJ+y+Y3klsDVoBrcAqkJWsHsw1x0n9ZZ61yOjoZgeXU3HkqKSKfgW3D4zv+3wZEoEisVsDfQFU00Npfipnc4Nbwc1b/VWb/X/f70d1PwWSd7Y7nWC0zBloDJSphLryAjac6aR1dWOcg5iHaNx9DNHN0aqsWPxGtwW6ncWDL6jDYZ+tOgOtCvk24J/bZBxynEXypTppuNEdnwcn3DR3hC2X9GOe+qPAl/IFT/4/v/E8/c+44Pm3/E/7n7E2cvEF7tbPnM/4Uxe0PMh1q355PAr3t99zv3VDL07IF/2yKyiC4b48ZxdLaxdy/f5W75nf46XJbugvHq4oj4746OvX2KfH9ASOEhhUTpmFG4IXK5WmHngp/khlzzk4/jDaZfOCLFa8ZU8YsMzvpfvWP/8T3i0aBi6gnndYXOmswv6fMrH1ZecP/8xzatMSYYH9VN0YXgmyr4c8KpUraIH4XW9oiVwam74xDzhyp/zKgRem3fJj07x17eU0TJki3M95+ypTcdhMSMtLOXqyOJsQfdqpAqO+qWit9fkncWUjA3AN3+tp97fW3udM5MlRznFloSKYGSgqNLrioE5CwrGdaQLQ//tDU+4j1Iz4uhzINUB9wiksXjx8ALoIzlmsqsoGO4451YcN2+ia2MOqBX6LGxlzlVZ0prphbuVhv1iSfW+EGulC0KUieV0qzWv2TA4w1x67IQvJluLVhkbFF2AeyywOxK+PsIoxGWBEHlkOny55sYowfQgEGcwRENVDNVdIQRhX6+hLLl5+jectpZ0M3L8xZFmY7F3e/zvAjNIdc1dtSL7SBSLESFiSZp5dPuCb7z6OfbTU+78ltNxhBDYmoobs0KKIAi9jBQVbGtgq2hjYbCwzzCOcAvaKfr5ESnCZm2p/IFGPIf6XbYL8O8Y1k+U7m+uac4Cd88NIQv5iwF77ulsJpIJJjHqZJMvQVl+25COFv2iQ7LjrgsUOYPFHWffht45rt2cA0uKs+AMB2bc5BUtDXsJGHUcJdBXM9YVUB8oRhip2eqKlURi78nXEX0+MVPbJSy+7zDngh6V/pcJ32bkwQAzh4rFMnEt7grsaFghNNojJpFPZ5SdErNwLAs6u6JYg6pQxznbH44s/nJAc6Db75ntBGHase/uV2A9EivIQlKPugxSEMnMaLmQ1zzIr9hohxwUIui9QGTNAY9aJaU5HUtaAiBYCpmCk5HT1DG77TDNFFJVFFVweCwKdNRkDIYjBmsCY2/RO4X91CJdo2zKgaUcaKTg1UILzDzJVQwmEyWzZcGex3z6a1xH/iE0DsLixEIVOG4HFk6Jn+/JvSJtwg2vOXsEtin0RZm9E9DrnkFBeo8/JI5fGupFhdwfYVlIn4/s/7bifqhpb3sWdjW56o6G4W6Ex2Dfa9gPB9YjMBiktsQQsGPg9id7Vi2YZ4mshhQylYUYQQIEH0j1wLzxlCctfGG5+Vvl8Tcrzk6VsHvNsh3JX4I3h4m9sgfFwDuWoc8kB/6jFduwwz2x2NTRjC3HfiQlcCOQpnWljIJsgZeFciX4pae0RyRa1Oibz87oUvEr4DZjp29Di+Kjkl712AqWF2CWA3If5sVhfqb4rKRXhbJ1qAhlDXlhOWqmOSgYoVrWpMORamFxVeRwm/EnEB942vuO5CJmb8kl41eeoQxoa8mvLOO2w5wIIoY8V8oi46wldpAOR+oluF5or5USLVlgca9Cjx3xqbCoa6L26DHjYsLdc5NbSAQtiWAPhJnic8X+647FOaixbH+ZiasMh8KubfHlHqk35Gc9slzT9VvcWSDftGgD5QLc70O6TFTDDFcS+EJ1AnsL69WcfN0SMJS2p1lCsYKOis2BcjkSn424E9Bhj/1gTVU6sgMuFHMCTYT4IiF9gfWG8fI53Y3g70P1qSG/M6e7GljvHaKRPFNC6bFXHfz0iGlqju2BZiOYlWAiaD/juL9l3Bc2rZCfJ+xL4MthgjivgZXFRjCXwnG44+wi4V50yFjRbwa4D+wtcZ+IsVBvLXHbUT95TX1m6I9CHQLpRYf/0DJqS1iX6YBMIR2g+nUtIm/1Vm/1Vv+Fejuo+S2SYqa2p78D1bwh1ZhSSIyTNV8StrRYCloEJODVoiVT1GGkxmAwGWbZ4sv08J/Fg9SgBckZVwTGEaVMD/8oyIg1LYvcsehGHI5OOhZ9ZFGNdLawqxOJPRJ7TLTMUsSkl8y4otcPiGWOL55FHKgz5FSwvRCNQ73jsrpg39Q49myIpFwjIrQSeGXmuPqU+80dIUWqYsnaEGnx2iHWcqBm5yrGN203VQoUm7EMSIbB14zAPB857Y6ccJh2bnoF2yDqqRXqcmSumZAhZVjpgT4+4xBO6MQjcT4BZfMIZo9KoTI3VLxgqSO7vGSUNUdx1A5CMSxQgh6YyR5fIn2ZcVc9xPpfsdJMSCB2Sd3dYWPAdAImIb/x5dwQZcmdPeGKgRkDo9RkGrLxHM2GHUsMR5JXokt01jCwmJqZmCHq6Ay0jUFDYRQhBCE6x9E0JGqyWBJLIkoST9IAGqZIi4Ekls40DLIga8OohmQFLUo2ntFYlAHPQNCKIIYKwcTEzB9Zmp5QOsRB9koXYKgdfW9ZhTlCpK13nDCwGAy99uzryM4suQ6P8e6WWLWgA1mFg5kTNbDIgkmWY2MZVoHb6sC5LVSAEUuyjjtZcc1DkhnJnHLLI3bM2csN2Qqu9DgMRRuyVggRmAi+vSzYs2awHbE8RyUzrSCOvpnR1nuGpLhoWHqoMjRJkWSwkrFVxOiWWi1VP8P0PY2ZwLh1ViRFvFFMUepcERwEWmaimNKSnaIlESVOPIs0crCeSi2eEVziYE+40zM6TkgsmHqRhIMpHCTQ0lARGMQTbQM5ghas5AlcSsS8QQrbaCfqb5dJtcXqGvoeiYol4snYJFSjUBulkMkqFB3xoggRJwlDQohkzXixhDe8rihCpqAyUqxO5TlWSPYN6j0LNkNnagZfs06ZZjxSuQU1A4cpoEevEJncA0JGNE+z979r5dOMZ0TVEUlURCo1tOIZcIiZIliVJiTCLA1UoSMapWQh4jkSGE1AcfRSIywYxPCGlovkglVFdIIVR8mkN8eSraOTitE5RhJJBOE3nwvh8gR+VTdSUEQNYTAwZhgLqpYooL6gtiClRkaDISKDx6WK2RARv0biHZoi1WCYDRPw1zI1rEVrqeKSqj1gxgjqqcYKGxURiERmCqEvuKIIDpszRRNVLvhuhfYHRrUkVUyxhOLR0aFxTjXupiYus8cnAxmyAaLHDwbbjRg82nqasUOCw+QlTZsIvcAw4odMkzy2t7gBbClU2jOLmRAnBF7yitcJsIzUmDhSjRmTHSaPU+uUBiT2eMAMhmoUjHdIBpczkgKkCTwMGZLDZgMloCnj2wGXlQMGO1Tk3E9uXnkT7y4VzTCgEXqXSM5SpRlmcKC3YBIhOSTOoYOmA9MIhBGnBjM60ET2I1Y67LiiSoEYB9DqjVs5YkvBRUHdCnTEmIJg3gC4BZMMKgkjCS2K2jxFv0TRrExFXYYwCr44Um4opsLqAPFNM5VLk1tNBbEetSNiFNI0Ws3hTUw0gWSHVUAtZoxoAbUgInh1uCEjCTRPYGNbEj4zAdQHxSRAHDlbbAGiIwwN2qcpGiUZY/Kb+8XEFxdXqDmiKeEiiLd46zHRUvdg83TdFAsOna6bBCY6NC7waUtMilpPiuByQxh7GgLaHTBxRkhKa/rp/xYtYXCAAdMhajBZsAKo4CNUQ8GYQgx7NMTpHiy/4RnMt3qrt/qt0NtBzW+RDIAKWSZMgAEQIVtBkycqgGBEGLdwYEROLXpsUbvieJzRt0oWGLZQUmZ2GPHHyFZrymKFOwiNc4wrYRwzkuY4GqIImMKJQn51hahwuzihW664evoVx/OAO3/Iw3vv8txk+qaBvse9fMGFW/Mye0atSBbGOVTjnMOrHbK5R3hckBdXXK+/xf9e/ojBCP8s/zsuTOaFuyBgWObIz+Ip/yl/yCye8d7n/xH5Lvxkfo6ahvf7r5Ec+ML/Lj/dHslLz0NeYbsOqx1nZ0de6YhXy5EZz6j5Rpijz/eY9x1lXuCXHb5d4jRxZWZ8++wew/NLKg/iA/UooEeW4R5ym9m/zKz+0FPuVRzUc6I9zwSurhu++E+Jp995wJ+dvcu/fPQ55ac99+NrFk5Y07MYHF+ac/7qo3/Oh/d+yL+Sv6W57Nj/6JbxlwWviTgm3Gcga89veiluyMoLc05vKh7qHX12jLZhn5ZcygmX1XJ6KZifMM8L1ubAhsgrXdAbixSl93O29x9wlJHOnNKFM27DOU/DI2qOZG65LKcctKM3gV4qjjS0eJppdMmgFbcseM2Cs+LJWYhfK8OFx84sKx+5pzugsGQ7VbVulYcXr7lbPOHEXbJYKeUbgfCNGZf1jB9v3mOsPuBUb3mHv+Q8viZeJY6SaN9f8UO9z+DW/M/f/Anv7P8GuznwenPCs+o+vSonukUeBMKH97mTJf7fBJpxi6ZLXjdC6x1/PT7ip+4P6NRzzDOe5O+yNzOSPXLv/Cs+PFnzwp7yPC+onWNtnnNSrjlqxVdywc/5EIYd2/CU7gPHrE10WyH90484/hNPX+bM0pFN3sL2Kal09I8esm0W9M0ds9BycVcY/kNL7DLr323QKtHcRKQfkd9bUuyB+rqweRDwbsCTqXZ7ZvOCeLAfntH/0Ya6OM4XgWt/xABDM+dJ/oBn8g1e8YBn4z1elTPqoNSS+bqsOeoCsXN26nFDxO4PjA3Ec2VuDpzzmlq3tHdw8zSz6jxuoYQu0/7xLXGnhHPwHyplPVVup6/3zE7WpPkE0vWqVD7SkhlkGmQ3rSM+V5Y2Mcs7KnuL1RVIIrrXXPzTOf6jdyl/+UtOTtbgDCp3zDYNSU74ujRo1bIsHbVRbIkYAq1d8vDJAuoAACAASURBVEo3vOKCK1mxl0vSzEEFpkpkEaLUqBq8OVATmLPgIBUdC3ac08gtxbeYlcI1nGw6TsKWnxsYTeaGE65YcCcB5IjTBSpLykyQewoJis1EEQ62opeRvs7kT89JH4zchQWX9YxX2bK3K7IGZjL+upeSv7caClEOuPdWpJcduitwvyEfd+QRvF+T9DVehPzMsH+yw30hyMfKLGcuf6Zc/Mrz8ldb0ncz9/+ZYm4V/dWRkYw1ijxSpCmM/9ee8bYQP4PFLNH+dCC+htU7S5SR8GTHbNFSnrfknwrtDaw+Neyfwu0f75nVwCfKrW15fH/N1z/6grMXhep6pCpgnhzgz+F2ARsL2UL+MpGvBLJSy4GrP4X595e4Teb5//aMs5nBVh55YLn+OhH/KnF/TMRzRc9aZqbCNBDFYk2m+ahCV0L+scEcoRwL463S7CKyLbjBQBkwZxXcjOjzI3bm0WLY/Vh49sPI7zxY0/0fr5DfsyxnhvzXI9vPC2E2MB/h+DfKnj2z31/T/vtMfB+ak0B2hePznvkPevob2LlC+B8K688Gxp93fP5v4d2PwX8Mr//PyDptsQPcfQ3NB57Zv5ixf34gXCd8A+U9qP1AfddTvhooL6D8xSW3lxCcYTYI139aELmkmispFbSFci1oUvYDLP5QMTNH3EOShF8VsBZpDfO1xe925ENhvBmpygyplqS8p44di/MKf6ZIXTAC6VlEbpXUg31U4zYd1J5yHZEfJ0q6oi9gTqZY180VbHaJ7ocjYRiQHxkOz2H9eyAVlGeFl89htu05qRxf/SBx9vuGEhvu9i3Ns8T22GEHZf/LSPM9oIrEL4epGfOiIbY99+4u6a8Seg3dsz0HlNUnhcunmYePAqZsCQXqGlJ/x/GZsvhlxP5gx/BFYXZe0B9dIR8H4i86jkth/vuO2gbkB3t6lPAZsBRKUe5+NXLxzVNoBtqLmtJFJAFjIu9gFi3JFMr5Ct7dwiWYffn1LiRv9VZv9Vb/BXo7qPktkmGCWWYUYYJfYiaYcMGCmSIDpkw7OiFNlayahSGfgqxwBapiIIE1ykIztlN2pqZUBgMEFVoPaRCkNFhqUAcZVkOhLoU4q9g2NTs3cF8qxujJXik+MVAxaA2psIyZqm+xVUWPIUnL6PaU2qEEclXR+ls2wEEu+Ovw+3iU/97835Qy8NrVrDRyL0eybnjqv8+VZr45/inHbNjxLrfc8QmvEBzP5UMOLnFPvqbmCTYPeBWc7hCOBAZG8VzVDzirW2appzQrblzinm45Gaab/6VZsWuWVNUl1htGdShzMGH6ffsCWcnLmqezJYe84rzckKyjL+8ibc1+WPCT+mO+v/wbmpxYpT2Coykds0G5qVb829Uf8rvLwvcOT/nAX+O7SDgaJDY4cySbv6vm/c3WUg88kxN6VjQ4pAwU29DKmqM7o2fFgYG9q8iVAemZlz1Z10RjgcIolm1YotJxZMbtesErHnInJzzWDBiOZk2vhiRzBuZ0rqETj+JRnTwXWxZs2TDIHKseM4Iki5RAKMpcIo12BJl2ke1eOV3t2Sxe4cwdJTiGKuNCZMecr5qPeVp/zAOesGh/DKNHWyU7S8+Sz+UjXoZv8sn6OSfqmVXKdRW4kiUBx2h77qrI7p7wuVV6iXxUtty/27MN79GZwEve4Yn5PY6ccCeOX5pPMG7N75SveCV/RlUpzznhFe+Q1PFQYKYjqg17c8prfcxcFxyk4WZWCL6w71ru7gu/APaSabjhv8uveO/LjtgLL+eeF/MV2IHHeocZGla30FoYF5YhKM0e2lKYnUI56LSrnQzFOealsBgjlkIJcDmPPHm4Z0bBsyZNVwNXOL52j7jKD9npA/bVBxzMU871Fa7AyAmxrOjtiiiBWicAel8Lo/OIbGm4o1JBqDHZI8ZM9cdFqA811euIqSNZYPQOO4LPQiNwK5GMw6nBM3DEM6gjFk8oQuync9hLT007MSDIFBvZb44Y9dwu4d564GATVpRgIZcFW39Cwx3WdJMLp0zrc2LODfe5knscmU/x1DfTd7WREaVlwShzrNxOTBqdnHUDFUedY6UleaFYofSwNtDIEVVI1tK96TeLeCw9QQtSLFkjpZmqgMcyhVqTqbBiUK9sRek83BrHQea0ZU6vKxBB2f96FpB/QEVbE5sO46ffDeNIdUfrIb+GtTUkhVwqmg7C3iOvLTwuSCvUdwNyWBKuOuztAr9LaCzY7ojVgrFAGChGcPsl/rqlOgy4wbPeCaYDUxpc27JYZAJgBpC2oj5mJAdsO7C5DTgf6T8KmNTj+wXh4HBlBAVXQI4N81eeEvfI/Zoh9FQ7T8gOkX4qpbop+ANIA4+2Bf9yRfzOEWqPZmV16zDJYFdx+kyTGP3IIFAlA7NMOxvwVv4fMC8IUgy+Z3J02EwOPdRvwNumELHYLiC5R/oFzc0VMReSy/hkJlaeKhItsy6zvHH4Q0W4reFhBHGIm+HaEbNfUN+M2NLibgV7FNytsn5isY/WJHfLcq+4aBGXkd5Ab1Bvprr6NkwuHnfE6EgzDkgP1QC0C8qwJQcDUbh3JcxKjb6fUM2Yo8dGh44Z0ytNdmjuMJnpuSgUcEq2GaLCAORpsIIa1PvJ/2wSgyZoLFUQbAd0BoZCVyAEmf7WUAip0NwKhjnBbqEYivVojtjOcdom/K6GW8WnREmCKQb6Jatdh93NKG7OsrmiaRsO0ZMz0DpWQcnqcAnssUZ7z6w7YkXAQWWV0LVoJ8hYEXaZVYro4GjajDmsMHHLbKkYHZDcU3VgDyCHmmroqY7T71CiwXY1sypjmDGmW3wL8w6kM7DIyNRZAUYZLfRBiK1MfnETQBJBlFx0up+HAzMfsUZ/LevHW73VW73V/xe9HdT8FinxBiTMBFFTdGoQKAbFgxbIAxlDbyGWhMEwhAVqrqA7gtmQJxYbooneKsPKk4sFD6UpjONA+VwxTwfSmEiMKA5SIApsNxW3zQN+Fr7FtSj3N48Z7fd49ccDL77+ki//13/Cn7j/wOnmZ8jqyK/Mfb40mTmRmArX9jG/MhtY32LriouNMOyERXnNv+r/PbFO+DJwLQ94lr/FZb7gK73iwn3Nv85P+Nhe068Kjez5NP6EMy90doYvA5/qn2HtQBaLVYPOA1oJRSoaDnzMzznn52R9l2cn7xA/LlxezLgzFf/io6folwNWBm7KKVu/5+HZCw5Lw1V1wdfdBc/lHC+CawS3MFzzIV/qIw7GklnyenyH45ee9IuvsR8f0CKoePy8kJyllYZBIciWc7PlU644S7dEH2Gu2FoZF+DVU3YFkw3433yLb2t7EjVSDFEDo1RcljW3bsZoCh6HmiX7jWc/96TKUstIRQKFYpWaPZu8AzE0tNzrXnMvtPzcf4CYkaSJjW5JOtXYWyKVRnweaUxESmY0FSMOK4m5ySAZc2qxS2FXO56YDV/qBV0ODGaGBoGF0IcV1/oOjobH5zvq4Y69W7GXOUs6Pspf8Cg/Z9V14Cx6ZhirAGbHd8ozPtGBtdkyexO1MAgFx0FXbE3m8fyG5njD40a59jOMLkg2EUks6LgvOy70hqXcMRflaANC4Fv8gt/RGyyGQQ1BbviAG070SN3tEO+oJNJIxNkDMOCoiSRkWVFp5LEGkiRmcuQ0RrQ2aAhs8oHm+kAJHXZhid4i9+1kh79s8Q0cNyt2yVGNmSpCDp5XYUWrKzbdJa50sBZivSHPZjwqAxU9O3PCdV4x2EClAzupOcqGVud0CdrKcMOSrdQcjeFOFwQaDIWx9qTVAjVHjFoic5QZlRxxyyP1u2CeJOgM/Zml+nZDuTOYTUN5WPAxIjeZaIS9M4wlTi8EtlDxkhX3MKzJpeMY9tg1aA1ZDIIlqGFOQWQaZARpWIUad1uYzYRcg4uRWb+lqhqOFJZqacjMadnbGmVkJgfOtCKL4ohTm0oAU0+NY0UTRzEoDZ6anC2iHu8jlewYKWzZMOY5pghZlYjDoxQBw8icA2tdsNeaXhxWCq40SOvRAnVXOCtHNjrgTeasE+ovtjT9SLi/5dF5zyuteTX7kBuq6T7wGz41jt/NhKPFFYPcF7S22JOAvUvYdxvkdUeKcIyOzXccZX9AZgYegPUZcwZoxhnFvTdCncEXyrcDZTDE55nyXEi1Qf+xZdwK7tMZdi2kex5zqrjzAr+YAL56YsgfeSQK8cISlh36kSfODGbnsAK1L5jqjubcY21BI0itsE2UdSF/EDAPDdQN8aVQVDCdENwC6i2yKaSqwIXF7zqkUjRb3Ptz4vcycpkxC0eZBUabaMbEAuCs4XjhGd1Asx+AkfKoRk8GCoYiFhmEUqZoSpkJ+cRjiqfKcCgTYF1XED+y6IVi3AJ9f2Acj/h1wO4NpYcxjCznHfpJRD4UaGaUrmO4yuTvZ8r3MqO36GaE4EjfXDH+oz32wwGzgP57AbevMHcZIaHfCkiTqTcCu4kN5VWII8S1Rd7x5JBxLxv4j6C9UByUByArT3kf2qNlflpjgpCPHtlmDlWk8oKrAlIKEjJYEFFiVfCP1+jhgFQBLyPR7LAPwSRDvbdwsOgyklGoM2IC1ZDoryL+P7P3Jju2JVl63reWme3utN7cNiJuRGRGRkRlZhGpphpRJEWB1FAvIE4F6DH0DgIEDfUEGggCBAKSQEATslCVhapiFrLPyGhu4/1pd2tmi4NzIXFIQQUlQ3m/scNx3N3c9rZla32/ObJ4YpPghUMwsgeeesYf9ww7I28S2Qv5wxF+4MmvAzqLMI9wdsS2YDKRn44MjTHRUiVBExgt8UVNXkVsFtDLERqP1KdCkjQZOQpT0XB44SnDSDnP5A24j0psXWLWw3HC6kDnK8K8wj5vsdpjr5T2bxM6GLqo2TwpWT/rIQ4IE1oKrBy2cCQZEFF4XBE+S3A54PFYKshOCCsHKZPWgD9yjtKQ0Ap4DK5/d/x5xzve8e8/73aq3ysMeeuMyfDWXSJvc7sziiMjaMrUApo6shrJlXinJK+MdUVbKn0B0VcgkVnpcd0AHmyWyTcd7iEx/iZCCmQ64A7SDSJH9s2Cb6of8Gf+v+ALgefnt8yOf4+v/6fXvPoXv+Xrf/Zf8T+e/Zd8/nTgo9/+ip/yIT8Xx3+SW/LU8Rf+u1xVM879DYvyhq7asnSe4uqafzL87xyOylX9lK/lY37Cf8D/pn/EJ/oF/23+H/jUfs2syBwXmeV4xQ+6r8mhZgxPmXeZ98efcOm3vOEpV/IecWFUIeEElunAD/QbFumKv/Gf8osPLrn8qOGX7hFHW/Gj8OcU+39NUseX8glf1pGLF19zXRb8TP+Qn7uP2OiMj/glsroivT/xs+IT/la+z4oDb6j5bfyM9ucV/i+U8h/OeePW3BUl7zcT3hL3rDhyRsnIhb7hQ64xN7LTGXExxxVbpM7gZ7C9w+cEfvidrrq/C/a6ZMNzck6cu459DnzFe2TXENIOdRNHV3O3vGCUFcYr5K0DpcAQzSRaGlrqaKRpT9m1zNzIVXlBT0ZkQOlJdk7GEzicAuuTp8wjLmQOeYFIyaXcMNcdO13CC6MMI0kyr3nCr+RDxBkDj5BKybVwMzvj5/IdtqHgB89eUex7Nn7NljmBkZl9zUf5jkd9B8vMq0cLbopLBia+p7/Ax79m7j2ujOAcLs+I2nAvC0Ix8hGe1eYl5hNb/wF794xDOcNk4pF9zYXe0sgthcCcxMRjiPd83/2YZ+4lX/I97njGisSH+lOq6EjbA+HROYNAq0pQKIgYngc3J64ek5k4l1vK3LIcH2hsZLvy7Kpzmh1cvL4hlpntexcMKjSPMxyM/jqTV56XL56wk8Di6ppSYD93vNGGNs7x/Q3eEjyCV+dPuNOCz+yX1GPHvvL8In1M5QrmXPM17/G1PuFgS57lkqOtiOLZcMEdj7l151R2z16XXC8WFI0xawecKQ7FA56BuD7CZ4p9nZG7zPT5koe/P0eGkbkrGSpl/nAgdCPJMr1b0JFpbU2yHQseUKnY2QW7PKezHWcN0AijOEYcoiO1tTgqrvwzbusZP1j3yK/fILHEmgKNI2eHO86Wl1wxp8vCPEfWtuMQ5jgSle24kEhmYjWkkydlBuPCc5CKPp9xlCWTeQpZs9ELHnIF4ljQscuOu3TJPi9O/oycUQKz3OKkJYsRGCllIJNppQIpOYRMK6cIZT0aS1oat0MZOBsy4cevmR073PcLQhCkrBjnl9zkQP/t12WRv59xt4Ztj+SPI7kGd+45voHlskCPJbqHvlfqjwPdViieJLTMmBj1hUETac4Tto6cGk4zi0cKbyBEkC8dVhvNnxpjSvBxA5sZxWWHzgZkHgk/OcmL5cJwZaYYIv4Z5Cw07xvDdyL5pcEGnAhjtcV/6MmzEcFOxZajkeeZ8Imn+3AgfKbozwNl5xl2BrNMIaCLzOAyi8cOmZQ8c8CR+WcN+yqSfx7RLuMWxq709FIym21p18brFzXazmjSG6KfmH1ccTwXcqWn9bYfyTHjvqsnr8/8VHRMUjL0xl2CvA7UHwfayxFbz8lbxfRAcQn5TvC9AwaaZs/+ew79zJCuJQ3K4SXE2Qz7jwec5lM0uJTIxw79U+AiIstM/aOMv1b4wmgWivteJtcd9SXkvZGjQ+qS/BCpHnmOLwx5Zth9ZjKwNpwE9+9B/gTSC+jvhXk3QRVg25HvjPvGU5lnXbbk4YhUQFCmPnHMUD2Z0b8+MiwqSstUU0/4GOxhhCkj2xFrPJ1GbAazNYSDMbx+oAxAMSHO0O8KyRudgf9gxX09cjwMsCnwIePeS+iniaLhJHheA+cdzfVJEu4elyxWQh5AMFo96Q2L50r/w0x1HslTROcTdh3Ik6AzoxodO97nzTPl4r03zOYd7mXm+BnUSdCvu1M43dxxXRcsLmvy5Suq5x7/U+HhaOR9Q66fc7VyzJ4+kG6PFG4HYYLzEsExyYBX8M+M+dOMDBNZG3ZuSdJ71ktD+hJfJjRNnPvEINeMZ4J73zOOp260d7zjHe/495l3hZrfI04XmYa9fckWBHAnGSJKTwRRHIIbjbqOFGxx2nEMsCt2SMiEfKAewE2JVPUMU0sFyHDyFng80+BAC0w5eYspwTW0RctewauxGt/wKExIGMDvwW+RMKNIE1mvGfyRXVEzSoGzSGDETy3n1YZ5Pt2WLE04Sx0WRxZx4Hyz51KVTX3BSGSeDiwY8d6o455n02+hh8mUqss8lzs28xWv9ZJy6ng63rGUA+Oi5jZcYC7RS8mOOThhOb3hcrglO+Vvqz+hyhXX7hF56LnTK1bFT0hSstcFr2XOm1o5emEvCx78C7aqtPyG5JXJhBlH5vEWU89OVtzpGbtCKKueJ7sb/mj7wNNjS+fmPBQXjFzQ4VHreB49qf8Jx+E1s8VA10T6s4x7I1Sjw40Ko8Hw7T8dfZB/zcbO2HDOnpKDWzDgCHagyVsupOAoJQmjdY5onomAqiFiICeHyGwcqXIkmeFjZDkcWJU7os4xKs7tG9pkLKTHy0RSZcw1g1QkIEiiIGGmRAITAXJmKI3enQ4ejpN7IEnGEphkYAQRWptxF86RomVvawYaMhPRT4xJmUSYSs9dsWTDJZmJGQeyD2ys4FBDkAkVoTHHQRJRlI021MWcSQJOFBjJHga9YLBrYo5kF8mmiBmFHCm54zxtOU8jBx15wg1zueVJ/oZiOCMfe8b5PcvZPcoRS5G5O3Vc7FXZiGPC4U2ZvKfIsEwPiEWiZEYn+JAJGVLKMA6IRTBDFbrg2fiSbSjYelgUkDXSErh25zxuDgx9oNCOzu9pOaV7TVKy55L0dgjKsmepHet8zeQq9mHFjTzmnGtQhwhIckANrsZEgB7SRJEmShkoNb3NQyoYRfClnQ7WNmBSozpSIcQcTk2IhaF2ii1OuiBSIM4z4ZjMESlIWjIGz1QAIkxSMFGBZGp7oMo9gzTEkNlVPbM5+CoR7SRrrqVlHjtehWfcuXNMbzgmZcRTkpHYsfcOE2HIkBWo4KglLWtiPqeXNZ2UzPCgiclqJqvxJESEo19wUE8qjdZlWgIhJ0ShtJFgB65scRIkMwCRqKeYeAvQ1cpGHZMNJGlphsSzhwK/7aCbYDAuXeZ+2lK485PM/ltOKREdHURPnhIueGR0iDesakGWmILphPmO2GTEMoWHnGEvsF4eGcWQRnGlEF1CqwTlKfWIWNFXO2brluMwUbPHF4HNrKMpjbmf2FWQV0pZCv6QCGUiFuB6R06RMWTKQoheOdSGziN6GCkkMmkiBcMiRJcRH1EfAejqjLlEPGYKrYjjHo8hDmKYmOYRE4dScigqvjk/EhbGfAQ3BvxYEawjFtBWCZdhNTl8dKd112c0Z4TxdFmUFC8Z0mnsS7BTjrlNqASCASES655DbVi5Z2wOjAso/YRUEVGIntP3tXRKoMIw7bAKCB1dPRB8wnYOMZjKI/0iI9pjEbKLWHOknycOBcxrqDEsn0ayXRSsX6LFjuwjEow8GCYDfYAUPSSlrY1Zc8AosFHAR1I9MXSJ3glbP2MpI2choZOnGAx6j3YtoZCTGDxkEntK61j2CSlLTPJJVh+B+zWNgc33sI6gUE6gvQNfIIuRrpooJVL0iu88yXt2MpK8Y0Jx5UiqT1148W3jrZ4WEKke0erA5A03GUGFjELOpDqybRJWZTQbhU5s69O+sJJTh3YvjiGcnoOE6TTaJS3mDUgwKFpWZBH6kDA3koJRaEABdZEhJPZ+yVRfk7zhshEx+qJHp0B1dEgndLPEJk88jQpDYCwHopvImnChx8RwEihsoorCfFcjR6Pzx9/VFvKOd7zjHf/OvCvU/B7xb3ecZ8kns///lQh0OhxYFpxziAjzeWYhR+rU0mEUZ8Knfzin+quR0EFFwooOccKyL9Cf3YE9JTYN7cxjz2eIn9A4R3gM+oSrfOC7ywXP+5/yud/yoLe0uWLbPPDL/+Zzzv/rf8qPHv+YP47/nE/lituL57wfOob4muRXrGrhP+/+NfJwy9njD+DugdnLV8wvz+m7A/5eoa4pJbKWN/yJ+0s+kQ3RrtDQ0hKYucSFZtpfbuHDmvCsQUlUzlEea6a7A/JZeXrByJ6dP2fPJRFhEKMUY4Hj+puP+Vd/dsc//Cf/IT/5n/979B+tOebAFGFhdzhGzM0o84aF3lHmLT4LFZC6C/z2nh/lv6Tzr/hb+2Nu5IKsO+w/DcyrOR/J/8E/+rP/hcv6NT8uf8hP02MmP6OSUxdA+cWO9/T/5Pnzmv7+jvHCWP/TFWEYefnf/Zb3Py6Zhoh/HZFveSbuP0h/QeFrfm6fvx2+Ec65o5ADZ6FnSckmwqUOFHaK0+5cycREkpFkK2gr7JAYq8QQCuYS8ZKopSXbjDYv+cDdEAXWPKBEtqq8dA1zucDlxDN9xRd8wjUveJgW+NBj28BmuWYvgUt74FN5xa1OFNwjraHHxGV7y8fzl0xZ2KQz5rN7dlbRpvLt55zjfMn8yWNS2jCNa7pwziEmNv4RA4FLecMnhT8lg8iRuW0QEn2EG/8MWZUM0xGzgUa2qE3cxidc+wvu3eoUKZ4CWTw7KVn7mphmuJvE4/HX/PH7O1TvOTt26FAjXWY8PvDh/I5H3KP0BMvYOEAQ9uJ5ySM6VkzZ8Th8zd+3xJPNDZ4Dd/Ml99+9pLm9Q25uaWYVTIIlIXx+QXwyY1YqToT6WYXuoZHEjJKvs2NRnvOjiyVy3PNkvGEKnp/zATfukolLznTPwjoabXH2FX8v/4Sf+JINDa9Ys+INs3zHmXsNVhB0wsg0sWM9HMhtpqo6zkPHjMgQlfRQ464FvxJ45DmvlMXfbHBEGAYu56f1KAthtm6Ya+Y2j5Rug2PgnjMOeU3KmZIRK2H1wRJ0jwokjIRQeiPEnsuUmWJL/f2G/ElCRghdC02CZULdxNZqXsqcMxsR94hempM/KNfc2zNeycc88gfC0yXWvGEUTyaAFaRUkH2kYM/MEt7m5BwQFRwDiUQL5Bm0QTkSiFqS0pyZ7KnlyJdyhmNkYfdk68m2oHo8x2VBP1nxSp6wzSt6YMieKVbYuEVCgVYjNIITR3h7NfBtx/4a8oNHO1hvEnyZkGbk/D1QPxLLmp+9hA+YsDxCBjkGZO2YppF6bmAlxbEnq6DLObu7PZdPK9g6ctVjoYXg0HBGfZNYFgktNszfL/DXA/K6RV4o+w/ndEfj8W0Pw8TUKsWoDBtjOGaW5yV2Yay+u+LY1HxYX8DtN0g4I6z3SD1RRI8/evZ/NVGLsCwCcQS5Erg+og+OPY75dyA8myOPE2M3QFywH+dUFyXz1T37v+ro68yj5xV2aHFVxcWjM+QXN5z/MuIOAbSAX7cQhOo9z+blkTCVhPkpoYkOdAdpn9GyYHXp+e4Sgrakj8/I7o4yGYuzhv7piMQZrvOkbsPyicJU0exa7v8llGcj+qxi+dGOcpbIeyM04EWgULavI49mCvs5w9dHgk+IS1TPPPVHDcdvDvS/Ncp1Bb5HdhPp1R3TnzoOU8J/A3IPeXrMdf+S1SFxno3VBTgJ5F9Hmi8ntAroR4bbK/O24VUM9LNMOFceXo1oXuG/3NNvofzDgAtHtMvMm5Gy3yB3I+kafFXQ30M6BMp/tWHzTWT1nyntJ1CtlqT9Dr3OxC865Ecw/54jXTe4n+zh0YYzzVyvwT8OHNNE2EERKliAWw6npLcvQGYrkmwJy4qhbblcjigd5+LQuz2ynLMsEnVxwd0v7lldZh5/umK8arEvRvLWmOmG9X7H+WDInZIqpbwy6jPgGuylY/xqw9mjM4JF4m1m9hXoVvAOzp9NDM0Dr9wZzE9dsdzAsHUokXL9iIf/9SWLH5ZMnzeUayH++cCqmHj+XsTLdBpx2gyYc7RR6IcC+3mEn+7JR0Ee/443kne84x3v+HfgXaHm942Txw/jbaMLb2+wiDgTIo5Jha6AyYGi1KliExhbNwAAIABJREFUEQ3vBnoVOg/Zg+iI5yT0CzlBjIh5nC1JTkFPoyRKfaoS+cCkJSUjl/mBehpZyDWDc3xdrjisZrx0X+L5go/Ge+ax5bUcmE0DC4ExGFlank23uPaBWT+Qp0ROGU0DiHIIihaXJC0obMCnl6z8hru45a645AscL8IVjb3B2UAxecY8UrqIWMFOSqigdwGHP0VTYrg0oCxIbskxBExmMEKWChNHOQ1UZHaqjE6pU6I2ocwVXRSscHTBIRqZxchsFKoouOGex9nxKzvgVQjWYT6R5vfU+Wse7beYQdeUHMKMMkMpI85gNgln7Z71MrPXjs4JUT0hKU0UxAITCbX/uxz3beV86lj6PY4dIXtUhUVuKN2Rhi1KwWCOkgPBRkpTwsmIQcAoTIlWcnQV6jO9X2JyhzpHEiWZZ5Q5npHsCgTBY3SU3OqK99ng8kijO1QmopVM1jBSc/SBKAs8NUXuqd0DBY6ewMHNWLktRRaK3NOjtIVwkMyDCVsuGDhjxCi9cC8rsjhaO2cnZxy8oxTIBp3s8bnAjSDlhNMdaqfEpYOfM6dj9J6dXjAxgd+w4YxSDgy6BPNEq+l9Q8eCmlt65W08dwIq9izoGQko7u0+UZBpmMg5EymYdMlgwsBjbuQ5LU8QiQQZ6IsrIjCI40ErunKkKgJyyBglQzERpwwh86Z2HLMQUkYlET1ErwhKZQWjOQ6+YB3k5EWxGVu34qZ4BNbQSCSao9WKQTwlkYKBo0CPR8zjLVNYJMiGUTv24hnEke00BKoyEWyHk4HJ1SStMMkMswyV4X2HDgaVMQi4NMPcBGWirTydVkQCahMIHGRNp6fCmkPJoqdIXAwsopJO3oRcolTErExasdceqQcoIQXlzGeSZqImBsv0lLRuTWs1ment5xVaWdOy4hA8bZnJAo1NFOxJbofYnMqMIMIkioqhpFMENAVQojZDxGFWkvAkCSRp3g7JRpKCSqZiYDTPqGv2oSQXQsw9iYZJzxAahuC4qUdCBJ1V+JknzTydeiyP6Ld+Jzp1LmCG5QmXTyJxSw5JggQwHFE57T4p4RyoOUQdZAjZIdGhpmg6PUzNGeISZDl1gPmJIgWEAo9D+oS6SJFARmD0FJNQ5gInDqMFl3BZkOgoJ0G7BN4Yi4noE46ETqcodlCiCK48DURLKiiHgVo94grSFAlvnyEynZ43APiRrBO0coqUJuIQNBX4NBJSItqA+owxoTawGkCPSnL29n9CGEVYWkkVx1Msc4iMhVEMAqOgMZJqAwacAjpi2p3k7KNCNgbACqNSUBOIgCqimdJA+gDmMQeYUqXT1xiGxsQsQTCBHAipAAZQwbydOozUKKKQrSDR4w1cKtAJcq4I00g3tYhTopyGx9MpZwGRiE+wHAVJJdaf3o+CndxGJIfmAm+G5AI9zlkMWyyCjEYxQj0JQQ0wfPboVFBOPTI5dMyECJbcKcLTncavquggJyIGOAQhZHBDoowRzZmkLSbxJOA1h/mR5A0zQ4GsBqJIDri3e5dYojAj24SXiM+KmyI+GsGatwOxGTkGmii0MlBxwE8VqGIIaTKYOP2MaUYdN0xTTzkpbjxFaI84eqeA4WxPkQ+QI2ICVqE2IilCFooRwuhwsSKpI9lAEY1gRyTJ6QXXhMGUUZdkTafY9yPQFVTvXMLveMc7vgW8K9T83qGn09e/9ZAyMpl0Okzg2APyTLFlTW+BoZ2ohwOLpfAmwjCXk4yNSNVn5PhWZCkGWyVPI+dPoV95ej8RGTA7Ah1BI9UYKW4mXCGEusT2kfU88oPLiiFP1ESK4KgYqPMdXo/MC0e2Ae9bxBlx6xiGRCiNInhs3yOzknY5Zzd3TKbUooxuwBFZ+5KrtOI6eZqZ8SK8oZgPyEWkFyhZMDhPe15g8xlXUjKyYJmuWek9l+w42IL7ccbgn/MTqymeCS9C4PX8huYff8pm/i+RkLih4UHmiOwYuoE+VFxzxo08orKOSgzv9pQaib+C3CvrDyKNjCwkcrEQnrwINPeCvAa2oOvMmh1PRViyxWyPOEV/lbj/4oj7j5T43DMcHdWdcPeVYU1m8UPBDr+ThfZ3yhA8ySDoRCKC1Kx1jrOBWg6UHMA5GjbMxz3NXU/5vDslzVimkJZYjOzDBces9HrJctagmjjYOVEa9kxsueTaHrGXBiOQqBlsRo9jUmVr54zMUTK9q/hK3+d2vcAft8xL5U1V0b8tbbyU5/x18ymfy1fsyjW9rhhJRDb0NiPLKX3n1p4xieHyngedE8XzRmZspKLPymAwmmPiPQ5uxdrdgHgynojHBw+MPKSa3+TP+Kl8nyLt+dC95siKZCOtlUxibF3FJs3otCZZyZVUfLVaEJun/Hn+I67x/Gn557xwB54/vmecF9xZzYEZ2QbuZMlaL99+pgVqNUXuaORIzErrZtyvZvyyfM5LeZ8Xww3rKnJ45mi0ZrHpsd1EUU04i1zpBbM88VESJnXcuRkjkQtJFNlxVEdfCHf+MTf2lGAH3uMrNjzmXpZ0bkbID+xkzZ2scRhPdMeMAxMVWxa0nEYYjYkRpXeevgi4JhHVofLWu2MlV43y+YcF1dEwE2JTMpY94kc28YxX8gmtCsvyniIIm7xkIw1eayYbuM2egz5hIyUXLvEHeQs3PdEJeR6IRJwkjBnZCh68Y+fPuWgPzK4n0lyZqpJ0mDAn5BB5pA+sUDpTBhKezOkU0nEuWzZcEcoD6dIj+8zisGe13nCvO7AVThytzdhwyag1Y85srWAnc1IuCNmQVhjHkqkIRE4F3ztZcc+Se1uTKPGimExEGYm1h5lSpZFzWt7YxEwMqw6s/sEcbeHh+TnXhWeSgYNFCh3eetG+3YwmuKcZi472Slm5CRYwRCPvhVCVbIDcCuyUWIGUA75UfJ9hk8nLhuO9UYxGmY/kEmJMJx9IzsiqprKBXu6Zngz0G6O6FSKZsgC2keq1EuuW8VNlOuuop4x6wDsmn7C5MV1EfB2Qfs9FWXK83YBAVUx0fabIoB8D84m4N3bdwOpRRmojP80QDJ0ivhqI0fB1xfb1QHNUrB5J5UCmJ6ZM7BOqQu86hpVQ7zP+Zs/xm0QZCuTTCFcDrCsGF0mTYPcZemVSsPOK7r6lHgWZQ74IbIBf7AbOFksoDyQPmZ4pRcqnMB5HqtkEpjz0cFYKep7pEszFka4zxwHiSrG5sL+DBeBuRrLLTIXh7cA4ZqTJFAUcvVCmgXjMhA0QeqYAwUBTQe4nZHTEMWFrkJsNTgDnYKWnz04iRSH1RjHP9HnCLxIW98zLPYteyNcDaQRzBywYyYA2om+A16dXtJAM505jdHk6JQz6ADzNlB/MkOcODeOpM+qJYMcGud0xZQhNgZ1F0mOwYyTfQ1Uo7v2APh/JFWCJXEfSypPGRFWN2H5kOhrl8W25R5T9RvjFIfGHP3qCrG/pUSpp2cVEowmGknhlhPsMTcVYB3ZVZl0cKbaJ6eAZEKpKmSxT55EcYPKCV0+foKyUhyLxcsh8d/mU1F8T0pZAZJiDrWq0PSID4JQWaGQE25Hs9Df3GNME+V443MF8bmRXsnEL8phZ3incZtIQGd4rCf/fbx/veMc73vH/iHeFmt9H7HRrbW+rNaYJywnIYMpRobvwdL6mHx1D3OGtRXJksAxFRutTsrdMmdxHlFN0Ky2ItZS10S8ECxORDEzAiMqpk2S2nZD6QPYjxUFJbsN7iw1fsKfiGxZ2wE0DBZHSvWEmjpY1MFFZJG0KQq4oLke8i+RtT3x8ztdPn3LrMhcYs9Tj3MiZQUrv8St5zDd+zQ8VPhJB58pwWTFooGDg4D3bqiY27/NVOqek4cU04pmoi46NRl7JI0SX/EYamvqO7z3peOmV4pNAbkfEHHf5Cb30eDpsPCIesjk0ehqLaDjSV9PpJehV4kI7lu/tWbsN53LDYnZF+XgPo2J9g+z2FEPkIt+TdGAmN4i2OPMUrwrcpqf4sKRNFf2kLNqS9mZD9VRYJ4+24+9urf0d0fkSn0ea3L6Ny+6Yyx0l9xT0RAYcA49tw6PugLtPVM8HRnFMCIGWyU08uDUPac5RL9k0c0Ls6TkjJUfrjJdcctQVQ3bEt4k5pIKsyqA1x3xGlgqhpw+ON/qY6Bes775BFwW/qTNGosDxYBf8rPiQVZgYsiKWKVGa7FHmLNQheeLWNRxYENixt2tMD3S5QCxhRB70kjt7zmO549rPWOvppruMgcZnkAOeghue8+PwJ/yZ/IhLuSHYz/DWo5aJBr0UbGTJbb5AY4O5M655wVfNjtEe8VfpB/zKvUCCQv4bzsovaEPmoDUHWzC5ilsWnGuNpkzO+eRKyTtKP7LLFxz8hqp84KU842v7A4LVFPOBey15NBRc5CtinvAhUmVjcGuIOybn2MYFB73EOLLQLTkpR0qGQtjTcJ8uWOWWp3pFxvHKzpgILOTUI5KysZQji3TFmXsAcXQsyFZR24ZCM5UpIWfEjBxqopTI6c6YIw2basHhsmS+6AmDsK1gnJ/KC1tW/KV9yh3nvCff8IIdXS44WIVnzoGRGy3Y8j7XUjDRE+Vr/P0IvoQXFYUTHCMjnlELOhfZyoSmRHFrmDiqKpB6hdkMZ/DUrkh54FfyAQeZMRNDck2ZMku3YSmChg3RC7TCvEuczzve+ANe9iRp2OeaXhdEAp167mRJR4MwEDgQx8Sk85NbxxQks881Uc84cEmWGofiZMBxj5URKkHEKGwPDDQkpuKI/oHh+sSumPNNuETpcdZRckTk26/v9Bli47B8ctQgCoWQJ0gPhg+OViGNgvY1gR2iRs6GemGMRqiEYTSKSaBTqjOg8zC+7YaYn57Qg00UteHeGBwqcvHWudIbepcoNifvlC8TUoIzgSozaSI4SM6hdUE47qm7zLgx2ghhBrEDNxYMTxMhGEUH4x6o7eTYOoMievLd6YeWyYAaOxzRXpkKRXSgSiNuhDyCmCc7IZYKO0VvEtWtQaOkZwnZgVaBYoq4ISJthmSkAC540gRkw2pgkWipuJogFTXOB7z0qCWGPFEthKlLaABrMtudZ9SapjzFVGMlthvpMqRZREqwncOnCimgrISiMXSIyCA4D9SAN2SCsFfcQybPHFK+bZVJkM3IySAbhYLIqYgjOkKZTglIrUN7JY8RsifGllAJWhgrbrnsPfLQ4iKYtuAECmBU6EvYjNgsEYgEAzPA8ikEos4MPhMuJ3SllGqoS+SVnERfV6c1amVmbEZsJnDr8TtHKCf0okcfR+wIjAHzpySkzoRm7tDthGsFJkdyQPa0beC348gPPpqR5ldYLGGoyNNAtEw5ONJGoZ8YFiPRJaxwJ0ehA0kByJgE4jiBgYkjmQcJp+KKCJMaBzOsKmDKXAwt1ST085J97fAzdypUqZDcaYTfxZ4mQuqE7IQcM35Twes9vCcggYN6MiXNrob7Hp0iGr/9ReN3vOMd///nXaHm94hTWUYARTiNPCXLIJlIwlBQz6DKQQM7arpY45yQy4FIwzFf8LAoGQzuw5xBTi3/RcrIEEATBIiDx+sA4ggEoGeSTCtLWqmJtTDWNQ/1irK74laVX9eP+NVU8RHvcy8XPK1uGVfQOmXPmsnmJOloY2axGgnTyFQqYwh459gvKr50T9mIp7ZbFMfW5pR0pGTclu/zi/ZzXsnf8If1v2AqHW/0GRHBWUtPyV4+YJJIr1CZ0vuKyc1IqmQiX+iHeIGLaccPhn9ONY68Gh8R9g98h9/yBTWTLPhs/1u+c/gN7+0j7SpyU7zkTfxbVkXLSm5JLnOcKfNWaKqI2UST96zyKzzXbNzEq6bk+CizdFA0AyY9ST2aFT8aRWf4h5riPuE2M2b78dRursKyPN0uxQKK9e9y1f3d0KPsdEFkzpl1IPcMOHw64KSg0xkTShUninFAXKZJB7xLb9d6xaRnHKQnakTkQJIEYcTZNXOdMeCY0zOxZ6YHagbMHBOeip5Z2nOe3nAWXqFScW4vObN7linRZCHGgcYemHOLpJG5TzzimtV0w2QzzosNfVKW6ciZ3NKJI7jHjESyCGhgQhFLLHJH0iNZlImeFTsexy9ppKfslex61vpAtp5eTgdw05Yz/YoPmLFIAz4dCG7HQkY6HAWJOZGegaSnUazzPHE+bImVsc7fsJQa84EOpS88g3gykcCASAIGkAFToRdlLyt2TqiJTEXNyCuWw8gHuuO+aNlXngHlybFjfRjoXcGrR0bVnLNxnvl0YGk7TAemwoFlFnnPhbxi0IBJprOKKJnsPDd8h8yKjgAGEw1H1ogF5jKwtwFJmVpHlhw4TwkzRyRjucD7miwOJCBtoHAVpe+Yc8sizvAoiYaD65BqIKSB+dE4hgIXCj7oH3hiB4ryyM4VtFJT2oG5dEw4lDVLRiT3zPXAaBHLBe4grPaZxXrLVgNbqbmVp/RywJNpS8/NeUWcP6ILJX6RKUKFyozMjL2cxrsSDWagVLQseSPPuGNNI6+wHJBJKcSznCaWfs+SIwMZyRMqO+4NCm2o6XGMNHYgjxE1KONEoMUUKg6c5w0JYcmCAwNdLshSEn3FaIoJDAI9JZPMaFnwxl3w6/IcKTO/kjNe8wlLG7jgS6qTDed3vJP8v0dahz7M8CW09QBMMAScKUUU5Gbi/QxzN2G9UZkjd4poCV2Pnybcg2e9BaGARgi9ovsK2R8pCkHannDv0C+XkOfYqwEpHIWP6LyCQ0ceA3ooqN8YLhdwSEjhyGNJueko2oR7/4wpTrgJxJf4w5z1naLHmmbsoVI4Cmm/oroR6qkn/mKFDwP+vjtJkmOFawVfZewOZr1gWfFb4eJ+hr+vyF9AOG4YCmXMQtUHivbUkeNmCkM83dVUAjoiApaVVIKliE+CPATcUUEFmYSwFUKZuAigU8QdPLPbEtk8ot4dsPda6r1hdwrJWGmink6ulSoCB3ATrCKEW4cUNeWxPV1U7R2zdsCOBTbVhG0PsYSjYzb2pPMzis0eYUS7QHUw1ARzA5aEwgRLEI7Ag7KsFA0dTjJhK0gumaqMFUK6ciz8Ams89dDS7IziYUCOGZfk7Rji6VeUMWZlglJIC6EvTj66xpTkPEMBhRvJBrGZCLNMioZowZAHvB/I6ihHId1CmApSO4AF1PYUDmxw+J3D7QJ2P8NlJeZIUUeyVHDTUl955CIgZwIWcN449xn3BtwXDU3pYLlkcbtndlET+4Jyo8hO0CVcDpnFtqa4KqFPMB5gKnFv5jRdB37Ek1iOyuw+EW6h3HjKITBrQHxLuVcurgV/X0MaKP4Ne2+ya1t2nel9Yxar2OWpz63jRoSCIoMixZRlE0oXyEbajWz6efwibvgBDCNb7tiAgbRSyEJKSpQoUVQEgxFxb9zyVLte1SyGG+tKTsANy5CRQpD3b54DnH32KuYac6x/fD+K22a49miRsQKytxQvJ+Tkse0daWbGRL3gmTYCbwv8PlLJhoTFTA6wVDhAuf32r0Xv9V7v9euv942a3ziNkJr/J9ZR+LtcKMmAHbkIeUF2C2Rq6fol1+0lqwcF23uGZ32FpCNy4TFDhkGRWjF1Qfu6xO5aZHA4Mok9Ksp1v+RNdYx8uOfz4Xs8N2d8+uhr/iJ/zL/d/Vd89s0F1adPqfgMd7xhthR+oh/yUh9xrrec2TVvZ2dUP9oieceVnXCwl0zCHb2pgBJhwUp7OnPMF+kRQ25ZFsIGx69+OuPrhx+gHwvRFTR6RtQdc9nRhznb4gEhvqTmhonNXBczbs0pbUxM7cCVnJDjjn8utxz//F/y0dNTXj+3TG47TuYNr+cXiAt898VXHP/kJ5QYuAdP7TM2ZyM4dal7vBPS04L0SaKrS+5cCUSWpVJEz5vmjNfnH7H955ec3lxz/0zJruRGj2g5pWgsaT3A88DmKnP0bMP0+wbjB8wJ3PvQsndKeV9I3//2M2oanfDSXLJJC74Tb5kWgS85IpsFIRXcmCNanbIbKvpeqUs41YZjWmYou1SzlwmRwKVeUeuaLIkse9R4Go7Y6Jxa36Ky4FQu2OiKzoLmxD02XOoVKzlmbR7RZsc9/YLvccU03tCoYuuKY9mwYQ8WPmDLj+LfcHzzmnV9yZnfcrADR+aOi/YZ68JjGFjSc6ZrzvIVC9NwIj3GbBAp8VJwX79mJn/DY3nGwzevMVeB+njN2f2eXpasmWMk8oH5Jff0S37AZxzMPcRkotnwga55HSbc+BOe6hvum1teSM0pb/jR5nM+evbXhMs5/829JY/lNRe6ZZoOdDKhNwargRNzwNJyyR1L2dOZkh7hThZcU3FKyyze4WXNcn/Lp1iGkzkvTeCsC1z+9AVh2xH+4CNeLxYc3AKvLaf+lod2RZ0bos9kcyAkQyFrMgMTM9DoGYmM0YZv0kfcuHO87kgqDEzpcfiwYloq19nT5ppKai54jZUdhRNuOWKXSho8O1PQUxBebZjdg7oUzuUWlQWz3JN6aLXCzz3FqzUuOTg/piDxT9/+OZNhx93DGX88+x49x1zICx7ohpopSqLSrxFtybzBEtgnx9G6YfrNax7NT3llAxs740U6pshTkDtWdc3uo6e85QF743kymbDMd0QsV3rCSpY4FeY5URjogStzxK94zCuOOA2fU2WLrjNMFJHIhI6ltKgKgw5sZcudWE5psVwzkDkygX5nyA0ca8OZNrzSgQk7Htg3GG5ZodxxzJ05Z0fFWhdEqpGnYg2DlHSxZmXOmJoHvJQHlBSsOOeWJUH3zHRGYQL6a4ATjneG9V/dcfqjCfaBwl7hZg8CYWeY/PvP+Wfn4Kdbun2mSEp6aZHnO7KDFMB8viG9Anc5kCaZvk1Uz3fEK2U5s/A2oH8OzR9eoQehHzKnP7J0JlFPC9wbx+ZFi/oKOzj61wOXH9YcpMXnKfvPPMUuMn18S/+o4FDBYjHh9WfPOPsVuLvAIgh8UCE/29B8/QaflGIGzb9aEXuD/ygz/fhA+6JjMq9RgfbNNfPZjP3UwucHus8CfOGQfz0w+9CwW0YG9cQvRxZOfwH204L4Rx3hdUVxIsTZQGMtJ8UEOdohscM1Bc2frdEhY7ygd5b4ZuDy/sDiYyg31/RfJVb/S4//izfEY0X/RWS2FPSXFhHD/ScR2b2iaxK+hfTFFZM0RRqI/9sd5oeGISV8taPKQrdXwhAp84B2mWyheOlIz4Q7d8PiP0vMvrdg+HLL4RaOnhwTqi3DwTHTipve0awGztYHvv/DOenkAENF+9WALvfke0r9ccnV/7jm/LQgFwfSUebkRxds/uwbztYwPSsgZdgG6rlnM4W46DBisZdTDlVJykLlHeZsSuX3I3S9BD3yxFkk7zMSweeM8Qfy3JCbmtW/3TOpDP3LhClaKAIfPFD0s4g7tXSveuq/fksMjtsYOP8XlwzrK5o/UmZvI6W5Y3uROasN80nmxw+g+pMDqz/v4Hcy7mLHSTZEBl6/uONibzBvYd0mHvxg4PAfIoc/XHP2XxfkpwPlzUDzr3fUpcInFbqK2KDw/I6rP1Jmw4Gzvef73xdMHhh+kWj2a5Z/ZZGTiP/RmvanB/KfQnXvNbMl5FeJ4X89cLjInM9AUsvEOIbmQHqRsV2LrQIXP97gpwn5UMlOyJ8p3fOG2T/2YvJe7/Ve7/X/oveNmt8o/S3b4P9uyiC8A6eOIyIwjjNUJBRPYwxNkWnchGGo3sEQwerI4YhEojUMxqHekyUR60hMBqs1WEsikrGQ5jRmyWtzTm8sX8pv88xeYGTBC36b5f6fcO9tzfBbyi/rT3mZ/x0fkLk1T7jmlPuyosw9W1fwypwgTFlTYTFoCZITy7jBiCEby04m3NinXLmHXLLhMvwN3+3/lKPUEqfKzhkOpsZJQFUQ7XD0mHxgLhsqLK2MLAc1FXUaqOwdloaLsObhNnG0eU29FuxWx2jRaWCwmUIbZm2mcBO0j5y2a57E1+zchCgFBzdF/IG6zOy9JTOjzgFvAmiFpppbzvjF/JiTDIMb8ClibEHEYoCUFQmWKiomlDAoyQ+YaUus8vieTg3512BzpGqptCHqDZWu37m0IFKCrQgYkhok15jsEMDnjlIPODrUDFhajtKWe+0tFQWptOR8wBjL1iREerrkOCaxchuKvEHEMU8rlnLNMq0o85qq2GGS46hfcT65o9KB4BIiOyaqeOlxTFiy5ry9pWiEWGSO8hvm0nKUWqZ95rjsWMgdC27wZs4i7ViwY6IrgvYEYEoBGvEmMk8bZl2g6EEDuE4IDHRuS2MDM+2YaQ+mZJ0STSqJxY4jWXOtBSqGeb5jmXfs7IIj2fKg3XC0hlREPrp4C/krnJ9TasakksIbxkHJwDRvOdUVy7zFU7PMdxyZW3qtODIbzuItx+aAS8qEjnleU5o5Egtmg5L7TJMjguIVfAInW0o5MEuZmJRQDIj0FAiGiFUlAEb3eBqSFFgiXgdqzRS6pUg7yuEV22KG01NS7qnp8LRYAcueggJLiWOgzjALShsDBQdKVUoOOOnpjWEQxzRniiS4NI4dGI3MpGEqdzh6PA6bEw7Bq1LSU6lQ0jDhhkp3ZF1R5DDa/wGbIqUGCgl4bbCSySJIcpRGqHKgQhmSYSqZifa0rsPqjlotkiqmGhiM4SCQjFLkAxMj1OypNSCDwsShxmAYmGiH6ph0c2CH6OwdQWmPk56SBq8RUUWlQ+hxOVBoxDola0fBAZ97shESkVJ7qtwjg+KzodSAMQNJerwMzIbIaXTElLD2Dl/u8NKCGgzKt305socJ8zZiQ0UkjFVUTJTZEgNkE8jFCGU1I3MXHz2SM8komsAkwShjpnoGGwUzlPi+BSBZsJ0wbQxyKHB9D3mBzSsYRiuTA5JmfFRyAgmeOg9jolcvlB3YUOAGS+lBYmSSYdIBvUNdQsst9SDjmG1MSOmZ7zPaO7RNCDo6F6JgExQDY7RyDZocJz2YuwluiONojlGKXOKaCSZ07GT6AAAgAElEQVQ02D6juUTigB3s+J4o6ZgY1rvRTeKAbCl7Ied3rDuxuBBpfSKU48sj2xQsd1DvK7RUct+i0aAioIp7V9p4EVzrMa0dobkWXDCYtmSWG5wTsI4okSqD7woG7TAKLpb4rWfuR8cuWEwyFH1G8uhQBSBkbBzHnjABTA/GQPRMgmB6SCKojMdN+gKneQTBB8M0AoMDHGr68e8omJgQA5AQm8eU8mxQF8H0ZMtYwlmAjESL6y3S17jQkkXBZCR5ZltDpTNMv8GU0I3ZCPhsKTqPGQZsANOUHMeAazwSCrTtMYOAClVnMIcjNO1Gpk2Co60jHcZxN1RgKFi2QnmoR+i19qBK3VkmOzBtjU+RNFiqVhAG1GaCgCHjc6IeBDNMICnG9UhOlIPDNYo9VEzmB2yITFuBVpDO0NVgE1TDFH9owSX0yJG0pDAdLgGNo7CGlHtKHSCN8GgQTB/+E6wW7/Ve7/Ve/zC9b9T8BklQkASMoMxx/2AQtbh32zEE6hQpdg12Ceo7ijpxZ5b0ZeLcvWb5vEXuBqZPAodTIYSaXZigpcfMLX6mbENAbTEC+0hkTkGmrIfAa45pOmHIJVIJP98vmfv73P5P/zM3/37CD37035P9NdtckuIxgwtEe8OMjuN8YCV7NukJd/0Fc9/wuPsLJrZj62tO7S0z09NIxZoVVvZ881XFN19+zf/wT1/z+x/+IZ8uDPGgbC9PeGMsJ1oxE0vt7pjwErF7ChlhnVYdRbasqLAx8InbkeRqBPSkivxnB9zJlKFOlNuO+YMFQy4IS4N9IMSrhI09S224aPd0VcVNMWeQKXMX8d2eWsaUiVPTUBLYENk3O/rCclt9xBv/M3ALJikw1T3J7NBp+rsCt+gj3PWk7OlOHcMkUf/wAvfXN/RfCMVThQ/+Ma+8f7hOzJ7f5ZdszBR8oGGJlQ6nnl4VLzsWdBT7hu5NZOFAHilGMpGBzI6lrjjb3LA4tLQuYmWKXA2Yg3J035OP4c5EzvOeaxrEZOba8kjHBLLB1TRMCdT0piCaChcz4XVmcmSIaccxBVaFFC19GMg7y/rLnvTgwINpR7NpWYYJ+TqzvDzwyb0tN2bFWiYUxjGnY2r2JDx1XmNE+cbc4xv5BN9nLto3nNWQB2X7s4Zy2vHBJ/ByfkqrD1jrlJVMEGtZ6ljRe0oOMmPDlNr2LLXjTCbMgqF5PcALuLuJxOmKy8fKjSwxanG7huIdnyFS4CQxp2OWW2Ym4uQFM5lwIzVLuWPqlHK9pzlAWhqiTBk45nUROH00Z5JaJl9d8fRyD/c3tNnSeEdUQ7zpaLcZ+2CGWQb21CjHzHXPnDdUYrhRqEzDI15TyA17M2NKx0P5mqLe8JdMuTNLyuKWc3mLxp6eIzKWBQkjK4654bzdUd+usBKxrqOSigJDdJ5tnjLUE8zqFfoi4lxBloRdb5gdBdb3j9lkaIsTXC6pGUhasWdJQ0nPFBVHtoapCpOccZMengj5qGSwniIlLmTDfdtxpYlgPafbhsmXLzg+6dkkz3T9msUF5HsFH9qeQbdcuUcYVXoZE8VOTEMpX3Afzwf+NaZdk9sRIp6zHWGkZAYGjCuIRBKWsY1VYumo2LKsW2xU9l5oxFAIZK3Zc0IUoWOGwTEjULLigbxluruGTcAUhqrqqGTLghvupZccP/8rTp7vSPuvWDw+wf9gys5n0JL61yBpRT8/MPQGnjXMTgua5w3lDUjp8D7B9z3yUJHZOHrD3KLtQCoc6sAWASqw6iD0cAOlVfLQocFgFxZzmqAQkk8YK8QdkD35BHRi4HrAL8A+dsiJI2+AysGLhDxIpFkmH4AmkyNMpmDiAdMJ6i1UPdkq5qgk1z2mhPYNTJoItRJ8xs48dAFKwdSRdEg4AehIew+FpR8GCknYSSZ6kFaog+dw23B+nTj8acK1Df4uI8ctegt2nfFNhM0Ks4pIBXgh3Q6oseiTY3Z3K+bzTFla7Hcs4hK0Hi1Bj1ryJKPZjilt5xknPWEqFK3DbjqGZxHeJuw8U/wWyCTDvsdsIJ857OMSVyX8lWAaKGYOnELRwlIRgXCT8C8y/W0mZRmbcWZMNyK2TDSRG2C1oTiyaBnQ1ztkr+QAtjaYnSPLALOIFmZMoGo36BbSNmMeRmQpUIGuIs4qpgG9g+N7e6Z7YVFkbO3pVzu8K9m1CZMik87Sfj6Q7xyLy4amh6PKwswRbrcUk0zed8TX4LXE1x12WZKOB7CJkBSNBrHjGBf7lrxPqIIcG/TE4PaZ/G+ukBuoftch0mBuMwZD34OfWhAlt2D2oB0MhaD3DP084AT8XUJuMwRHysAGXOtxRLKmkS/nFDYJbMni3CKHBrJi1qD7wH4DiwFSULRTfDkBjcSyIPcNuor4CHEq3PU1j+sB1RbaTHBKUIFUM3lhMf8mYtaOfYbJP9Ia8l7v9V7v9ffV+0bNb5QUSGAgp/9oHEbHt+ZjLqhSp0i9zpRT8JqoxbPlhCiZZbjlZBuYrqF+nLizA6s84cAMVUXLESQoSfEIfUooGShBC7oYWXGPAxW9v2TLMd/oKd/TR8RffkH3s8A0WN7KjFudoXJMtCXBZMpBmcQDt8VAI2e8kI95wgsW3YayUnrbc2paQjrwQu6hNpAoWenvkG8jH23+hCfyE7wKq+TZuEdsTUUtGVgyzXtKfYmTHUYSB5SOI1QLOvXULnAsbyjlDUYmROOQHTCDNMvoAC5afBJMATIDWY/HwqSWKm/RfEbPggMNfWmIyTBQ0wucmTVKoNcFREH7GXfxlFt/SSUVYkY45F5lBIcCGENOoJII6umLAp101OeCMUp5sLD99t/m83DDRRGYcsI21uxNhdKT8gSRAosi7LBDwDSAG50QhQoGCwKznDnbBaaHSFcEpnPBtgG2FnMciHnP1p4yBSq2iLRU2nCaN9ShZSgW9LjRq2E8ajKlbvB7sEuLNwMHiUieolrjwoAcAtUdyDxTdIGuDxSNJzQFk7bjjBUz2bCXJRNpmOVbPCsK53AamKhyxZLWluS2xrTjeRfnKBvBNR3FhwNCIFOScknUjJUDhcmUtJTSoiIkCgoGlrrlIFOOAvj9ADuwjWex7xDZ00jNVGvKtqMoa1wpIAMVa5ZsKeMB74RC9xirTJhxqnfU6pj0KzQKyWWMDHgsW2vZTh2FM5TryNl0heaWTaxp5Hh8c9sqrJXizHFLZsATdcY8dky0ofBTTAbrGo54jeiGbC0X3PJJ/gxh4BmPWModi/wNl/qKmDOGGU4CJvfMTWLBmon2mDZRJUiqiGYcLRNaRIUkniIpxTYiJ1NyVnwfsIvI2wJW1ETKMRWKDk2RiGOgRLRABYRIQaQ2ipmOQddMlJQzc+so9cAxB1YonRj8YFhuBrBvWaYC87rDTAwTveGYKe1gufM7jGnJOAKGUgam6RVLk3go18zzyAHJURExGCwmg8kDhSsAJYtlwBAVpggLEs7GsRKwDjv6NDiIo6XEYMcwb0nUtFRpyxEtsyYgB+BEUCwOYUngMgTO3+659/WBi7sDLWva7z3ks6KiVyi/5W4aYATm94qsIm5ZEw8t0hVkMrrI2JMOYy3qlGRAitERkikQpyQLziqUBvqMiRY7KIoha8IViaF856ZwY3y2zyPyOlooqwRFQiegM0iVMHJRB2z/7rOsjvaJ5FAZzR4IqBFyYcBFsgNb9GgWxBhMzhA85IEkijEO8oApFCOJYcioBesEk4A48u0GP2BrRmgsmb7vmPQZbmD+JmN6yNkQch7jmTuoNwbxJa7bo7WCN6MbzGUohF6UeSEYI8hiQHxGuncFq4kkO0Zyqyh5YhmSkP0Yg06QcZRpALew6GIE1dqhQJsWTQqVEDLUlaI+IkVCnRI9+ImObrFBkdYjHYSkEBVjhCIAOlDYfpxBjI5URXKluCHi9oaYIAbB6ngP4sZj71QgjM8o6TNqe2QKlA7bMbJwkkX3AxNpKGOm0DjCyPuE1RIJjJDiwSM3PXJTQOEhdohVpBTiOuE7RQfFNsAgJA9F3ZILATGMhi7F5ETIUMaMCRkUcqXYMlGswNwaitBzuITY7fAOMDLSpoR3kegKMUEar71cZ4LLY6x7LEAd2USyM+QWXLDkPP4f2TisETCKuoCpxxhvnCe3AdMlYq8QHJIFkxR0BEaL553DjBGCnQ37NKUvG4J959oMitpMZ2DSW7iK0Aru16Fr/F7v9V6/9vq2oyve6/+TRpAw+h+fePm78ScBUEEo3o1DjbZqUkGUAvB4LUEtg2YSkYihNxWDse/+tKDZYwZLmUGywWEYMf+j5Rk1DCK0UtBpgeKJ2UKqKNOSFAydVARrUc3kDFEFEcGowRjHoJnBNGTbju5xcTgS8+HAPIxQPxBUPXsSGEuVlGqnmAEinkCBIQOJjMNlR50HZnHLIm8pGUgWOgvWlZBHGOsi7ZmmHUl6OgeDE1QdBPCiVHJAUwCUFMeBspQNwTmiMePYB4HeDqzKgrWfEIxDGRAEo1Ok92QmdFVB7xKYxIBwoKaTCUiJCuQcSCUkFXyylNEx5NEJlc2YTCG/Bv3YwihGwUZlEjITwOjf7j6EgUwUC8aO17EZi7QyQZlHsHKRxjha0UyFUoQB1yk2RTQ1lGQcB6wMWAKFDnhtKVJPERN1Doxklh4hYukp2GMENIybl5wNvViyeMoQMUkoBsENIyDTBkAVYUBMwqSWubacpA3LuGIWWiYpUOSAkLBkJnnLcb7iZGioOpDAWOCmCMYyoEQCpW45itfM9RrDFjWRKu2Y6JpSOyyK10ypPTZ3uHygyC3jNErCxkQZoKShygEfxjQ3rx2F7ijyhjJ3FEmxmnEmY2hxuaGkpQ4rZrnHR8HHxCztOAlbTlvDtPXYYABDRFEBj1CIUmXFBqFIiqQeyeBwOMCT8DlTZouLkSL340hUTvgcsPSoZsgWowanUKaBKjcU2lFqR60DSovRFq8DogbVEhMFiQUmWzwD07yj0hbJissFPgskg00emy2ShUr3HOc9i9BTqJCJOHoKDTgNVDlQ0lFoS5EGXEzELO92mJHSZlLf4DXjMrjsEPXE/A7wHsexUmWMaXYa8ChGlFI6am0otEdJKGA0UxGYpUgZddwUqpBRIvpuXRMqFJ8HvEYsA0YjpWaKADYZRKEMAxUHrPRgwGpiqg1VbrA6RvWOq5pQJIcZw1vGtprKmAoVLS4UmOAwA5T9QJkzRsf70mn/n3rp+P9dgsPkd00EaUl2vJ7VJ4biXZbiu0SZaCHbNCbUoIgRYmZ8VholW0gp4MzYMBSj7xp9jO6VBFilEwU3kAwkL4Ri7KmoUZJVkuPvUoGyKMaAiYwbZBWCAJJprRJ8IoqMcdBj54NgzJhUZTODWKJYsh0bTfFd6pBzMn5PMe/G1wKoEszo7sxAkAyFG5tWxjKoEGR06zoFrCE5SFaRBJLf1R05AeP3wY0NB6JDkowjTZrAQk4GVT9O/hglywhizxasKhIHJDuSFaJlrGfi+HxWsUQLgx2PabLQ+EzvI8koqRh/FxgbGEEAbTAK3tgRgIy+w/wJnYHeeVQVkYCY8ThldagRgk9k17/7P5UseVyr1FHImLuQEJIdR9GzFEQR1IBaYbCG+G5cCfnbjxVSlLGAc5bxMDiIHp/HADJ0jGkPAknsOC7letQpGIdEi0TIVsbzIAFxIDaQbCaad5+VI0UG0vjFPBmnQhBL8Eq0iprRjdMbSD6AREwW0AKcJVoDDGQS0YKasdk3Hv+MGsP4dFaiGcg+MBgdXYliCdaRjSAqKJ48TumR7HivIRmixebxmlQXEWPe1YTj+Uoyfke0J7iBVIH6/H7z817v9V7fCn37d3Dv9fdWxqJagIIl/N1PASIB0QDqaKWmq4XCbKnNjs4olQ70fWbfWcRa6kqYuYBjABNpJZP7DKsMsYeypnf2XeUQUXqQlgdFw3fiz1kMK6K23CAoDp9XfPn7BX7yiB/WX/Hj9l/ye92XhNsnfPdJQS17jOsJYjnvtnD7BfVsz8X2FeWbjFQFSk9hM1IcOLt3TZCeQn+KXc7Iv/UMf3hBvIK0EQbtOXqw4l7hmNOS9YBB8c8OlHc98kFNOLNMtOGIA7cSUSzztOU4rcHUDI9OaE9brqeXHGTCp8evCHnFsd6wmc3ZPDzj2DfEiWd9dMJb95iVLrAmMst7/LZjYy946R/TsGDFGat4xu5VjwyRaCPbbLChJKxahmXBVfaUdY0NgTD3mJMCc5eQK+i/SBQXBaURAj3m2JEOCV6Fb/2NnnuwZUZMpPcJwVKhiPT05o65VCx0oEyB3Ck0kFaCPYGyvOME5X7T0H/ejCNjR0L3zJGeRarHjNveg8UsIOaaaOZUUjBh3Cj3W4F2x/H5FqFjr+cc8pJoHCGD2yhthLYu2BeekAvaG0O+ccSbgN63lC5jZhDXPckb8iayvExc+lcstOHe9o70MjA7c3S2ZV8tuJkec7DHWBkjvvtNYnIK3SRhH1aYu5a66Tgq7uiLBdfuMV/LCRHBpcD99o7cbSingVhU3OglQuBa5lRmxTA38F3Pbnmf68sH2NzxJk6YtT39a5gVPRf1jhM2lDbhdgZ5LgwLRR7VhL/qOdlD9gN9o8xbwRphMIJ9tuLyeY+5g3DWYk8NhECxVfibiAwt7jsestC+VHwAqwErZiRmyYA1mW6dmEy33Gueo9uG++kK8Q37R45sB/ps8TvFLhymyMypMa8b1AWOz5eIBoJMKbTnYmiYvDgwfBXwGfJJJk8LopugJjPPGxZpB6uAuVF2VeZwbw7RMPGWpOND02lgqS0NBq+Cy4LTCGaM+VZmXGri8LNnVG8EeSTowqCyY1EYJkPEtHdc+GOmdkLz5oqokO8s+0Ng4hnjbn9lWD5sscVbBi2pmx2h9Jz7LT5HSmnppcHJgW7TUoxBewQLA5YshnFfFJiaPQu2bDTQyYTMgPQd3CZ0gGlqOeGWNWvIFmcCE9lwAiw0spLRRWZjIK4hrwUzBI644VLPWPOGqb1BpYNSyEeWeKxErxRqmOXMdH0FZ/+4a8k/VLqxZD9gLoCH4BvBmExcWOxMkeKCmz9+y6J2+DnYowwPPawC2Iy/X8LSk5cRfZ0pGgdRyC6QL6fE64SNAb208J0FiMe+FPigJD29T6o6TCW4bzo4i1RnMkY6bxTOSmSZ8KcZdwXEASOZfOLQRWD6e3P0a5BrS77ajqPJHxXweIm7H4mrPfneOWnaoactGi1eW3RwiBXy0KMzO0ZGXxqS95SnHjn2qO2oq4KZGsqTcSPPeUG+yYg47BOQocLS4xcKNtG/UQoPtorkAvDvulQWsIb4KtJ+A7OPS+QTxeQCfbYgNwf0LOAqR9YBqYR0LFBUDJuMuYiY5ZJ4Y+g3gfITh8qAuSlwcwtnU/TEkgfgbcaGRA4JmVbk7zskJPJdhK7FlQXYgXwKelKQpgmmgrlnR7PIqiT+uxb9aEr+3QhPHKa3+KGHwVJ8OkUfDORFgaYBLibkYouuFdl40kPFPM7o9F3joh0gG7buCK1Kjs465CbgCiGXin/qyKdHMG/xp5b4tkcHQKC7UYpDIu2V/GmJvZxgXmfMNuN7pcEweezRDxMsPFJWmNuAP3LwPSHfTpGYYDOMMekD6HmGfkFzvWf2tCD97gSpD7hpQVr12NNIcVmT1xbzrMBNNmTj4MLCbxfw1CD3S2RrcOeGVB3QB4qZ1IRzi/o5xe/s0G3GvFnQ/PGaye8o+l2Peg8+UU8FPamIP14SHzrsecXwzZ753kKbyVFhKthzx9TtmczAf1qipwF/sSQfVSTx+I/P0f+ihTcB862vit7rvd7rN0HvV6rfKGVEAsoI9VMMqEXf8WmEDLnH0lHYzNRsmLBFU481lthXHNqaVBeYiVK5AU8cLduhgG2J7iHJQFxGpLKIJmoykRvEbnhoA789POeieUvRr1n3ken9ktd6wx//k/+S5js1n7r/gx+++RPOhoHPrh0fPTylsNeoKTio8mEb8V+8YfZJJO9fY64yWgmu7ahKsNPAvfMtR+6OJ7bh9PSONPFUf3mLPCuRQXFL5fL3WlK5oyQSxFLHmpMv9xS/ahkWD+hPK5ZhzQey4YXvCa7nOO057/e8mnVcfVTQ2zk/5QNech8XHeWvfsEH6S2b+gGvHl9Rnv+S3pc8d6e8MA94Hqfc0z1nfMV0v+bzxY/4mf0DGhF24vim/8/pvj5izluiCdzkCbk7Z7h+Sze74G1/TllWuDgQ5hV25qiMwE2Gr1rk+1PEGrAJP3NoB+b62z9vYDvFmJ5Ud6gFQ0PFBLUDhkChexYamGuP6YADuLfCpHQs/MDU3PB022P+MsCxpXpYoz8dMM8VWU6wQ8903eMWcJCalgkVkamssaLkncN2W47POiKZPaeE4YhadPy8FnID+uSILQt2zOhWFn3pcM+E+GHB3ibsGaQmUhuHW3Uc71c8mTao+YqzXU/+ssHIlIVb0x47bqePae0Cp1tiDOgmwQmsTgKzI890pXBjeJx33F5c83P3XX7F72IZuORvWHS3zN9e8fBxh9WGlano8yOu5ZizlKCK6Hnm+sExv7AfYnJEo/DD9Uvcc/CLzNmDljN5xdzcstgb5POMfegoloaL/7Bm9rwi1z0MDus8+tjgqx0Xv9hh/rAiXAX4Zwn7347nsr426KYgDpnJkw1B5vSvS6oiUAwddfZMbWJgTaEB3YAvAh+sXvLkl28p1g350rB6WvNWDlSDoHeGMMn05YFlTpx9dYeWPRdnns9w3HCClz330jWzb9bIL4V8IsR9T+rATGdMreV+vOI83FG+HZuft6clvzg+wuF4SkDpsbJiKg2FGIQjSj0guce6E2K07MyEjgO/E6fIT9ZU6wRzRyozWdYsRVk2PXZ1x9l0yqmB9M0WCk/aBQ5XHUcXjniA8lrxhcHdH8h2hdtuKBaGO/8aqyXFOETJvO/JNxYiaNWTJBPzGNlu7UApiSMcx2nPjdnjxGPzlknTYG4GxECVA0sOHLHh1hyBJOZyxZE5sGRkhLkQmQ4DshrQG0UOjmOg1bdESTzgChsH8IKvgULZmkyQlnMbObpZf+sbNWw75FzJFwpPB3SvpGTpzy1+3sLrI/ovXxGfziiPMv1ZSzFETJuIRvHfE+JRwk5G90u6NZiuoHcB96Gh2QVm0cHjzPDDiD+G+ovA3kWaT++z5yVPHvZU08R2HqjPDMfJYn7SofcrtFAmM5Blge5aqgj5uOL2+MDyx55yOsDbGdsgZKfcfOyQ8wnydEf6ZWL+Y2V31JJk4OSFx74F3XjsrKC/GZjOA8YqfKTkM8XcKu6R0rxVJnWPlT3pKNOfCCZm5HUi+Uz/HXCrHktCGgNrgR0IFo4NUjLWJrlC5AAmotfC8A3kSUXzvT3hKczeROJXEakyxeBgH0iLRLgHOSl9k+GBMjtOtH8OXZ+Zf6Lsc2J6Ailndg8i64+FIyJHd5m0HnB3QjFJcBoo2oz+nxn+xGKLOcP0lvhQyEcJaggzxRYQJxnzq4r8Ehhq9h806OPA8saQ/1TR7Jh/R4kPMsXDiDlE0qkBC8Ua5KpCv9MSnmb8E7C3Bl6Ml1lnT+mnM46KG5ahRzdCnibyvQH7WwXNbaCegaQO7T3ZWYaNUnaOss24I6H/cWC4itT/KuP7GTuzx/y20n+UmdwHfzaQX0SG37LIPUP9EtK0Jzy3lIclWW/Zf5BZtCXd5wdmP44U/11H3GUmRjj8daQ42TP5gSE2Gfdzw3AF2xLivcjiowgfzogPIs1MqfYJXyvyqEM/ElYamMczfPWC0Cv+5xD/d4FLRf6gR2JGJ0BpWF1AvBewGPqmoM+KuxmId5nQgz8SuBQmEukvBqZlxNwp9YXh1XHF3sP0e0I9S6TPAnUs//HWkPd6r/d6r7+n3jdqfoNkGK25KsJo/DQgikomZiEBCDQ4msLR41A8SSYMDERdMNgpu4kbnd1mD7Ek2RltrlEpkQQ+V0jw7+ayD2TMWIzlgane8VG/YnF3h9gViwjFWkhF5LC8z5vlQ2bmL/hw1SADVE3L0fAVkVs25iliaqoQuVwnyusVmnokC2kQJjFiSwhOKHXAaUOhOz7iDWstaWtPvVDKzcCkc9iho643eONp8zF7MZznTBUgRWGQQJ0G4Iap39LGAaMtjkTDEb/yP+DLeMYv/T3WIZJlR5E+Z6INr2TCxs24LUt2tuDanrLRU7JRJnrNYn9gsoNqqky4Zpa3ZGlHN5J32NzzMD3n99df8vHuhtehouWYlCoKHX3QfS2YsqF2GRpPfXBIm8ElWit4K4iOdvtvu9zBcWKEWdcTB8/dsqcvXmFkyqAn7OXo/2LvTXot27LzujHXWnvt6pxz63ujenW+9zLJpKhM2mmSkiWb7hC0INgtd9xxy8UvsH+Juu4aMCALFixKLmARlEhRZJJZZ7463ovqxq1OuatVTDdOgE2DMBJIpzJGKxrRu3sv7DPXN8cHRJoh0Payv7mNgkmGxBLPHUYn8JlcgrUJlyPR7euHAlCYfWsPAkYiJXdU9LhgaTqL76GeCqSyGEnMUWbbjI8GnUoKN2B0DXSouSBRoKLYV9seg9sHzOxoKfq0v6UOibPhmnrrqJ6XxNX+x41zYFNirh2z/BV+c0u7LalihgnmKZH9xNVMWFSeSgZOVh3vlE+4nM8YjKcdbzkYt8xXJfPThD+4YsMJ0RywweJQmk2NFncEc0mwLXXaVy8XBFwES+J4vOWi9miOBC2ok2B6j8QDYrOFk4HBQ73b+yxUEmUy0Cl+AG5KeGqQtaGvN7gqU3QdYg1HQ0Wllkky2WWiaQnSkklU0tMy4oPinle4m2EvX62EXChH/RWx7IEZo52BjcASR2YWGpzuuI1LrD1kwQ1lfkmTNhRdhlTvh9Wxw4eJUtcEFeow4XfgxAKRk77m7etjnHoeaY+YDlslgkwMVceJCEfTNbWJDAEOtQLtWt4AACAASURBVGWkALZUaWIeoBiBlIgGIhahoWCgksjg1wzJUI9g+5qsgVgPRKO4ZHFEJCpViPh0RRMiPs0pdMtERTRzeiqOGPDjDJluSUPCaIWxBwy0pDxSmZFWrjjRhqf6iFEW1EARE/P9dgY2Q0W3b0rTOc5mCg37RIUEOpRgoEDx+VU7TYyY3FEYxcocjREtIC4iIStxISRTkCkZk2LcL/9ZJNbgBoMbBdKIjQYbW1IKiM2UGlHdN6lNZSQVkfEEXGnACdENuHq/wjTUiikzTT9DppGEIgVIdCRGtu1EOpxIxxGhY1esSBJIKRKLzKYsGBfHtMuXVIvM2PS4A0PsFRsCbRACjmtzzKb15PSC4mSkUBjLTLszVINn50dSucK7SKiuuSkHauDIZpJLxDLQqOBRVCNdmxgOTrk5sfh8zdvrRGigLz3JOUyMe++IKknyfu0pePxgwUamMqHtBjEZGVpIFVHH/ZqPmr3bRA2SwQ4gQ0GRhXUTiMdLVsuMlnAwrdFB8bUyilBKATslWUGrgAtQdRaiZXWWGQ3MOiV75cZBJwnbTrS7RF8L01EgnoNfGphBsUiUZiJF8EPCFIm7qqFxR8zCmlAGdLYhN3s/j00ZNRODg34GMq4JBu6OhMN5pCOjTc+iccgU9sm1bNBRyeXE7Vw4OBTcEiREsirZWhgMPit5UGQRSWWmLzJNtS+dklhgpkw5FEh23DUBjhVdKP020SwS3inV0uLvjhllxaocOJwNjDPlusoUBzWLleKdRYe9WGcrUJEgDnhRSBbVnrHJWE1En+gqy3XhKWc9fjbiLwuGdMHLo8j2wY63j/r9KmAKBL9fy3M5wmCI3rBzhnyQMFOiXmzJVUYnt3chTYFhAZuiwPiC3kVKIo0umLKgYrAxYYIhkzFmR20KFpsJkxKDgeAjXkaa3ONlBXZALNgh/kLPkde85jWv+ZvwelDzK8Vfm2jIrzI0e2GNEnJ6JYczdLahay/oEKJpiSg71uBaNhGmw4BVSHZAzJwOT64q8ILcgNxEtE80M8NUZdJqDjwABkyxJISJfAdy32PuZfqPE8NpRfvwAWfFfXYzS14I7qcWM0S8D8wKR9hlZnVCCigkEH6QsL9xgLYrdL2huGjpHjg2B57RBApzwlojIyWz+pAn73jkAdz76XPkE2EyDbccs8glmCNump4H7xwQdh19mzBUyDjh5Ya26rD+nE0qKWaOj/RN/o8nv88//+NL/v1/8Pf55J/+I/6b7xywe+rYvVvSp4CamjQes7OwbU5Y9jWOJUfVhmob6T6NfPjwK5JcgiQCDX9ZfIW8CwfXjg+ef4//6O5/4czc8lX6NmotZV7hyQwRypnHn+yrC/KXgd0PAsXvzJmscP+DGl0LcbnBnFe/uEfu54QOiamLlLcD+ccrDv72lne+fcitDtzpgpdSYSSjQ0JWAzpF4lgTq0yyjsyMzfEzTn/fk5uMcRXpbsQ8hPy2Q+/V9N2A0zVFDngzUMoWpwEzGdgpMoHNJRaYsWYWl0zLHWGbsSnhz6EtrjhhTRcjvQTyPLDcZly/o2Bfb5teRMKLkThB/Y0Z5gri9zPyk4gtFeqBfH9GnBynsqG6veT4tmfziSCDhU6ZXyW29y2b33iDOyecdxXhuy/48N5fcW/+Mc+ZU1f3kJTo1i0aLTOWOK33SnGJ1OOW5f+e8DHxtf/uCnM/gjnnFo9pdkwzhZzwq2tOZcadnbOeC809g+46rp/d4v7gHiubiGZGc/MCrke4sujaMMaR4tcX7L7/kvxHnsP3G7a/ZTj9zYLdcqRYzOmfdYx3z5i/3zAOI9uy5VoaApbTnPFsmDUZ/mSCrRD/TsP24hy7vmG+7ZgNmV2dyeclszJxyEBSuM3CATClmkBFw4q57CuCcxBy6nC2RTsoQqIyHbcUjKHl7vIlh294CjNRf/6ci395RbMoSdWOosm4E7DveezRloet5TRExtBx0HoGtyFQ4M2aNm+ZigRnFfgBFWHHAbtwgXGRs2oFDYS0o9hB+miL+zXhzb+3YPdkxbw0DIArAylbXE77Z9wYJql5Zs5YphMGG/D9Z6y+uqJdZ+KZQBBGJyz1BBsDc7fE6i2lbCgNQEmWCqQgjumVYGQvTwnqadNEZTNOG6pYU7iCZCDYhAWMV+QA9EgRmRil5ZIzFpVS//o5RlYUr+Shu5jJfsba1hy+seXgF3WI/JzQIwfPA92/AovFrzz9z9YcLsx+0NDfsXgb3HnElYmqMUil8Mjvb0uKSPdcKV1NfbDFFQV6W5AeJ4qjCVaRtMxMTzzNZs51mTh9eIDe3tFOWyapsEMNxRXUC57JGQ/uWxbVF5QrS35TmArL9n/e0m6h+3rJZ3oBccZ913P09Ql2h9Qfjej/tsT8nz3npSDrSJkh9jWLg8gsgoSGwJrYGJgm8p0i71bUXw88Ly54yj3emT/F5I8wTtjUBwylZ3E7Ue0MMRuunwn3zhuqH3bo04i812JPt3CidLVHP+toK6U6A3Mh6LRkcVTATcSeGOpTkE/vcD8yHNx3OHUs2gn7tt+3aN3LmLtM91JZzCu2PxuYvXWAXo3Yl0L5SYLrQzbnI/f/7gHjX26wm5o6eXAdDDvyky31vUP86ZqlcbjqEe7dLdZeI2GB+ZcBftBRPBQOf/3rPJkqPkgv8TEgbx/gPtih4y3NqkW/NHS9cPyOh9MRTEnVWqKZ490dk91iD47p1pfI0FPbluHfTlTvtbT/gcF+kNB/1tGwocVhn2xZfhE5MQf0H62wX28oH8CwGxBbMG5HyqMKuYH1jXL6DSi/XbH61iNsSlw4g3lrBb97xviPnhP/1w7/yOHOwDUtrhk59QVXY8FUX2C+ekb52Rq+3TBeCucjgKU4FTYfjRy9PKV+sEJvIiZCL2/QpzOq9BWSLjktDM93R6wPEm/8XsLcQfiTNePacO/hIc+/95KLxwXxcqJ+R5D7E672NPWAPpxRvrdl9f2Bk7OS4kjwF0Kan3I7lhzScBB7/MZy81jRUQgvHWkXkTcN0mW8H7CfJuRaMLUjp8ihvcG6CfNyorks4JnCTf5FHiOvec1rXvM34vWg5lcIhVc+YUUziAqi7P+NwaEEhQnDQMVET9aJtLfbYHNCMExWmF41SRh4lZiJe0GqNoi0+OTwE2iEkTmRBaSaqCXrsmWqt9jqfcYyM/lrbtyHhPgOdT7jzjzi8nDBaT2hO8eKI26lxmNo0wq1yrqy9GPBoq4IssH2icJ5njXHXFWexuyoqVnJKdt8SpRDvmozm/or2vlANDt6t+CZOcQw0upAksRV27JbNGyqhoEaFcWRyFTc6gUvzANGyXzJN/nM/zYbt+ZZ/k3W+duMfMZkha6oWUnDJAr6hJANG465tac0JuzriLPSZqXqrjmfV2xkzviq9jkXUBB5MGx4Y91jHNSF4k1HqRNWJwCcJlQzah05Kc3WIasFTXMHRc/YWroBDgr9pX/Rs1PGJLhsqVKinAZC7kiqJN1QmBuiCJM4su5tiGozwojXY6xUpEKY2omxgcZEuiIjC+hah9Q1OvVEGpIWgEOwJM0Ek9i6zIRhLGrAYMkIiibFqKIExCkhWUpnqXVEZAItKOOADft1QzMZXJoRUZKNREp2rsFIoHSGYITaFwTnSMmgWfEoJkdKNUzO7hvAxg6bHGuf2IrlwNTUwTAfB5owUdqOaYLeZtRHTDGy0CVHUdDssN7Q9pFFN6fZlMyezYhHwopbSmMpJ8+492xTh8zxNLDzGfU92SREwUomFT2dUwZm+DpxVCayMahAb6GuBq5PAc3MTKQeFYIn5wljIkWhhELJ5Y5JIblEEEOkxui492Z5pTeZ7C1DKXS1oLvE3Hj8lCmsMpgNhdzRIpTiGExP5Q3ZtERmJPbrFCYrJmeyQLSyl6SmvawyiGGkwCSL1UgyhiIKizHipsSmykxu73etUsbHDsSjIkypJWlDkIRQ4LOj0I5cKARFpUCxJBb07piVv2FRVpQRznuD2XpMSMA+RaAZxCTUZkbJFFRUKaEEsoyUbEhM7MyMSQyGZ/ik+9WnvJdtZiLsn1LAEaRmoEAkM9OOVtdYGZg07gWfBCKGxBwrGcOIkCk1M88DB+aOMa9o8kiRgQiwb3sR16IyYzJ39H6itDsGA52bM1pDksSkytb7X8j58fNkaHuwBW4UitggKYGLe1mqhaLY1zvbbParTQZczGgxgZFXNVDhVSsTiIlkt0PVUPVzdOgQegiCTIY6W1J2TK9k/iZFJGeCCIMr6Zyn1wlc2otfk8FEhw37REt0ka52ZGmw0oK+ADuSbMJnmHeCnWRf2mMEkx1VqnAxI9lRADEpavffB6glmUTyJUEKrNnXBSQLY+nos7KIIAopK4Xsn0usEKxQyF56LcFTaMZrhsHu/38RCQKmAFMIJieSEcBDFqKNjOqxkiAGYmkRByYpjYKZCmx0SABbCEll/8KqQY0Qi4AWiqCUea9rt8lhVBAMBocRoTceLZWiysxlRXJpf+Ib6H1kl0ay3bdPVerIxqICiEOzxeaI6Aguk/NAsMdYWyAkCiyiBjEQZUJNTZZ9M1U2ikpARcGNkBOFmr1RUBJWwUwgweGikF3F5CYK9oLhaBXKid4Fep/xCIgleLA2QganGU36yk443w+TEcTM6MwBkl/u29lsZDD79TwITFaJbv/3z7KvXNIUiVLSq9CYfVIpOuidYWctWxs5dooVwU0eycU+6dofULJhkyC5jDUG0r4YwAnY3sA4R9IKIewrtl1F1AKIiFrKBCbtRdJJDBI8EiYSwkiBC5nSmf13Q0y4tH/ukhiS3cupXy8/veY1r/n/O7/sv99e8/8RfZWuERRVxbJvCgFhxLHDM8q0rwe1+6abpthRyv4DIxmISXDGgYK3GZpMqoVQlozRMt6MaKqIeDIOtGalLS/qGfMHhrV7xMtxYn7viKfyiO//68Cz6yf87n/5Nh9Vh8zfXVGVC34aznniJ96vl1jdkZ2gXzsgxwXLk0TFBc38hlQaNu6El1pxrErMjmd6xl9N5yyrB9yFOR/F+9w/85wf/RGXMfFcDrnghvn1Y05np6wP3+TunXM2pSczYCtDyi0v4wmfFA85Dhdc2JHHpmIrMPxY+fRO2X3vlM13vqS+H7mxc270hK1uiTFhXt2Mb4uCGDxb1zAuKg5ODPLpFrur2L19ysf2nJfMwO1dQnkSuAIZwH4j4uwdDw9LSh3IE2jqsKMjDIluqxytLdd/eMPVu5mDPzhFlyu8txj7y5+oMY3Q9GAlwlsGTl61l2WHk8AhO4JWdLZiciXNMFHYTMuWM3aQI0pNaI/IxUhOifobDZOpGM/mbFWomzNu9JwxV+CUAUNtasZGmL5+wCqe8SO9x20+2tfX2kzuhLxTzGkiHtfcunsESg6LJWeHE3Y8IW836KWQn4EzyvDFdi+vfKRc1S2P61Pu/eYEZ2vyk8BuW5HOC+b1SJkSLo9QgTvP6LEhB4v9WOmfDthvjlT3a9JqTRES3BjCjzKuylTNiuHQ4T4oMO2Wr8kNR2ZNj6WUQw6z5ebLDcOfZYY0cvHfGtr7K3a3JfbLmvmkMAhTl3Bu4EGlVMs1aQleKmQZmHUZ33pWfkDrkhQtmkbyhxXhazNi9xbHb+5QXiLHkfQj4fqzwOm3Szo3MR435GNLziN2t6P0EzP0r2urcy+wA/uWMB0uuD2uGdwR8Vhp7IT7/A57NTJ/19DMtxxIycJ4Di4K6tqwNoGowiAV22TJziBWsGLQ+QLb7phViYp9e8xQK8XCMf3FjupFZvIN5m0h5w3+jQWX75/T+cSFrJn5gTsZWFYNW3PAYB2ltQiOQeb03nP4QIgfJ5zURLH0ZKIo2QqhKajGCf/RhunPA+kZmKDsNj11JeSZvro5h8JHij4RJyGz5T4vmFiAzNlhOUoTLDP0EG1mMvvh+kyWlEWPEWWjc7bmAMmJ+yw5lyvOF2vqI4d2oM4xkokqqFgCwiiB4CyV9JyzI8iW0m4xOSIr0EFQteRUUtgddZrQ6wFTgj1yJFuRgJoRyZEOvxfF/hJTfuBRBHMWyG0kTeC/ViFvT6wPE/NmztVn11z8bY+7sDw96znaZPzxiC9KdjoR20y97Ak3MAXFfdhi4o58s2X7/cw817g60Nsd1k1Y1qzaluvygAV3HOktapWclCqvMMMNajJDDhQvEvbW4E6BSqjOFd8oL43hznsmoHDKehx4pCCnmb4cyIdQLwy7aUk3ZjqEunBID24CLirScSAPA+MVmMUaYyZGeQqLTFE6Ym2IY4UOHi0C9sQyPAWWA9M3DPkg4x8Y7I1l8+NEvVGExJBH7L2WWHUUc4M5AByM/7dw9XHi4e8dsX1vx88OMvdiy/Gmo+5eDU/aGo474k1G11uqM4GwJRklAMMEPm+xPpFihxpLsh3B7ehp2LgKt1iSFyOjj2xFsc1zqotAE0CeB9y9gDkC5ha3ec55OWdbTegcFp+N6JeKnszIx4ltFXC1Ic4LzJiJt5GuFcamp5XA+Nk+qVh801B8wyF+i39TyWcdjGA2GTJYKRhMSajnNM2EmhFzBq6eGJYTbq5ILRSHBcZt8b99zuLlGtJIOyrEG8Y2Mix6mjlwZekuodSJ0MBlU3PnTimbwKaZeOwLTFvw9TdOmH50S20F/xYMB0L1HKa1Eu4L6QHctoZZlygOM1QvSXXeS51F2FXwzFuWzjJKQMueocqwVXRr8HWGuw3THNZGGE2LMRVJdzTLFeZFpGmUuOiZ5pHyCPqFcCkFYjyXWTm3R9z2SvIJHkHctKjpcCKMrqUoJtp2B/ehPzRsTMmJqSkXG3I9oQclNrW/sDPkNa95zWv+prwe1PwKIfv+QsiW/fXPvlwVSUQyUQ0YzyRKz5xJHUEbMnckBkrXU8m+MlYFkrh91kYywoDUkVzB1Ch5LbC2EEuQffWoUnJrZnxVHDM/PuJJfsjnTjlr4Gr6Bl99dUL/RcuL6Q3+avYB79vvwVDwM/sGnzu44AeYYaRzwu2jGXd6SC4y92VHVVzRWeW2KFnJKYVmRrF8bB7yx8U3uJMPCcZy6p7xHx5vaOZ/zK2tuOIE0SXH6w2xXvCX7Qm3Fx5vRs65JXnLLlfcyjFPuOCieEBmYk1L1Sf4VKk+FYbHFW2fkcaxNA+ZkkGNIeeCOk2UrBGZ0GQJ4rlrGw4WluajgTMb+OjtmsdS09lEYRNJEoEFurJ7Ua3LiF1z4hxu2EIS3KjYDnLITBPorqD4Wc/oMxzNiCcrzBbMPkv1S42YBp8CpAmOM3GRiAJRSoKtsBgMEK0huAJNIDZjZMtMbhiTYV2WaHFAE25R3RHetNx6YWkL+lzRly3X+ZSke6F2QIg6JxSO5bnlUi/4ZHqHFffwco3IgBssdlSCU3bzmqWdYTWzkJc07RJpCkYLfgd2CSJQ3owYA7sWLn3Fk+oRtDuO2y2yhbitSclTl0tmQ8QERb3AoaI6Is8zPKvxaWB2Dzh3pDEgSZCdxe0c81qxpwPp1DGeNqQqci894c00cWsqrnmE1xnTpqf8PJD/TKj/ocPOR+pnGXO1f2cRQ1BBQuQkF/hh/8NUyxLpOzIwFIFRLkm1p8JRBFifwacHJV/mlov3Bg67LfpVw+yZ46afMN+cMcY1m+YEZjXlsEHzjtIIlSaCZCYxuN7iOuAQbt+seFyfs5NzgocqjpxsI/NndxRnlipnKqv7evWjRKwTu0IYZF/f3htHsB4t2Nf/Vg5KpfAdpYxk7N75Uc1pv1hjnhv8mx49BbbgZwUv33zAY3GkzSVvm1smMzK4mq1bkNLIYYoMdKxtZl0ZTs9g+iTi3EiSmowlq5CMo2sKfFhx+HKgeCy4L2uooc499tECnUbsvRETFZnSvm82KV4iB3QcpRVbc4UX4UBHpLfkwP72OkHpMrCmcCOJikHmDDS4FDnNK+4Vl8zrl0g7khUyFRnPaBSbKiIlg1g6G8gMlLLEmxXO9fuXcgBVYUAYpWZCsdngl4KpQQ4KJlPiguEwB3oR7sziF3WE/Nxw5xN6Y6HNZFGkENyx3Q9Ri0R2B6xu4SxmUmu49QWuqmjqa7xz5DFiazAh49YQM+gHFl4AlwleZlhU4PYJiNkYqMaItXNuTYtnBTFSZPABzvqexWaCAoJm6mVGlwldAAvFzxyt8+TJ0HtPMkIrFakf0AA6V6LJsABDorxWDmeGtcuoAZkEM1mkLphKQUahWkIbeuYaKEPYVyM3wlB6ut0CjS0US1xtYRQYS1IBadahc4N/6hifZwhC8MrORObzmoziigwLIa4M+a5gvInkWcHtkWNpG470PiavsF2kNEKeG2Ij+EmxdwN2sY976ShkLHEyEGAeoOyVIRW4OFJpYs0JOxoO7AtCFUlGqLuENx22TshCkatM2YJtAW846rYU6thmw9gAaYdbZnJ0mCJSJhAvLOeWskz4G7Di2TWOprPMvoooiemtTPkogFWKOaQ6Ugxg1gUqCYthEsdUtBy6W7INpJngKfCbkXikSDHiGmUs1zQfCqYZ0ceGOkDd7+jchHWKKwR6g1mD7x0hK2t7xLU/5rzp6GXHigbja6Rp96uQYslHMLbAC4tdCRkhzWbsiLS2x1eBgi0zc0IbKkwwRBwbmROkwwPkjImGooM8jIhX6Ees1tipYOKYwA2LfIfswGwVO9+nq8AjNoFxBG2ZwhExeOrhbfL4PdQnOBBC1n2iTD1bd0wrS7JZYU+E4Dy9zohkrM+Ih7jI7OqOk1/UIfKa17zmNX9DXg9qfuXI7PM0e/brUAZUXhlr9jLEii2OhNeEQ/E4zCC4Tqgni0kgJuMI1PQU0kEaUTI5drhg8Dvdx1E1oGwhXxMk80zeY2cveGF/iy9IFNuK5L9NWl9zdllyp/f4t+Zv8Xd4ymyouLRv8kxhFj6iGZSbheGJzHmu72LNwFy/y6lN3FHzvHjIc07x2aE6cWm/zvO7P2AXLyjOr7hUZaNnTNmw5IIr/YBRn+MdTEz0ooxlYpcaKmpC+giRgJiRSMGSh1g817xF8ocUb6wYFxPVwR222OLGgim2RBsZsoATKrOloSdGR5ILeq4IFBgraAPb0vClecBX8iZ9OsNeO8brBZvikPFBQ3G5xUehSIboEskkjBoYBKKC7NNNeeVpQ2S2FrJauqqgciOU/S/saft5EQns2ob+xHPkItgRo9N+hQihkBGnHZW5JZoenUE/E3alZZCKzjpqWVGZO7xOyJCJC2Vrha00RDVYOpR+v51AgVBgdIewX5VxbDmRFzT6PkuOuDPHTFbAKUUWiilh8opSOoqcSJLRdmRqBLEGnYHDEKtMFRU/QTUk/GHBhsBQjlSSqF2mTwPZKIMtWPkTtvaQWfmcxXqNKQY4d8hkKZ2hj4a+cExnFV1suSw8czdwvx4wRJLJ7GQv7I12QolEEl1dwElBfWTwc8/q6F1uDz7j/uGOctqhUYmugKLAFTuMiWAtQyUUR0pnC9xQM38aaa0wiKXaCmYw1J8XPDxzpGJDTjeMEhAfyD7gR0FXHo/DzhPTIhPyxHwS3CYgdcGIRxScKaCA3oELcJYirb8huCVRLNuDCn8keD8y5vts7DmH5pZJ9quNl+YRG87Y0BClp3cl6h3JBGzaogJj0XIr56w45jiu0AGyF7ARjRtkXhBnEA/XOO44iIJhy9J7Vq7GqgMC0TqGJDiZmLGlkJHgHGMTqZhocsEsQ5cK1u4Iz5aL5YB7YdCwb4sxpwkOIB8qYTZRWWWyllzPMXkkm0BwwpYZW7tgkJaJkZEBnSVkI9idcJxhRU+/1wMjNAzMsVgaGTEmkxkIMjFVGe32K6yKYzQNbU4UJComjhnIPCUASZfM+h7pFd0HMEkGRrGMzFi7O+LC7wcAqSCowUqmzgNRDNO/A+m+qdm36vkN2KVjCIniwYRoxL1gn0BZQBoc1edz7u8CR08XyE2A1tAKaC3EjSGFDKXCbsAt96s7oQjk0x2yqSg+X+Cfl4w3ysV5C49GjvDYvmE0A8ZnZtcFi8sZmgaazwwRQe4a7IsMVtA1HK8GUn3H4fORk8sz8uoB9sseU0/oWnBPDaWvSTfAD4T68wPqkw1SVaTQY0nkbuJgVGTj8aeBg9ESnloePj4jX2fyg0ySiaIc0LKDAvJOaKoCpoTfOXS0SCHoSnFekQZ0B41azLbESgG1Q2NPNko8UsIFiEvcf9FAV3J+0+CfnKPlM3LZ4GaHxJ3HXV4jtcFIgjVYO4coaCPQWU4fQ9QGuxGQkodfWNrsqTZKvZkxDTNcAWwT2ZQYvyNNa2RymD5Au99YSylRbktmz0qakJF1gU1gYkafVbhuC0VLmz1iM34JZ89qjuYNZe4o+ls094gAvSX5hK0UGWuKHZgEuzbRzR05Q3QD/RGUwZK+NOR0hIY7jM/oXUKLBLWieQDNZBF0bdGPZ9R1JrsE2wDVHduvGxZnjubS88ZUEwbloC4wrqVagqaBw+VAsqB3cJL382yItGUmdw4eG+67GvMiI9c9h1OJlBlXWfAFo0buhx3Hw8DxdU1+3lBfKtOwRkaHWwpkj7sTzs4DvFxB9JxOJ/BcEV1CMsiLimLpSFNgfiHcO54wt3C4LphtRl7sMtYaUihoV4J4CMmzNifEgw5blxz0huqmYvFoxstHE3Gqub+aMDETvPmlT/e95jWv+Xef14OaXykSvPIVGDKCRXGQHU4FyExp5EjXXKTnRANrvU/JhMOS+5rpeoe5c0QFf5op6ajYYtMEPTAozmWmbebqSQehxKJEXoB+Qpkb1nLMz6avsUof0mfDX/zJY775O2+TnvyE+ElCwwPWuSTsGsYXjvH9OVPccLzL2E0mzA0pL3g5HlPXa4q4xnXCxDG37Sl3puY8C1VhYSxZ/2nF8q+u+M5/H7l8fk3lDGkrbENDlw/IpkGPYXKZY3YUET5J93npj4lDgbcTZX1HxZY8NWzNABWiHQAAIABJREFUGZ1rSWcjb/3XFcWjSw5jS4hbhj+z2A+UTKKwlqLeARtqJrLMuZSaN3VB1StFn8h/q+Cz9j4/0bf4jHe4273F9P3M8mcdV//glO433mHxJy+Zi8OEI17YwCSOMifu7oRmK0y9sFrCwbShOQj4txWzDLh5S5x36MPmrwdzv7RUytP5KbenDe/HNUfx6f5DVywi+4avuUmczgeaucJMkOOC0dasOKDHco8bDvIG3wnpGmoM5ZEj2hmSO87Mc0bJ3MgRgYZMgaXDSMJjeVMvyebP+dy+y2d8h2e8QWoL8sxQJEEvVxyfzViRMNrgbIXprjn5UBhOhOGBJfk58cEWu0vMUO51G1b5kswVdTfgY8b7HeNNx1DXfFZ9jc/K99ilBe/ffcI3br4PB1vG368YBsWNmXkWVrVj92tv8KW8wc/qIw7CU35bnlBML6nijuQe8sS+BfklVkY2nBD8jL/7nz7kwixZnS34N2/9Ft89eZf/fP7nvLtc0/90SXmyoCgTubwl2x6pS+p7hvywoD465OZffMWbTw1hZwlFT6jBhYrhn19z/9Ci8y/RDxzxwmCKAfNepn1i4EcDpki0foUcJvxGKZ4qcjwwnSrRVtgUiSYxHYAvDeMnl7y3vsJdWPQ+3By2rN85gHsHTGHNVT7kCQ841B02QB+PuExn3JlTbtIx2W2YnEVLs0/VpAGCcDcc8en8gjuz4MO7ifjFc8zZBEtY95HD+zB+TegaeCO85I2bJbaKXPsLVjScpJGF6blkL1w2RI7ylqMwUkpBcTxiC4V+x7Hv2DCxYkGnC/hijf4wMFjg90qqtwPIhH1PEFeiqcfMFtwdLyCvOO0nRluwYsZKKnpKRgxDBdUbHnkRMDGyiEtmKJdc0ElFzAUJT6kBkQ3RVAyUBFrUeWjAuYQnohis2VCz5kS3uLxhIc9wWEZNHPeZfJ3QAQiKSQFnM1DQewv3a/JXwCpSzYXodtgxsVBH0P1g+ZeZ8nCBthv4KEKy9A8Ss3cMaYLLP4Z33I433oL2dqT7H3ukCax+eEkxU9pHhsEktAVTG7TMzC5a0o/v4JmFXqnuJcwbhv5jw8u/eMGDdsHn/2LDye9sOP1WSb7bEc5B/7M5xamw+r/uMP9qTbOMTD904DI2bom3oMuCXZE5ePeS0wvh5h9/xeF3DZt/fUN0Cf17BdPjxNUXPff+4X22f3bJ8E+U+dDj/j3Bvr+jv0ocnFqmpwPpU4UxEh9MuK5Dv7tm/CeJNCbkvzph/q2eXPa0bwRkULaPOw7eP4HlEnMzETpFb7cMLyKzN2dQOYangXluCE/3DiYiJC+kBLPfFB4cgC0i4x9eY38YiC8+Ia+gOLVsLpccNhPL7Ujx28C3DJoc8XqkEsXNhPrtBM9uiU9h+70183cEcwndHynS3VCcQ6wN13+65ch5GCeiCvZNx3CYOW4S+c5hnBJvA/J+Sf9Jh/7hiHmk6CeZKYLve+J3N4wvMrbvyB9uyRdKcePY/eNPiT3otwT3HQdjh7u22CcNN9OOkw9gd9kyfe+Wk0cKJ4bw3j0G6xnsFvk1IX1xyO1PbzAXgWAi6SeBQ++gguoQZOsx3YjmRPeTRPrzG+zMMvrM7MLg54l3/gfP0CrLP7th3vdc/eBTwiPBt4bZjxNTAPsfG8wJpL+6pByE8u8LsRxp7gsSlat/+jG+hPg52GSRs4Hu4Wf431wwu9fQ3214r/uC7vuX8KdLNt9N1A88s3uB+FVk+mj/HlBtmH/Y4D/7MV/+szVnC0t8rLiQIc8Y/nRF6MAaGH534s3/ZMGzP/wh7fqM9eM/52Ie0ReG8avAtM6037GMq5Kdq9l86xGHg2f+xy/Z/U+XmP/iHX76DeWt4pR7qxvMbUddWTj7RZ8mr3nNa17z/87rQc2vHLp3e6DsdXICspcK51eZmlojs2lLX5SIRoxGojiCmQERrxYf2NdKAoolyBxlgY1C2Zf0eLJGMCWaN2CXiL2m1gNaveVYn9CkI4ZJ+SQ94Tx9zGP3EVMN982bvDF+xvmuZ+CIOu1j/o6IOiiScqQT56GjcluOugDBUFilzkuOtecoryjyltPwKQ/jD+mnHd/sAg+Gf8NJsSGoUuYdJ/EZ3vdgwcnInCUxVRQm4HSCrCRTQxZqs6WVLU285sL8hIVesZVPqM0Jc/0Ri/AJt2oYqTgPT3mkTzkcNhg/cqbXHIUX3HGO00idMyIQ/AEvq0N8VsocqfO+bWOKjhflER/PamZH0PeOwRyzlBFVi4lgkkGipwzgGBitYktICmjGmMwoiWzyL//FUTRYHaFQJjPSYdiamoGanppMRWCF2u2+Zj5XlFNBm5TCJnopcRT4BEXa19QbY3C6Tw6o7l0blQQ8A04HnEAUxygOQZmlNfN4S+lGkIKU94LLJAZX7EWzExbD/8PemzRZmt33ec8Z3+lOOVRlVlZXV3f1ADQGAgQkQ6RsDbQlha2Q5NCCXmghb+0IfwR/IYW88sJ2OBwKUXTQIk2ABDEQ6EaPNWXlcPMO73iGvxe3aS0VYSMMwahnlZtc5M1zz33vf3h+CUOLEBAHyWc2VaYrSmqrKdSWyQoOKPOO0/GSaoDZekHqJvSRYtmDHR2p8mywTFIdokhDCdKSqo49UMTlwfFQjFxV8EzN+dQ8oLKWBynzzf0rslFkN+fWvIUyS2ayZeQYcXt2JzeU5xOf3Au8WMy4VAW/KC544CC5DfFLsaUVkBiw1hJsAj0QdcHBAamYtMYe/IyY5Dne9BgcFBnZWUQWqGVgqtZsC+FIJYyC2T5T9R4XDp3kLPoQUcyAVSNiM9MATb9ivm+R1EEWTIQ6CS99zXM7YzY2rPU99iwZ1ZwkhwK0iDmsZ2pNRB9ExVaTAZcNblQUYnFqomTPMgaWneBGj0oDxWhhe4Ibe2pJVL3FbiBrGHJkmSLN2FOVkb0kRhspdGaWW3zKZMlkEcgGl4RG9pT5kl1eEKymNRPH5chkwCcLScjlCEWLiIKgUTmiGdApowconMdbmMctWa25M4akhODzobvuNHXumWWD0RCpyDlj9YBSI5mGiZpIRRKPUgeRqsqZRjpWckehWgpGPIeUp4whUhLIRLYHgbACCQ6dDTZPJC1YLH7S6AkKK1QyEULPfAKThII9/LoP1eiRZCJohUmHs4TVmH3Jcj+iq4MzWJJh0cFyLFA36bDjtHA406NSQR4V4XhAYXCjwUaHaIeyI4weNxpOW40Pc5Z9y1HrKW4drEGONH1OFCpQDpH53lHsMsWdRUqFFiG1E2o6CLft0FN3EdVpqnVFuQ7s5gmlPHoyLMYJ1y5Y7F5RbDSzsYSdhiEgaUBPDgdICBALVA44JuZ9ZrabE8IOlWBkwvuaIAaMJusAZiL4hN9a7KCRqmEyayaXUIXQlkJdD4h3MAHRYbM5TKgQyQrAUvUV97eB+s7AXiP28Deb6YhFd0uchkNQgk7ECKI0YieSVSALyi6C3mGjw2Jxu/6Q5tdoQHO6hcLMUWELOcLWU5qE8hU2J5jADh1VFEKM2M5St8AwQ/LmsKpGxo2asmug7+hyQonjaBsxO43cacgNoneHs/RXW8lRYYLGBg1ZUKIYlKeXAiMFKkXMpGkimEEwVkijwkiFxAmxI3wZMmASzAbQ2wLV16RyRM0NsWmJZUKbRNM76lcVj+861MxAnDF/uT/8cmsYcobsmY8TkjVRDyQHNhiOd2CnkqHNGDxmrznadqTJocSQtbAILctdQN/VyN2EPp4RZY2JBdU0QK6xsUPFSD0JZxtNxQz2LZMcnkSrAcpOY7JBby3l0HPRQrUusFt9SLvMlmICN0ygLMmCysLOD/QuYSZLM0CIMzose7U+PD9NoI3+//LWeM1rXvOa/0e8LtT8RqGBQ6INHNacMBmUkASiGNCenC1pMuyKmo4Go3ZkGq4Fpkox5InQQxRPkIqeBXcskeyQbWYiEJOCfUSRgBaVWkCzdInH/Jz33TMG9wljpfjtv6WpKuGr/805H/2o4Yn/M76x/1PeuH3KD9JbPCjvKNwNY8yEXNJMPenDD/n2W47h+jnqeiQfGZy/5on+OagF97jBsEeqnyH/2SM23838TvUjZo9+xntTZL1UvFlvSO7HHOcrhjthfhRYy5boKk7swBGfAYlbc0qbGirdc+FuuG8+5g11hdeWR0ctw/OAv/6Ch/Uld1az1Ut+L19y/NN/zYwWZpHT+jO+efwLFjZyxhWWwFYpOjmj0pZv249o1US9eB/9zhkfb4W1WfFFXvLb55r1S8WLwXAbDcoqGEF6kN2I3Wl8FHYmUHzvAQ++fcnd9RX+fgWAuh1+Reftl4d8PHF275KjBVSVpXUlN6rmVma8CqcMfs6MZzyuDsUEnkfSRx1Ns2ZVlIyc0o+aYato+kSwIMWEMjsabtnrBVf6IVkyBZEZawoeMLBkLw2TTEwoenVOZEbFmrlcU+oCPRrkOCFvV2xMgc4jM9dTnya0ssg3LcoZOjunHhV19xI9QFAOVWX0Fy/gTy1f/Enk3psJU06MEawaeDz7nMFlgpm4P31C2G/BQvkqc2Qb9nbOzmmc92SEImZKSQz6hE+GPd+4SazHTPfIs3WOXahZ4hmt4aRuWXyrpD67YNW8g1eveCNrLtMZOwQrz7GxZX5vThs8lgJtI9WRJu46PBr13RXb73pGFCfdFvt5T/y3I7cbqN8Upm8p5NWI/Ms11YnQ/KOa+VdHcpxQby/YfTzQ/eENF+9U9Ab8osaSOKLHsSWnQN057v7VGiuJ8PdPubuoaOQp9RQx4vhUP0SLYyNLFIY2Ftx1HlPtmekNBTtmKlAwMirPVGmshbzVtDeZ+vgVX73/CV4fMy8DcqeJP+jpPhX8kPnij244+Y4gbwqbownKiDoR7Pktj44iWo/YaHjohJQFoww5BkSX7NPAXGB6kShONVFfc7I6Qmwiqz3m2xamGf6jAP/TSHd/xPxdiA8SYkskQukGVBvI6wn3UohnkebBnrd0ptWGz5lRaDBlQbB7xIAeBlyCxdHAHRmnhEZtiIxMuSTlkmwqkhxSsOKrjL8INNWWi+KKQTRgGaSgw/CZus+H6i0mvefC3/DuDNQl5KcBuQBbRTKGcvCM379h0UN4ayC215z6Cnc5ISkxO3a//oWa1YQ+dbA7OI7mK5BrQ/vzCfUqoxcbmrcsqpoYx0y7TviN4CMUZaTPQu0mlIU8CnkxsnuaKe4SpdWYDtIPR+QC8iZBsaEfM9shsNhp1AAuZKb1SH2WGHeZcDvgRRA3kimIfcLoDKlHkkbyjP5qYP8ycxxHwlFGaeB5RmxiuoP8x89RTzNqo8gyoMQTdyPjc8F2Qp4p2gHKAkZTQpcZJ5jajjxmYu/omNOlOav9DNoti0VFTOCkJr7oSX3C2ZH5+yty6nEnhuW3FPosou9r0ktIruDVD7ac1Aq/N+ibjLwaGPKe/R70bUJ3Ce0juy6ziFs2Q2ChARHcPDC0gDcHC9/OQJ+JQ0/MoDaC9gcBv00KP3rUODD0YPUWU8lhjCN27G6FJu/IN2AGT/qZsJ+P3E+G23WAVaSeEkMLfgbuQsHnmfFqz/RupvqgIvzJQEAwfcIrjw4Hr59735AuAqsmM/1Ik5lITQFqIjzPDJ+1pCdzxltP+uSO4nqNz5CDI240epGQMcMmw1cc6SSSngv26pAM1T6baFKi94K9mOG1p/vzgBXF9Hmk7CObSzA6Us1Hbq8F5+D4hUWlALsN6z6z7A9nbtiBthXTLhCbxNQJronkNtFeJsylJumWfAcpl7R7aNZ7hltYXkT0ZFG7kRQEuglai9weHEKbAMcxEfMh9culhFxDuM7ESejfE8xkuf4EzrcF3UahzjV1YQl3Pe0efG2Yxj12c83b5cTR+o68C3Qj9KOmFMdKInocGAW6Qvj1z6B7zWte8/93XhdqfoPIf/WD4pDh+lcIGJGDq0YZyAmdItOUidaSlWdkxV7VSDGRcYe4zejIGCYK9uLIWmF6wUsCLTAplAw49iSEFDxHoeMd9Tn11OP0PQa7Z+2EYJ6yfeO7TPKYcz7inesPKXuYVOQkXzOTK7Ieib6h6PacXbXcP/+Q3eaG2TaTjxo0e+7npxS2ZMUGGzqSSbTzTFe3fGf6hJP0lCI37GVkma54rAfm/R2+FxaNYmZHNhJY0rKSPTomBl8RxVJJx5la83D6AlyJG9Z8XdbkzYi/OXSfk7oPCPenK863W2qlyKI5syP32o/oV7DIN9i0p7PCF8UxG19wX33KGSXP7RX+eEesRnp1wo26YOfnDGLow4w2FYitDq+/WJKJ2ARlNvRWkU+FuIKQM6W1KKWQ8dd+nga3gUWdkCozVplgGkapGNSCOzli5BitNwSbUVZQ7YjqFSZHHC2eFXXyuNZASBQaiI5Vhqx7Ol2yo8IrBTpjSVgCE5aJCiUOI0JEEAWVJJwOjMV0iEi3QvaH5DSXI0oNjFbIjUGVPaOradWKishSG3yfkCAUJnF8F1l8pOl/EnCrEoLH7jJmAzbtqNwlLllmoYNoDtMMIYGDrnBMxmNVxSiGHkVSc/YsuVYRPSZM6zGjp1MVrVvQZXCqx6svCMuOMO94aXeH9Zu44IqGW3fHDGjIoDNJJSQlslJUpcaOkWIKtKclt6VBI8x3AX0lmEEx26nDl8lSKAah+DhgB43yBlVmQiekEyh+krH7iH4EFBB9xueRpclUukch6Mkxe9WhDEzOc1WUzCZPvU24Zckzc47yBb3yBBIxV5i4oBp3zGRPoRIhT3ijSWiSUygFKhb4Foqp51ye0dFT6YAdDe5S0WyBdc3i5Y7Su0OH/0IIK43SCeMjSrdMdqIQx8xGYi2IOIYhUzaGNAomenSYUEFTTxEd90TbMdGhZi3yQBGMZf7CkrsB04FER0ZIQdAS8SmiMrAXiiNFEVsK02E0OIRseow7eC88Fj1pmikyW20QtcJpzYw9QQV2QI6OYCp6bUFr7B7UJCzinmO/ZisLUJpRWSKOVlZcck7ijlHVh8+QBGYnNB046UEFXC+YPZAPkc46BubWMg2ZHBLNMv3K7pBfFnkeiZVGeYX1keyA0VBuLWoYYREZF4fI83IU6i6jpkObhFYdPodHBxbsOKKjxW5HXJdQTcaJoHeeSUpU7EFlkoKYQA/6sGIcFMYIVkOfDDkIaAgIog7nOySw2RzSHVXCDILbGBgqJlqMAnYHQXXRg76y6L0mj4loBGMTogQTQO3NwaUDoCZUzkhoMP2ES4oQoAwVEjxGQZFHmCK6dkieUBLQvaC+vG9NmcljQuuEmgtylND1RC4UOVvsRvBZgwg6KFTrKKIi7sCNBpkSEhQ5HKaBiwjGCGICxii0BkxA0EjSoMGOGT9xeC5xFp0mVA9qyCRlsDFhyNBkJn9IXaIPuMYQpoBCU6wNdiNo71DjhISAKE8IIAWoSpEmjY4NOm7RtWIoBSXgRtApENWIMoLymbEe8UYwm0N6ldgOVKbcg2kbNjIjZU95A2pypBTRCXSAKSpqLKoLoA3JBFQG3epDVroJ0CoO1v2Echp9p7HKo3YdaoJiC3ZpMF6gz9ikoPfYfPBQ+SgwOUgKkzMqJUwCNynyxKEY5jz0W8wEZsjoICiZkDGiRg5nhQkdgSkdJqRywsR8CEVAk0Ud0rgUBAOCQ/UDZu9wITEMGTVOmBbYGlRSpAxKFGoEq0EpQxlGjvqWWd5Rxw0qKYwYlK6+lKxH1JQJCYK8LtO85jWv+Q+f14Wa3ygE0YAYQKPIhxl+DBaFkUSSLws6VuGYsGSiHISsU6rJYSKKRyuoCCiBXjmytqgsIBojitJFxoUCHQ4dPTwYSx0HjtZ7lrsR72/Ifs9ik9nPP2I2O0GOPXX4jNVLUDewcBPvhZ+SuaOZOsacKCfF7AqKTwd0ALdVhHVmUUT8sKMsewq/waXMG/UVqfgxY9hx8nxPcxsQ2XO6EaYf3lGxY/kwECoFyXD/ZofPwu3JiuwU2ge8GlnQUohwT73kIl2hkydd3WKHG+QGzA6Ucsx04u38C5bjmmIDaSPoE0MhE2+Ua6b0Aqvu0Gai0UKxW1M7Q1l2nJtnnHHJ02JPcU9glkjGMjmHrSbO/JaHyhB1JixAbSHXApWjKRSxCKjTTJwLWmf0mNBW/bsH7F9jxlOLLoXRzrhSJRMVpWQ8CVEKCBgCg0vkpYFGmBaavijYMGdLgzBRqMMXpeRntKlhCIbRlcBEQyLjUFpjVcDTY5VQ5hEjniA1gzpIWB0jXjJhHEljwgVH0UbOFoGbPNHaGVGdMuorypUmVZbOZERnYhZUVkSnGYxDZo7pdKJ6olALIbuMPnZMFmxbUF9O6HZD+SLhCkMuDlPu/anl2hkGllz0Cfvsmvv6mqn5jBNbc6+4Yihrqr7nSA2AY6uOMCbhJCGTQu2FcbjDNS/xizfRZkaVW5zbob2QasvOWPY4ZmQK7Rm6ET868iSYY0+kRidPT8CXHepMqP9Gwf4dRzzy2IuM/mBEDMgvIn0QqgfANKEvMmaUQ+GjA9WBiwbtFC4rbNLIPqCPIc0LtDPMcyLFho3RuDjyQJ6S9YIre8qAoVA7ykpw2uISQESkpmXOjcx4rCoK3UKZ0ECwlkkMkYBPPY5Iag2sMxow9w155dD3FOO7hjQTvAblEmmeCfZQgPIpYrWizwXRHZTNVQ2jKHx5kE4n37BVFTr3HKmOxZRQU4WyHWgwg6V/GqiChn7CDBZ1VZLiiA6C8ZD9Yb2qVJmgSkrmeAJKRYxTiBaUEryNOLXDsafCUuYRdMarQKUCQTSjasjGoSvAZEZTMmXDiAEcThxeoJRAY3p6SYcpzFMFA0wPZvTFkiAlWVXcGUfXVKzaFpeg0I6NAJWj8BPRD7hfzRXyS2PyoJeCOhX2g6CrhvB8QG8VVhm4HYhGEy8azDuK+GZLcwImCvK4JMwTYyrw+0BajfCGQfcGNyhEElJ50jWoDxT6nYKYhPkDS3p/xYt7c+rRc/RgC03kymrWT064+Bua5u4aXZd09064ihk/Js4WEfOoY1pNxGBYfLMh39eUX7XojWX6fILTEvNWzfAmVJcau89IbeBbGeYWLhPjQqHe0RTHwDagCnVozDwp6f6OIk6O/Rslkyop1IgcJ4JolBbyfjqs9B1rkhbSk5K7s4o4aE5lRF3u0LcWWku+CUhj8UEh4sj1RNQKWQrTAw/LRLry2DiQjy3Ny4TOmtrC7qsWeXRMUjCu75iZhCo8yXbE9+bkusRWHaw0/dGM9cKwGEfcUgjJUjh/EBifjEymQF9WFC+3cF6Sj8Ph2SYpgtHs5hX+rQ73FuissQuIZUE+y7TfLZH7S/yFotiACYr8gUF/ULD5ykj7Vs1JazC3O/RoUNnCVcL2gTwXZJ4wyjKdznleNDyeN8jMEMn4rxaY3UgMieKJhd6gJkGdaKaZoTIF0k1kZVHvROKxI9qMe5hgOtzB/blif3ZOSMf48wWzesCcRORMUSoN9wN5UqiHC7LbM75psK+ElEF8h/qaZjxyyDYSrWZTHfGqOKV5pGgWO3RV8UU5x749Mt9n3GokPMq4h4Lcgb0wjPcz7awgHztAUX1TIyZCcrBOxLMEbzTctg1N3BO+oRmXDve9ArXb4/uKvhnJi/Tlur2gThRlLZzoDeGjPcZaWETMVwyuXnN/v6OUQF4UJEaKnP897/TXvOY1r/nV87pQ8xuEQlBZDs4G1JexzYpDry9jySRl2emavatAOWwyjBTsUCCONBhGr0m1ojQtUU640zMMBSpAzprBQtEkwlua/ONECscoluAdNtfUTzXNOqDvj6SZpvkoU50Ezt8bedhMNPsO/zGwKbh4t+VI/pgpBK7HE4YEy8EilxB2GjuziEm4Zz1LD0s1IT5jHhwaSUs18b65oggGPoyEnzl0HVkZQf7gOUdXI+6f1Vz9LYsbDSef3XDfvWR9dMonqiTPE6VEzkNHr7acyoc81J9Rbmu2P8nU1yWxz4hoeDlw752Bb6U/597uOe5KGH+iaN4UvG55tHyJWWrWbkIXimUWvvWLH/MonvPqjTlfN39B4BEfLr/N0bdrVkefcs4LtA640z1v1X9GNDNEbhnONWVMuEXGLgSzEuI8Yp84+oVi6RNFu8PMBD3rfrUH75fA7msz5uuOwRzxuT6mIHKsdrR5Teku0Aw4Ir2bke41sNgRzzTrYsGVumCXl4zuDjXXSAvDccPT+owbrbAYXGhZ6IEoAyoJe7tkwQYnkaN8Q/KaF/ohz+M5EWGmbjnJLeXLkbTV6FEx6ydOF2s25oxLWTFD0do7igsh3CsZ1MRRTsx3GTohVJ6bYoZ/q8RtLikfaDAjeZGJZyv22xvu3QXK/zNTPA+gd/DBjDAD2Wm8XfCqXHAjC964VZz8wZ/znrkivfGn9KsB92TB5eqU+/FzTtxzGnpKOcGxw9Jig8F+7JhfwTtvXtJ88FNemM9RyXOSN1AKqYA1Dd0ETe5RMjLsFNVQkq871JOSF6ohmxl9rXj37Jbmr73E/H7DF6sTnukHPNx0PJp/gfk+qP91R7dTLP5LR44D/fcEYw18MpA/B31XoM9n9EyMaPzo0bd7prc13beXPD2vCMrwafMmrsycb6/4T+P3uZ6t+HP/DUpOaOw14RHkOCOJJecea5e85IRfkHjiW0qzQZ104KFdedZmxZ6CyAhFprtJNK8c6nyg/KpCn3bIW57dPz7mzgbuf9wxn/ZMp4GutqR0iCF2OtNZz66pELlhdWZpvxhxJ8AisT054WM55zyueahvKLY9xAZVpcO00UvH5k8j1T8oyDcDeutgAP1Q0AsDR4K4iSMSVmVgwyk1TSwPPrBZgCYilSVXB3fVETtqCrzKRDSV6mnsLbd4OimJlUGdQ14UXFYPeSUrtrKkJiAysDSBKrQ8kEs2KqOWA/m9DG/A9s1jfuHus8/3uOUez3VHv5hBe43uILpjnvqOT/VDAAAgAElEQVSa5ihyyh1pIb/2hRpTgjuxSITNx8J5eUL3R8+wyuCWFfoHHWpZsP/unO6fl7Rl4O0fd5hXQngT1m83pEE4+n7PsAe+1TB+J9FIIj2L6J/XdB9uMP8EivOadrPm7NGMn84e8xec8JZ+wXe7Dcug+dQd8Yu//QDzuwuKH98gW8/1dx/wp3VBQ+Y/evWcZdeyOTPsZMbb94VJnsHKU/ybFdv//gX1Owr5/TmXiyse3xr0N4V4LzO+K9S7huGy5/bC4f/hjGYzwPcPzZdUCvu/N2P3jzw5wZXMubFL7HjLm28umcwau/Xo9UTeQ37HEevI+L0FP1veJ4SSr4dbTv+8hbUiyWEahXdgAOaLjNiCJAF5Irz6p461nXExeVZpJOcR98oRxw3+HlzKnP3iK7Rfi5hPf8HJsz32tqB3Qv0P7tH/xzfU247iynF5/x4frjJvsubtYcd2PTLzM3SxZzdT6OGY9C/2nE5C/l5i/xiqTcD+20hLzXhRcfpfROQh6KqjeghxUZE+CHz+nTlTOmX5UvP4x8+oB+Hunzvyb9U8uxNuj884vU3k//0nuK7AUNN9dEN1tkdOPOk0wNcU08OGD8XxndPHqOPnxGJD8+05/NHAxiQWv1eTPh8wEtBPLHcXieovlqSup19E9O8VdP90wU7fUf5whP/DoELk+utLfvK7X+dpueJB6nn39mfM6zVtVWJbzfEfX8JLx/C7p7x4LMxvNjz4kzl3IVDcj5h/4nn1lZoidtC2/OXsW/zEfJXH6lNW8gLVH/Gv3LdY/b01f+c/+dec7AZub6C5UOStQh957prIZjsn7Y8YFyXmqxvyqxH9w5L66cj+QY/6x2/ys9mKN+QLJCxZ5xmL/+6IIq2Zrc+4/JMtR+9r0j/U6I2G3YR3mVWzZvO/ZIrfWsKTlnheUFXP+drNJcH0hEfHhL3Q5P2v+ip5zWte85p/L68LNb9BKASIoALIdHDUqIMAL/1V1mpWSFZkLKKAqFC5OMSRKqFPE0kHBiv0XjNozQxwoYcQIHvAkTWIjShReDwChDyhVKT3GVcDxSktgXF2Q6hO2ctXsOEtduYZuzkctQEjls6c0PmJMM6p1IZsErvCoMoRXQ+gDrvVOEV2nskHGmdptSG4M4KZURAYmmeU847GZ3QG68JhDHfyzIbMKBCdJlrFoC0OzyIqQk4obdjnmt7cpzNPCXrOpoxQtvSlpY3CWQDREXRk5w1dYxETCS7R1rDznt4eE2UP0h28zk6xtzXX5pRRnbCRC3p1DzPJIWLcaBCLmzQ2C2IFkytssow2Eh3MXCT7dBCSKocWRUqCFJ7g06HT/qs6dL8kXMjsTMmtd0QbKYBJVWRlMdJhlCFlg00lPhySZRQWhachY1OHjS3ZpcOG3zRQNHvAoGXBYX/hINOesmeSBqWgZKTgIFPN6iAiNhRMNPTiDsJMmw8h4QJJHIESUTVKdmgyk1F0qqbjiDFPX67dKGxMOFocEGPBZAxtvWcqE0upMINFvDD5hJQBEKxWpKyQDAZFJSMNHdF1bGqo5SCYVERUFHSGSRsGm9HsKNmiM/Ta0xsDWqMnQ9MuON96KCeurUKhsEHRtEukbMhxwIlFW41ih+RweJ1Hz8obfEgcx0TZhoNI1UJWgUwm2IK9N9TlgDWZnA1M93FtCzGRUwA0wQl4YdJ3GCJKTaAiCSFajSLRpAmJFZ3piDoxWkfP7DCdT0/GMsmc1lVgMi0WaIjRot3h/xvQJK0QVR6KODnjJeKlwMcZmopYTEiR2flE9lA7sM4x6opJQzKaSMGYLYhjUgXBKyyZTp0y4CkZQY3YPKG/bN46AlYSk3JksQepu4/czoW82KJ7YVfBWWEZPRjf40shePAczo3D0eeK1mvu9IyWgkYrjAgqyeEUayEoh8FhxJCVZVSegCdg2OuJFsOoDVnUYYxSH9YENJkiC6WOFDqSJBC1QZSjJFNmi48GEmgmRO3RusagKQOUMaAU9IViYyuCLolyhyHhguLXvVITACMapQ06B8gjhkQ0CaM1GCHZzOATc5NZisUlITno7IBSBhWFjDAZdXAaaSGLMFnwLrCpoSqgUcJgQLlAq9WX6V2GJIdJjSYZGh2wcmjA3NaRaPc4JThxZCIiAa0KWmV4VdSUcsdcj2D2TIWQCo0yFT2WqC25CLR1Jjmo9EQ2iTs7JxcXzIuBpr5GdRmbIkZX3GIogEIitQzkFHAJXFDolElaDuLWWKBDxg89q8XAPpaQM1qDuEBHpMtCaTKDE7IfsLEke0GY8NEyuTnXzqH0Fp92TFXACjRaHdYYa9iqzDwPeBmJTtPOQJeejb7PqV/j/MSgM2seM1Nw7nZMFqJRTKZkrRoqZii9I5QZ7UZ2hcUZBRaitRjrGAqFlFDpgGj+78/ZGiHFDnyLmAlRsDEFzjcEnZlUxd5saTw4DZLgcg7LpUAtODlsjhdhYqYEjQfvCL2mkAKRgVEDukTLeHjvGnOQ16tELoWtF1y5YNDH7LVmpToKEaxsaa3h0s54xjlebXnDaI7UhKNArGMykeALbvUR16pGlT8Ek4lG2BuYG2EyFpcsWRw9FTuzYqcaYlQYPTFYz7U6Ze/nHJstYgOjKRl8RZUVTdoTZKKlQ4xlZy1lKZTFRKUTvTHs7SOumXOSb7B2RjsZvFYMKIwxTNqQTUFvMsFpTktNyAqxBVmNgAGViGZg8BZfHpPzU1YkvCh0+DW/iF7zmtf8RvC6UPMbxWHNCREUgnyZ2YQSDqtQcBAPaKSsDwkKGAL2MHYrCT32FCUUS8U+aQKOTGKhQX/ylNC+R0ZTe09Jy6AOX5QgQe4ZjSNXE7Nj+IJIV5W84QZ+UhzxL56d81G8x9/8yoLmKxoqR7u9olb3aaVD1DHaV9gm8ehvO9gJ6rwB5ZCbLdo47sjw8IwXVUHn5qyVpstbTmaZ5bfvszq6pf7sjv6Zwuo5sptY/88bqu++xf5+T33Swa1iPZTcuhn3by85cZeY1RNe8ZgfTmtqfUldv+KtbxaYzybktEIdn1F8ekv/eeJUbrm57/B//euY27/E/o0C+2RE1Uc830+4xYzQ3pJeCbw/4+ZsyY94m0J2/HQ654fP7hFuAs+qc/7y6Alf58fY6x3L845tPmYnc1IyqJXBvRfhc4V5avGzCE3GnED5TkUaFP6kQrrdr+zE/bJouj2f2wfc+AWOFoPlJq3oqNBmZMMcUUfsrz4mrG9QTjDesVR3rGTOS/0Gt27OtpjTqC2iHAUjFQ0bWTGmAcXAIJ4siR0VLTWV7HAHKQQjFUE5EiWtzLhRDen0mMXVM8JlRh+BO8sMSriLmYWLIDuKxmOyQ+fEjJLwCvzCwakllpHnPsPvvIPuhJnfUbue22cT6Xmi/CQxbxTyWFCLEu5VmFcBPuyJ/orq3Rq85kT2nL7vUC8jPLtjLsLUDMxWa7R2aK2Zc0WQilGOmJiRCaQXN8T/IfPxjzY8+K+E0++13PudhtlHHcMXgWebnqaZozcbhv1AfdogO023EWZBc/0vf87Dowr9KlHPR6wR+gbMxY578wSc87yo4Xv3+NrdJe5/3GM+EqJ5yaufZmb/dcX8GwXd5o7yXkk8GjgqMpqAygM4mJYK9U5FXgVOPvyU6WPF6klJ95UlsQgUpmeOxkgABV7vqPQ1W5bcyJJNXNEYR8OaM72muHpJuR4Z1hP6BGZHA0cPXtLqY+rnjmd/cMfJV95Ene1Yu4HH/+0TpPmMmyLyyhwTcgf3Iut9w+fymH4cmZUF/XQN1nCrvsEuDnzTBE6np/gIagAGyKLoxKK0ZYditWyQncH8bsHs738V+XjHvHxO+s4c3Q+4Fx1m4fHVeEieaWFf1/yousc1D9jkUzod+a34Be+2FvUS2gKsrUllyZ4522i45wKannUqWJsVWdUoCWSlQZfQKdT1jsXRjpn2zMzAKR1ztryQGc/0CZ/KnGXeoNrM8EJwE7hHEyvfUuU1WndYBU40yoI1UNEzMyPH80A5BuLTAO//ii+T/5eEWuNFkW57XA063FH/NUvMYK5LuAlUS8X984nZZFn/2Z50CfaBpTwpcXqkdJ58VKOZKHVFpT0MO4rGoB9rzn7/hJtF5LY+pTxxKLdF5wkjFWkq0KLIkzB0mbqE+e2GeZfgtx1XJnAqGa8mXGMolcbrkdY/4n/rzvlaOeeD/geUr7YcfTDjk3eeME4J3exp65bF18/whWZM15AC5r0LbsszblvPt0KJtofJIdKWGYancsLYK8zzay7ONTs74nqNGwLj3Yj/7XPiRy+Jf7LFn8FmE5j9TmT10OJdZBJFfb+mKCfsZCnvbnnzfVC2gI8V9VcU5qGwSoFPWPKX/T3Ez3msfkhxJKjlkjQpxqsNfjngiiMedGAIqHni4X/+Bj84f4OfyYK/6QLH6ee0fcEPZ08YJfJuusLWJX1suCpP+UUc+S1dcrF4iX2oGd/+gFy9wtlb1JtQPH7EejmjOVowuh7qguoe5AvHzgQa9pTG4n3AP9CoOyjOHnA9RYboeBGWPC41dWMIAsU9xeN/dgrrgbHO6PuaLIni5hnvnRwx+D23X1e4XebV99cc9TXzUrP9+IZZVzHoAtP3hBHsQiG/1fDgdCJ9veHf5Iq9O2HRfUzz6Qvi1gHHXNPwFzzkLj3hQX7KibqjzZZGC+VDhRQrfpbf4scx83vlFjm/Zf6dRPHBOeEisMsFTZzYP51QHzziL68d5/dqylYxt4m9LslMKAGlFLapGcaJu/Ix4fNLLmJkfDPzfKFoXEE2D2gfTSyHNWa7oXzjAX/IW/xheB/jBo5yIiCsgCoqtn1Nmp0xji9YLS0vsyOdLbGloTMTszuH+otb+Ouw+sYRn02aSpXMeqGQnnQ9oTbpdTz3a17zmv/geV2o+Y3i36U+Ce7LRKZDeeZQtAnAxKAVO2Z0ClpjQbUkHCVfRmZqofPQpRlQYIkoEeg1KmkMJTlrtFZA/rIcFMD0RGMYyprrZsbn6iE7bfHS87n7Gs/t79K2DZf2E744nvH41Qhby8atuDYVR67C5g3JCeEo0IujWDhsAnedUGLpZo7r2TFbX5FMyaUU7HjCTpWU80vkNGOuW3SKQEIhlKNggmNnb2gaYLvklnt0qgTzCpfvgJYNK16ad/lE7bC1pzCJ2Tzz7HjJ1fKYbxQtOg1YcbzwR9wdCWUzUs4nruuGrT6mczO8GlCSsVERSs2lL1jLMR7PjX3MPi/w4xoVBGKJn0rMeAcSaXEoPEYJe1swlZHCBTDFwT/kM6OBVCYGk/GSUeOv4Kj9sulgWJW0uqRRexDLTi+Z1Iwkhl41KHEUg8MFCyZizESZA44VUSsGLElpxFokZBZhz2A0W6XpbE1HptdLkuvYK8dETVKC6IFMZsIRrCNgGCnZ6YpuXiFodDKoDFNOZAPZGnplid4iUUASWgwxQtSg3KEY4bLh/2LvTX5ty+48r89vNbs97e3efS9eE46wIx0RTlc2rjKZWVWASoxgQCEhVYkplAT8RzVkgIABYoRoBkUpIbOoTGGn02mn7QhHvHj97U6/27XWj8F56RkSAiPj9PtK9w6O7rlnS3ud3977u75NlxwrWxEmA5dvBQcGi+ktpomEpWM3GagmEaksmQ5oBzIasiSkCIaeUI+EiTBucrxaCANiwrHhShw5kUIOjDoh6IReC0ZxuK3j/Klj8npO328Zxx7XBvwAg0DuOAaaRkXsBNNv39bKCmfbSN4leB3hLKHZcbfZDD0uNBz8njs3RSdTHtfXTI0ig2B2JeV1IB88MgacBckHUt5jtMSqx5kS9KisGVxGGiKnG0VXStr0pCisvOOgQhQLFCTNURNRGQjq6NOEQQpcSjjbM0kH6m7AjuBV0FEhDBjtsBowyWGChbpDlxtu8Dw829IWA42O2BgQqxyKnru05KW9RF1HFKWzSrCeK7lk63seyR0pu0FljwokIyQmDCYDCeyNo9EMU5a8PLmluv+GIey4CgPFxDJYg8+VeSHgBCKoU/aF46VZcqUPablPZM8hv2GwhiwadPQoBR2WVioGk2HpcCT2UrCWC4QSxx2qhlYSySomQi0GrxHkjgkHqhQZdMmdO+VOTsmIICUuOWQEiZDTU+gBqw1iW6J2b/cDlCwOeDUEEwk2gxB+pWPklwI1uARmFBgUXEkyO1qJFM2BrDwqHIPPcLHnpNFjQqrLGFLEKJgwotYSfSRIi88S2iuKIEVLOj1wVz6mKedMCqEKiSHlqHUY62FwSFRctGSpwcf98TuatZiUmBynFaINxIgfLZus5LPp15hL4KPur2AY6XzFq3mNs46Kll3W08+gZY4dB06yPcO053k2Y59/RNNuQa9IvgA9EE1gzYRJrHi4a5nM9jzPW8Ch6hjMgJsl9hUsegNNxswN2G2kf7AnmpZkFERQG0hikWhRFxmzAacVfZWobUO0OQ1L3tiHLMXwwGfYNDAOFk9GShtULDtTEVXAKslH9rXnRX3Kc3mPUZ4iI4zMeGM/YpEirX6F8zs0wVaWrERJaY2YkV0p9Nmcwe0R7qCA3gpdkXPjDIYJyVjaHExuiWbE6IAkRbMcLYRxorwpSjYmw8ZAMDO6FEjG4RHafIufDgSOikxwRAL5uOek35DbPW2ejgHUISJGcQGKUZFkGI3B64Tl4cCIEuqWcZKQ04HrvKLXM4J7BQI+GCSWdOmcO/mY0nj25l/QO8PBVrSS0EJp6oqf2095bs/Y6lPIntItlKZuCLnQ2YqYRojCGCd0nKFcIUGodIC8pDU1vdTHjT+XoSlxk81wscHsVmgwdKWAzWmpOVjDvDQsjDB4y5tswtpcsmHBzLTYuEFSIJqSnZ2zzTq63GIyw66w+Hogcx2tnWJtotYRsYmDM2yNZ+kMsUkoPTaBHd4pat7hHd7h//94R9T8BkF/8TtxFMi7t8HC8pZMOVZ1tybnSk7Y4dlJydK8xlIgg0BQUubZupq7sUbJyUl0IYHWmK1iy5FBBBsrSAHljmhmkBpcNLyefsifyH3eyG9DyPjvf35H/PCfsP+TgeqrLS8//fv8l/bf4j+sPyfzA98fP+HzLOOP/C1fGz+nc4ar2X1e2Avmk8R7b37CSSZ0Wcmzkwfc+kfMZYNhwyr+Lv/y+/8mcX/C7/3hj3heT/jOkwt+6/bPwY40ydM1cBrWzOl4VZ+xOn3EKltQcof6wGiP7QA5A9FkfJE+ZCXf5gfJMfo7Dv4D2mfPeb+osZMf8Jk84kttufGveO+BZ5gZruwFL8dL1lLxQF9gTUOsEit7jxVL5mxYMeWQ5nBQJocbfqf/C/7R8K95r/uKF27BG7skEJjqDjuOjKc1uTXgLWOtFGcgVzuCVzbuPs10x3Kzpfxb8GxELdgsYRlRzTlQs5OcpDkheZJ12GQx+ynja4sqODuwYsGBgpItD9JrZsMa30Zsm8iGRCCxmd7SmhIlktOxk5xOPVYSook9BZGMAceRehREPEYA7VExsIjEWU4jSwwGS2LDJV/GJzj3hsEZ9nLKV2Xkw99fkP+8wd7ByYuAkYY+rRikZb5cM0WIzxWcYD8qubqc8lVxwvvykkXbwakQJiWbyyltNmfjal7UBzpmbMpTnp88ZpaPvDd9QygSPr+lcRbRJR5LaVoOcspruWT9jcc8/Ht/DcMA3/XcfGPC0/zr/Na9O/LmOZNJT3LXdBcjfu6JpsUvLWau4DM6DWRO0Lk9Ks2NQ1slPQUzg8OiYUrH+bbB1C36784Y6hEdFf9AiWUkmYiUlpQZhtLT2YpGavbJUo0H5n2L/0lkmEEUg3mS0Z1ZNtS8kAXPs4gR2MYLGk54LU8o6YGCgoAxI284QTG0bIkT4FTQXuE9y3CRsXGnbOWUu/MB/4nD9gEzwKUZ8S8azFYoSljMnrJaRII6KKdkZoWzDVYzjo/ZkRlrkio7LdmWc+YP1lBH9nXBQRw+JNRWNOmC13Ro7Zl8MCfXLVkd8auC/F/vKOpANCC+QKOSxhEpwZvECQOi1/Ta09nE6Dv2DxzztZDVgjHHdpWkimhBi8GoY9AlG63o5ajmuNBb0kzgiaGZTbhiylYqotZ4mVPJgT0eQ8RhaKjZmYqUOxigoaShpkyOUTKiUex0hBLCqWPra/ZS0ptjto47yyh/tZPk/zX8a2F8HXFbYZ4c2x8HZk8KQr8nqUO9od33jBJpshF732MYwPWIZpTJYw49aRewXumrhj7tKaLCVlhdKXlZcDtZ0hpDI3M6KVi7h3Rq2LQ3RGOR9UgW1tR1ST8P9CXHRqJZAGkoYkO5bTFbwRhPWfUs/C2SdiQjjP1xLXlGjGvpxLHV9+ikpfUF3t7nJHyBveww9pJ/+flDzu9/zLeefB/zooWs4AU5t2R4MsJrRxx3zD60KMoBJTsX4naL6wzDaHBiGPfQ3xk6yQm2Y66CvojEj3JGMlYvAvUfzlhd9iyvE+PLQHLnvPLwBo+1A8bcsTM9SR156LGpZTRCx5Stt9yUwnvRkKLQXY9MugP3eMFU35BGCAojgVtT8Io5D7hmandU4w21nTH6lrRIyAFiOhCyllBGNBj89S3zByUtGYGONF3QGah1QMLAbnRsOWcsFF00zD6wvDw5Zxsd9+KW+bihkAZRx3g9Yh9MuL440E8cy68E/fMRtwQeRRZ2ZPLDDeWrkflJxW69Qc2ANUpsFJUOS0Supgxf7BF7rBdL5YJX03MGGzmLa5gN6Ic50gbqfGRlljztptwzHaVfYWXNKBfcAU9KGLsJn18/5k9vhX/87SmSlLEXqvl9duaGV3GCF4vNd5jRsn29ozubEAqLDz0TEb7Qx3xPP+C+e4HvO7Yp4+AN/WXJ2Ct2O3IeEv254StnOVOLXxn6nyrpSUWZ3vCJ/G98oJ9TtZFoSu5sjVplmByoHwircsGbYsKQRt7TO7SN3Lo58m945rVizva0/ZQmLHg9aTmf11RmJJ1YKOXX3hL+Du/wDn/78Y6o+Q3CkYgZgGPtJciRt5EjTaMIiKfFccuSlU7o44R7rj+2WYRjlWdwnq2tWWuFIcOiREBHj9kq/qynFYv2HokJS0PSBAHK2LDKz/hfx0858HtUXcV/87Tj8YPvcPfH/x0PftrQ/mf/Hn8y/iF/J8v41N3w+fghf2YmfKv8MSZGBmN5XT3iJ/nXuZ+teK//EWqVXVawWt5nnWouuEVkhUlz/tX3vsnq5QMWf7dkV+6ZPX7E15/9H0id0caC9os9Z+OGWUp8UVzwxfQDvBu44DneRXqXMxE40R0w8IpTfpZ+nxfmIZ/nB/L8G1TP/wf+80cbYvZXPJdL1jHS+y2cKV1es9VHvGFJNEoOZDaxn8IX+ROuuGQpa14xY2QKO6HYtHyj+4y/I39GITfs84e8lgsCA0tNSK8MmcVYQY1hzAL1qRCvV8S6YC1L+jpSHzbUSX6Fq+6XgzhV8AMFPUkLtjJjTYlPJYmceKRwGPuS7ibBiaK5ZWWW3OoJhfSc6oasHdFWMOse00MVhcp3ZLmncAbVHTsuGVOGsxCl4C5dUJPoY0dSj5KhRJx2lAI4QZeR/SynNadYUQwDV/KYpN9k6TrEJnrN+cztePjdnOlXLXETyFzkbBiQMJJ8h5WIJM/wOpBNlMNHJU9PH/Mz/S2q3rBYfQlnLVfnJV+YEzZyxp1mVPkB7895OvuYP3vvY070DbkaDB1Tt6dNimiJ057aCrcIr1zN6smc8e8KrkvwnQ13X5vy/fQJ9eULzlevmFbKPh9pJxWCoTm0+HuGRjp0llCF2CTSRYA9OCzxecC9VvSJwLzhdFzxwV2Pdwfcv7OgvunghwP2ntK5Y/aBLIWDKThUNQ2eliWdZFQmMPWK/mxHflmSTgV5pHQTYadTrnmflTgsA42cs9UpGx6SEZnKHis9xhie6jnCjE6+QjJg6RnakfK+oZlFeikYmbKfv+LhJ4J/aYitcJJFeNVjXlqkSkw/viXOM96YBwQ7I9MNXhIDNb2rSIw4GnLjWaWM9WzO8hKohN2kZC/FMU+JnEO6R2NgVzf87vsN9YtnUCXqlSf85Q32AWweCn2ekdqBaAeKKWACFSOJNYN0HPBEkzjctxQrKLNEMC09FUOyBGr25HgCw1tL31pPKVRJPENnQptbbotTruSMHTmNlvSSUbClYaAkkqPspGCT5aTy2Pq0NxVrFgiJPZcc0v5o0QP0NKM1wkjOIIbRFJSnGfd+dWPkl4LsdUSvDBwEbzOaz1rmF+e4zR4pPJp79FVH2VkCSnqkTPagMVIeBipnYJeIV5HJ/YKGAYqEOQjawvAsUn1Y0eQLDqPSM+E1IyMLygR2Ox4ruLc93u5wxpBVDlWhfiM0tWJdz+kwUrzaH5N5S2U2HniY3zJ1W5zxjJ2QAWddwKUXrLVkx8esdE1MwtJntDxlukycUvPln+cc3nvCeKbYrwYwS7YyoeFYBx3ewLjfM3u8RFLiECOXF5b2TUfZ5EivSKfQw7i27CkQc8AMDnk+Mn7zhGFIyIsApwu2Hx44+d4G+UGEuGBlAy2Ji/HAKXeoRGIqyNseP3ZEydlKSTEGil6PSo7eIVcNT1avmN5rOE+vSD1MgrLQLR0lK7nH4/RjJqnhbHfFTQ3ie1yZyEiwvaJbbrBGoSko2xsmoeKlOILzaD0lWnAEbB/YpQkvivdpjCXWGz6qLU12RtvBOCQWZofXHcYYuvVAbs+4nRSMVeD8ZcJ+ryG9nzM9PTBPb8h/8Iby+zvc71+S324QVYxkpCaS7EgWjyHszU+Vy08HbBfJ3Qk/cSVzWu6nO8rlCB8o8WmgNDsGmXDXntEXe05EmaSRFGsOeDQHbyrWzx7w+Yst9Sfzo22zhWJywtbueM77JL/n1OyY7Av0qwPDJ1P6zFKGxII9t1zwQz7hu+YHXNpXxC5H6CkWFfoa7Hrg3jDw5sSychWnUchuDN1Pwf/RhJNwxwfmcz5pf85mFbGQ6K8AACAASURBVNiff8o6nuHtgbx4zsUDz2aYsk9LZnpN1TccOsu+mKDfKbix1ywHS9rkqEzZJliUCzbDDjkvEB24/6seJv8PISL/DPhnAI8fP/4VH807vMM7/H+Jd0TNbxT0F6qZXxA1ACpvFTYGFJIaRs3pzIRIhVFDFD1KlE0kSTz+fcow4lAi0R3ly5KObVIqCbUW0cSxDNyTyBgwJBKd7RmBUTJ6G2idI1Fjg8UGDyjBdESndOrpyOnlaNCKRmmJHMSgeJwmSKAYkliCJEYxGAQjiRgi6ia0tmLjTlkTGC1vxUWGGAHMsRpVDEGPdpaERUSxGslSJE8RtUJrLHvJ2KUJqywncycEP6fFkhlIeFQsQSydVTCWhEFNIr4NR1XjGEUINmc87mmiQK/2GIQbSiQVRDugRumNoTdTenoiBqwQxJMwYEDSiCaDMZA0oTYQJRD0b7KIfr0xWhjEEKMhE0cCAv7YNoYjoIzE47qTo1AsWCHiCVhGIr1xDKYA60EPZAomCCkdH5wTDmRLiBZVj+IZpWAwUwwRsY44mmO6kyoq5milYkRRgngGEYSA0tOSaH1NTDWCAzPSmZY2G2izgLcJa0ETYHoGH7GZksX4N99UohiCtYzJMpoCeZsxNdjACKhmOPVkYpCkby04QounUaWQHiUej9dGNA2YZBGTSC4Rxh4koVHfUrVHG4GNEYuSEAYtGPAUQJAdxgYCCSOGLCp26LERdASx9vhZScki1CrHh3QNlEaR2B2DzAWwkDSQfMkoA9EpqiOqJUgBAkEiao4pzpYejSCpJ0/gkh7nizhQx2g8DT3TFDBqEHEEKyQyRnIMPSIDLiqSLOnteXMqiEREIy6NeI0IA12ZaI1S5om2isfg0GTQ5BmcoRUlpCmKp2HBQRyJhhzPoIIYx0EMyeox3BdLT0mPP4ZMG0snGTsibRIGFzETofE9pUkYYxgNZN4d1T+iqIFgLD2eRkqiVoS3VdqKHOe6REab0fI25BhDxKKSCOZ4jk3MyFONMZYgHG04CIiQNCcaS8STtELUYvT4HWuBzh9nl3LMn0iAiiVSMJITyI67+oajvY+OnpzOuuNJ/zWHHRIYGK1gvadzAxglCahXkIAdoGwNtleiG0EzJA7H96YIMWGi4PqMOOpR2BqPYdFZAFJ4ey2uEV3CcEeVJ2ajsOwqrBRI3IFEnEI1QjEAXnExUSWl6AeEY1271Uimw5FMiGCTRaOg3qHAJA6E0bN1FUMaKVNiMhyv2tiAGfZM+0Q9GJwBFQVxmJThpSQbPWUw5AGsQCKBHhdBCse14DQhoyfrIQ8Gr4FIADHHMF49vkeCoK5klANWE145vo6SI8yHnHmqca7AqceNx/0nY4/3KIvBcdorRhMqHoNQh5ZBByREbIJ8jFRRiFJiUomPBjMKHocn4XQ8hrFHTz4kShJGOVr6UsRIi6U8GruNJRlICVyEPEI2CmOq6NTS50KmhskYcRoJFoaQiHI0m6txCI5CE5IiKiB46r6jjAeGEMkAxRMVkrGQFBOOd3Dh7eXdyNs1lEBSi9MDOeCTxXAMuR7skUz30SCxImmGDzml8zipGUVIAlYFM2TYYU4Wq+NadErIG3pREguSRDJ1lJ1lOgTyaDB6DGwXsz0ejNaQclA9/k/N8FHJRqFPMGpCxWLIEQyoR+W4PsuYU6YSH8FoJKmQpYKMAR9GXBbIY0YdhXxUsAoqBLEMbqSXY2aZJb5t/gu4lLDpaGEW/fWVGqvqPwf+OcB3vvOdX/8bvHd4h3f4v8Q7ouY3CIJB1B0f7n/x8zdqi7dBwyRyeioO5OTszJJBagyGMYtQRMrYs0w9mQR6TRiBIAM6Ay0VUYOIJfiAc4IZPMIAueEqvw+8RnE4WkQzjOvJBCTeMQ7XBCP0vqStE7sT5bqY0jOhjgGjkSCCS8Ii9Di/oy2FiRH2RcVLOeFgJwRdkahZ64IinLB9M6Kj0AwFoThhmBSEkDCXhtiWqLW4FMmwRAuNKdmyYOOuaHXKLfc4yJSp3mGlJ6GUfeL0yzuyYmTY94xOsGXJ2s4Z9RWDCYSqpDA7ZnKHkfdZjxV7O2HUnOUgvP/sM3YPetpJzSssue2ws0j1YE/Zt0y/nMF+y+gcezFE4xllJLlEjmIHAwyYqYKH1DvYR3IyDskiySDW/ErW2y8V0dHaBRuWnBFYcMcBJSVBpaYgsdSeRWzIoyLR4XoofODENOTS4lMACagfCbOEeofJExN3Q+U9a84p8UQLSY+jMYt7MrPHUKExMjFrLLeMPGafHOIjNjkMA8YEBhK9ZnhNnHHHGWu6QbgpHnCr5zyWnsk+kvfAXsAr5nWG3pyTLTaw3CN40mcFsUxU3wwsz57xZBDO9jfo0MHgmPdwmbVk4RYTSyh6GjvgZc1FvMGLYW2WXLAlTzlGc+7I2BtLSYGqIQueXs55urhg/ZHnvP6UK11jYqCOUN1BFTxtMhS0LOOBZRBCkzDrCkdBcA03l5aszzG7lnIQkgvo3LMtHFdyj87cpzcviINA4Xjx8Yz13FN/1KOXiWVXM3tdUMme4gPlMDljR6SODZe3ivy0hpst3Zlne1ZgJxAKh7UdNVeENCOlErU7knQUtMcbe4kYEkXacS89w7iRTjy3pzPmbYPfKckarv0DrvUJHXM2vmFyuiVrG7JWGcTCAPlNRGaQ/JJWBiapITNbUMNEhZD2FAjGjEyTZ6OeGw9RFSFHQnckmVHQEdFEZm6ZEjlNI4WsUS9w7ahfVZimId4lSu/Ii0TqFZuDJMMsJt6b7KloST6nFSWjoxohT2CiEgdPynIK03ChtzRaspU5gnIZX7AQ5UAiYkhjohgHpmZFYfZEljgdmMmOue7ZqbJjSk2LEgk60uXHlrSz9or7ldAzYynPuMczJrIjWcvGnvBG7gEV6ai5JMiv/yxKnScVgf5SMK7BrBOpaglBIHWINZhz6JYRnyuuVZplfyTYzqbEKqeuGow/kFeJrnBsMk87rcjPSrYnN1TVgcpcUTowXNPbjCFUWDMwlHcEAzE6qibgY8vKOa5Pz5HpAZtFMjNyKA2HE0fZHYuB/NgwLVZkZkMiwBS605Z2GWndKeoqivHnPJI7DmZGJxWUkdgK29qweVDxsjqwNkJdKckYopkyiMFkLVq19JOCvbVMKsGZhIacMYykheHq25bzSU86QFN1FCnSMxDvBbqk2LLFDxm788jcHTgNLWTpGNqlHMlJArtsYOsNBR4VoamErMgZGqGMgaui4K8uplTG4Z71JFU22YQrV/FYJ2TFhv0kY2f3XHJgEZ6jdiCW0I4jUY45Y1qCTiKbxYzXMpBne7weGKxncBP2NuIZ0NQSEkRXkkzkpNsy46dcFQX4A23MELfGmY5IjyFDJEcVcmOQZo/ThltTUc3mLO/tqaZ7bhffprcPOZ1e04UDpXTsHxs0H9BgiCdC6i3SJMqyZzxVxiwQl4bt+ZQv3Tm9wCUDsW9ASoyPbExOlB338+/xLX1J4d8cLVF+z92kYOWEMN2SPf4+y0nkkL05Vs4ZMO2Gi7jmo/h9ToaeLF2Tqi+Y3nNYmyMEQgYNUPOS8/RT5mlDShUbPeNWTrhxN9ybwdBCk2Wo7ZnqLRUKVaCfC824w5klvbFs64r9aEFGgioHmePMNzjp1pAML8oFxmU8Gd6gGug1J+qUTjas5TWRkVxGDqFn8JZFGjH7Afvr7sF8h3d4h98IvCNqfoNwpGT+hpBRjnuhR4WNKMfXNVBo9/ZB2LDhHj0VFmH0CVkU+L5nyQEvA2uFJBlRDFzU8PxYO4ozGK+osYwkkA418Cwuga9zCFPOyJg4hzihECVrf8L2539KiP8p2/JDNnZJff8eX9lzVqkmiwkTIgOCpAlTNSAj/sIjK+FmPucn+oBcS+z4c2LuuUuXNOsHmM8aTJjw+ZeOmwen1B9csPr8GfXHE/JvOUz3HNPnBF9w44+7VB1zru2CV3rJF/qITjOemB2RgVFLhtWS3f/4Ix6UwuaHGzZPLNXjB3ympzzkGsEikwW5PCen5cCSl/2Ux8WGLiswo+H0hz/h9+KKZ998wuc8Jtc7qk+u+VrYUP/ZD9H/5RXiLc13F1xhcfSUJuALpTIDbp8RpUUeCjpz9LcR9eBjTRz8MUhYfv03XDSV9MzYaslM9sxkR1BlI1OCdZzJgXtyYGp6Mvu2ZWejWBtZ5i0LXXGWGnIbSDkwd+xkih06Lsw1e+N5JQs8wqAwqjkqRnTAaU+ggqhMfEemHVEyRpNR1EfVi8sgZYmGY9NOqTBLB2bpwMFVfMElL+Mlj9IOs2rhTSB1HkaI/6In/s9X6BMlyxW951j/12uK+znlP0gUj1/xkZSc3twh+wCVRzc9y7Md1lwjbs5eRpJkWB24tB3XYcJLc848vUFTQa8Zt8zZimeuPaMGrDvlZ/oeq08T+48fcCv3GEPC2zU7OyH/UqgXA/k3t+TlSB1b2DS43tD9q4b+doD/+CO+d3GgDhOe0BB//AZWSvlkypvpkh+ZR0zDkm/sbxjWinxjxot/8ohezkjmBa1/TfVmwvi9Fdr1lDPHoc65MXKsUf1pQ/hvdwzWMPz2ki/fv0drEwU9mUQqWgaZcpDAhANOPaOxtFpiGKjpODOv6W1g0AlrqXj1+AMeLCPTp1+xm5T80D3iR+kDlqZG6pHZ73ecymfkL5WTwsJnEfnzCA8MIas52JZlP+K5w2RbTjWSjY47VyBROTu07LKKv3CnRKZELPxCsZTINYAKS91xb1xjsOTDHhtK4o8H+JMt2XsnhBc3pDqQXm/obYdfWtwSsuqW909aLrMeObN01nHQCi+WREIGSH0Ok5KFrrkngR+GS67cKaXuecxX7M3Aj+V4bNpFNCTKcoejp1VDLp6SDTN7S0KZpjkXsqWSo+qyzWtULNPDhouTks/tksfyjG/kz5hXWzrvee1P+Yz3ybSmSjvENijFr3iS/BJwo8hcqB96pMi577bYWUtVCfYaMCP5E2H1wNAWQn4j5A+VPiv5kilRpjyqa1yxozwTDplyY0+4qs6ZXGbUHxyQk8iJueIsHhgGIfkPuJYzMtmQ3+/pG0tzBedjYL2+5dnpezx7/Ntc5p9xX+/wbGnyCenhKXYPh+sts9jzmC/J5YCmjvqJonNlet7yRbmkoOTRqz/j3lnHF/k5d+Y+Y4p4Z2m8kP3OE57WO6604oPJjjYX9pKhZqQo1/jLPXJ2j01uKHRPmSeiXfD6auDx3z8j/EGBNM8p9iPjTsjwiIL5uGb+3pY+bzHzmjgOmPmBandAp8LpGeiotHoM4dZqQ0PPgGJQDrlh50vibWKS4McO1l//FieHn/PJ4jUSAttoiZITNGDKmnFqmZhrnugrHphn+DSQTCQzO07cnCAw3BMyK6ynjtdywoPZFG1eIM4SxynYHRU7DCXWQFCPTTnz3Zp6/iUlwq2BpJc4dnh7VB7WY8T0B4xRzKDo9Rqbcp67BcX9+9z/4AqpDqwefpMfseAf1V9Rtze41S3Lfz8n1oqmij7PGJ935P0Oc5o4ncCmhckHnlcX5/y1fIMzbsl4RrPbkKoSP9mzd5aZafjHkz/mn+qf8iD9DL06cD65ZTc559qXzE87vr34KYt8AukpFFAB8tWKh+cts+wv8Uz5qm+oTn/Ody++Rm2vCBrZ+5yE5YRXfD1/znTcchgrrtszdrHgLhvYv+8JzwTtEuV4y2XxmgkRM/XEyhGHjhM2ZPYZhxSx8wV9v4XJkpdM0XHCZdsyZvAze4b3GX80fA+6gdZUXE0uSGZDsCvqPuFjoj2fceAGH0byTUfKfv3Vfe/wDu/wtx/viJrfKCgQQBxK4K3emON+m6IYUCFPA1N2VFTYmBDrGa1Dk0OSRZOgchTyR7FsmHCgRo39RTOJQSkPliaUR0tKMhAm7PWUgmvOxhWP6DCh5F40nPOaK/+Mvlqx5ClfCz9gxkt6+4BCW+Zjj03DUU2tYGXAmD0ZATcaYgZNLvRmpA4GmzosipOWofxLdL4De4bjEoYGzWGXG/Zzj4YtxeZoBTvyVRENjmSX9HLKXhaM6inCjto8pyNnFlr2QwnjPRgyCAWDTNiYkk4cNhmChKMU/i0JNsiETqY0nHDtlxTFG5YxcDLuuWJ/DIBkwMaB1gb6PB6dDCIMxhG0wkeLaEYCMhLGQDSJ6BSXJUI8yr/LtKcaIyZylAT/miOaSESOMUkyomoIcmzQCTrgtcPJyLbcUdYjdRYgWiCQBHqp2Mop1pf0Hg42I5KzoKGIPUYLwDBwlIMnKdmzoHKXZOoI1ARfgjhGFr+w9zW+YFcNlBWMNicyp9cCIy2ZRKJmNK6kxVGGjoU2WI1ED8ErqTTsqkiWJbxTBgMFSqZgR8EEYZEK6g3kOwfxmCtVBLCqrMwOETiJOdNmihqw+SsamWE1p4gtiCGIICQyParbohwwktHIghflyI0sWGvODDjVO5K1pCC4lEhq2ZNzsDm5a8hMoojgdp6+KxA5YFwkxoFoAiaPxLyn8Z6eJd4W7Hwg81BoySCB0d8R0oGRnF5mhHggi2CHHNWSXh1BHS5kmBGaArr8aNeScQDvGIk4empdEWRCh0M0OzbymAQIjkhGg6XBUeA0kXxLMLsjXx0cRj3GHIOAvSaCC9xNjmHIkUg/tTQnip46bHHJjXicT0xSIBjPmprCFnRSocAgr3H2aM7rxTIWjioqIkKkZJAJNgUyBReV3heorSmMZcwTTRm4qLakBqrB4PqS6FuCyxgzEN9SmBHRY0AwKFZGvApiIFnoTU2rM4wMeDkGC0csSMKlFk+DlQqTIO8Fk8AlAyZhNOIlERUGKUnE49ohYPBvrxtytGhGxaRIZy2Vrkh25LqYErylswaTegT/1iKVMZD96obILwlGLKqB1kF3MuNmsuUbeSRKIlYjZQkmWRb7CbLzmMMB2wYUz1JyNObMW0vfGWTwnB4KLDVtKLEaMaqICpedZ7H2JBJxssdkN1Rp4N5YM20yUr/CBM/0oNwrMg5BOR8rTsyagoyoBUPncEOii5ayt0yaRC6QtxYxgnF7Tvtbdi5jkTzvrYTC5DyOOUvvmfU1Ki3n4YpP9z/kU3/HGadIHClTz3m3R0rPve6A04bgEhpzSs0pB4MZczovDHXPbZ24CAFvI8E7MuuOtk8NR/ulQLKJwSsSHFW0RysLCTcEvtY6JhK41+XUtib6GbPekHWe5MCFNUVs+OZdTVNmTII/NiEqnLaJeWyp+g5Lyf1Dw++Fl3zTbrjXKEYLLMLpaBHtcbnFB4/IQBWV825GsY6Y+IZChayDRKC2IONbC01MVLHEjFtMq8z6QEwGG4UchS3sGkNEEask6RkyxUfD/dWcR9OS3gysKuXMKFXa4W2i8xtan5hYi0R/tEC6o33RjBF1EYoDYzjaGtVYck1chIFzVizCFYkGMkvrhEWf+N3NKxb5Mz4Jf31UCDeO3PVshz2TVHMWhG8fPuNy6nloI7Q1hA4zRvzoORkipMi0gcX+wNftV+RFRxVgLKZM4y2/Nfb8VtpgQ8JIzyy0fLjreVgkaqasx46CxLRtES94KsQYVI65SfWmJbN7NJZsJGccW2a9ojGxH3vKtGI2Cg+aPbacUkRHFw2QcXAP6bliMliqcWAaRvxouPBC1Qmmteg+g/pXOEje4R3e4R3+b+AdUfMbBX1rYFZUj9qavwkTNqS3WSaCN5GKHo+8rQoeOVDiuwx5uoU/MIy5YLoBQ8YNU67DjPQa5BbsA4MZgZcD2vuj3B9gPOHp045/+PU13/Z/yUMDbVGRf/eCw/SP8f/RP2D14bf4pP4ev9//V3w3u+XNzvI75y/YxQ3eduyzCtEdhXlFJpF56shuW3CWcpF4XzecWqiLHiFxkf01//Q/ecSb/8CT1S9wnVL1LaUd8SbnTXvKSZ2TuhuSSTgaTrVjlXJapoyxAt1z5nZ4L1SyIZLxnnnDardGxg1uCpJ2dAimXlKwxRtFJGFNf/SHY7Ac8MbRquWFnDD/2mOq8Utaa1mlCbgdxShsfzrlmVmw+cbfg9lfYX9+y/xE8HjWrWcopsf8jhSIZU9mlcyUdDGQ/cMzHi3XvPrqr7n85gxX6N+GWAiC6bE0VMbiCezjlCv7gJXW5CZgsKzdQPp6yUleYd5s0SmYUtlKwXMueG56MtkTxNMmS5F6ejMgKbGOC3I3YaUQo2W0Gc84Y6OJMQneKndUrPoFt/4BuUm4TFnZGZ9+x9JPCjZxwiiOYCxBHEECna3ogqV2Ix9nL3gcX1KdGfijilQ61pclk0+WNO9/xvSTGbvf25G3OfN/G1ISsqqi+6s7+NFLwm1P/bEh9AG9A7fIGSsHjDy5rvniv/gLvvbdE+o/mOJ9jtGKS/caR41JyowtFYojkiRh6ciHA3WqUZcxdTum3HKSNmTDjnyp6D3P1sx4rafE0FLND2R+gz016E867P/+Mz7tR/LOMp0m7EGJhZJ8j4sNyxQ42I7VB5ZH6ZTmf3rG+++95uR373Hz5hZ9PKcTR7r8P9l7k19ds+s+71l777f/+tOf21XVrYasYokURZGUA8mWFcmOnTgIAmRgZZYAcZBBDP8JAYL8FRkkmWUSBBFg2FYkOVIkSxYpsapYxWpu3fbc03/92+8mg688jQNYAEPxPoMz/ID34P3W2XudtX5PRFjtch7SEOPwWBR+EmOPBL5lGHwj5fXmlvh2yXa2x4usIKfhRGpeBMtnakpLzMgvGLHaTQF6Qy8pQoYFctacNucMqhKWEHWWh5NLmrFgZcCD7pq9piU/HiN7EWuZ0nxjhvr1hj5P+Tw95NZPqeSGSdRw3p8yV0fEOqezBsTxZrZHHq2ZW8XUJLhRTGh3GRNrxlzLhIFfUXURZ37EhZmxn4zosznj3xxQfG+BGgfMp0Lzg5ZCOuIjofp6Truf0sYNL1VCJ8d0ktKxZsiaWagxKbhUU2cDlr6g1wUjLAJkVASxlCqnpSBzMQWQ9iAWaGPECDktho7SBYLao8VTk1FJxCZEWKdRbne59iI0LqZVEaA5NydUWcxUVuQ0vKUe75qcxLRkBJ989YfnZxd/kNDftlDs8ZPJA8K3Dri3fkZh5lQzwV1EmA87/D+9Yf3ngdmexX4ZiKclB1HFxZeBNN2j/ImnsR2WLXp+zelBih1YTFMTfizYazj7oGaUwPS9mn56Sfulwn/W41UgmfV02YjtJ9A8+5LvvL1mfr5k+HXD8qol3F4xGCr6meDoSYtTHv/okmOxqJUjURrnPdmLFce2Rl0G7OOGi0XAFuckxQ2rqCV93/DNoy+JPjzj8HKJtheUp4rodM2b9KizZxReoWrHannLYHSHlz98zjtrz0o/4873TtlMPYfrFvXcwzkk+zGNaxnNCvyn1wQR4rGwWW4YpSP4pGS5hmGnaXRPcf6Swb/ocHNNvBwyPIy5VFdUTuOfCNeV5bV/9DZnq2tO//efYPWS6VseX0wRWbH/+RMavSGb9djPLdPtj3jYGPpVS5kHItPjGqgGiuJ9qOua0DvExLS//yWF1ygGdDcWdaoZuS3rx7fYtkL6I4oJxHZD/aWl/MyRUtLfE5LjQPf8C7IXL5Friz1RPH94xCjJMPue9DuG9vmG8D+XnNy/pP71E9zbM5Y/2jD5+F/x3V885e4va3KTEeYV+nFLvJ+yfLkkrlYkbUwYxlB44kYYiKZ52vBges53z8/IpSEql8S6RY2mNLGw/+SSt7/4F6is41bW5InF1wE/OmOyvGGSt8jzmuNnLXQN/niDD0Ix8LhBg68SVj/27B23TDaO9NOW7PwHnHxtwiC3PO3nvPdwyfbxGf5HjwjveWTS8Xr7mMWfXrK3mhPFHVXiSe6N8R9fcfm04eA7d9laT7YXyOdrVr+7pDvecrgXeMqG6YO7xH/8OQ+Wn9HeT8mnc4Y1/NLaclN26NkGuzW4tGPTREzjjNOF48VnF0wfHFB9+ZxhdIO00J9b/CNH9h/+tKvJK17xilf8v/OqUfNzhbBb+E524YYIgiGEgKIHHCiH0h1KOhzQSYcLJZYp0uWoKgFncGi01jgLjRlSckCwBuUg8oIEoak7MIFgtxC24BNsmJKELa/bn3AvalmoEU30jK1K+WTvIVdHU+76Z7y1+ZjTPOFl6Nn3N0z9BSE0bFWGDhsy1RJjyV1P0gacVihiBt4z9HMSc00gsC8vWY//NU2cIvIa4u4h1ATfUhGxSCfo4DiMwXghDZAqDwINhlI0VhpSKgbek6maTkXkLqCth+B2zS6nKUWzjQwBjfFCUIITTxI8eajJqMjDgMgnbCThahyRDTSRSbEUZDRMOo9aDGlGRyyKMW7fEV8GdGzpFazDbrJkd9cJSBRQCKJjusQSH3oi7TA1pA7a6K9DlDCIBIpQMyIFNK3P6HRCqSN6YEJE4oU2trQjyLbgE8EZTRlyLmWf2LQMQoSmoHQFKpSIWqOiDR0RHk0jBlEBL4oVQ3rl2eeSnI6VTDk3R2xlTBwcRjvWWuGGjm0+pgwJTgvOJ3hJcGFDLYq5DNBi2PcX5MzpI4+aNqyznKv9lMol8KBl796SZuooTIoqarzXEAvpyrN30eJrj7QGLw6HQqzGhd3vZrTSHH7ZMX1zy63bMo1y4m5ImqxoiXBBob3HqQYdWgaSYoIi0tDSYaVFhY5YanJV4rWiTMEVnjJOWTAj1SVtFNO1nmTXHyK63XBSxlA5QgLS9ygFpRK8FBgbY2PHIhKsyZgtVgzzjshesKotEQd0QbN7IhDvsV6wWmHDLpTZSEAmAT90ZE3NsK5w4ZBOUgah4TCs2ISIEBSOCJFdgK35aqog9hGpsSwxNJKQuh5d9YQgBNszCAsKYpZAHG7IwoKQBeqB58JN2MQTYv0CdMMVORtfMKIjR7HllJf+TSQyVJEnCj0Hak0cOvBjOsY06SOcgkblVAzYqBh8RNtltJJypfdxqsdnPfakuMFkXgAAIABJREFUQWZb0sxjbwWbya6GRoFN3lKOR1QYVqRYhvQ+IkaT4ul0g0l3K3hOafoQYxEkWJQPGG12gaRK0bNTzyd4xHkI0KmITiK87MKHtyqjUzlCu1tR2bnOaEjolSEoQQSCT7DkZFZTmQE3UcYJmgecM6WiJNAxhhBows++gY48QebgjOIiHtAMC95u5qTRAu/BGktsNckNxM4jkUF3Pdp4xsbTn3nULKJYgl5D0jrStSWJ3c6f6CBsFVPlaJ578ixC3XEkUuGfadLPNMwC5f4uhDjexIzPe/LZOdFzgzrO0POW4qrHVAFvBBUFoj5wcNEy1hGhBQYKCY5oFdjrOpJbQd3kxC+3mNSiBoZmutNAn2zOOL5aI48BE1GNY6Qt2V+2pOcw0DG3AEGTlwpWgaSCKgrYomAhW+53LabyUO9WlxsVkSZC5zyZRKg24J2gDKhtIN8EXBToPBSN4agqGV33xKtAZA17vieKIvJnirSscTbjsS355tMFIdSYQ009TUm6W46uVnSTDWYMXR+YXFp+4aal2XgGkxzygK07pA4MNxZd94hSKKc5vaiRSohGKZZAF3lUVGFKS1F7gnicBxU88dYS1pB7g8tBZ47+uid91hEuO+pRhtUJLulwscOkwGPN4IWQyJbz9pr16JC4H3K4Len7G9oBNLOWvIaosUjfUpSW9EporCFMDRjQfpfdF/mAsSve3ixJnSdU0CYQEsc2DpyWG37hYkkoDH2miYcRtqowfcs0r1CRJmwVD87nHGw7RIMfxXgV0MGCz9ClRRpPYj3RZs3r1zXZnkH2LXHkOKnOUVc12XWN1AVSVIzcgvR6TT4PSOaJhxAdRoznKSfPK4bvQJcG/AB0bTk5szhRJFIyiD15FRhedWTzFjfu6NNAXAZemy+YbXok9Xgb4V3GIopopCdpGyZLT36oWKiKSHrEKqJSIaX/qZaRV7ziFa/4/8KrRs3PFTsNN2FnAdgdmXdOJtiFDSPCTtC9oSNlLXs0OkEQvLKE4W7UXkKgI6LVAyIylGgk84Sh4ABphaA0QXuwO9cTKhAnPUf9mqObNRMaTNKDLZnbj5kVI54dv46ZLxh/6QmFIz+oeTM8wrLGiCLowLD2RAsLumaabqEMiApIB3WSoLRn5lZUaCZqzTvyhANxPJOI2ck+Kr+lLxtMItTxmlo8toeo9xhriXXNTBxjdofJVciomCK+ZSQxPRmeE5bXBh0PkQj84QFlek5nYlo/pO8jdAj0ZYcqNIn3ZLJkpAaMw5YxKzod88HkfSz7rBliqZG2QH0a6LHcHBzxf42+ycn+l7RpQkyDTVJKwLcg635nG9EB5VvsNNDnnmkyRn++Rj3uiVLB+5/9oRrtYEDNSkbMZUKlMiKxDENJS0RJRggFzmf4OoIl+JnCFiM2eo+t7DMKt4y4YNTPCVLQBkfRz4n0lo3ALWMEQ8z2q+8AqCC7dYSvZjzQDocluAScJ5KWsBBU6CnyFSf2KbOwwnpNpxu8RAxkg9iUcVihaVCxxsUG0+6Uxzc6kNy7w/VAMaenTWImbwfyqsL3FfnKIytBLWLkqdqNvR95tK4ZEMibAv9JSfxIo58m6M9K8tGGARAdevqsZKrWHLFhLTWxlAz7CPEGFa1Iw4qjc4tcGUYzy3B4S5JY1D1PO9s9RxAopWAZhiTpnOieQx2D/cyjKwtO8ENBVyBTUGMhp+bu8ILxvOTEbImvG3AetwXzRUP0GPJuQXocE0c1ugPZWCJ7xbGJOVzUsK0w70REhcP+uEKeB+w2Rn+9Yfb2hiQtcQKZlNwLT9A+ZVDPybOWpR5iUfSM8CFjJDXH7YrkrId5RCDQhxaz3XAUIsappWg20DQo3SMiJLQsGLHmARFbTuyc4/MrDswN2bCkzWLqMKaVhBE9sSsZyYIk1OwTY1A04qi1JvE9A73GCIgK6ESzkYw5dxhwhjYlnY+R9pCuuiYaBvxJoHMdZqRos4KNRNQ+ZSvTr0xOjpoBvfccZCuyBHoVsyKnUiMi7+iC31m0EJqQUgVFrUY0OibYDlKL1ZqX0YQLOWYbZrtpq7DChJYtMRf+gCt9hzmaI30DqUNGgcvsiCfqIZtwhHhQbsN95hxWV8xWGyKBxATqgaLPUir52V99si9i3EtQq4rR6RIjEeW6YVak9Nc9Zm6hSyjXwvLMkj4pUKdrzK2Btif/FMJeRP/pzhBktkJ1q/AziE4FnRlYxMimJ3wMbmTQOPQdQ/nnju5RQrLvCYc9+SmUXwbUx4AY/EojzyPCBwFexnAnwgmk2RZtW9I/hKjQ1JFCvx4RlCPcpIRFi1qntD+B7Q3oODAcJfjcoyMh7AfqP1HYcyEfpaiJgyKje9JQ/KkgRkje6eFuSxo0zbNAyA1+T0iajtFftuhnHr4wMLfw/Y7x1yC57tDLQJjF2LgjOE0oPTxtia3QvRZIM5BPAtWFoWvAVS1mYMkNUCi6J8JmAelZwzCu6T/qMLng3wrIbINeQnjUEC/AeUP4DLqPFd2lh1YRDg1qbPE3QqwDMu8wIogESCB9rui2QLE7QWkdkOeO4WcQeVCTCpMp3NKR9BnZhy1u6zFfM+hrj/9UcBcJ3VVNfjcw7lekL5eYL0DGEdsrIXdjws01+taSJwq3zagF+n6AjR12ksK6g6qH1iFbITwGMwjQWWgS/POAVAFVBuS2J7oJyEuwFcgvjvDSkayguerZfOLIU0+WQJsKbRsTJ5A/DISHnnAmzP+ypV97ppLg7yqkidAvwa1aipc91GA2mlB18AHo2sC3FOrQMuk2tJ9Z9B8DLsKdxqRGkX7YIC8VzHLSgwqjHDyyRI88g29v2SgPZ45wHdHflsR7CvluoHgd0lWg/YEjuU3QFYSpRm4MzQtFWfeM340xmSK6DzeqJdQZ6o88yQ8UZpIQBg6/FSgjwk2LjH7WT0WveMUrfh541aj5OUJ2KR84BL3zfew0uUrAeYQUQiALDSPW+JBxY085ip7gJcamJTJqiHxLbD1diFiqAUJMQgVJh5+l2Mjg14L2+qu1pxgYgbEc7VXcKx9x/PSaATE+rXlXAhetYXz8i6DG9DdLhj8JuLRj+q1r7j34nJXUXKuHoAKTS0/4dM0kaogPHHYeMMGRNyVJXBKrkqxfEakR+7rkAR+g4xX/t4r42pua4/4p3RcVB8cpU1sSR4beC5l3ZG7LsV9wl5aIlGdhQs2YG0ZsgmOgRzRA8Jqr5zfIKKMfgPtaTpk0xAHy0GP1Tgwdbkt8FoOHMXMOI82+nnO3P2erX+efnv4Gz8Ix39Kf0vOYy/aQ7s8Mzbni8Xvf4H/5/n/Ct7/+z/gaKVO/QsVHLLoMuwW13jXDTNYjlcXOhPWBIY8y5P+8JS7XRKfgDPDuT/XV+3cmqh3xoCKojlUYMg97FFhm3LAJGWdyjJeUO2xg+whWEF0JdpKyjEYsKcjChj1pudd8wjjytES0XY3KCp6aI2pitBhyeoqwJZYeCYHIC3kIZFQklCAOJyniFUPXYJ5B3m64d7cjyDUKoTIRS0kAReIUjZpSESGuJVKeOk+JXm7Y61ueTFv099+g7RSruCFkjjf+/Zi7z1+QbR3pFYSt4G8E+2FDLJp0GOj8nFHwTOuMze8/xX2gUKcxqSkpjmuyscOrAKcld80zjNVcqBxNxyEBr3o6Needasvxhzds/tQyfP8Af2+Be8PSvBuokpwm7Bq8a6bchlOK7Ir0Ww3qLLD5HwLTKqJPOiIv+Aj8tyGfeU66p7xxXDN/esP+MMa+qLFOiG8Swpmm+LRj+toN6u+W+MLtAqCvLdPmEVmUc3JmsOuS+Dcz4toS//Nb9B9qJDWM/sYFb80MtwcxZT4hkg1v8xEzqxluSpRW/GX2gCs1ZC0pVzLkjfAF3yl/wv6Hc6TLYN+hbMnsbMv+eAsz8BuhrQ1GK3zWM4tvOOchL9QDxuE5f6d5RPHRE0gLyns1xcM1QkvJMQUVUX9ObhKsBE7kOQWCCp5OZYyoOOSSazEkYokHazZhxo1/jdNwxiCaY+WEurvL4uqGe/tC876if9YT7UfUwwlbFGs/YKFfx4WSIMJVOGSoKh7qK+IIajXiWdjnpZoxDR2Vj4hNRhQ23PopV+o+JTPysMJLD2mPNQnP1R1e8AAbErSUHPs5Y9VzwV0+4Zu85C16WfFAPybOStSB54vhG/xp/D5J6LExnPYv+I7/ksPbF9gvLJHS+HHg+s6a2yynU/s/3ULyV4D+CLprSL/RcefNW/o2gosldm9E83xD/LKHpuDmi57nH1YUFrJ3NfGDBLvokUuwB57FT6B4GfCXsD4TQqo4eB+i14VqW8NHGS/OOo4ODaPPO+y7KU//ZUl0mTCbVuT3oXjTcvEByB/A9GLA+qAnTzX173jSpx7z7RxrNOlki3zesvjfYHAE/cBj0pyqa9CfZ9jPt5APuPqs5MUKcjQPpwWN21CsFP1xzMU/g/JSeO2BJp52hLhg+1FL8X8IqVFk/5kj+t6aPHRsP/eEt2Nc6pist8S/c43+SYL+MKWxJXq84t73U/onGzjzhIOMauywrcFfxfChR2YaeUcRDxX+D0uu/lCzFk2M476O8JEjft2xula8uIXXfnzG4WnHxe/B3oli+LbHjBakc4X9fY/aB13lJF9uWfxZz+pipw2//8CiDwOXHwtpDemhwmSBvrHomdCXwnweGGkhiYUsAZV2DP+kp5uA3C9JU0130xIuC8IftazPLcNtgU8C9QcWgmF5DuN3PHfcitGnFyR/AryTcv2s5iBO6a/Bn8FRodjeOp53ELsp1q/ojhJCo+if96gNJLWw/VBI31NY16M3CfJjwUdq96+3M0v7L8H80FDXgSgb4BZXHJ5pln8R8+kfwaFEHAfDEksZINNw8g0h+56hXyge/4FjNff8okDhAsbEuGUglBXJVkEEporpPtFc/DmcrBPSUYeZtkTtmtsPYszvaOIXmua+kL+R4/55g3yuUPcz4ncqTFsSflixehI4/nVPVFoGHwTCi4jznwQmxEy1Q08sJg88+x2L2uyhLyt0skU+M1x96rgkcPxrCeq+MPz1ikjdcHqTEf6njv6FR/+ypust4VLwG0XfCsldzV+D+b5XvOIVf8151aj5OUJQEDSofzNbsxPGEtxXBigL0qLxxB4GekEqNVaGeFI6URgNo1oYqowgGS4oEloCLRJ5RAsCBOUQtdPQmqCxAMoRpAf8bhUgK7jMNAd2Q22G1P6A0CRs05hyBMoE6sjjVIQLPXGwO29KBm3W4uiJTEobgSBM256Hqyv2RzW9zinNiJqCgCFVjold8KZ/xIlbonsoyowH62j3WRoUhnEr9H6DS9b0ZBgUihShQSJP7lZMQsOCzzGDEu9iiHsUL4nCBXFYsN8/J4tWuNChELwoOvHo4DHBo+mR0GIx1HpGF4bEvqOUjFqnkHra1LORCXE4QvcpOnIIPUnwpHQYCxIMEhS4htApIMWHCI/gFNjY0GaeVsHeT++1+yshchExjiJUDH1FaUfoKBB7SxY6RHu8pEgoQCm8grrwOCqGsqDEEakVNQlrmaFwdEqz1jOcOeVandCRMaDBCtivAre19Hi1C0LNvGESHLehodU9giOlR5lAbBSlZNzoMVbn9OJwXwWwNjrlWp2yJiX4wD0qEiy+j5mWGfeznlIvMZKQCnR4Qqxok4BynsVIsd5P0N6RJHCQut20mjIEaQnGU0YlzcTRjxZsBhYVQaaj3apLEBoUVnZhk0JMIx1Oa3yIQEWgFFYDqgftEC+I0wRXECQnEIGy6K4ikY4gmps0sBr35F8FYA5sAIEkBq2ESilMrOmiQDCOJodqEojajlhBOwwkFlQVo0xLUBCMoENC8AW9/ipfqYVofgi3LTZasB06VKwobE7epNSZQsQjJFhJcNKge2FkClKG6EgRuyWiOupIU2bCkIYQWzoNNolwxQyXCKq/gdCTRHtUGipJGfor3ix7jtkwXXXIVkEzIpoIpvVM9JY0rMhUDaEmtrtFusx2ZFZjlMZow9IIFoN2isQ3ZK5kGCpyGjIfGODo9QolirQ3hE1GVgWqbgkixH4X0p5SchDOaZxjGQ1xWCLnSG0MnULFDiM1UFMLGON3NTmkeEb0MqAjJhWNYJBgMA4GvqTwczo/oFURpR6yFk9PRBQaYq7RsiUOFRI8OMh9xzQs0H6LVSO0UtyoAl8cU087YjRq0LNKchrRqOD5Wb8d1VlJVUAcOYq+R9dC3PQYCdhYaExgEG2xsWMzhpf9mr0xyGzDTeJZGDgpzllNYTSt2ESB53Ug155REUgzT+MCq72a5z2445JyGigmPZfTgF6u8QPPcQYh7thMLPNjiI9qljNFvlfz5NBTucB0XGGLiCaGLK65mAWSw4Y2geO4QVtHl1XcjkHnFatJz4UCZzx6usE3gcOpJT3YcnngqTeeyd6aaSZI3HK753l6x/GG6UkHQEjpJKYaQJMFbBwIwdHmgXKvIT3sWPeePhe6qGFTBNoB7Oc12zRgY0+Iesoc/MBj4t15Yps6Lqeei2hXb2vToTQMJi1tCwsHe1GJjYY83avY7MO9AkYuxbiWMoftNDAbVdRjx8UeXHmIBNJxSTwWHh0G8jYQJh0qCpQJTGYlN7lnGYFyS1rtaTNhOCi5GXdUObwWO0QCToPPViz3HYsWzLjFR47FMJCmc65LiJKC3k+okhvSoiVNPW0SCNE1bYDeaJQ4LEv6pCCLQfkKFXpcFKji3fxzknquxzAZ1WAgdhG9D7RFQyUwTHuWo0AzdKw1zJKaVAWC8vRJz+0E1lHPRhzb3oMPZAm4fTideTY9VEPYNIFq2pBHAqIhOLoMGjxNBjpvafd7Xh7AaFSylzh8EBo94mZisYeetFhRpops1HF5GIhXlr3JkpsUpoNAO/As9mAzCASn6TX4YsXzCSxMS5oGRAtWe84n4NI18V7PIApkBy3P54G5Bzct2RYFCs877Uvu2pY29qwSUGngKodoBGnnabwnzl+tPr3iFa/4/z+vGjU/V3w1zovDor9aeNpdODUGTQ/eIv2A/lYxGy+Zhi2LUJCJ0MWeJBXqhXBx1tC/MyGw5R4rFnILdw3WO5pNRTSMGNwtuPlLBa0noqKlJJ0OUZMlgzcUz/w9ng/HTJsfMdeH/OEfC58/2ZD853eIQ4ruAgf3Z5xxRuYj5NLRTwsWE2HylkJtDRQQRQPUTUP28RnvTXtW4xs2d4TzMOCsn5K7lrfinjfcOQ/khlO/ZnQnpvrgFv3FhoNvKvphoNwO2Tx6zHjgyd9KuM1PGUvPIRnnGBpf8VA33HGfkSQ/5Nf+owc87u9iRi/47neH3GNF0T3m/fR1HBWDYIm00KiMrZqwlZTGxvRoRDucOM5cwdN2zN9KhjgUq2ZD9C2Nf3iXz7Sw+uNH/PL33qVVP0SHNRO35dh36Bp6D5uzmuNL0HVH+6Qj2U7JDnPqCCqdIe+OKMc3P/ONGll7srwnSTxKCWm8YcaWWGrWYYBFyNwWuT0HVSHfU9yO7xC0cKgWBARCTe1TLtU9KtPgdcNTfYcvwje56jSjeMGQjq0v2KgCURX7YU5Gx0pyOkk58RWlrHkkM3qnSJcOFwJtZblshnyUfw2sYWCvMfGuMVL3cBZPOWdK3dc8qM9Ir1Yki4j57z3FfCuQ/8J9LjG0TEhpcckt4Til0jOu7t9l9TcecLBsuLf8E6LDwO3ejHlWkttbVK0xMbz1X+TwKynZNyLE1NiqZ1kMWBrNM7fPmTxkyRgdtgx8j1eeRDJed7eEcEtkOuyJo3w/QdC0otjsXHBEQdMRKIwlbQOXocB/7z0e/vYF8YdnZKMYNgG0xiW3SB6Y3Y1pihXHrydI2TH4OhTfNkiTET7YULx+TPd7KxZ/tGb6H8T0r0MctYT1EGWmVNSMppr+w5pP/seG/cdw572IyffHVOUt3e+uyL7dY960DBOh3W6YFgodLGEltKsF9f59vFa8odaMucUVlugbGvEB2ctIlGPh9nhhHrDUEXfSCXdlTiWGOWNq1XMsa9IffU70k4qbTy3xZ4HUnNF/PaAr4eFb0I+22GbN0AcWz2sGhSZNhU1vqYNjlAfSwQBjINIRU+1I+4DDEUUVB33L8bLD6wvE5sz/oKarauxpDncj/MkEdCAPhpHekvKIUjLioFDcsscNpr4h4FFxw7HcMmfKNTNaNC05127KhT5gEYYoFEYCnXa4NBD5mgdyyVyecav3eMIxL7sJJBHK9RzrazSKQVjzvr9i2HW0CLne8jovCcpwzgRHyrXO2MxybtMJfUiYqQ2J6egpCCQ/7VLy70zxmzCQA+ZVycGqoru5IeSadlhy9Msp8eE+9nefcf/f2+P1O2PCRuC0Rj0YcKxXHMcF+mrE9IMzzIOUvUPFvQuLWihkvYK6YdpFjP/rU+7djfDbNagZIdb8+n9Tom87VBmxmj9lMYt475/k8I9noGMG+YREbfjOPxxD4zG9otksID/Cx0d8+1fAbK8RVUKXEP58w+Q/jZk8uIN8sua9xxu+9g/epZ8ozGctZruFt64JE/je38/hqUWdxARVEQaK0d+7x/AfjxhcvEQ9P0eKY34wLHj/n/wG3csfMzuEm+trjn9ryCwo2Mbs+wFX0YYrnZK/27N/nKJmQ7J0g8lq0sNjer9i8FZE2OtRVzHxP8j57n+3jys6GAyBQLABfQPy5YKvp4GXDyz9a0f8zd+dQdojZYX/OML1Z4z/q3eJvr4l/k5C0tSM+4S3TYHaatTVLYx6fi2LodHwg3OU2mO2aeDhiOLrQ+7drjFfBtTWUt69JXxnj/1faiC3yKXB/GDBIFLo907Y+9qa/ShGog5Wiul3E+TejNPNlieN4oXcZe/rEXvFJ/RFwnvvZ0jbMzMpsXaQXLD3t4RNOsC5LUN/g84tTKBYRUSbiE41vPaPXsctbqFZorxC/6IifXtMoraEDo7v7yG/lTIxHtQSWRqaqOXOrwXu/Jd3CPcUapQSFhXcsmu+PgQfl6RfCsd/8wi3vkH9kqCkh4/WrFbC+O+8SdhcEZ8WxHFAXXUcdwmqrMHWdMuER6+9wcF/azn8reeolRDPRtg3Mu78w0D0F1eYLmPmKjiMGP7Hh3xrargeeGYvLcVyiyw8v/q9N2HYouaXLLUlexjxq//9PsoZ5EgRFtcoO+VXFgku9ET3DHtuw/So5jfqLf1Hf0n6mxGzcsr5aYT80vcYnj/B/NlLJoMBLq1/2qXkFa94xSv+rbxq1PwcsQuVFZCA7K6u7PJmADQeDyEQ2YSoNAzSiiyqWCmDQ+hFyJUj94GoUYgzGByZVKykgSQg2iPi6BKh6wHfIbILoRO/Jg4FLYHn8ZTH5g2eDYbsyQVLeQu3+TqjxT6XieLHx/u8tr7Ai+eGfcYhMK2FNOtZ5RqVayKb0KYQgmUATOqeiTrHZB2b6JhbdcI1J4hOyEi5x0fcrc8p6EFHxEvhiB7TeM7HQkzOdLViHBzSttSuYahqhq4k14EaRdoHjusNd4t/zd7gNS7rGw7QvNl/yLR/zjhpOA6fgzdMbE3mBYLBf5UFJHi09CSqQ6TFsdONR74DPcFphR/1OHpWJqZvpqheoaJAEHZKVTrEgXKKUaUxHUirGTRC6Hcq6yra5Qw0qeNct9z/6bxyf2VEPkIHhRJLCBuM8l/lyFiCQIMiIpBI2FnNosDLbAAhEIeGoXSUaFZqyCI+YGzmpHLJXO/xJLzOOjjGNHSUNGGAIycNFRkbQNORgrQYNpgwBukQ5zHOgFakwYEquDTHtGHAEQW5bgj0VF64Vg94JsekUcfaP6NgQwia8cYyWsCqaVlFNUEKjHjaWLGUhJaCR+mQxzLgtPAUseckW7AeHHCuCg68Z18mtNFL/EHF5rjnyWCPYBKOkogzc0SjYhb+kC1DguxCZjfs4SXDkbCKPPPRgHlWcZjAeRwx7WM8Qqd3pjgJDicK5yxZJ5go5VkWsMcbTm8qJKtQcUCT0AGFsZSx4jqJmREzsCt85qiHCrVV1LOIobO4JGAcuCZFxCICqleEKGGZlCQmYlLC0cJjSk0ZOdS0xa2EUSnQddjg0LagtRGVgThpiSqFkZ4ociTSsGcvMKZho3O2RUJcbwi6p84ibn3EM3XItUww3jOQFVZS5m6C1hsGzTUH84psrrCrhL63mBbyjSdUnmBXNGyxwZF1AakEY0BlhrgJFC4ilR6bW6AmCjWZlMhXiveIFYm0iA1oq3FKY3qIK4VqNJVuaPIOqzoUKQmenAobIoz35GrDyF2R2Z7gBe0dQ7sh1y2oFCGmJ6bUGbWOcT5Cwi4IGDzKg3SBQVKSc0OJppfXaExBhUOxIGXJDMUoLBm5DTooxBuGbs6+SdnaCVo7vMRsJWNthIvRPqsw4TQsOfK3OCcE0/00y8hfCdV0ySBEqM5j6oS0CnQ+UCUeO+yZFCXLEUT7G7K7K+rS0x7B+E7CVdzSsyI3C9x6xfhEUHdgXXiSq0B8A+kcVNlx9cYTzKlmXreIEiqfk5k5B7MbJnNN22l6Y+iOltikoTNTzrXhKKzQ6pqenvsVhCewHMFmOqcwKyZlidKedOXwiac6XaPu1rjF7h20dz/iy/1A3lvuLjT2XqAaKYZdRfA9yV2F30Y4E/HyqOQqWvOd9ILRxtPEMZcjxyhbcdT0TNMRpQOfW9pRh+kdwc652cxIZYJPa8rpkngQYcVArAmUrIaebNDiU7BRSxg39G+suCw8UR5Ti6bzisNcM6pXZCnc5gNcLmzf/IIQBfSZIlUHNBHER1c8ubckOSrIQoOEjqA0gzKGrEOGgh9YVB+xuuk5cNeUG4t9sKJ7OKMZbjjqG0Y3geUoIp/01DJHtLBfD/CZxyqPnq6Zy4YiU/gOJHaEsMse8psNi1XGWTqmT3qOCs3QWJq8wU9aogCqFpQOdInQ5BBMgfSa2PZY4+mjCCWGysDo8JLZND6aAAAgAElEQVTObokWAl1glQWKaY1VHW4DubulHYKNQc8hmY9pVEs/2yD3N7QPAukkwt04okGAEAh3I2pxhFIxiM+5HW8pDmBSakIM6xgGeyXbZMNyKozyiiLr2XYaFjBYeNpuwnk0Yzt+TvzanGTh+KJIKI8SMnXOwfqWu9eKuknwk4LozpKzieVCRsSbjDT39LrH33lGewiDjzqCU6yKnuZuT0JNOvK0Wc/UXlHmu/ie0xNNXUe4aMtrlzeo3tIeG67KDWeTlk00ZTLI0KOeougpC834p11MXvGKV7zi38KrRs3PEfJvfgZB4VFYEPCisQ56ETCClp5ULE4HrGqJQ4+VA2wbY7YdeetIfE9iWwKGFQWlHxNuDOaFkGcp9UDTbD3iAgRDJQmBjqPeslJ3eRHf51ZeY+1T/tfyV5Hh36b+uCR8oPiz+hf4cPR9flv/K4YrzV+kb5Mqx991HzGuzymDZmkGVOkpkrYch09Re+AtqLZhFGc8sSecxye8UFMecY8fNmN+W99y156D9YQrwT4R0kxDI6i8JfJbjGS0Z5b4rQzcklwMR6rgkDNehjEbNcBiOHZP+Zr8BUm84rSb8/b6Y97MS67nNdO9ikFoMdfXqDKQhppR95IDxmitGIYrhnbDoF7zTnZLFtVM1DOe8DZqmpO+7unPO+7MPJO9F9zhQ+Ltkjaf8tzlVIzAQbwS5DLgb0FZQffgVceFdJh3Z3hnyULNMISf+XUDX/W4WjNJ1tzjOY/kLW7CIXv+lrEsMQSuOebW7dF3GnpIWNPJGPFCLi1tMCzUkCrKQTxJuGHgt5wwZ4BiTIdgEa2ZUWK/mjzbqoIhLRlzaiV4ZZhSMVMr/KhHXibIdcVsXDEqljxSB/RywimXDHlJJ0OWcoc5/w97b9JrS3qd6T1fF33s/uzT3L4hbyZFkTIpsVSCC1Vle1IGCjBQgEf+R/4HHtuAh7aHtgEbBVmAS1RJbJJkktnc7tzT7b6J9ms8OOlxqSABaZr3AfZsDyNWfPHGWs86ZyWu2SQTHpx8gYhL3GWP/itHvjhw8azCPThgx2PWpsALQRzunQOtCIikIowS7FIwyzdsypI7lTNOJPmPB7gLyXaSsBYPaWzB0gd2vgQ8sez5jv+MOFj2oeQmPOLgwUr4nUq4e/ocY+b0JxG2rzFdzfFwh5qOEFpiaJFYgo7xlWJwXDMs3rN9NcJeZBSipjh4zNsjfeVJzgd8SBNex08ZEDgffIVLet6qh9TpI3ix45VdcPL9iuxGIf8SXCMQ34PsmeQ2XqBPGsw+g8WeqQH7z0a0/w10PwqkVx6WNe085bbQeMbcMGMR55z2S77b3ZLhmIYaFZYM5Z5rIio3ZnJrKT6vyD/x5I8CJhG0IuMoCrzq6bGsGbNyQ0Zqg+r35DsHdMj/XMI+o/47Td/vSCeaQ27ZmRIZAko29KcJ20RjjMBcb0ivJeEBdGOBoeLMHkj1EcWBsdtRyBVH5bkuBnSi5KgTyr8YMvllj/zQUT4ItOLA/SvKEEtCHwwrJlyHIRWKsh7Rf7nALUA8BIYZXmiE2FA4iZYGL3sUPUtxwZYxeyTKCpLLgNvD8XsDNnFC8OBlRKocmgMbFVGR3W+WIvBaj3ihpwyvFky7HfuLMY0S5Kyo8bTkeDyaQPTN6FSnFEmoOOXw7RaSfwQ2SlBEkgZL4lLqzxqGKBZDg0gLRC+IHMRjQ5iDaStUmeKbnsTGmLjEpAF5LumnBddqyHqkuVAt83YF+4pQptyeTOlkisgcxsWs/IzKDKkmkoKKTNXYDo6m5Mt4xkq8ZM5XHEOBC4Fc7FB1D+uONO4RYk1etDRGs88STtMU+cjSnxr6DOpPM5oHGpkJ4hDo55LXg5JtHBOcYz5piMSGwTCQ7DzStJyENQRBREoz6JDxkVfOcX5YY5RFxgJ3J2hQfP3PhuS9JGpjNosdT3rJ/ihR5ZhKlug2YNoWaQRdJ5FNgUg2cA79xZwPieE20hivkDJh1zvCyGKeWZLgUOS4EHM0Q2S3YxxJ5NhhXoK4aDF5YB9yjqFgGNYMqfHKsh3PWWQjXFIh3Iz9y5ajbtHtBsaSRkak5ZFormHXI0WgDZqFmmFlgmkUadUQPQy4vKVRBQtxSjNJyco7RtOafnpKdThlW8bsI8vTDpKblLBZU3xf42ipM4O1CfHdkWgXsGPD1fgEb/bMt29oa8f+JKeaSuQ8ZTu07Isxw61huOyZNFCLmF5CcmgQccIxTtgVgmE4Eq89yeOU5pMBy8eO5TQhqDn9oOFxvMQDm2JG4nfo84676Zh9W/FpvkSsOjoRUT4y9GnHZX6GMhGmCeziMe+jKcIonpQrPBof1yzEGIaPGGSwVgV9UJigyMsYthYVAlJL4j2UbYs4qSg7h7Ge7nTM12cXXGeBH0W3yM2aVhX8fHqOExPm4oaH0ZesnWc3yEnaGlEvQMY0LsN3HYeh4ub0ORsb0NOA8T0+UcgnijYLLAflx6DmIx/5yP/n+RjU/AEhAMJ9X43/5gfq3luDxAsFStNqyVFDpRMQklTcr1il0lBrrJSECIL3yCCpRUTnC9gKWAnEWUCWHnvlED4AGicSCC2jrmHFY/46OieIJ8Te8L/sfsx08F+wff0/YX/V81n/F9yYf80/T1sev9nxy8n3sbnkn/JbHjUHDDF3esbr7DsUZsGz7reokad2AbEAmQ1ZM+cuDFhwwq/5CzbVlh+N9ryIvkRXG8zGYO8a/EwinEJLIO0IWcT2XWDqh9RhTcqeibxhzAcWcsCtmHNUJVO35s/dv+cT84HZ4T2PNtcUfsjVQaEnKTO5ImxvEX0g8j1FfceFPkOhGOgjhQ2Mr2740fnnjAYRCTcYzjH5mvTpE1zXMM4bfjB6x6P614idQZiCNZqt1CBBNha2jrAFkES9RYjAB99RPk2wqw0jJ7E++r1f+yT6nugAJ1mLihq+5gXbMKVwLancEsvAXRhzcFNsY6AOnLgDB5XT2J7EeBLRs6WmCRIHpMEz90tqvmLrEybhgBYe6Q/E8grCkLUY4fBMuGPsF7z1U6SSjNgyFCtCAsrHsK6Y7PY8m19yE03oQk4UHEO5p3IKrSwmdBACrU7Rk4AYWnYqMPxCE920jGqPSQ7UA88hmmBVQuQ0uosoTcQwtCRZjnijyIs140Sz0jl3Ucv5Dw3HWcoizjiKMUsu2MsILRyJX3Oq1pypr8l84I7H3Mo9XiQcRM5K5fgzxWRuufY7HshLpuKW/aplODbsvSShw0tF5y2+9RRXNY/KO16/+hPePzXMWSMPltRbwqqlncfcmAmXvGStG3qzR8iIr+33uTFPic8r4v7nmE/fMugD/n+tka2Hc4PUEuSWIu+Iywzfeuw0kPynDe1/qWlGJfNpS3gdOJxF3MUTnBvzpnjJr8Q5z8Qd8/bfMtILxv6Alz2pOnIQE7An+Osa86s3MIXopKPQLYlv0caR+AYlPI1LsC6jw98Ph3qNGDr6/yzQCU1VS6Jfe+IiYZNKFuKEPIYmWVIXQ9YUjFrHbLln8KZDRNx7INgThQM+eLwIZDTkrqIyMV8mFxzlKVt15JMfJDw+AJ9XxAdobKBTKWtKJIFYCBZixgcxoSVh2jm6qw+Eq4AoPC4oEB1DvyYPgQhJBiR2g5QpezFlH2LiOqJ4J1Bb6L8z4ZDkxEEQ+z256MlFxS3nHBkQ01PJiA9hyEGPYK1JrCU/k9yqhiS09EERgsHQMmFDxgEZPIqKUmzIxP7bLST/CHgrIU4QdQ82o//yilAW+HWE3IG0iggweYSbGGTToKKCsN0wMYYuREha9EiwMwUr+YrLaMBouEHmv0QkFUhNmz5m2Q4YRY7I7ziKOe/UHG/GfDf/NZF4DwGsOGEdTtiFT/jj8Bt8P+Ggh2ixQh+2iP2SdKxJg8IoR2cM3WDCEcH44Zp2koIK+PMh2/MM7SyTvqdLE75MH/GWU6LQE8pbhuZrEmkp+h3IIye9R3cDIjukyldkZscnzZF4faCJJD4Bv4XQJbRyDuQkIob93xA5OFSKYTmFRhGaDm0VWgdoAZsj7YF+YrFPptyaUxoZI21PCGMaG2jTBd14j3NAfb/YILEzxKYikop+2OGfgzxJMCaj6R4hVcQorBB+RYVhWz7iTowwvqKTF9ydC6pkwXm4ZBgS2r6jjMEUFegdQilqV7JTI44o5t5DdUUyTthlgSY+503zCfthzHnxM2YTwVV0xp0pOUSOo5DELiYsU7r3C9IXE6SvqJKITo1w6w517Ogiww1TtK74Xv2aaA3hOyXLtOF0LOlXKd3JlNWsQ+9uSLqItRjiXcdwvYBzgx2csUwSkuINlFvEo4T6O+fczVK20ZDKzuijiDz7NUjLlXjARNxgTuErd46RPa/2vyD019Takz82bLTgpnjOvG5xVcvt6CWfh+8SDwJp+e8p9YJAw9GdQTxkVygO3hH5CictaSTBbJF9D0SooyFf1QwHMbHt6QnYk5Lr4Sd8qYZ8an6Kajf0PuFt9iNumPND+Qseyg233rCQU75jF4hfLzGFohE5VmsuJ2dcPvsBhdmR2ANJD8YozKmnzzWHqPhW68hHPvKRj/x9+BjU/EFxP/LEN7JZ5+/lwiJoBBB7SdtDKzWHLOFIgQ8TQqjRosJgsUQsM4WIJTuToURKxJEkHBEhYI2niyqUT+mlvRcK4xBBg3UIcWCD4FKdYOQzSgt7kZNSgsshpNQi5uAf4+pT0qMj9GPuRMkmnuO9QuFZy5IPesS5bPEIQifZq5L1oEekD9jokgpJsHPK62e0d5Ivhks+iz4jV79lxB3HqSCMLQPZk3RQRwY/gc1MYZMJSzPiwi3og0Mqg/WSlZ5wE03IuorvbC9R/o5kUyFXPaEvGNqE926IcG8p257kGCO2CXnU0yR7YlMQfEZoB5zWBz5tfkaXDdDac2bf81T9lIUs2CiFFYrS1wz6BttoRn3FWF0j9RFSsEVL7B2iifDGELc9HCCpNWhNEBblNSKk3/aF9w+mShMaE9GpnA9ixpYxrZCsxQMa+Zw1Q/KwZOA2SBxogUCjg6WTGWse0bJh6G+JXWCsPQO3QziDMgeCtGhxJIQSFY50wWOlQvqemD1eVeykoKOkDyk1CbVMCS4BYfEJCN0y9CuehNe0XczYNBAcRnhm/h3OLZi6tzjTs4xzCt9TjQNZ4Yi9Iek9vdAE0TOyKzqVsFanbOSUjoSDKDgQiPJAlgQalWMZsNeKpmzRWcIVMRs0t2iW6r4T6BRJS857HpLJhA1TbuSMJReokNMJSScCR3mk4grPNVMTIbTAh44WSS8m2ODplKSLJYmHYqco2w1XuWRDikog5DVx4+iihqM2eDSdiFjJCYIYECixIoiOtUn59awgmyck4w2h8dih5RhFGCRStJDV3JwGFp9IJk8ayBOccqxyiR0rpIBpXVOrhF4HHClWRtS5JdcNVtW0PsWLBGSMFz1CVdjEYQpHEwdQHYoKTU9iU9KgKHTF3tccGXNnHO10RdsV7AYzmm5AmS15INbIo8G4lDqK0fRAz56UNXOMqiljCIXDxQLFfTi+1SmdHLOTQw5iQM2YHQ17ndIy50jNna5YDK9htiMpFUv9iGtesWVCoMcj2cgRFSc4FLVeUWfgDSjZI8SWOAwRfYpTigZJFzQBRRwgEhZPQAaLUh4pIHIOgyIIQ0GFQBNcxIgGxQ5UhAyeUahIQoONPSq9Hwld84hr8R2UXfNA3JC4DwTVEoJBthBFCqc7/DdmtN9nJhvwXpB1Er1zCATVFLqRJU/vxaytknilabSkKQS5sCjliKI9dXxACUPaBZA1rVyzVyU3WjFKYZaA7moicUcXKY6qI1ZL6jBlIWIykdFEHTKHdRmx0BlC1EzcG5QPZN2OWitWCh4nCikCndasTcxIBELoUDSgDE46OmFxpsdKDUg60VOJGkGL70dszHNWDDiS8Wl0zUX/FoS//6+KOCpBToUSHTJAbSIOZcIiMshiSjW8JgkVzxcC7Jpc57z1mkpmHBJJanqSzpH6Du2PEAIi8mB2hG82Ux50YBs3pGFPLFq2JuLOGE7CkUF9QDtNE5+wjDMKcoZSEUKHM4pdDEmUsIljtnGODwmNSNGcsTceR4JkQ+zXSNHjo4RGeTrvaOnZkeKEYpIsibI1qySmjwb0MuDp2EcNDHp64zmqIa/NEz5nTirXPHArYlqs6umTO4ITbNUL3qUpJ+OI+A6GJmZVgI0tUdMQYthFittBRKsyGp1wTAIDAaN9ILKBYR0jbjNiGdiM9tyMA7Yf0MVDimaD0wKZHNmbmr3JaTUQOZrIsYkVa13gsAh1hw05S5OgqVGsaaRlzZSFnJKwYRlDlnXYOLBPBaskxuHpZY1LLPtIcE3BlIqoWRHLlo0cspc5sboGmXMr50hqjlgeDtY8TCpcD10cOEYtq0Rg4pRx4mmHgc3gwDLRXIcJbd4h0kCjNETXROKaKLzG+y2tytlKy0pJTgzYOLCJ4JDPeNuPuYpPmUaOrLVIOaX3W0TwxJ2j9An8/h+NPvKRj/z/nI9BzR8Q95ueBASFCh6FhQCCgMYi6ACPszuKYcDKITfVlEnYEIs9gxJsEkjOOsrhmKuNZCtiMnqKuEG1DTZNUKmAI+A0QlgUFhnAyYReKXo8+13NIPYQKtr3l5STf8JiPOSYd6TO0Xz9JZvbN/SLA0NX8w5Dc3aC2CTQtDQJND7gvGDrBFNSNtEZl6en3LiS2g/xWhK1hi/+219Q/8Lw0//hKc3oFS9TR1J/4PzfFDRRjGsXmEpyXUTEP0iZfXLOX9sJtRwzO+yoVM5CJThpuCHi55yQqpz6b3/DeXugrRRmZRBuxe6h4PbVlGdWIy977G8sSeWQDzzDZzXLqGHtFV0zQN1c8snzOzrTUvuE76nXKPEV+/5Peb9LiZxipnZkruNm3zF/2PAydhDuCM+AMiA6WL3rsaLj5CVUv7hmt414+UevkP2C482ONpn/3t/pb5++Yms6ruUJ7/0LbslRMuWDm3Lpn7CNW36iPudc3aFDB6mmV5KNF7wR5/wqvGDEe36slrySWzJXMxNbjM5IVYOR9wLdW5FihOQgZtwwIxYOSc0mDLDMeN+PuYrOWFJw4k/pGo9Te/wL6C5a0uTIM3GHTwKCnoYSazTncsFz3WHcJUoIvoo+5QG/4fxfxUjXI78+kuTgyjHHkJLu16jE8nVywkYKROjYkXBQktE5bPOSW3HCwp9x5wP5aIyloQ0pFTM6xnQhI4gGxZKVn9CIT/D9EmLBDRMu/QMGBEq7IVMCnMQLg1EJwUbMRzmdFtzIhEtxQuhbhjpiO88pLwPrv9tSvkiIJwnvwhgXOZ69GmDe1mxMTC9LrN+RyZJduKCjJVZbnouaznV0JLx59i8YJhV/1v8Cc/s1/OmEDwPJjpw4AX96ZPZvNCfZM7axYmt2ODreFZr82WOyyx2z9h39iyNKPUV4ef+S/HKGCzsqYTn0OdJO2EeaPN4Rn62IP3GERxF11uPkfYQkaYlqh6prhpMtRzPhNWf83Bj+5J8OSGTGZ/0cX53zo8HvKMpLxIcd+uWUKg1IPGMv2XpNq3IOoeX0B6eI+DVqHtGoAVtO+ZIHLGzGVv8RLuRkrqZUawg59FPQkqXsOb7ouYhr2tMpvxJP+GX3BOMNIu7ZiJzajXFiRFB7VmnP4IdDYg8y1wgNnWgQsWFHyZYhLUMOMqUOEQNqjNgS5Q39Q086gxD3gOHIGCFidqKk8h0XZsWUFZfhIVoYLsKGQq0IDz1VCTc64Wf+e7yVL3nZ/Yx/LjfM+tc465Fdjl9XuPmQ1/qEZRj93o9hir+BVblhNJeoDx9oBprsXz/Czi8RvkG8NajKs32vEH98H0bkVYULYP29Da4JDiUMpt4xjz/wWmYspcDmoCLgznHu37FWER5PzJahWKL9Bq0srd8x/0SzSGeslEFzwyN9x3FtiG7fMno+4jcYNlJyooHcsjEpCpikllbtSUyJqTzmfY19GdPRU2A5WNhGMZqGU3PLa3/N3/onvBcTnoU3DBefYZ0GZThKjVN7tG7pe7BhxF2cIR+PuBNj1nbAn/2r79P83/+O6n//jOEp9DMJ2ZCdMQwfTNFqQRL3RI2/32x2t+fJpwHynnAIiC3E35VIsaSUG2YCtkJh5YCsOZJ86SDUuEeO96MBtz7hVXNJVHXEuiS0LU2vEbOYPTtaEr50Q1YqwQXHM2peiA/EqmUY7jAywgXIZIWrN4TkJW+cYaQPnOcCiow6BEqxRLOnnI+YvxqhwwLRem7iB/zsEPGTVHIiArKrkfGema5p04IdCV9lih++0KQrh4t7dg8jjPOMF3vMqaE9HWKTJ/QhobKOblYg7Bb5+prkaLELz+5rEM+g/IuEw7MH7J4+5arf8rDtOX/c43PF4XAgMSNEFAhj0O8EolEcXSBIR+E6pHEshWAcFGM+0AjBnjm2cUTxnj7aEGWeAujVkAYYhTUDXdN1loOztKomEitm4gBNRJuO2AnJhd5T0KHCgKVXOB3RRh2MQAmJlRY1bQlR4L2EaDpm8uxIf5KydYY1Bf1DhUFzFJp5eM0jWfHQV8jdjsHIEzOg7hN2CMwg4WBKflF6mP+Q41EzkTl7ITg2iudKoiyo1iOvF/Cjb7WUfOQjH/nIf5Df89e3j/zH4ID/11FjAqj7lAYvA02weN8DgVZFLMSQK5lzSEqGQpLQkNCw9oKtSehlxDYS1Aigv9+44/YQUkJIEF6DC4R7Ew5eCFAa6ws8c4KYIPDoUKFldN/rI1u8ajBIEp8TuxzdH8AH9hg2kcYJyBqJjHMaP6SjIaBwHpZRwW/yJxz7IWOn0LpBBkW8P6HaTVgFz6+iP2fpAo/t/4XLLLe5pNxC4Q0HKVkbSIziphviGONFRB9SnNQIGTiIgkv9lDtxZOa/Iq06uj6mEYE01GhruPMPqCjRAeQ3tmAdAjJ8I5fVCbXKmQRJZD8w4EgXHhGLBSPWJI0itsU3zpwtStWIkKN9TRLabwI1QN7Ln70Q9EFAq8l3ivlxgOkjnBdELiJtcki+pYvuH4m9NtTSYMMYZzOUgYCjlTG9yInYU4QFua+Iwr0gO/eOg/UYFWFFhA2CJOw5cdcMuobCH7GqZyyX1DaQG0nuV4BA0xP5HiMcxndk0mLRiBDw9MS+YURL1jfIXtGKe+nuSbthIAMNDi8cB9Wz84FI7DnxK3RTQXTKhpTaKOqipT7pCEtJnMd4ZdBdT2YlLdH9SmNaBn1PoRuyLiLuNDaSpOp+7XKlNVIkZDYi6hOMjOldh0EwVjsmYsFRjlhTggIpKpyPscEgZIMQNYgEJ0EIRWEHeJYIEUiCR/mGSO4xfUOqPFbEeFUzrEHsC2bVgK2YUUiL7j3KaYLLiDyM+j0DJBtpqFWComLstoT2yMaMWJspN2Vgn1oG8b34cmNiatGjCXhlWQ97+vEljR0xqCdoLM609GREfU1ZC7q2plBbCr9H09IJcMGQ+Z7U7fG6JEYw6yqy/oiXAak0ygUGzjNVNxzElpFbUPQtwm1ZhRtUdMZWRbwu32PwXDHAuJRDuscrB70kYGiJiDA4GdMFTUvMXhiOkb8fe4oEvTC4YAgiodEj9owRGCLh6URMUAFhHakAi2Sdesyoh6SllRlbMyPtLBnV/U3he6Ry9FisbmiiCh+BDBGJTcnjGhG2OO9xaJApRzQiHEhDQIstverYJ5AFEMqiXYcQPQNWdMFgaYnFNSZIgntMUJqYHYnfIr0Hr1F4CBpBh2JLKTYM+gPKg2w9ommoe8ldM6Q1+vf+1BF1ObY5IHsNUnBbBMxQcjSaUe0AcS+I7zX4nIYSYxXYA6noiSuPFilxJ5B9T5FrlExxAXAC4SVOKMpWMIoNfYAhhp1MEH2OVQEhMxAbgmxQTCk6w4Vb4WpN3MPOJ3gm9KKhMVc0kaESJUMMXlg8DVLfP3dNM2IfJlRU5AHSPgM0Wl0h5Jo0SKw/oxYGxyN8V2JljZeGNmQoInRoqUODJeUYIrTM6H2MCoqgPVZL5psIZRyUEcZZrAwooRgGS94d0S4itJrQWELsaCNPajXC9+BijFfkaMq+IzeGSBRErkHVmiB7EicIPqYNY3w/QfiKID1Ww1EXbMQZNQHBhI1/xVv5iNxfEvsvyESC0h25F8hW0MWBTEisi3GMOMiIvXFMeU/kApHXBHIiOlIfULSAoA0lNTMEJYlvSXuDJpAGj+s9qgOMpg8drfbs0oBSGXk7w/QHuuBxiWJjFA0ah8XrwA7FXGiSrocWRKMwxwB7TdNFXEvNVgS0FZRWoE1EG6WE/YBxmxK7AAp6oehVyUHkGDxJB1K0VFpDkBhyKqFpKehkzoETNjymwRGxR+PxbshBlYztLdoNCf4lTj4iUBOEB98TOUikIBaCWATioIm9IQozrJ2COuCFun8OYWhsjDcTgmxoJHhiEleSECGVwMuA6T0T5RlgOWk8uoup3AT0Ccp6pBcElyD8kPdpRhA5TTgiRYQPPUFYHO39x0lvKGv7rdaRj3zkIx/5+/B7fmT6yH8cAUQLocWT0H0zioAQ1CK598l4w5fhO/wfdFyHOfQ1QVoSdSAPljec8TP5AwpR45KChC2aGq1aiDp6Dfudx888QQaEiAk4vOqBht4XHAnYYkArDVUz4BgUeyPoRYTbt9RCcUymhGhExIEDA26YsmtL/FGig8Ax5DbMmWAxB9BtjSgEN2GGVTln4YqcCi8K/PgRzFOIV3xxbViKAZEU2AzEyQBoII5wFHRARo0Je2CCVPebmiLjkKLBhBTvByz7Sz55KTGfd4gp+CxFvg1kuuQmjLmWA9R3Z6hhhX0acKWjKgx3taLKR9ikJZ4IgmqowgnvmTAOcEPCewLHo+LqOOcr/4SNniClQwV33/HgNaIHqWLERUwY7dlde8YfEpr/zfHhwZ7ppzu6TNDsNPF4CKNv9cL7BxyXjEkAACAASURBVPPd6w9Uu5pjfmQ227CMNI6UnWo5FRtgw/OwIm4Cxy2UK0da9zx2l6jRz8iHEOQNF+GGwm4Jy44QLOlJgUSSiI5ZOPBou2RTjrgTW8aiIAoJhd8y9VuUg6l8zIkQeNnw4/BLypsV4XNP9xaytsS4PXm0wMeSpg1kccX04oj3LWlTo0PGdv+e4Z2Dhx2LTLD7Tz7l6vETbCZ4kr3muf0CFQl2+pxdGGJERCkbTo4rxu/uiJYW82DPxeQLovyWvSlJfYq/vkEqyb7IiHzPpJig+oaZe8cyec4bOWfF8H7MEM1A7okEHExOICWXK3o2LGSNMpax0Aw3S35cCh77PUkjGLQbxHaBb8EcBLf/3S1mtGc+XDIY7wlJhcgskdnw/AH0VWBgEhaDkteiJAqGObeMwzX7fUwxfMCZVXT1HeLpgKvxCSuR8ahfML1bI4OmH15wpzqSz7ds//sjd18H/EXgj/7rBPwGaoX+THAe/4aTFw2J6ym//po8qghJTzl0+HDDdC85+dBTvj4iNXSRQuIp93temd9ykiVMXUckLEFsOI8US5bUjNgwARGzRzJJ3zF9fkv0U49/r+hue0YDkL6nlY5WWTpRU2pJnUuyC0WU94xYcBE0NUPwlmfyCiklVkYYf8tQ1kjjiejJdzdkJtAXIyLdccYtA3FEas1jsaTkmkYb1uIJBxRP1ZHc7BFTQAvODy1yu0CIPX28ZZ/fcB1PeStmbOQ5GzGnQXAMBi8N6igYbte8mObsWZDTshZPWemcjCPrMMLLhERUTN2W6PaIvvYkheXx6Rv+5ehveMs7YvOGRnpWpiSiJ448iQKxqziv3nOSH+HRt1pK/sHIn2TEnx2QVx4/tJz8xSlVrxjcCYoIeCBR/0STnxzYqZIP/oI4hTNqclkjZIJaWcy2xxUZeznHUVCKinhkEE8TurinVzE6KLTtcTLjTp3xVp4hWdOGEn9Y0ro1UTnkAYrhVxts6jjKAe/thEM0Z1veEb8648PwAV/zgF7skLIlEi3aVXRjzfsnD7gKA1RYUohr0shRekFWb7lThm08wWB5JK5oafnl5BWvxGf0xnDLCR+Ys2z3nHNNUBkbH5MxYkzF/PiOedfC+4D+WwfnHipHlArCn0iqVU/9m5r5ScR+15FbxfGqI/nxiGoKZttSi56FUdRihAhHBA21iKnDjKbZ41OPKDW9cpzIDRd8wfNpQ7T16HhH8acxvz054d/xEywbRsHz28Mz/vL4Q/7FWUQkfoH2llF3hRYJx/ghioA47jnaMe+YsBQxm3Ck0iMIlt4r3vMUGQpeNGu6TcXx8YgvkkccpeY0O1LIHanoEdeOdLbDRzHtviEZphShp5tqbv/sOZV/Qfgf/4rT0rP90wlXZUJAU2LZ+xtyWWOFhUbhrxvEQCGSCHXZ4byh+XNJLRpSseTc7Bld7xCxYj92uEmK9JL0IO677L6nuJ2UVDLlETtG0R01HYIBwgUOesiGlCMxBzPgNU+5shPiacwfP/s5Irplr57xU75PE37Kd+WB3WrGX77WzH78HB8MEUcy4THiwFm4YS3OuBNzWgm9T/lcfUocOcS4Z0POrZ6xUiWhb5mrL8mee3zkmXHHU92RHI6EpWX0dId2isTVjNWSXVnyuXvCjbhgon5HMQrUomLgd1xzijeevGhQskEFD7pH0BFqCQ1Ervm2S8lHPvKRj/wH+RjU/EERkMERsHTfrIsmAF4gZIImwgnFLQ/5HIPBYzC0MkVjMQSOasrXPGPGFb1PyYJEieheTCxagpDYTkHjiRX07r7PXfoG5y2NiAi0ZPoKhcbpAikcCk9QCh8UKvQk8gYVH2iNxCqBxKDDFOEMtevQHqxSNFKjm4AMHmM93kcoFVDhhgIJoaeedXBWYVSD3AV8lGAF2FRyjBJUoShMQLuITGqifknpdrjQ4m1LrB1SdChaRh4y4VgliuOjGX5zZPfQYKeKaSXwbWAvNJfxlMXjAQxWmHPBVmgOnLDy56gQY5MaThy9jtnwmDv5EM+E9zxjIea4bkTjUi7dY1b6glTe4YEOiQ4R9NDFBj3y2NLRXoLbJei7mvH+QLxx1FNB7xSJ/f33QozurhgsA2HSkU+WXGHBF1RuRc6YEAlGviZYj7WCYD16DWlfU+rfUCQ1q6inVDuMjKFrUb0gyBE7MaZVjtyv+GT5hre5w9FQCMh8QewOPPA3DLwjCgsm8Tt0WPKCBnGwyF1MvO6QZwOcqgjGoo0irT2RbjDDBS7ytL0kMR5/uKV8E6jTmNtsyNXJnP9z9gOOIeG/Cj2fXH+OGyqOZkjrJ8RCodSBsj2SbPfoOwex4FyvOVPL+06ZNidaXxMpzboTVM7yQBkqLynqmiyKGchH9y6ZUDAKRzK5xxCzCwYpHBfhmrl7S6ZaIgSaBLNfcKE+cNpdIdt7749dtyAMNoHyrw9MGsfz0wXiwqMuFP6hJh/vGA52cHTICAZFRC3OCe6EmXvPub+j3sADdqS7AZVt6Cc5t2lK5VNmdUyy6WkCyMGcvIfpm0uK/3lF9kWKmNdMXgnskxbpSuQi5dNwSz5egNfwtkXmkA9AmCt03hH2EnETIxcN7SM4qBajIoT1DN2R0lQ4H9FqhRSOkVgw5wNbpxFExLLkorU8iK+YpQuk8YTDkGzjOfMLur5iEDlGtHRhTSF2WLmjGzgiBZn3DOwtQzHBYzj3l6A7VpwyaC55FK0wqiM+9GQbT52dsCwLAmsG7YLT6AN9MDwNn/NdfkEXIt7KK1ah4GF/Q27XuAF4OmJ7y8PlFoGgL2v6eEEej4ALEi/xQtNJQ0OCNQVYRVKteTAQHCLLyB8ZuZpMnGNRbHxMUC0ZC6bURIdAaGOMbXmYrJiav+K8PGWhDUtZskxzhDgi2XCa9Mzf9Izuduh6/3sf1Nx994D+QhBvA1XpiZ8ZrG8ZbzriYYsrLbunlnh4ZKUbDvIl7+UdmVE4wAVL1vd4C9tYcaMVSV8zVSu6Yk+lO3zj2ccRlpYgDmyk4IMY8MGklKxpiO4DSgGp3BOxRVYtPoddnHKjRiyE5iZukCeSOzHkljOUThn4d5z1EnX0+Fjwu6nmLec8xPCIKyq5JFaGwcGSao1LHAP1Fc/5NT0Vfz264IH9JdLDnSz5TPwJN+kVcdyQmZhLH3MWCub+LWfVW5Ja4ftAX1lEHVA7TywFnbXEB8noqx5EhvcNwhhsFejzMZdpTaFregl7JdmKiL3QFJFkJeBWSHY60CU9ce6xsmMYljzWf0dWemzviBKBzEo+ZAWvxVMi/xVSHvhajLlszkD+gkS+4+AahqGh1oI9e6ZBoOuOrcl4y4BWBFovaYXEa0Uv4FbkgKV1O0bWsRY5N5zgOTBU74nEB4TsUW2gOO6xUWDhAmPhyduKbe54l005vTnj+39rGAwrrn8y4otsyBmemIpxcCRhjxNHgkjoXISKHVHS0HiBbBUmaFIqYmDGiuRwQIQxjYat3JNagezsfWffLFBrB0GR0IH6kkQ4dDjngKFhygEI/oim5U6c8C6a8Ongmu8Mf0OqjzQq4bfiBSb5kqn2HNanXN3MOAhHhSaWnkj0JGHDRN5xIOaIZI+gk2MsipAteJgtWbaWjTnhnbygZMmctwxn0DdbEvGGoSpQfY86wIhbaisIZksXNdwx5Wt3xo4hz6MDchhQomUQ7sjcA6TZouUlWfA4QKqGKliOpmQoO4Rqv70i8pGPfOQjf08+BjV/QAgUgZQgEhB7tO+xpAjviP0BhwCpmYhbvsvnXIUX/NqfYsUtLSltkCR+yxO+ZM4XRMGwCI/Y8oSBGxD6CHUA3WnMqcbfNvSApEN6gXMDrNAU3PBJ/4FY7LCUvMwlz3jJNn+PP4UXasMJ/5Zx+js20yGxXvEI8f+w9ya9tq3ZmdbzVbNe5a5Peet7I1yE7TS2yTQJRhYgEB1QthANBJ1s0uNvINHmD9AxomUSBKkUiUlsnHZE3LhxI+Kec0+5y7VXNevv+waNdZJuJrKlSxDn6S5pbe01xxxzzjHH+74kekuYCGMWmPKcB+ERR9KgrDDohH2ZUOh7iBCVQ4ti4b7h7KORXaI5zs9phoyQzpEKutTxyj6hSjRz94IyXtHFMzo5ITDFqAaXDKD6Q9IKnkp2jCrwI/W73Lq/D+c/Iy5mzJKav3f0T6nvDEYZ1mrJKrmgkltyP6D0hFadEUPFnBoVt2yTSG2XRMlYSsNOw67/hPHrR6hXex58/pzH+kuSsCG4nE5ndNiDhCJXbKzGTloCMIimHYXCKWY7RfPDkux7OzR35P7td1x5f3O6o4Quj4TMMuoCMAw6p09KurFkT4aYHWWxpsoUelDoak9U4AshqIFOJdxxio6BI1+jGyEEx4BGqYBWin5iuNcF91zQSca9SqjcNUfDmtz27Al4RiZBGKKiO5twXF3SKZCp8GJyxNblzDEshxts2JLmM26SKZ0dOKUliUIMipg4VIwsY82ZvmQrJfl+RPbg54YWyOLAse5JeY1OIsPMkKzANo5wY6ldym05IXeRfB2xryZUu2Omwx77sWZ6skJbw7KL/EH1hk/CFZJYbm1Ba3IWqaHp70mM5fF1w9MXO8rOod1INREkh2gVndbkGOI+EnJNXUH/qKAoGvLeE1NBAgfJo9UII3oAIYJVRBRaCVELdlQwCNZZGDpUbXFrSLaRMtSgA3sHR2kBqqHkkvLrGflXE2Kzw0iPGR1hlaJOQJUDkinMWqE3Ft9aWA+o0ZBYhTQRMREdhahq4kwTNBRdJDjNKs+BEsNIlo40IcWZQO57ZkYoZECpGz7oX/Lxt4ZFHKh2mi5TWLcjS1JmITDKyGJXkG49y+QePb1jQY1rDX0159Y5rvWMvRyzkgxtJpS0OHrSZEDpljwEyiaiuhY3vQFXUasZvRwxDXsy6ZjLCjd4EjEski1BNxTs0C3QQChGxmzNsFTYaFhPKnpniWSkYsnoWbAmkmC0Z5On7BeGpIDWlGyYEXXNThs8HSpWuCiU5p6ULV1M2eUpxawmCwCK4ByGgaByNszROJQMaI5JZEOlL8mSPbhf/qHx6+Qpy0fPmcxGblyk1IKRO2TikQDuVnH0rebu6Sn94gGZ2fAkrPF+wcpOyaRhalb4TNgXllwJH7QtR3aPSgdMnZC+VOw+qxGEXkOuPDPpOfEDeeIRUmRTMRkr+kGzTRP66RRJZox1TtWnJKmlEsPxsGZwv+BOwRSLkoTBLIm9YJ6vmX8v8M/dU2qOuVA3ONXxjBP68jlVWPHJ+FNGFTHKMZoSheBZkus1i9AwsXus2dJWW0jPML7AqIOldjqOkJVcnQ9sf89hjwyLI0+TGXJTkzWR3AO2JiQR1XmSEdb6IS9l4Nf9DckNHH/kSErLVk4oY4Excwye1mrGmJBuA0vVsVqWvLaf07o3TNM9rFPSTcr5g4HHyx+jucExxyWaJLvkgkvKbstN9oQ38ilF6LnQL5iFl0hqiCbFAIX0zGPNIjSsO2EWGy7iczZaEOOQrePkXnj45JZgSlpjiOSsZUFadgxhxNnAUDiW5mecxx2MEUkilV5RxYHQOsYxR8TjMKhYMvgSmzRkJLyuCm4eV5zPd8yLPW9/YHHVwCJEHt2n9DKgK2E/0dhyxlpVJOKxdk9fCOU+oXxpePzJjlcnC17yCBcjuXpL0BqjHBCppGYSPWV4RZ0U7GLkrB2wlxYmQnnaMHcNAc1b84j74VPMzZ4wZrzKjsHvqOKKWilWaspiqPlt/SU/5IhrHpGgUIll8JGFr1m0L+hzzb0WVKyoblOEjGZ5wSse0NpXpPogL8u15cosWZGz4QKlDvLvmjNq7SAKY7AY3TOTWyb+LZXk+KgOwy4z4VmS8rDaUExef8ed5D3vec97/uW8H9T8SiGgFCiDlZaUgYBGociJ7ACUYc4bPuVr9v4D3u5LyrKiUwmDEnJWfMwzznhBGBqu0wVXTMA75HpE3kRiV6POE/qXOyQcbusUgqiENhhOGZmqBqev2duO3/n1M2bJK1YPB+Z/9yM+naw5srd8UDXc6HPKVHHBHWm6pVskpGnkKN7w0N6wUJoe0MuKsSpY0uBHDckSpGGZXPLH/9HnfLmecZrc8eZ+YChSzFwx6IQ3ccHZRBjH5xzHPdfhmNuQ4knJpUNbj1Htu+0iS672jCrhGZ/zP49PKCa/g8kcR/1P+N6DGqVeohlpJWEbZ5TDlLTeop2hdo4mRB6rgcSMyDLlUk/oEM5YcyVwvyl5+Y+E7HrNZ3/0LZ+4bzF+BZMP6UVjsbR4ajR9sSDONUnpGULg5dsbPv/dJZM7zYv/5ks+/ocp6pNI3F7+sqdzc3cxIdpA8AWNnwAVewIbMdRmyoYFHnhYlVQXOZqO9BSCtgypQ4ynVnNuKUh1w3F/SWwCIwWj9GgVGGLK9cmcFRWNzFjLjFYVLIg8UdcUNtJQMjAFAkOywz4qcReQ5I7NmeL69BFvzBMmw54fmIblsOfOOV7KETbpmfVvsS1EbelLh00MC7/n8+Sae5+i317RrMA/WBCxTFXNEWssd9iigjNLvFLEFyMbiVzNHvItC851Q3Xzivg/3PPtn96TYrj4NUH9e+BOA+H8hs+faD5obzAPMt4++JiXXcYTGtL8ChtT1F/ekvzJnvALjX8Q8L8P/W9Z4uMZTWlInaF7fUn2JEdNE04Xp9T/6BeIM4SzSOg8WhuCCgxtIOk0A5BkKVFPiBQMCrRK8b2CKmV/31O8ivBC4bOG8jd2TCtPr2HIM5RpqMZbNn/6lvpPIO5g1IZVN1L+JGKPwVYBMYG+g/zW0l2PqJWQNh4lHkkUfgQ7MXTOUz1IUXVPsvU0ZWRfHoyX87CjqDZonzKGQBpHvHgyBzOz43H7gvBVR/11pJtqqhmM00g2U4x9TaFT5PkW9XLL8oMSsg7X9NhtwqqY81MpGNUJnUxZh5xgTrhgQ8keqxRIgokjcfBEL5i0QWtFox5wP0woXcuxWjEd97A3KGOo9IBPRkqJDLcjyRaSArqppj0ySEy5lyPaaHCSYUQzocYqhYo5iQ6EXGEfGbyybHTFbTxiLyVa9WgRogSs6jhiTcVIVAUyy0nKDuWF3mt2NsFoxUjGLRVpcBjpEVNggjAz99jEYhP7S5/79Fo/4PEfgKpXrH+x4thlJHpFlwRkm8PXO4Z/DhvmbM4mLO0lT5t7nrePuc+PKYdnPM52iIkkuWXiB4pBkbd7bC/IC039v3fk/5bDakenNJaaaRw4HgwTZ4hR2F+1UI2k0VHjaB9+n6ud4lPbU4WIwuAwLPcDNrtiqKaEIcGYga1yzK868j/rmf7ujuas4pUq+MI8oIo9r+SM1lk+k7/gE7thGwa2LKlp0WHLJpQk6poHeuCh3B+8chYZnoS8E6ZqTy6eJgom08z/8Jj07y/ZyJI+3vKovGY37jEtaIkoVWPPS8YXFh96VqHibfDoNrD/GpbfcySnihtKbDMjL45JzB5JHGqcIK+vSOw92UclPwpHfGg0y/yG7ieBu/+j5eG/M/D9xSs6s2MVJ8wz4Xy25pFaMQeexVP+Wj5j3t3xD/I1k7inq5akagFeUxrh2PXMaalbOGEkyj0vKdAhp3ubgrQ8/f6GrW2QUAHCZbxmfnaCrFd0IUNmZxwnbzlvOzQpczRLbjGxZr/KadeQ+EhhLTf9yFYJJ6RYcq4nE+rfe8IuPGfSNjz6dMmwTojXb5hsZlyuVhx/qHEnllWaspWTw4sCV5OcpfDzwOa/23L0H9+QHn/MN3JGUc85swFXrklUhhKDkj2ZHql8Rs9bOjWwXN8x/kXAPYXFZ3sW3KExXPtz3lyX+D//K/w/+HWe5Ucs7C1HcUfgmK085FMu+U3/DTeJo5Z4MBeOHmsMH6ag7r6mPk/ZJA9hKJGvoNEbmj94zHP5hDr/P3EG9DjiUkujT3gRLghqSoIlQ/AcUaspJvZ0tUMtIqm65bFriM1INwTydGTPhFdqhiscn51cfdet5D3vec97/qW8H9T8SiEcLIUDViIaCxREIgOCepcIJQjCgJOedIxoSQgqQyTBxEgWGzLpcSi8FnoiXjnwh6xDFRVOBBUMSEZAEfFgAoNRWAZyv0YbodeCM5DIKcHVtHmNs1dMeYVVO0atD5GjcYvWewatMRFSRpxuEDK0OpgJazwJQowGAQwdGRuUe423WxJGLIpRRwYH8i5+Fh0hBlx0OMBKR+UtlaSI1lgZD98rh2QYrQ6pNFfZEzIanFV0XHOTpExsj5WRoDSDtfRaCBp6LbRGEYxCkMNRsAVNzAjKoGNHxzEdJxxiUSJaGlJGUIe4V9GaDCGREasNXhWI2xOMAQJBQTQa5aHCYLqU6Bu0/JLHrMDBqDQqvA4MbmA8hLLjGLDqYOQYKPCSECzvfmFNMGB1925zIbJVmqhGTIzooBgpGbUhIQCWoBU6CJaAEA5mmwGctDha0uCJTrCmR6QlxAxEEUXTKcfeVKzUDG8ttS6Ymndm3SpFqYxetZRxjYkg0eCVItKiVI8Vh4kaEcNIQSTBMmJoQI0YDQkDSRBk1CQ6YkNPKkLlDWUX0Z1Q9ZpUQ+XlcLqPECWgVY0OHWY0ByNY1ZGoLRm3pFLghoGi1YRWwcFyChOhCwZLREsgVRBFaFxHmm5pEphqh2bEikKNGu0HkiiYEFAGNAEnglWWWhTRgpJDNHTmI8VgGXowUVP4w428I2EwGgOkvWXZjEgD7aCogyEdhcJbgvQEa1BjCbFDI7gYSEeD8gejbQJIDGhxSAxgLJoBFQWvNa1WjBhUtNTGMkSNFRhMxGtAgeUgV3G9p2wVOhV0Bh5IlGCiJyHFBoeONcQRJCFGxWBgbwydzgFz+AyHQZPRkoSGRGmUSlB4FAFRCoNGYYmkRG3R6h6j1jhGkhDQpj2YwVOBtyTBoEJAFGgiFkWnFYM4OrEEZRmICB4BPApNJKgRrz1BGaJSBGWoJScThVPCqBy9UgQORrkehegRJePhiqIiWlocyf8Tx25xwMioA4O1CBojEcsvv4HnKkvZqY7Ct4xErBdS2xNVBAoQGKNi0I5RRxwdyjQMLrJ3GVEcAUGPh6MQdIO2IzoMaEkwwaBCRyCiVY9jRFD0WAYNMGIlYpTiUNwHKXNvEjqjCWqN6A1CgpcRJREjCg2IEYIaUWiUpIc+FGAUw2ASRnJa42mIDApGIiINmtt3x84x6pzGJAQtBN3iVQcRApaoQZQnRWNiJFihNpExhTvXsJGShe8xqkZ0SlQGHQSiRbAEawjv6ldhIGoMEaUHejr22rBz7vC+6V1FRq1BQ0RQOoBWdCocajRGbNB4ApbDyyktHq17jPYY1hjVokTYmQxjM8JBD45H8MoervtqQNOiGHFisWoAGiIOFTNs0KgYkH+x1kaCRxi0JmhBxQ4jAYWQsyeVkVHenaUykkahi5YgJYw1iR4RJXROEbQnEfAa7k2kNNB4iGpEjMJFQSnLaAwmBowMBDPSKyHaSK8GOhWolCWNPeIHhI6dUuxzyyJ0GPYo5d71nB2IxqocyxaFJ2oDGPBgzIDXI54MrycMFsTuETUiFCgMWdxhVEWPoOyAG+6wMiJ6QCNYyUBpRPVoWkTZgwyTDCeKbPAQGsakJqLRHGpd6xGvIo22RJXRR41XPZ6WQQdyo1E+kgUo1UiuakLIkTHgJBC0pdU5vQp4Dcl30UDe8573vOf/Be8HNb9SGJCEQyC3Ih4ume8+8YgaQDnKOLCkoVAbErdF6UjLOaOcYcdnlEMg9xo1gohjYEKvTiCWoCPixnc+IQmIRRSIaJAc0ORyz9n4imzcM9M17foKNcvpyg37wjJnzQfdPfMmcKsaTvw3FLFmzg4XItYK90RMaCgZSaLgomBQjOR4PZJTI3GDkZ4notnLDKseMXDMqMzhYdGMpGqg9JrEQ+9gbywhpJgYUSrSKUcvE0ZZMKo5rZrQoDCkFPUM2yZI4tE4QoxonWB0glaKRDQ2gDee0VlEUlxQQI9ERVEbPsSj9Y6NzUnU9LD5Mg34eEeWfsuD8Wec9iueac1Gp3iBEov18i7JoMepQ/rPqCFWI3cTYbvXPBim2LaD/pf9HTaszENaVdGSsMPQcUzAYcKANZFWaUZyUOD8CAo2Sc46yanNMVsecMmSVgJ767muLDoaNuQomdIrz511pIwoKjqWrPUJSiJaQ6vmrExJwzFrOWWjZhTuirOhpc2FNlf0RnMIo/e0JuOGjwhJyrWa8owLFJbEGXy5pch7oilwcY12ESWRoBJERWIGe2XpcO9GqAUDF4xRMeIQAcyI1sJ8PJjpTiUw5oIuwLtIpoUxEdockglsy4hxB58C5xyjyhlVQq0GhBSlHcpGvBsITnAAAiYIXg/07x44eqOIScpWWWIx5ZujO3ajxp8prFccH3ekmScklq6YsbIJZQKDTmkko1EnvNaOJvfMtMHoFUHXtAuBokOJoMjYugQSyyIY8sGBbqlTuF3CTfQkTURiw5BBs+iRpGY10+gqYSgH8l04JJ2VijEr2BUVNi3x2TV5dMTYEkkZJGfPhC3H5G52yPuyGq+maE6o44yMLS42QIUEOUTKWsHrwzk35CnrdGQih22/fQ7GQoojmkiTOnYqIaoZQoVXCWI1oiISNT5WXCUFt5Jx7iJJPtDIDUd2wIhGlGNHyRQwsubKnfFs9ojMwb1xrDmiTkas/SFFuqLPc7ZmSk/FlopXakm0ngyo1SHxZWTKTiXkChqxNMZSU3LFGTc8YVQdWgVSAo7AQEbDjEBg7S5pXGQGDH7KG47Z23OgoIkWZyLK1GhGUiKpj4xas00tg0uYfWdd5G+HRAJBORrjGB04pWB0BNcSXA1ODtdFm9OakZIdt9qxSQ2D85RdIGpFm2nuXcYGh3HCIEJmUqoYKCXgsWyVI4QFy9iTK08wtyi1phw7CAOdFtAlho7U7KhCQNxInxpQhyFi1Ia9mXLPDKcGP+LHTAAAIABJREFUCp0R0Ix6ICqNuAyQdyO4gURaNB29Clhn0DqiRNOrlAmRWbumCiM4zc5oBoQZHVXs2Gq4yyumZMzNEYn1aJMdhrsEvNqBHYjK4lUkpj1NGalMgjcQE09bwGgcFodoy2gHgt0jeCyQKQ0qoDgML0YXEQN1ptg6g4inI7AznkXq6FxPTPYoNaIxhwGQbEjNgKWljwVCwkTekssNox4ZnYGDOxMTBUu5IwtrcMI+QOY0ezPBo7ASiXLwzGu0Ohj/qhaFkCmL6xWDHGLZJ0HTxZzerA7/FzmDS7haKu566POUzHpUXCNywhgLDIZqjFTKYMWiSIlRM2QGn4yoNCB2ZFSBEAJGFEEstcrxasNcIuWoUYOhtXt0sUPpGwJn3FvDkVGkjHilUBQUUbOIHVtpSVlhpWY6eoIHMQotkMSBDE0pA87d4GcrumRPEUZSDTtr2SNM6Ihqy2Dyd68eehLpyYY9Nu/YmxwxBT5aSnVPEfeoTshzsLonSHHYxNSKxhTcuYoBy0z1+HhLoxJaHB6PKEcQj3cjM9kxG3oKZenHkh05DYaNpGgdSEOHGuS7bSTvec973vOvwPtBza8QCkBFMIEQBRMBNYLqMPFws4GKLOg562+YJjuKZI/QsZIL/uyrW37gMvSdZnXVo48dHcKtHLG9njKWc6yLlMuc+3sD9QjREkUd/nqccvsyMDsd+Lj+lul4y8tL+GxxzJu24fLf/WN+cfMFi83/xOPLn5P3kGWv+YPKMISG2asaRU1yDDp3nLuRk9Vriv2AXyo62bOTnqXVzLgiMRtyHD9gxefLOV9KyT/bPSQ0CdkJtO3IhW5Iujv6EOmNZZssuS5yBt1SKoOPGdex5OfqhG/Uh4zhiiO74lQCf/FPt9z/5S1P/4u/w5vhf6E+9pyeXHCrSsoxIF3DUNdEGQi2YBdP6fSIomWmhfCLOyZxzfzxBZfn3+Nc7nh6/DMe/GdfcNTM+f5yy2+uvuZx3vFq4tiaJc22wRlLvQ3oSqMyzeJsQU7NK9+w/8OnPGg2vPivv2Xzj9+SdpH6uef497/T0vsb84/D77DiDEGTxjW4EhsUZ+Elpd6TiuBVga40jJGoFT/MPuSNnHLpH3MlD3nLEm2EZ/FbPrxYsHiSc2tnXJHSU/GL0fKEIwp63uqSb+SUUzbU4vjSfM4YE4Zh5Fo/5qfxlHl8xn/g/hr7exOUOmJlF9yrgogmRM0vxgU/Ys4rc8LeHDORPRkd8ugx5mzOIr/muL5ElYYrM+NSVXzxdEI6lrwmYUNFFVesJGGlPqGwOx5MNhQne5zKyDYtZrejGCKruSH94w8pb3s+/Nk9ZvqIJv+G6mmK/s2APVZYZQ8bNumaTL/GJkcgA4OymDFh6WuSVODcQOJgVHgzcqdKbjBktmN6rLlL5jyPCxo9Y/oPP0aYkrjXxAiF2WOv/orxfMKf55/zV3xCrgYu4g2NVtxQceUXlMUDTv0tfzf7EnfasPhPC8ajKdvJhEs5wquS46lC9ZFqFXAKqt9JqP6rp3z04YTmv/0pJjrKD3rki5ZOHXP0sWZS3aIXHvXxDDnWSHHPxi35Knz/ILP8noOvL1F1RGPoUFzFU16FJyRxT51E2qh5yVN6SfjCvOA3+CmT7TXqFvS5o7LvhrJPEiYXihfTGRs7Iwkt5YOO2TJhXJY0KJQIrloyugl7VbETS6pGFnpDKt1BxqgdG1mAL6hjjUpzTPEEzVdM1Y4VOV/7Cx7zlgdRc2VO+DP5lG6c4IdAtJYn7SuOn15g25H75UN+LA+4HI9Yxwmjzbgwr3C8BTLcECjY0qmcweV4meP9jEtO+ZH5lGs5YcIdoMkYOVNvyFlRkOBxhOBJUs0+nfI1H/Nl+ILL/iFztUaZFmMMrWpQh70N+lQTLjSnJ0ckofmlH9Q8Cg3jzvKmPCH5rSOGmxX2akA+L2lLyN2AHSy78Zh9n/HYXfIyfczleMQkFrhv77EXkSE1bNSEzTBnlnpsuSfpI1y1yFeg7yt+fvIbIDsevPhfSWvH6RcfMpfAzK5JTxTkGYUfaS+/5aPjxwxLYRMtX6UnvOacfy1cgvNcpRXP5JxHssWwYYfFZxloRa8DCR2VSskZmMctEz1noKIbHDY0NPk5P+X7POU5f6/8ktPhklYqbocLhmSJlQ0yBFpd8o18wGtKViHhN6YVx81LyiShZ8Hc10yNP2ywKWFx5kh+O8E/nbFKhPR4Rvjg1/nf/CnRLJAM8goKk/FIFJoVD1VNI4rncozGYC9AX8HRgwlvtTCYjHsWrJTnmI6i35DUnhsM36iOxngu6KnSnqnquHPH3JmEP+A5STayEsuDUGH3W7LJiicm5bPhmmL1BnlQUkyOuQoFt1Kg3Z5p09G92dJ89Dlv1BSF8CBp0LJmxp7+9Vuq4xKxNfWbl9xf/JtoveVIr9Ac8ap0fPxfnpHrKS+yGTej5aJo0aqhjCsKNtTrjnGiiSriKHD5khvdUR1r0jyh8x3zYkEZB/pdT5MuuZFHKAqqvib74TcM/yRyWlYMBD6Ue96S0DUVc1fxSGu+Hmbcd0f8fvOSs+tL8kcXvEg85/oZF0pRb0doK5bDlifunnYYSFbP+eTh72H++D9kqwtsiHiteMkjPPCb/pJJ13GrFuS5YoKjUQO6bFC+5XU3QS0+JKQNn8nXXIwju5tIOBFMSHgbf4398KdcLOFFesGLMEUZzXH7kiopcfoBo8wRHNf6hCrpmCUGr58zHb/leOX58U92fPPwX+fNfMYDvebj8JLj+1t2zzz5L7mx+Xve857///N+UPMrhCJyeFID4SAPQg+gOogRUQ4QylCz6DcUaYMzI0pF+lgR4gQ3aspegRwioj2OQZWImSBGITrgTUAPFhkExAIaGABLMFPyKEzqDctY07eGc3uPLXck1a+zru4oZMVyBZkGl685pib1Ct8VZCYhjTWKwCTes+wvcRKJUdAYWn1MFxpytuTqjjwazuSOnIrnfAQSCVoYEhi1kMtI1R0kHY1Arwy9KKIeD8IaqVirObf2jDsecaqPmdCS+JysXqJ2ETVmDKGi1Tl1ptipBCOKdIjkgyYRS68MtRY0QkLERyGNQtX2nPctP9YWHyOjvmQ7e4tNdhi75cEuHiQax4G91phRWIglG0FFoc+EzA4oYEg0vtzQuzVNUKhmRlb3pMn2O6q4vz229og3PEIpw2JIcFIhKjK1NyzUYXPKB0PAE7WAtvQyo9ZnvNSf8A0fs485Z+wYdMOqGOllIMiIQ7MlYeVOicAFV+wo2KsZJ7JHGcW9OWErEwq3olFLXpgv2OmcVr1kVdZ0KqcTxUCFSIKguLNH3Jgj3nKEjnMI99yaLUm+py4mfOxHjmOCDR5vUxo3YWuF+0Rz7Y+p1UMsI6IVK/WQyDcMRrHNhWIa6TpN6T3Obxl0yuu8IjuOJGctp+U9N0o4zgJpKWwKSIYAmUFlGXtlGZWmpkCrh/Q6Iy1GinmLVxaJhmUmeK0YTMqekr0Bm1v26YxaP2TFhGcXkZ+pgilnJKzR/obze2FMFK/yI37Or5HLiPAcrXvWcsTOVmitWfs3fFbe05d3pKeRfdVSk7zzOXlIZ2a41FEmr0lyaI9G4keXFB9f8+Jhzce3CVWm2Fq4tjAczbkzisVEsXSeropsy4QNM16GR2zshgt/x9KNFDGiPATJqJmz1ac4nbFUA72B1/oR9yw5l3uSsSYbYEhKhmKPrgyx02Sup880N+mEjVqQqktc3jA4ISQwDpo0yegdNCbg0XSUiIaMDk1Cw4TOTLjmIaIGWrvGJ1OcCFm4IlUjGzI26SlL2YNMWekLfpL9gEadkUSFUgGXOTb9L5hZRWtTbvScN+YhaznDBs1xaFH6np6KnTrFAV4d/MmM5AgzNpxwo0+5Y06IBRItE91wREPCPVqnDLEgxCmWHK9qbpOSN8kjboenrPWGBZdcxHvyMJJGjeYgtRmM5dpWaFvyxXfdTP6GHI3XJEGzEcOoe6wbSQaFGRzRRiTRB3GZCiRBMe0nvMgziI4iBGZtQA0BrROcZOjgcCrg9IgTDyESg4GxIIaKrAucrhOSOvJ8v2U+X2NCh4xAFinEo4fIsm4ZbIey51TDjJmuyEUIWmiVYVAVZhyYYiEK9nArwEQix+Ga3ObMQ8vS7zk1DVEVFGOGlf3Bry1Gcuk4im+o4ppRn6PHgolRHI0Ds2Fgm1gGf8QuPeXa7diZO476eDBrjxOyYcSlOcEcNhq9btlNA84FNJadNlxXmnZULLoWRTjInMbIsmso0zUPxpZNWHOEY24tZjQgmiiKTHqOhh1G5zgqlBowBGbDyGkw3ONRfscYv4XY8CBZM3hLKSPfC9/SW0+rSpw/p2pHJkXDOfc82q04akaGuWOnR3w0WKlw7HC9p4swBIUmYSI9Z70nMTtOh458BBMsYQhkw4iMGcYIizhwpz11Erk5uqVRwrUISa8pQk3Q52zjMa3UiNY4HUBbalJaUSTqUGNdoWljYGgGbBNpJSIqUnrNqIQsOmxjUF7TIpTS8zTc8cJecTN2zF3LJHSUohmj5rgdmPSetq85lsDDeE/Ra26tp0xLSqk5l2c0w5TJ4An6p8zikgmnzGONCQFvMkrfMt/1ZCOkOmGW3LFQbyhDyyL0VFg2zNhSUnHDk/iKeZuzC5EkaI58Q2l2GD0yCOykwDNl6RtO+g2F2bJH2I4ji3SC1hUjQhp7TocdZ97j6oGjLuUbP+XWPGZCw0I2nDR7dn38TvvIe97znvf8q/B+UPMrhEKhxEBIMXLwQ0B4N0xJOBhKBIwEbAClAlFrTHRk9Cwp0Jc9eYh4vcPpBIPFsmdertBFJM4NRqVILajWE4mIAmQE62Ee0FlD+U4cnGSW/q4G4wlHntuyoU1HkomCTpgsS3o2GOMZioy+h7wTqmwg6h1pFiF3qDeCUxmr6RmDqfksasqo6HVCF0acbolGUf2goJ9+S3SKWkFnNNnNiF06lPGk3PFwTBnHDp8YtqqgYYaEFC0joxVumHAZT/EmI/ZbxgH2TUnnJ+i0IaUljha2gWorqJDhCRRcskCjsdzYivxMo766w96N8Ai+tB/yjXxKsz9C70deHed0laJqwAgYtaeya9zdnuRekT7eY7MRVznm0ww/9kRAf7rgNtvyZm0p+oBdfnc197fFb/EjUjp2VFhbE1TBXhydVIxqQOHJzYhrRtRdREXD08lzbOZ5a07R8hGP1Q1/6P8ZH4cfMaFF3e9R1nE9O+ebpGNGR2SgV4qW/J1cz+MYcNzi6fFKaBQYFTBRsSMnCGRhz0LXh+h2pelUQUuJkDGVmlFP2Kkld+qEY3VFLsI2LqjNlGO545QV1/EgrduoJRs9554KkZyZ+hex7IZqHHClsF/M8QuhHO6x40A5RLoE3v7gAvWfP2YmO6btGvmeYT0r2WQ5+6Rg7Sz75OQQSR4198rQe41Fs/jijMV8i+0iF+Oahf8pLg+U7Z5ZmkIUdtmcKywpI4/o+ToUfKufgjd8rP+aon1O2kE/GFyEY70hCYFewajm9LJk0BbNlqAtf5l+xuws8DRdcxTvuVAr7mTJt+r7rMIDdByZnuyx/0bBtj3h+iwjTRvO/+g5etuwe6q5tSUrSp7b7/NanXBcvuDfLi8J2T2/IKHmY3qt0My51We00yuqRc04OPo4JyYdTlZoGTH0TNTAMRs6KehY8kw9IU16JK+gqMlmBdmrlrPtM1wbiCS84oiBiLID3tWIFFRBsWt21MeanpZcWrZywQ0zjNScmoYwzNi4M95S4a3m2/iAQMa5WnFsTsk4bCSeqDUFnsaUvFZzrtXi4K9gFNdSMbVzsrtItatZV1dM84IzZYgktGZCg2OrLnjJQ37uPqMnZcktH/BzUBs2bqSRnkzV5FTcmgtGffD8uZNTbplwzzmd1ly4Y8ZeMZOaE3fPqbohNfCtesCJaD5rXnBy/Ra5htxbtNvgHzjuL054oebfdSv5G5Mk9yRh4MIaxjhgIgwjuJsB4wJDo1CfOo6SH3OSltBfs+hhqQsSFPmyxa8j3vW4ZMWs7KmGDn27wmcG9ZGBPwRt91zc/I98sJuy+u9HhuMNv/bbP6HefgV3gXgbUUlL12v6Gna397iosd0Vf+f0x1wayLJf4C/A0LOQt8x3aybXb0hroegn+Hnk6Paaz+yP8XrGYnuFunvLqW7wrcE39yw+7vl+9mfku5/xwPfMmh3SKvKLLfPwFer5t1xsrjmyNfsPtxyxRQEP1BVZXNGkjug0Vl9SJylRMqQFsRk+zUmvd1TrmvOjgrfDJWfLyFQH1JsN6koYOhBuScZbTDPQbCI+fc2j7B53qRhf7RBnWO87ps2W07svGbvIzHpIFeZxoO1eMXnmeNJGjrznyfE1e26Zdrfk2ZxgW+b1FYPdYkaHE8NuDeX0LcaskNuG/krQxYjEBlesOXU3uGFDqDXJhWU23/JEDXTdSPa8I6s92vckAfYva2wU7FXHo7OXnKkO/XrgaDGwzGpSFK/VMRjh0/FLHq5v+PPJH/FPwh/i9F/wefZ/UcgNUT/iVmlOZeRk3zA0ln6asEsVSeHgMiLjhrPsNeNmS5i1qHhPG0c4i7AcCVNNeuv56OzPmRcpzryhb+85kR9yEjMkXjGeG0Lxgkf3Fr6KdKYg/mDAP6pRreKEV+j9FNm2VA8L/pOPIp+ZCR+PV8hux6PjV/hmx82zjsdWmNgbQrLHTjbon205v6kJFwV60nAkl2R337CcNexeeKghm0SObv6Mf/9hw8Ph56gonMQa7m6YjgOl3+Jjz3yx4kP3lrnMya6uyJcVtS2Y/EnNaAT/ayX+dsf5ckcqmreqYqcnoFaY/L306T3vec//93k/qPlVRMC+c6lBNLxzIogiIBB1QUtOpyz/N3tv8mvbtt93fUY167mqXe99invOPeeWr3ZtLOFUAiITOQ2CEESQFqTBP4EEokODiA6RAIU0aEEkFEQ6CEUOdoz9XDy/4tan3tXae9WzHgWN/UQTC9nS5fHOp7tWY2nOOb5a4zvH7/tF54hQMZLX7MmesPY4FWGjHislwnsKtWIvuUTGLT5LCFYRthbZAQiEEMiggB6X9PSRJKSGXpWsszHV/DWD2MOyRyMKruJDmr0x2c2GNMvZiRYrBSoGV3UIoBQVvZCEIiXUgv6iIRpJXBHYyoxNmJBj2IqUXnlyaVBeUdzb0clLTBdhBhDe0g8DyAjFQMka7RJ6BLc4GqlwIcb3GiM1Oii2RCzFlC4oQttgLTRtRLCGImrJZIcnw7ce2dQEX6CRlKzI0Fgi5irlwX6E1wuWvaH1Y17xLuf2MX5R0O/2OJ894s3kiA/sc1LRYsKSIXYMVuJ3gUxuUaZHpzDJFHoV7myg45i5Dlx0gVMk+eRnf6F/e/gTUrXhSs7YWEltMjqRIHHEBKZhQSoMebdGbh3CKQ6bS0rd8UYe8pxj3nFzft3+Ho+az1AE/MXdCZNZXGGNJgsDO5mxDREtCY6YQWRIDCVrRLBciQMqcqQfSF2P0DEmRKghMFIVe2bFJQVL7irED7hC2g0L43nDFOsspRIo17MNCU00woQ5pdugkezclFa19EHREEhFSsdAL6B1JRqHGQXOyxI7MYgbS+5BNBkunvDs0Rm7+w9Q1Sd8tPmc7b2IhoJOxCxkynn2iHk4QQWJ8JatmrAUY5Ce5OGW7EFDyQJnX7D/7HOKzjGylm5YoVxDmzxgHnLGYcOJu2TXP+JNssdNyHjsv6JsPKYTeG8w9OwzxwbDTioGNNFQkxuB8iuiYPmz6D7HScFMfJ9DN0cJh5GWymfM/RGpuOE0m7P6juLWPqULUyYsOPvoliGsWCUTAofodsw2vs+P+Dbj5B6H/D4HeszLcEgnpoyYI0nowwgbaWQOldN0IiMJtxwzIELMVCzJqfDD3W+N04jn8hE+NWij6PMK8jHHmyUH12/Qm4bIwlaPUc5xEJYQlVRekwWJ3TbIiSHRHWWouBaCazEm9oq90LMg4ZIzOleTCsXClVihuS83dEPOjY4wYeCR/JyMnkE4Gjx5qDhz5xjhqeUxydAx2raYpWM8a3gnmTP2DSYEFuqYyFUEJei8ZiETdqRMsEy4IhF3JmQsdhyES2SARoyR/pZSzvE4qpAThMGELSrUaG9JO8tJdMNKvKAS59yKlGl3zePNJ+zf3tI/F0S7ETJZE6KI7jBma/a/bin5CzNihxIVmRV4FwhiRiUF6c6j+g7XCPKZ54F7RdkpNvXAbAV5eZdVEkxA3YLyllG5Row69ocBO28Z9lM4VugPPYns+cblM/av95j/7o70444P609YrS/QNxluG1C2RbcZei3JzhuczUiaW562a+5pjxh5/EFKFMPpcM7D1YLR50v6NZgZVIlnulzyjfQPUWqPvWXH8LziRNSwClQ9JPc173fPuX/xgmSQ6F4SXEZ8VHHSvuLsc8nsxiIOwdzvGJkNpbvhSXjGtJvjTMJWCg45Z6WP6PsY1Xu62NDHEUlviN/siHSM2TRk1Lw3HlhfvoS1xjYe7Jqj3Q6x0kQrQZRs+Ga6w33mMecg3okZ6sCkttw7v8DeBvRUIA4K0gnEqw3ZVjDaBZq+pXjyisrVJDuHHO0wxRV7Nz0mVHQtFHHMcuc4edow+Ai3MthbSGaeIARl4jgcXiArj+oi3ASS7JZ3gqdbO8RXAnMj0WJA3U8xy4Z4q8mWgZF7SermyCVMRE18tEP4mFYdkrmah+0V6banjg/5of+Yo6Jik+0xta8owobmpyHgRTXgbgW7aMQQC2KtCF1ADI59s6I4v8TogGg9aoA2B30GMhbMbmqK9BO2U4lpLenCccQFQgoqq6lPRhjWzF5Ybv9YUX+8h3+yQEYV0SZwZlckdkO1bSldxb1JS9pCFgwXm5oHxWvaXU/32kGWMY0q8lHLSdbinteUrzXXkSHVt7zTLTHPbygfahZvLPnGYIqeh4tXnOxdUTRwPcC4nZMv5uR1QHnFECzFuGOmWibDkrBs8KVgiKeY3+0g6vDvj/CbFaOmYRQk5yGiEWO8Eujk61aSt7zlLW/58/lZ37+95f8Fdwc9HYgGFzrUT9uFED0h3IXzBa/oBOwSTR8SnLszMApeo5MrulHEbR4jtaLXDiktETs0DUIM+CTCtv1dcLDXgIYQCMTgYwY34zWP+Em6weoJF2ZMNDkgjr9N/fobFLfv8+Y7MX8w/md8MHyGEDkXoSD2LfebitIOBAV2CFRmQmVyMvOKLvcQb5lxwUDOTh7w3B1zI46w/ilnoSYJNSfhGVGowCUkXUuqavq0IpiWKAgICQtdMij/UytLE0RgMBHrsEfHDEMLoUd7iapHKAsi9iBbdAi4oOiRdIWgKR2JGlAhxjJmowc0FhkMi7jgq9mU8/I+c3OEEIG09ZTnDrVI2Bx/j98f/yZm9D8g6Si84iv2uSlKHhtPOTj6QhDyHi00cfAI1SFkTxZB7AJ+CPz/4b1R4QYOhyVFgKr2bKYxCsU+Ffe6HUd1jy40JdcMsSX0PbLNKVE8TG55N31OLDp2Omab5CQuMBQ1UhtaldEFfWf8eIgERMKh/UAkLHiBEzEhaDJnKWXDKLTsuS1H/obUCjoVoUXPgb/igROUoiMKPQfdFQjHhepJXcaxu+TAXXAd5XQiYRdidj5lJ/dYh31WuqJGMSBwIWIICQPQY9iqGSuR0QXDUozYmJKbUYZxJT4t2eC4FjNuzZhRfkZsn9DLlCJU5GHNsd1iPIzFmlbGbNhj5/cJomAQHUo0tMBAykt1zLg45aG4ppMFEotQPbVQ9ExQ4YqZvGTkNVKuWEYJcwy3maHMA1L3KLlloGVuDllQUogNx/KS1EkqVTCnoBISy4Y1CS/1MQ0Jr3nCWuxRyYiahC0T5mrgjZJEBAoqbhONdGOmq4LZDm6imtvRV1SiJQ43pOkb4tBx5DpasaH0S3ojmLod2cZCI+hHHbG85VEtEJWDkDCVFbGu0f6KQuyQsaT1isZOCcazkxXWGPq0Q3qHsIHED0Q4MiHR3tKQ0ciCWvRMW83ojWSW9VxOFrzSc8owpfBLJuo162jMQMfM33KvWzMOEa3UnIUritDThZhIbin8AiEMmex5VH2FHgz3XIvqBh7IOSf+mmKzxS8yRnLGoz6niBoSccMOR+rnpKYmZ8mhX9JaOE2ueSie82Doia0mUg6dvGFfLXi4a9DdDZPRG7xRDO2IWBQM3RWPzC2juoMaisQxVZdMm4iN+gEn/jW53qBjx24iGdKeOPbUpeJCT3guzr5uKfkLs/csuWu8KjykguAt3kik1fh1RrxuwXnMbUJwE8pNg18XiFQQyxqhDSwcsew50REzn5G+9PjXFvVIIzMJ6wrzac7eswq9mVAutiTXYP5Uky4l2qQMoiL2sH+hET8aI14GXClJdYPQCXkN7A90DwqyOFDcWsafaMyzFN1bhMsp51vCVcax2xLVOe5lgfi8QNkYvU6R5pbwXY2qPemPNdIbxMkaV3RonzD9cor4/ohQXdAWA0s9QknBkd1w2C6Z1AOd8sghYvKm4CQo2sixzB2iaJF+uGvyiSAYQZUkqLxkFhpSHChD1gbkl2NS72AdwzpC6ebu2n/ZIVYer0r27zekS4v45AjTbtHG4QtJfJ0iLsd4EZOGNXHfIVuFrAN0OeJpyVQuib6MUZspuJawN2KyW6GOEpTNEF9oZKXwE0VqIlKhyK4kEJBXI6KbhrC2qE1Av/SEHxToTUKYbAhZTHrt8dcFqaqxoiPyHVnl0EXNLnRURlILh0UggiFUPUW85Ci/oBOON+KYQ7/k6fyGTibMRIK/SVELkOOUZhRhheUg7pEe5FqTfpYQXkwQTqCfbymrAZcl0Bv0You5iklmGWwjuOrBWMSoY1p2kBvsvEB+pjm4XdIGi6kF0XyGWg6U91pk5klWHl4oRo3FnEbITGJ2koNlgfsFpmOYAAAgAElEQVTUEz4RqNEIMVqRRQq/LvBftog6EA09R1VgfBshPhfIkJO8FMjLAsxA/MWceJEjmphCzslqiflKEq1SgjKYysE6obApwTtM/4Ztb1H0GD2Q9wFz7UidZqEESqw5DQsOqgq1dqjt160kb3nLW97y5/PWqPk5wiFAChAe7+6qX+/ChRu8b9FB0VtLK1vaFHqvGVpPnNbss2bvVHPxcMTy0PFOsU9ztSaiIWNDrgQizdGVIiWitiCIkHgIw109t8u4uIj4s/cec2k0VVPSRXtEJzXN9in/83+14vInL3n4jz7mdvwt/rbyHOiH/M6QMBJbDjc/RA09vRS8uYr58ug9Kq04yF5TnEGW95yqOT2SrR/z2mZ8rr7JJ7cx3y2W/Eb+f/Aeb5gNjvXGsOhawrRndlqi6jnGSepBci1mKFVR4vGDQShQcqAeAq3O0cRIPUAIsBvQHkYHMS52tMLgBQyqI9wridMRrl+iOsc6ntGFHcfsEE7wZ0zYfeOvM/cZNyHG0xKtNdv/bg0vb/hMFfz3s79Km7zgvRBIfcT5OqY9mJA1MF9a8nse8dEUPdEcNTvy2KFU4Jc+Bv64JboN2Bfctfj8DKM3LRN3w2GoYdvxqr8kPrtHGQSjzz7nsB6QT8e08Y7kQOJfeuyPAmZ/yaPTF4Q447me8kyfstI5hVsxftzjiLjkkKWcsBXwMKyogmYnxmgpOPGvKcWKQEQqWyZhwUh4ZnLDiX3Oe7tPUQtLdk8TVAPhmljvQLxk0g3I9RJ5MOVStbRSMhULZlQ0nLCRpyz8mDhM2Ih9ln3EvtJYBSJIhpCyvVtNpHSo0LCmxOpjmjDlZT+hiR9TkxHLjonfIdEE4ViIMS+zD6mc5Kn4kqm9YCYsh2zp5AVzvccXpKxsSyGuCWFHJsBagVNTzl3CyckHjGqPi1K89nixo6dBiUAsJJHd8qDYMRZX9Dzgmimv0oKHe4qMnmO3ZK0WvOQhCw4RwVHoHXsofuSnvBH3OHLPKMKOpZ+wiA64CZorTtmRIoPH+45BC+IhIZIRQdYUYss65MRVifrf55jPf8zR3yx56t7wpJiQZJLAhsJtORE5jdwjkT1rbpn1Ev2DmmEHB9/SCPuC5FlM97lE7WUM9RyTGQ4PWu4fL2jWK3w2Zin2cIzY8zsGKh4dQfQmIGSg9Ftm4ppCB7wVBHIcEisqeDOn+7Rj8tQQ/eqYzWiGcmNydpxxjcDT84ZDc8GH4jWCjla0lPQ4PebGFpSiJ9MtW2KmveXDV3/IU/ecs3Kf+Zc3PGglTx9OCH96wfaPAk69QvzqBPbXvHu0pt1q3LAgG3c8Hk1pm89ZrSr27qdQLTEverhseeejY/L9C8RJjv38M1jtKJ4K2qJH2wL/uqUVW04OEsIPa+pbKP61gZPwCnWVcny8Q6QWopQhGRg9Tn7a7pOwiwpeq0O+5OHXLSV/Yep/vEDvCXYjx+Q39uj0gmISoeeai9/ZcGwDYtHSvBLUlzdUl5Z1u2HkYkIyEI8GDoxkESvav+oZn6xY/k+W7XPH/r8bEb/ncJ94+n90w5tP4cGk5vmnnqPaMXo5Z7OR7P3yFv0rUHq4+WcLzP+4Qn0S+GoGH/xmTH1TI15IxKNAKLaYX7RkPxK8/s9fcg/DduYoxivCC9jOFRcB3vs44vZ/fc3nfzhw0PQ8TiM+K3tO3w/ovuD2P72hKAXTv6/QvwWChM/+8QL933QQ7Yj/s3dYxCXSdTxxNxQvr4mUYRRvsJ8NzP8LQ5LMCd8UNL9hmOzvkzqPkgJXgEgC2V5ClQ34zmNi8IXDLDzhH56z+MLRbreInSDygpBB1waKoDBPrxn/RwLDK978J1vkO5bTvxfhujXt7ysW//Sa1Y3g8Xcymq3H0PPqXGGGjod/ZwO/Jbn9r7eET2Muc8fx0yWRGph+mXL942tGW8jeGbP+Yk3817fMasvVf+vwT+B+IrB/VhOsRM0iLv8pbP/Llnf3BZv3HNl8hThP2X7/lvRvJ6yEQG4s6rwjnba8WW/JihEi0ixVTKOeon7nj5CPfsCv/Oa36f2KG2L0KmL6J6/oe42OC7Z/0JJm0I8D85OYKEQ8TFekJVQ/WbP+B5r+B9f4xHPyDU1xall90iP6lOqPO4rSMd9tCAuHqBU2gehbgXf/w5xwdcHin0Dyf0aYb0D47gKxGvD/W2D3g576b8UkHwRGLxLcv9D84b/sefTv32fvgyWvPoGDx5qbf/iG4Z83nDxuWD32ZB/HND+6ZPN7joPvKNQHlrKX1P/LLavfFTz8tZ43f9ITv95x/1sjrhcecb7BjDzl35VsZwnP/4Hnw52kHq+xTx35esf2jxVtOnD29yVVUROPGtSjEf0fbUi/v4HJmDp1zLhh318yuTyn/qOasDaUf+XrVpO3vOUtb/l/5q1R8/NGkBBiBDHg/++MmkCEBJCwUzG3YsIujLChBDQxCyJR00UJ16agIMESE3lBplqSUCNsAC+QwRCkwske6VuEsAQsSItyCVfyKRfRKU6kSJFSpTE1T2naJWo15ao/4rn51/koDGh5xhfqjDGXwOdIC44caaH2p8yVYacFiRCMh5qH9XOWmeVCnHCrjriU7/GleMShumVk/zn77o8p24xsSNmvHN0mQlgBHvQAEwYWsgPjUASCFDgUEkemdqThBs0cIzZoPCJ0BAmd8VRKM4TorixSW9ZCsTMwHgJj1xNwDCrFhIiA5EaN+UI+ogsjuiDpgsTaAlHNEGvFbjDMzV/hR/ITTvznBG9oZUlFRBAghCAIAVFDSCSJ82gLiAavIXOBtJYEK77GB+4vh6wdMH6NbDaoKhDMXT5NaWOOm47xpsI3lkhZzCDRQ8S0sohRT9KcQxtosndZyBEbOcYFC7JiIGIbMloiHJ6EW3rGpNTkSHRoQHokDQrJIAOemhEvObUv2W+XZJWmcxCLHcZVSLnDCslsaIjanmBrfDxHOMu4v8u02EsnrGR1l3mjMiwSgcKTozGM7ZqlWmEVBCHIWJKJGhcUVmcMQlCpMZf6PhWa43DNfmhRJLQi5lI7tByhRIfyhrQJFHq4ayDzHU5EGFljVYMlIESLJaZTENESVM+NMJh8xgjHzK9IsEgVCDREoSMdHMd2wYfhnAVbjvFMgwGncUaR4DgMW6a2Yq4CWeg4CVfsi4Zr69mYgpwWicc4g7YZBoOVklg6tBuIPDSkNKIgsREH/YKH0rCJFNoL9jaS7Fqgb+FhdIOmQouMedySKY9zHRlLcrcg1z15F2N2AmUVVlkEDeUwMK4CFBW965CdI3QdxjriAMtQ0OkGjSLzd2Hj1uyolWfsIPU7Mq5RJCDvAsh7AUpIRp2n3IKsB8SwYhIumLoxWjSkYc0oxByw5J59wzv+M0rfEoIHCQvp6L1nqtak/vLOLGwEh5sa3T8j5YLxpsd1nmwzhW0gbEGKQGgaomagqK4IO4m1DmlAmVtoVswaS1In+MbiG4FsBbLecrqtkONb5FYQNh6xMfTCIkWNqDsGGUhah+sFsheIuuUk6hBtg6gXWB3Ti4RKJfhYUqtAQNOIiDhEZFb/zLvGaS+QdYQwHaqXVAZs7hkvLLELiEHipQbnyVqJrgVJK8mHiMEGRDSgvcSEGNF7ZlXALyVp5YkajXCBgUDWKPZ3njjRNFLQK4gGTdZ6BpFRmY5YBUTvEUHRBUvcCXwwRN5TbgVyC8vakNmBUX93r3Wi8DgIHj1IpI+JW5BtStpL4h6iXiGjmMGDk5D1kr0aCinQQ3T30kd0GOHJnaIPAi8ElfIMoiNzLZkd0AFcpnDBcbiVmF7gakkdFLFryaxFO8lgYdA9O2WodcnGDqSRxGiHlgHVZxRti+sD9ILICoQStIMnKIm0oL0nDltGjURVoKzGuZ6AhuAxLhD1HuchBInwksh7jAWJxw8Q9Qm5bkisIIQBKIiGFboHvEICygdi68hrRWs9IcAgBUoGwKCcBQ9exj9tjwQ5yLtrISzKWxKn0X2H7C25FSR9iZKCWLZoB3kHo6ZjalcsgIWc0MmUSefI24AQCu8FnoD1lljExD0YJ1EKMgumloSVZDcK4BU+9ujOIzpH1khGPkVXFcPWYVuFtwE3OIYIugiiDsqtwbYaFyxx79FdStx7aq8QPoBTqEYzbkG3MV6VDHKD9AOJhWDBegEyIIOn7A2+dhgHVgRQFjnc3U9cDg6M94igyXpINhIMKJsjh5SylURNRJMLlBVkO028luysRbYxZQvCFazzHTISjDV0iWdjFINIUBgGeffSUoqI8mtVkre85S1v+fN5a9T8HCFxiGCxKDQeSY8IguBKPBl3QzKKjT3kKrzHjT/kcpNyVBSItKPQA4Pd49q+Sxq/YRuOafsI0pwoOOjW2KsZVdQRTw1CSrqLGhEAEhh6TLXChQnPtoZDnVN4xx8965ienTDoK1x9xW39hJcv9rkOGd86MWxVROxTxFaCh6EPTOKOkmuaMMK1A0onZNcX/OLolmdiwXVWIIWidB512zEsK951DbP5n+AmCnnV4f6JZ+1+zL3/eMr5WHCyMey3t3QP4UZoco5pVE8nLFWI0b7nPXnOPp+QyHNe19/m3O0xX8P2Yc5NMDhnkAiETnFCESUxUQ1lu2OaVixFThNyJmFFwQ4bYOVn4DVjfc2mWKM/OMS5klUl6D45Z/gop/YJWxshswMqFUEECeCqAKIm3YcnMiYZgc1aHv7WPv7HFcLJuxakn3HaSqA6jftqQOUSM8pQQZPiKeKAyEAlHjcPdK8HJoOmH/XEMWgdSMyApr4bnAkZXdinHQyRCjQisPMZK7HHS9EyhJxbX3AlDuhlSQiSQu5YhowX3OdG3COhouw65tdfktVrFjojYMnWHX58yheMqKItD6aXdNrQ+JiptaS9ZYgiAjGn4hUlK1AJu+CxWtOLBBM63hdvKGXMOVM0GsWAB4KsMdyQUZCpYwocMZaZaHFioELRiRIfMirZ8q54xv6woFj3qMGhFBh6yqOa2NyizSFbZjRhnyMWnIg3zJgTMQAzvrRPeMe/5qF9QdK0xPsZg5BYHMoZ+k9XfHv0pxz5ClYL9laf4U8C8w8nfKZmDP4MzZRSbnkSXvGd5jmu3qCnjnfkDa85RPuGU/8lZbshSR+yFAbFBiMbMilZMGapM/btFR/95A+Yvh5Iv1Fjph6xajCfSJrQkf92hB8GtGqQqeRa7tOTkoceE2JaBEaPMVGNazt6CuZxIH4oGMcWdHOXW5XYu8WVwUZPeGnuc0nCWAg65blRJRUlafaSiR+QUpEERRkcuai4omMtZgxhgncCMYMwTWiTiF4MROaGlB2x2NEzRuF5sGs5+uyW4dZDlyHrhvLBNSffCeTxgv1uSy5eE80VSeXRBGhaYhdAAb5iUCBnIKIAowEdQ9ABUoGugBjCQYDakgRAtEgtsQXIWoGwd99JNWHkEC5A0hMVgBhgFlAOyC1uBFIGiD3SgDwGjCeyNdJbbs2Yz/wx1+qE2DVMwo6JXvIL8vOvTUP+slC/EuE7RWcSVLRjOB1zLRKcFBTf7RFdhrxuSN4R0EXEF5qi7VG1xStJGBdImTNWA+FXB8Jxiqg96Wcdw0OFPhWkUYM8K5j9UoM2io++EESnEnlk70aAfqFEvZfRmYERApWXhN+/pczGxH9vxLB9jfiTFHYWLxoqmVM8LZn9nTWiHBg/meA/WSOfjBm9b0mFQj+oKTPBR9/QGJ9jypT3JjnRBxazDkT/9ghV7whTS/0J8MHAvb+VYbqEMNe0D3o88Eru83se/pXTa45Zcq72qR8WfPzbXxKMQDwsSGYtCMeu6jDrgSL39Cm8zt7lR+673Evg0annl+tP0WcgjjLib3bs9TGhLZCdQ4w8sU5QTqAOWsSTJWKsKP9ujtxsYdsiTyLMPc3x3yhwbkP0N6fozRwqy7uvLVIk9L8Y4b6t2Pv3HOo5lMqhH8YELVDvrxl/r0Q+98hpTfatlJsPjqifae7/GxXZtwZEqZHvp9gPoXs0sPfXEsbzLeaxY++jFGMrxDcnqMcxm7OWRCWQ5/RxTbveYY7GrBNPEq14REdpLlG/FCEiQ6IrIhHzgmOejyYcvxvBuoUTSfT0AOVXpLOGe+2O4byi/WqNEGCKKcm/2cF9S0SOvBcR8gX5RCHiFH8WUHFCft0Rtgpvc4Tu8d8dCN/OITVkNwNiVoBYI7wl1R7xQUd8qhh/V6AeCXSyg0eCR7MI98CxPM3ITmbcdoHxb09JPlCYe5rxXo+aKniQMb7n0U9j+vck1SRi+m/l7N87h3s9Z6MS9YM18tc8RSqRXxoQAffeId2+Yu8/ALwl2+bU51t4r0QcBVToEKol7DKumxnuN4/4+OMG6gt0PuYiu89zvsdOeA6Prnj4r4Lq325/3vKWt/x/n7dK9XOEwN81PWERWATDTz8xaCI8HoRhCGOqcEQX9mlsRhVSLApjHM5Ldv6QNadYOaMLih0FVmWARN1qon1DF1tC5kFYZNBIYrx3GNsDMcNgSNBMhKedC9RBgTI13u2wnaDfZGAmyOOA8BVmsBh7N6mF6xG5ImPJiR0oNz0mzih3W5JhxxPV8sPsKalfsd8tyTcxU2443TScfb4lPAWxEJg/lUzma5J/Z8abieSez5hsK/pwTS9LjD1CiIFBezyCyO94xCUP/Q/w8sf8i/CrWBFTW3ByxFKece0VCEkUBAJLogELxgYyLEpbrNBY58lESyYrVsEzCJgxcKNuGe6dwNKiRU73siJ5krOISjZRgd0JBgFEoAd9d0LKNagikKkOJmsucsf+I9ilPSBQP/sHanBtDm1G2C4gGlP5CCkVseuoY4+LBWVaEL3pUJcVoVSsR5K9NMYaSSsjpDRYRqw4ZJCBjC2F7FkFw1Ycsg2nXIqWWEgqSjaMyNF0PkXIgisOeR7e5TI8IhEVj8Wadf1DnLumVgk9gdR5/DDjJn6XZRSoZi+Q1FgfI2SHda+QBnolObOv2eOWS32P1gW8BCl6kvaae90LCqOowxmD3sd4SQiBXDUUYYkTOcfhDdoN4GEU7RhoyVHshxnDkBArzRP5hjFLOi8IdUEUebxs6FVKLwKaHktM7Q9IWPBYXjF2zxmHjis+ZC2eosOSuHXEO0+yp0gICKFxoUAsax7bCx71F7hzi172hDKhEoYbCnp5TGcle7ziTHzB1C/Y1j0PyyvO+IpBvUPuDE/bC9J+jUwkz8UM89M2uVhA5zVTFE/rC7755ivEJxD2I/pEEvoG6gT5xuOGCYO7RmmP8opOx+xUdjfmqU9Yy55GW06TmmQREE1OVWrOpx1ELZHzVF1PkQusMmzimAv22FKinCEWLUpINBGtN1SRIQBSQuIUmZQEFeiEpwmSoFKGICCFrpRsTQoIDtlQckEaelJG5OKS/dBjbjziwhCaPfRuTSRa4qcrXNYhW8+hX8NW0StFFcUUZkpdrBjMwCgf0URzRAbR2KNninUqKPOMWAqsq6gjgdpL6HNP7h1aSYLy2Bi8sURZyk3ukVlJOtki8egiwpcSjcCVPUOAPDXYvAUJKjNcZh6lC0xQjOwO13rW8YgveZ9z3mMkd5z6z9gPc56G73/dUvIXxj9tGF7HtA3IQrFOEq7DIWJmGd9bcVat8SrQ5QKpB/w1uC6gqwEvwWkoQ02bBvwHMf3BAHOPiwLReIDI4PY8/eMlu0eBUlzQFQPpoaB+V3OjPPLjLdvJiI2LGIWeR26Objqq3DP8SsWXfc29suHwk0CIAmv2aU5L4l/vOZwMxA83XHvHvdsV1TdiFpFketqCHNB7Dq0XuMmWXdwz2hfIzLH93kC49ezFHnMTceUgfCNwenWF/0mPHFtSFNc84F/GH1Ie7JDD93njJ2zid3nyK5/iVSCe1ThniUSK7SKSvkfGAisjrtQ9PvcfspUaOX3J95LP8IcOmW/p/AChx1QtAhhysGqL6wXxyMER2MzT/fKS9EsYHEhl8JOe5KMF56mFv3bNonYc3ErMeQBqLu9J1vemnP3aJZMHDVqCP/DUaSB/UtOdgywl2gxUjyUvj6eYXjD+pY7ufcFxdMPAwM2Dgn5f885TsL8M5sM17gmsLjx7coU3Nb0MWAFWSqSAoRIYXWCjLZkIxGHAxEvCo8CisVi1oxAVQxCcm4Kbo5QobqnvVVxFjrEdOKhqTrdLuq1FzS0GgXxqaH9hwypzTDYDUR7TC484FYi9nmrUUCY94dph1xD7DhK4eSpJTiSV8ej3Ww7biu7cY1yB85bwoKEzcP54QnIEM+tJ1JZLmXB1luP2YupIsO4FD39xxXhvhzmFPhZopbCnPT0944OO3XjMs/0xD0qBlpL9pIGqY1h2JE8GulNPddQyqgL1A8lXhzHxrzvezzaoLwL1zjOaLVk9EGwbT5aCD5rKzzh/Z2DywPPua3BesU0mvBRnIG54VTyDvGOQgl/4usXkLW95y1v+HN4aNT9HeDQIA0LQe4UmIogAskK6HdBCCGi9JRZLEt+ibA+iv7N2vEepNam+IOca1Swxkyk1PTuhCesEsfUYwBlFcBmSEiEWEDqQ0EhDJMDHHqsi6iGlYYeWAr31YCckHrxU3JgpV0rTyIh1nLAuEk4agXOBr7L3+cw85mxYU24Doh5QgyAA0U4ym+1IxN2GtBVTKlPTjQTSgN0KOnnEs2ZHvrPs/ThwdM9jR1tsIig3luO8ZiE3DPExSTAc8Zo0RER+SxYMxt/QP7qAb8+IEhALydXhQ36iI+LQUrLC0CHpcTG0UYLAkDU3mNyyNhkX4hQvSopwBcpxJY5YrB6ibhOU3mJ9wHcPeNNuidKGVbBMzIYJW0IElUyIdhWxyrG6pdnzMOtYTjX5aJ9hrwJ6aL/e5+4vg/y5pCvc3WmHvMKVA0FE+AB1GfE60YyzMw52G8wrh0wzDvpAtxfR5BD1Nft+yZvokFYa8B6vBDdMWIhDKqeYytc88a+xNsEqR6Ru+ZDnHIYbrjmjJOI79gfsohcsEUzkC8Z6QbywzBYVu31BpTSpXDEOV7wK7+J4j2OeIZTnKzlmlMMjfcnh7opJ74hyg9M9I1mz71fM5C0lK8atZ6kDMrMkbDjsB6bDhqOmYZIbZNxw3F9QakuDY+R25HXFqdjwMm5YhBEntmG/71hHGevxASouyOSGheq5UR/zRh7ThIySjtgtSENPrUsUp5zZc2z3BSHdsdfd4rYD1hhCWDORd4NirUhRUsDG3c1HrD1uKwiXivvLio/2XnAtJaWcokJLJAZexUdQLNhXA0lwvONWJEtPdhHwO81EGvZnF0gjEEKSsONeaBl9CvfPN/hLUEExvDZ4ofEZhAc9fRJQU8vFoWEUa8pGY3YbbrKOwcTgIKgarQxeGVwTYW56TmYVnZQs9fQu+5w1HkMjMjY+QXrFgbvADIJx1RNtHffsHJlrorTH5oFIrEnknGuxhwvv0IUTEnYYVmgVYAChBlIqHt4K9hcGmw64TKDsknW6o9cNt2cKZXuUuyCEgThWFEvLYqyZpwWHlwViuaEdC57PRujkHebRQKd2fNtLJvMFxQ6ag0AzdrhE4hPLYDybNGY5HbOR+8zjGc1szJFquL/8lJN2jg6CEHWEzOJpuJ7kbKISVY5I4xrtA4v9ETfe8Ej1HPOCqFkT0AzKAjE7YoKGkLSsMcSi456/IPIrtGwRQlG4+mf+X0ebxagbwbQRyI0gPvWUVqB2CSLLCJFl3ga2p/u0MhCXHcOgWLsJ2JYzt6YfYF4kRIcNR2mHVDGys0Tt3bjIkGm6qaJKJRtT8NxI4jKFw32utGWWKKaiZ5Aln+aa/p2M/e0bbKqYSEFpE/TgCbIjEoIipFyLCcvD91iUa85MoA2XuCLh5d4RP8wOmKUTZgdfMugdGMNZ3JDYFcF4+lSictAvgasZYn9Dwoxnac78fc9BdMMsqjloe0YSXNpRC0EjMzSeYLb82eFDctVwSIuwa6LeE2014lmOSz3qLOPIXPAd930OCBx2z6FTdFiao2N+kDg0HUdNiwOWpYYQMWks+0kLpiEzhpAGGuvIR3eFB7sDxS5KmReBdTHmhZ4wiBNgyZmZ40tYyg94dTyjzK7Jg8OMRlxrR1FGTKf/F3tv9iNblp33/dYezhBzZuR856rqqu7qSd1smiJEwCYE24BgQO/6Fw0bNgSYMAzZhg1YptgkJYrdrGbXrapbd8wxMoYz772XH6LIZwluoNjs+3uLlwMkcseKs9f61vetOPc73HrN4jbj+HDDelTw+YNTqkVGMRRk7XPmveezeM4Xx4/pv/uCD88H/HRLJw10Bfkj2HQN43zN6HJguPLYRzPKaku5rbk7PufV2FO7eyb0DOmATVryQXrBxeavOc8jt8UDyumAA1K8oGl7qO7IMax3lvkuQ8rAkCW+PDnkyjtGm8CTrEW6QL4QwqHw5eQQOzqgOdjihwRiwCbkIHLqShIROQy0B5H4dcP0xrJ2ie6jJX99MOF2/oDRxDE7/ZqjOOLPn46pT56wkIGCkp3reDuJDGdThuVA45TDAdQKqVd45ygee+rpgr+wP2J7ccCPiq959GZH97wnnx3y+rilMxlmXdHZNVt3xJvFM/IiY3ywpfv+NdtZhJuC8rJBZhHNIzYECGO+Mj9kNyvI2glLfc4DXZCk45ILkhxypeX7Rs173vOef/D8lr8yvec/B8UABaglCUQFREAGEh0WDwilvWMhbxnHFtdGvI0MZAw2w44GpnbFjB1pt0MUItAF0OuOuPLUuwq9yIEeVUXVAglMD04RKkbjFoYJfT9FnWJtwG47pMkY798ZWInjbXBEZ+k0IyymGHHsguGl+5Dn4Ywz26NNQu+3oDkxOuzYcGQHDhn4KuWktmDnwTxw8DnErdIv5vwPv77k09bzgz/5mo/+MKEPFAkZ6XXD8kHGu3zF2l2Q2cQDueMgm3zz9+bkpufkhxmjySOmi57dXcXd+ISvZskAEkkAACAASURBVELBa0p2WAaMVaQ01AaSKtPYkWF4LXNeyAkRx6lsgJ6/TT/kxecTzJfK+OmYdRT0tfL17jH9wXMGU3BEw0i2dBPYxpKj2x6SIS5yJhcd/QX05ZS7fMaDJ0dw95bY/PZ/0fXnO/x3HPbEouOW8mhGC3TG486OWOdTXg/HfHBlOP5/XzDKGvRTw+7jBfdZYt7ecWjHJAI1lrmCjR23ZsIVC6Tf8Ky85yPestUSTYoxAz+Nv2BsahqZMtYtZ/4akZwvWDJ3FaO8Ry97yrd3hIOSapLh2DCj5UZnvNWSQt+RmZ6v0zHHPuNRuGT59hIjBZp5siwx1YFZ6Fm6yFIj1PvJqxQeYxPerjnfvEQ/v8M8zfAnDYdyxZFV7m1JGbcc3L3lYLyjHic6s2DSduS7itv5KV9PnxKmM0S3bF3Ja33CrR4i0uGIFHZLh/DKHFIqfGheYdYv+M60Zd5B/yLhPp2CrTikJ2fCvebM1KCXHVpM6C4j7s7TtTWTjweezgrKvKSRLd4oW4Qv/GMm05IxN8w0cmru8bUS/6ajv4z4aWBx3LFFESmYyxWP9SXyl7fkvxD6DvLS0v5yR3cP+ZOnSH2H39bER4fslhBVmL8NFDdXLJ4ueCOezOUEEtFG1DvCOuCfv2TyIKcan3BrTsEIB65k0wdWcsx1Khm11zyJr1gyUL+pmXwljLYCD5XRp4LOFCsD46C8sgV36YTezpiwJdeW3CkhQMoSzjbwssZ8tsMcVsiR52ioWV4I7x6NqD49YylrXF+TfbSA5xvCixXpcM7t8THuRhk/v2X231yweXDE6/6UcHRGq5d89G7N+MWvoM7guwF/MWZ50JGG/bqN82fUPOTXwxFf+E95Mz7hCa/452bN0f01HiXOAn65TxvbLp/wenHBYEsOzCUmDryZfsrnqaDrXnKe7ojXa4zx9FaRHramIBnI/YiejLluKWXHoBUqOYoyc+nbLiX/vymKJeH5G7IOup8VqEIWeuLNwHRegAR2wdJ89ENuSZybRIoZdzyhiLeM+1/Tvw6ks3PC2a9woaI4WFJvV8SoxHaAwwL3gWHBhGt5RvPRA96aGevosdmEevgbZlxRpwk3o0fMn8z45PEKSbBCOA5HzKotaX1N8YHBD4nLzrN6/FOMr5lsv8RxTXd8wOfL7/OF+5gXIfHjiwOyhw1dGjjWd5xKh+oOD0zGBetXLelOiUcR0pRV+Yjsx2N+8PGvSC+fUwwtajvAYNQTg2eSG+514O3R77P090yrr7HNGhMHZD0Qf16TgiV9XDLPvuAPForf3bOMt3A/0NuM9cPv8OrokAPbc9y9xTpl7ZaENGJUXZP7a6r6DcF4xsWOKtbI1GAWAfv4gHDyiHGhvDUlN8VP+BUP8Isdub8ka7/mJh1y8+BTyotrvmNuoB9x5Q750mz5vcMbtHhOeL4mu05M8lfYJ5/y5tkfcJs5Xr95w0f6FWWwvBvO+bn9PR783h9h0i8pzeccHsO6bTmbbNGrV4jdwKqg+fc95jin2N3SPR/QH1hunhzSyIhJTHRpweVwxI/cV3xUf4Zxx9zlS85HG2xaYbsFw01HtXtHPp1R3RjK15HJR5HLsKF+9DP4/gNCd0fkHcfDV0Q7cK0T6vzHBLfAPbtl5CP3TFAdOJQ39FKTKSwvxrgrYf1/Niz6HbtZpP5v/5Dnxw+prcfHyHJ2xqu6ZfvJI+oEWfeKQz9llXKGI8/42DFwQ2SAMDBuR3A1EL9QducDb4ucv5z9gPLRH1KGf83D83/HwXFNnB7SXAjZ6ZTJ9kuqmwbJT7kbPeULlA8ef86jTzZkg+L+7IL29ms4iAzjQKAnpjlf8ISmOOV89zlP8js2cseXWO4oadOI53q+Xxt9z3ve855/wPy239/e85+BQVHdx05bekR69obCDvAkBJJiSVgaYIeTFiuByII2znC2YywVYzqsKoMIUKL9Ifvx9r4dZAeBvt4bY/JNo0YjiidgSApJMoJ4RC0mCQjA8Pcx4aQxRi1KwU4y1nJIVMs0KrkqThVHJAog0EdLnSmUni4NeBNwOiAxw8Yp22LM9RzCBkoV8mDIYsG4bpBe6RF6Y7Hi9hN4AWd7Ej2GhE0QbM5OD9gwpnc9XXFHny2I7YhBI1E8vRbYIIx9jgZHUCVoJMPigmKJQERREoozDqsBJxFjezAZMliyXuh7wygkcjUgilfFE0kCjc+J1jCYnsa3ZC5hhwzRKesCymnH4S6QmW/nvP1GiUqykVAmKMDgOOgz5oPFmo6MgXHoyYcBsUryoBYSgtqC3o0IKmSpYcyKXAVhf7YUg5OIZSDKmsabvZmnKMk0GKk57CtCv+LYXIIxxAGOqxanggmGrPLMqgnOQnSJTCPTVNHGFu8HsqTMgzBJCW8cRbAEX+8jaWXBhjHBBEpZM5Ia9QHhjmUc47XgsE8sqggDmC5n2g7k3YrBKoOdsAiJxX1EgyWYxNIop40wrxI308BgK5IY8qAYhUSDMxsmqWYWOvLesBgSU5sYRTje5rAW/OmARItGgx0cs7ivFGiPGqhHBamAfKQEpxQSIQoyGIoE09QwDw1ROmp7wpYTMtvQyI4iwri9p+j3htxREjktxeCY5A2SehZsOKsG7LrDdZ51qcTRQHEnFBuHmobLZaBBODQLdiZiQoVt94aVvfbMYsCHKQfZnEkXsHGLS4rvHXlfYMceqwE/BDLboV5RAjZ6xo3noBUmg1CuLbZJ0Ck0gkk5Q4yIWsZAqYbeGDppmUqD1bA/u7r3QygGT+sq6rImZAbNhUIj+QAuwNYYbOnxVpl58A6yBOO+p9BEZ1p8HqldyVt7xnU+JRqhZsS1Dcwx4HvaIpGL4hWGTOlcoHE1XWrQKKhxNF5YiVI7TxILwWBSgVGBkKMu0kuPiiOPAza2qO/pTEGUhNH981NbUA4FuSo29WTWUrSw0ITkFdEMqAQskFPhzObbrCK/Ee4KYWTZKz+TQ7BMYkBChaEGr8SgYJRBKkLeUMfEjTsiGwZaGoZ8zVBOMKJIFDSv2Y5bpn5EHiI2Oirb0DvHWlrWGrk3llstMbbARssoBfLY00piV0AfK4IUDD1431GPtlgHRePJWkN/1HM3ChiBwyJnZgxEpTdjKjclyY6NbXFEtppzIGMOOwG12MJTlh0xU0wUisHRxsCQ99wbz9pExlbx3cA0rxiko5XAIDPKtuHA9fwiXyD2kscu4DuHISOaliyBqYW2zchDyyxUuNRh095oXMWCi7Ruxdpn3EgGZmDlMlpG5CbjwLakXcPcFCTXQBQIM6Ra48aO+8xzXTasTc8mjXjJI0LWccGaU4HaenZuYIXBMWNqLEJFKddYuyPagH5j9ZYBOxnY2UQjjk4SiUiSjEFzom3oXGSVhJoFRpSu7BgjiHNk0aEIgwebDNJasrYnTx25aZFhQBI4GzGmYdJXPNxsWBdTXk4cXnLmWrKRAm8DaE/wO9ZemZOgKyCUrHxinQ84EzgkcTIkTO+I2YhVLqjtyFVZ5zMuOcDTMsR3FAYK7Rh8jS9ybLTQlUjfYG1Hci2ddbQyQckZRi33fkkdLclUHLLFyD3J3rNyDYGSpJ4ZG8qsY3DKJDlcl1PGDvyGe19wZWbcT3LwykhuSfaAN8WI08ES0xZnlMEp175nlCdKMcxsTz7ZUvtImQy2LShTzaWP1DanEMOxyZgPNSfhkmu/YGcmVGbGrZ5/q3XkPe95z3v+U3jfqPkdYu9RM7B3XBH2HrN/10gRIID1tLGk1oKsaHnwtGLuWpxOefGrGlsLs7hm4tcsz3NeSsIAl28tsfbgekw3wJsEXUSxJNnvloOjigdEMlbdmsJMsZohMttfmqdjsGnfxBksyY8glKwpudLA1cEz+LMRo8mW84s7ctcSjdunVY0ztocPeHM+ZZvByhyyk8Qu25Lalq+u4M8/+YDmj57yYV+w+Z8rlupYkLH+qub8haD/ZEFdOObHho1NZJMpx7RsKRhQYgy8ZsKL+Ixf+4c008jBhz0xGIaXiYMPO0recK9jfMqQXc3LL+743rOCpm7wWaBIDs+OI4kcUPMVS96J5SJBIbcsn8Hl2HL/b3Ys/mhEyl/y3UXFUl6z1inv1omxFPRfwfBdaCJM5gY9SMjccfXLyG1Yc3T4jPKfnTD8P1cUs2/rxP0G+cjA44h8CDor0JtbHt7eM7qDdtTx7CND2b9j5BXzU7AfTWG642B6TXenmOkpuR/xQ15zIWtqt+SOBVONIB2FqzhhRUFFZc6pKfHsL0dTTXz08nOevvk1+dE9Q9nxrJkwaR2Tfs1Q56z+t5bxL+7IHiWaD5UHi5b/Lq24221YPHFok3h8/Zx5Aa68h7EhP1CuM+UF57yQA6auYdZ/gfQtaW4Y+Zbvm68xz1vsrwW7bqnbSLap8T5Qm0T6cUV5MuBfDlR/0rPsrpj116TdN2bTPwnM/oXjYlyT6zEuWSpbUMqWngkz2XK+vWb2/I7uPzZ0VzBe9xSbQH0SePnHax799IQ39znTP73l5J8tua4vCR0szpa8+/Sc6x8eMktrHj5t0H/9NUUm1G8ixXHibPqKwiauhoxidEKmytQGVmq5Sh/wo83fYPoWfpQxfpYwB8oDSTj9Ei9w2AXCn27I/6OSL2H5r44ZxlPc/1gTfv6O4p9U9P9ioNATrvKCVVpwgEVWL6ETirrnbPWW+uVb8m4fK26vwDrQrwfK5xvOnu44szn9bYf1ShrBQb6hvv8afbmmeBXgS0htwD8zMLPc/VIx72pmf1ywGzVkh7eMzIyRnFBIZK4rCtORFxNsuyL9eU+37ckelYQ/WnDnPU0ZOW1q/H1P+nLAPYXt0xETCYR0T7kU+lthVDU8bG+wpzkyyvgPs4w3LJkZS+IdHQWVm6IzD26gd4q/rEhvA/ahwR0ArJgYw2NTkxEp5Rk9K+wo4EoLbyP8TY/WET9puTi/oxhVpH7M9M0LCtvhLzzYM87CDZgNxkL6v94xOzaEWeT8gxyXYNyOGO6uGD08YnegZDbhWBFV6OS3f4T95dTxs2dP2f1PX5E3R7R//ZbvPbRsqt3eODb3FC8DZvoF33vmOKi+oioy7mRJEpiyIo03mOKe5mUHV4ppN/jzjPtXNQ8WMy7/dEtxYHBPEhRbZrZB+56NXXCv8FESjn79FcmMOf3gDNEG21suhwlH1ZaSG8KDDr+a0v1Vy+i04/jZmg0lGj1bI3z3/BT7f1ySnV+SPfoBLlfGYUXt56xYUoctE83ZWMuNK3lwEJifKfW/vWE4goOfveacgl9yxHU8oL97S9jc8/vPLlFWzHhHNRToL7/kwaLg/37yY87cDctUwY0yLCOtBtx4RPrLluJNw8NPCzaff8HhvMDNe/yZo3zeMdq84qPjI66Yc80YozWWjkx2BKt0YrDrjtH0FkzB/YuB9OUK2UXW85otJfV3FSM9fZ/xV79aEh8v+fH8OUeaEC04l3tusXzBOUuN/Fh+xUX7bzkPwihm7D4XiomleGgh70iywhMppcEOJbsbx/xBxSfmMxZpQkgZtSyp2ACBbRoTmgnp1S1iDZOfwf3qNcNXOfbLiC6vOX4KI2khGEa7NcfmHRfDHbN/F+k+WhH+4AkbO8ED2xQ5HuVkZsLuZMfkj89YtkvCn3yJHxXMP3XcY4Ap65svYeeI/6ZCvxuRPwz09oYkC/4yfsS1HPOxXHJqXiJsUCJGB+x9YnQXaZ3h8Czj8hc/56fHE/4sOF75I9ZAH+a8k1NWFJybxAM+4xPzlnm6omPOK85xQ+DRNjKkS2bfEdq/HZi92vDTT94xKX/Of+AxlXWsHp/x4J++Q5sNy/CIL82Uprb0q8gjeYNKZEPGRha8Gp7wxL7DndUsfuRZ/e+J7MRycXLDfXpCb3OuJLKUjB93r5lt/gyWP+Qv+BEr+YAqFvCPYYj1nve85x817xs1v3MkQFEK9r9S+xdnYZ9Eg+aEtCAyR23HNN8y0ganBu0FYUKQCTVCLFqIgYwlVShJBgTFakAjaPrm+fqN3F0hmITV/STQEUg2YXyHREVdANfjDBAiXlosCmlMbWbc+gW1WiYJ5sNA4SIqgdzCMAivJlN+NX4ExkHIiN6CdJgUGHael8WH4J4wCTUTc0cWAjk92gD9CA2Jre1IsyM2SYhimcctGQuMzRlUuLaPWNucG06xXDPLIpWOMUPDw90rjotLLu1H0FnmTaKsFOkzpA9ksQOr1HiMCuN+wOWeGkuiIym0rgJ3QLovcTuPuHcs5RWneo3jY2IqmLUzJivB9GB7BQOtBxXLcgOn78YUE8MwjVAC6bf/baQuhHwEtrAEtcy6nqzqoRKcJCZ1xHQrwmDQsRDHAZ0oXiLTFoZSMKbhjHsm0bJ2DYnIigI1wjxdc5ZWHA+GWzegccvcVJTOMApwsrnDXLb7BIoyIs0dJgGZkIaCyQZyX2CzmtHR3veJtuKiTpjlFPoBt24xCfqxJarBq2EyTJjJKQfDhKW/4aSpsINCXpBrx6KvyXY9aZchVaQYwNQO9R6basrOMAmK2yWajaCtZ7FuWawimXHox4blEBi0A3VEybCyBd3Qy5RR2vC4ec3ieoO5VNorj3+j2JiRi2G+suTNIXnbMBu2uJ1yvImkDvws0Z8ZXpqe4wTHi458/I2SQ5VoBZ96jCYsGS4FcqnxRG7FUWWejYORB6aR+yLgpwMw4LTHm4FxymGjpH6vpNmcdbweZRzPE5MIuQbqw0R0A+sukMyUmDqSRqwazJDhm5bsWjEDUARChBTBdkCVGPUJ4xKyS+AENSD9HYt7QRslbDPSyhA0EGwiy8fkLeRvK8xuRuGbfaJU6jhMK8R7JqnDpp5UgDEGUyfKDbS55WZuWdkpSKSLAgGyXQexZJMbEi2mUsTkhBDwjTCqI1Eq2pElmpJpEg7SFi8rvJmyqCwiHeogU6WoCmzYQm3x430csbMDk7imkJdYZ9mGnsMhILo3lTerjMXUkNgyrSylWzHUO2b3O3yWMPGKBlhWDS4mCOA2ljkOlUjqB1KKmN4wWtcMxz01BUZa5qmnI2fD5FurIb8pgkTE56QEiMFUCdPuTaU1JqQvmG3W9PUa60YM0RKNZUJPT2LjI25kyXHQeKQTGMCKxQwZ0mYUvWJbR2dn9EbI2JLFOYPNqMnwJGabitZmZNoSzEAfLY1MsWFLqQOd7COITbOB5o5cjjCphDSltRv6Usg0MOt25LEjSMRrYqo9Vip6l9ilxMCAmJxoBGMgGxJDZzEaCNLRURLiAtMWZNZwMDR4rrGsUI6wKqQAjRuTY7BDTa+BTGsmIWHIqWKLhETWK2UTyWdCEgVvUImUroVUU8uMLitxKeCpKdlSsE8/i40iZbd3Ge4E6fYKRB0MXZrS/r3yJWeIY2KaMDAiWY9qw7jfMGQTrrD0IizTjqdNSxFBe49vPNIYrCQ6AwNTIMfbSJSBJlmsCHNWTKXnmiVBDJBQLWmtx4hl3CYIEVNatFFo9/9/6QcKelLaR9oPrkQRRITYQwoDKCj7BEpPIJmEOiFJwmUVWId2iuug7gMdBaIObQ20FrcxpH5BIwdsaVA54s5esKYgcIMdAtZHLImsBekzkkYGG3GqTONA29eInyCS6HTMyi9Y2UfUIYK8wdJgaBH0m0zCMUogDh47CM6PaYctdohMpeLIvGbMiFrG9FmBlIZmpyTjqYwlDB7XwEi3jNnSckSrpwR61FxifcKKRfoEfQs2UElPIIMUQARrAsWQGGtC6EhU5H8fpvGe97znPf9wed+o+R1CYS+cEQgpQ/5OSSNhn16CB5W9CoYM1Ygl4kQoZb/uEBJUZAwMOOlQO+KeIzQv948Sg/oczQRJBg379R5QkECWQyAyOEMygsZIihERxfYDaKKLQJeY9mtOXEuuBb2ccqlHdAcjJnrHuLrhJF8x1o7qHtxDx+10xEvzFDeU5OzYMKPpjpGbQ/RaeBce8qr/KaO04Q/SV3zvWDjeZFTSou2A04FQTvgqHbBVz4TIXCtuOeELPqS3kMWWSnPW9oQkFk2B3EWOj2oeubecUvMXKmy9wXsoOgXXYWcZKUt0qaRW8AzMDXRhxLWfYyXja3nMuppSDEpbJ6QxSEjMY0uWWto0YlstCEWBU2UxDBQFMFZMaZF6xN0vA9vDxIOTGvU1lAV05bd36H5DuGiJVUB2QpblbDYtPlcYKynfe2SnQUhJMDuLeaXoiRAGSzFJGCri0iAeRlEwwwZ1hpjBHRW5WVPqmnm/ZuJGBEmc0FKyAnr6GswleO9Io4jdgEYYJoK3giSFzII4wrbHaUJiQdh2+MuAFwirvU3TMCQMluarktUXltNHX/GjT4SaHdF0YAW0w+KIKSMtDMPTBNeW4WUgHwyUnr6ryZucfCtsq47wyJFqg+0iqbXUW0thAsO6ozx2qBvopCVTxyQ6ettS6JZ8HZBXFl4H3HrAdh65i9it0GdKNRrw24RTQa73fiQSoL/tWRxWPPA1Z03EuUQ6EAgGppY6B19mpJRRmSlqYZS2DAgtBQB3Zcb4oGCaOdRVhGwgOGEnMwyBJYmijKSfjdl8OOLL4oAre8jyaUX47h3NRc7GeawZU4uwZsIqQlW9YlTVcCjEO4+7TuiQiEVCrhW3AgoLLhEjSJMxXHbIyBISZDFirw11rfhnnpRFUlGy+8RR6BnxrzeEVcu8j0gP7WDJXc+5eUfNFCQjak4zdJQKWbK4IeKToaegosSI0osSxz29S9z4jFrm2Ngy0htGecYw8fhS8b5CBbSCB7tb+vhXFLmQ0fNot+JhrYzPFa0hv4XkFbK9uXr7yuDKjFkGOS3q36JlR6iFeXWP9QkmEO4TYg1EGK4zIuDHHW4wtEnx2ZpHE4d70xJ3gTSCYa2Y2witJZyCn+Uw9JQzQ5WNuNVTJnrHXN9iSEQpvsUq8ptBUDrbwxnIeEuzPOTL8gH5obJMO+Q2UkSLDJEbcr7IfoAV5d3wHWocm9xzmi55HCeIvSYeWZKb0dc1pQeMY+iE0BW0YUyfGzwd1uyoVLmRJXfxnGY7wpZCqxm3csjz/EPaKqOLr5AM2h2EVUc5gWzUkoxScUrNCOOuCJOSuhGKjTDSGxp6rE34fkfIT/gz+ZjOwKd8xljXmELoC4M9SPg8QZ8xpJxkB0rt8JvEYPftkwXKQjuS1phDQzPJeK0XtOkBvb1nu9gyKTNcCFg64gNLnFm6oqCdG1Z5CT5RjtfkFvrbNe7ZOY14XCoYi7LDkmnCSE2RGsgFrCekjuzQQyOIUVRgzZgXaYk3a1Razg/f0eY1M1bM05rOOZIs2LBkS85xajDbjuFWKRZCRyBNIzJ2aJGz1hnveEgv0KWv8e3AeKTYJJiUyMyAmp6tOaRnQkonPGbDo9FLekpGpiG8BDSDsUN/7KmezKmZMahFF1DZJW+7C74YveCTHzq6WUQQ1pxSc8g9I2JeUZuXzIYt2SbQVVv8KbjznG5sabRA04Bm2X5dNSh9a7jTQ96QM9IRo9iSmXuiJF7ykDNtWcYrRAxpOWF7XLMc7dfi40qYdgNLc4Xg+Fof8Y7HbGXCgmvOdc2IHQ0j7uWYt+kBWym5MJdoATRC+y5SqCMY8403osEMgTIbyBSkE1ynJFW2UjKYkswKnea81jlv0iMmfckz+YpxWGHU0qmQHStMIn1R0lmPJVJqTZEP3NiCXT4CPI/iGyauZWP+MUiN3/Oe9/xj532j5neOBASUwN4G+O8UNhGowUBv0r4ZIwYk4Ank0pIbpVFDRUJoyENPGHtek3FSTve79oMlFhlmClLvBxoJJREBpXA5OxE2eeQIS9EmaA0uGUzVocEyJCAoB/2Gx3LD2F7Q6pTX4ZgwP0a2XzOrX/F08YDxkFPdw/QnjvVkwgue4MOUuf+CWzK2wzHD5QS9anjVP+KX3X/FoW75p9X/wk8uDJ16KgSNHYoh2Clv9IStsVywZkzPa33IL/kuxkZGwzV9Elo5pjcG0/fko8T0Yc3x+DVLHQjJUXmQUnEkkm3Jp/uLj7RTSD2l3LLwFVUDb/wFO8l4kR6z20yZDAPtrsLWI2StLAaL2p4qOO62Jc2RoBYWXYdbJhg6XOGR2ymv/9crXppL/uBfHnE3qpBFDtf+2ztuvyG8haYGVyvaKe0qMj4EN4Zo95G3iIHkYBugjYiWyJsGdwpR1oTxCPKCLCR8d0/pBjIJ3Oma1tYIHT7d4awnd8qMCmFFkIJ2DfmbgCsDqTPY1x46iEc9mRPEA3mitwOhVmwE1NKuBXPZ4Yqc4T4j++Zze1GSfVZy/99f8uC/XjP/wT1/m3V0sWOwBkwgaqTVDHdcwFFDVWbwdoCQQVSGpPSNkO+EVTUw+d6Yfj3Cfr3FdSW7FxWlWrpVwA0ZyW4YM5ClMWiOl5ZZ3ODuA/raI68TtlMIwOsAW0Pzecvk4BX5TLEG9E0klh5iRF5vOH5Y4lLL8abBjEp2Fwq94Od7rxXNc1ZpysYuABhrTcOIoFPyNGY3OqfyjolWTKwBiQzsfVdUPSnu8HOh+WPLzZMLvsyecsOYnzxd4W4+Y/Mg487OmKQpg1h2MmLTjWhXU/LNFj9r4K2FawNNRB3IjYWVh8MEJsEg6BqGd4qfJ/oBTJVh3mVs85rz/8LBaSRfWC4f5tS7I+JkoPj1wKwfkGBIESZ2R86GK3oaGaM4UhswUQADKSJBieqpTU7EULiWyYESreHGCTVjfJqSB8t47KkvIibb4m0iAabrONt9TdaDPSmwSZC7jkkLPDLoSyW7jeh2Rzgw2E2C6x5TtJhDQ2EThw4W+Q0MBokBc+oJC4O+bJB7t29cvYjo7Qp5ZuFpSVs3+HrN2SG0L7ekLiGZ0N4PjHsDb5TmkZIvjujqt+SHhq6EWw5wCi6+AZMYNPvGi+y3l5Acte2YPQI7DWwffsKv+nN+4NccVYK82OFMztAZbuIBf+5/n5I1N+mHrOyUqT3kbQgdkQAAIABJREFU+9kvOY4rijIQx57IjPVwx6OJBVpCC0MzpYljIj0lPTkNXfTc+AdcDRu2mylCoklzfk3JyC24GF7TD6ATx3bX4a63TA7BTpQknnWcc+1KkvG4YgnvviC/zZmnLUZarAzEQVnnZ/wpP+QmPOBp0XEU/hQ3KqhLmJ9BVgCtJakj0ZKZLVJ1dBg2gzBloNR9mEC2LLifFbyWD7jlmK3JaCYlvjyi1Tucbpk+crybe1alI51P2EYhz+HgcEMhhu2rNZOfFmjKIY1QMjYyIxPDKRWZ1NgS8CWtbCnOHGYlCIpPA5tQ8Jl+hzm3HJuajx+seOVqRnrLCbd0WK7knDdccC8FRt7Apqd+q0xnOa0R3DIik0goS+51yhvO6EkEDvC7xHicyJJHUyIzHSYl1nLCnZxyJ4aeFyzdL6iXgfmmJQASPCxKVt/vWS3PWKcpZ+JoF4FgDnjXnvLZbM5/+ZMxblNjY8Y7+4A7yehdyeDf8Tg/YLG6IXu1I2wUHoE8G7HJlR0FKWXU1qDaY1rIKssqLnjJCcuQmMqGqX1Dw5zP5AO+oys+bCPJRMLpiHAGozzRdUr3NnFYdzxwt8xouJRD3nJM0AkX8oJj2TKhpdOMz/mYX/B9RjScmxvU7SPN+suBIpZIGihE9wPE5PD0QIvGhNlC1iqVP6DPMrISahnxynyP53zAs/iWhVkz73twBXfScXwBIXO4ssQni9oNhb8in0XuuhnXxYJc4Um84di2vDW7b7GKvOc973nPfxrvGzW/QwgJUSUyfCNPjYhGNHkUQanAWDozZyNnNBySNFKmFSN7j+sbQjzkjo+549+DCBktwhYjcT9xMUJvO6QYiMYAHsTuk580kWnFIXfYdMOFlhRqmaWKZVrx0t0h3lMYyHTFSN9QRhiFBufuUR+5KQvyAbwLmDRg05hMgRAJkrGTGYVkHKWGqfaU5gZTfI6MboluTF0/I6QVuURM3jOMVrR92N8dIqSYY2UKsSU4w8ZMyIbEVG+4lykbfwp9YMIa39/Q9EKe1Rykv+Qk/JrCnOA1Y5I6xqEiU7A9TFPgnhXGzGnMlJw7PGtGXJLzCCFAckSj9KMBJpeE0QTiO7BXWHoQUEmIMYgCBEQNSCI5RRVmvfCgBbPJSc4h2S2Mf/tXn1QgBYdtc4iG+dZgywk69Nisx/YjqC3aJiQEcA76KVZ76CK28riuoI89xjVEAmWKTCIkiYROOTBCeWv5pBdaCSzEYOwUGXKGrkUNmAh5DwSFZCiCkNzAkIP1HSoJ2xtE96uFo0opG4uSk3cbHGOMeproGK9nPH13w/jrGfq24LtHFakz+DBBsorxN9L2xkcGsfSFwcSEipKKltZB6SOo3xu7ZsrtQrk9Ep4tIHhlMJZp5TC7MVVekxjIQkdutgyAJdJmlu2iJR3uJfO9RuxOGcfEQS9M70bsXI8d7Q1SbWtwlQFniXXClRUmC3tDYLdv+oYsYAXykAgoahNlapn1A61boFpSpobWlKyznEm6x6V9OzegSAIrGdEKmyzRzmuarMGh1LbnctERp8okKofBMgowDFtOC8dCM8S0iFFsL3uVXhn2puMoqUxI31M6wSSLqoJPBBXGwUMVsQ1Aos+EvlBMORBHHTfWoz4xdcqiB2kmqG4wJGaDMusayO9ZuY4iJjyRwUe6LKFjSC5iRck0IkCyiRujFH3Gw07YSs1R8Cx3BzixRLPbK6g2BfgOh+KrxMkmoVkAH5GqR7p9s0eiYGoDSbC9Q3Ylk9uBfdEEjFCIQq57DzEryLIgpITvBRkKTBWQ3Yjs/h5uDXI8pqyHvWBMLG5tYXBIXjLtKkydQaf4ZoCU79czTMLYhkLWFMOWMgZaB9H231YJ+Y1x3GbM24ANgCmopaARR7JjVCxqE33RsxodcpOfcy0fkFNhCIzSLdHmbO0pta2RLJLUImGKDBCmEaY7ugJuRlM6f0DDmgktAD1TEiOctES/ofULWjuj0wxoWfS7/YW3z1lWE0JzDy5yn4+4lxOC5lhtgUhnPGSK2HtyOeFeCzYsmPgVC73kXJ8y6nucbxl1kG8LUv//sfcmv7ZmaXrX713N1+327NPfLu6NG01GZEVmZWSW7SrLhQoMyAJhSzAECYRk/iEmeOKJxwghQMhSCpxVpEpFObvIzMjIaG937j3d7vfXrY7BDjE1qLCSqLy/8d7ae/B9S2s9632epyeZfSCsUSW7dMyGUxpeMsgCTgIrmzNNB3QyB1XSZYmlruiSRYvDpJrMRwbtELYbdICuSNxmip2xiE8knaNjIu81tY3MzYgVUyIZWhwqecZJKMOWI7elSpEY9mUJCksyHXURKQ6gKTowcwoaEkIgYtlicHQyYq2PsNFiZceYK7pkKNItSvXYAGwybG3QCTA9WMFrTYtFA63yrEcwHw+4tEdsleEktWQhMJYNXpW0qSJL+2DfJA5VKwZrwSfNYii8mB7wUo4QMQSjaEg0xhJ7T2sGPE+PqeQlme+ZmFtUUrgolNmKXkVsU5CtDbpZ0RRQW8NWxrzigCOrSb6CqHCF56bSzM0xVzxE8p4ifMYk1YykpnKKkamxIWG9JvQKE4CsY6sTtxOBbEqv+bqYQlNzgo930f43HKoLRnLFrTpkwwwfPLlaU6YaJS3SaYoQAYfPIls75lruMjdvME5X5GqHMoFFrtjYEVmK2NjhVWKtDEkiY7dkGleU+L19dVNQ7ALoSD9QrG2OU2FfmhE9RXDM0wFP1QcMUdzhXzOLS4KMvvGi8Wte85q//bwWan6vENK+U4kk8etGJiAZ9l1CFihZ7yzLOGapx5iUM5ANli3nswGf/kzz8fYdUv4OTuDttORMFpSjDvVQYS4SRQHlkWd9A77RSMpRDAmq4fBgx2N+ziB+yUzNWI2HVH8yZGJ+wuL7BcvdkEdnPZPTOcehY9mPGWF5ZOaM8yuaN4ds4yE+VVxwl/NCU80ghUTlIrm9ZZyXnEdFmTbsRp+S/df3efJRzb3qiouP1jw6sfTDFfKPS/JfHdL/1TXp/UcE/xnsOkayhaynB75gwNv6kpH8H/wlb/BSPkRCyx/ozznPvuIiVRTmmMfjL3i7e8GT3QCTa+4rh1k+YTJTpLVh6BxHB1d8np/xVbjPJCbetJ4H5St2PMcgmN2So7cV6e9btqsx5/+Z4vzxd1nM/pqzZCmcMJoqVvM5ACsVsL/umQ2GqFFD/Zsb7qoeXUc+/u9+yZv/xQiZ7C1A3/T9SFpq+ouO8Dyg+4bty0TxtqOzjtpGyk2P+7wn+w1ktdA0gRAsuvDkQL11dP2C0Z9mrEpPVhnoEn2o8dWMSTvh9r//GeOPHKp8gVXPud0kqqSRfE32Vk71QQnXLTSJdCqgwL+K2AeB0VEGOOpOyDYV9dMt1QyqCXS/9ujpBjOFtNqQdpBPO3ja0XwVqT9dMLyp6b7bcvj+ABVht3IUbwvuIVzZgl6NOMi2DIcaFRfII8OdBxolDlYwSors6Jxfnp6z+N6HvPNpYvjPf4icG5a/3DG8c8juQKizgmkKFKHD25JbO6P8Tk7+bqBsCkycYGgp+y32f75F/kXNs//hkvN/MsS/rckOHXzl6H4mbOcdkz89pMk82yEUG425FJYxx/zRKaG6QV3sOBnXtFqh1wsON4GVuYtkNXfUCy4ROlpqJWRZQcSglOOABpcEl9eMHyUOrGHYLpDycxZM8MOa4zOD+8UNR8MdgwNNUfQcmBnDrCIOlkhSRCztqKf4jwdkKsd/ucBKCduM/vkCO4f8LoRpwD7S9P1dXv7VFxz9vSnV3SWxssyrEybZK1LZ0jBEGHJic+ovhPRbB4+EUGaEZYa6uOHoqKE8rRiSyIqIeifDv2Hp34jUmUERGdFw3DdgHK+k4NG65ODpMxqz5fjkLtc/uSJ/YDg/Sqz/PKCXCvMnIAOof+yxC0V/1hGGEbndNwcNTwp2T2uyjzStSbi7PSMTiF8EfJGo3oWuEYIIxVTTbwMeyK43tJtE9/PEsK1pXkTslaPbROIqMt5d0YdEqiAvV2xfBXQjlHj6VcSERL8JbI6hfBRYXcHBtGDQrnnPfs4ktmRNRxgoLN/81qf7n83h5wsQYccAIz1nZkMeBfIhr3Zrjv7dB8xnY75QY4LLudAj/v34Ix4WL/iMGXWYsMsUk5nBvdwhT2rGSzAfzogPG+6+Z3jy+JwnpkADFY7ODui9YsYt98qPOXlvx+XsASsdOJElf5A+4a3+OaQWbhTuryL9xwF+oJmfPuRjd8TAJO7GV4xVy0Vc8d5/fp/s8Zavqo5bHrOrS/69QcefxR/z93iKHnuO4yfYK4f7ccPtXzsefFCRv5XYVGM+d3d5Yt9hqZ7z7vuJ9dEBV9UBId1lIC2tVQR7ylUomJnnHLklxe2cYR2onlzBszVpDfJHZxTvPGCh1kyqAS93mubaUP8vHav7A158+AN+kmZoiRheUtDzhkrcjc85fHUBiwayRCo2FCFDRor4QIj/eMagHPIGS0rzK77EMldv08WSKgWecJ/GtXyruOA0LRmnJ0QpGRpheiQcNIJ83pJeDPZZdmPNZjnHToSB7hlLTzrY0f+H53yiH/LX6h5DOWYgzxjkOz6UX5Hzr1mrc8Zqxb3yloNNDf/Ko/5P0B8I87tHPCPnJh0yki29Fwa6QpLmnnnJGQ2/6N7ljfEhx+oFj9UXVF3D8nYDZxVx3cOLCv/DW24vLKM/NkjKWfkpn5gz6j7wRzcF6jYi/9Ud5tOHfCklr3jIF4un/NlowHto3udT7vAc/fQGFzrsGtTFCllq0ocTsrdquP+H/K/yGJfXSNjQqTGZU3z8uec7leLdhy84lqc8jY8wseEfmr/klGeMZUelA/rSsPqsY1pC/PYRX06O+Ev3Jp/q7/Ao/RTTrlCzjuzdb/GLzHCmrxmvV2yDYauGHKTP+E72JaeupV8u6eYW/iLQ/+8J/gNF84MDng6mbFH0VBy4Gdn6Ja075l/84h4f/v0H/KP8Z9znOSV3ftdLyWte85rX/Bt5LdT8HhHRQAapIKgSSW4v3EhPIEKyEDKSaGoCnhylDAlPB3gtpKhpZUzNhFw0MdYUuiOYfa0tkhDZiz5JBQSP2pdsgvYos2PMDUfhFQNp2TFG6SEiV4hpEakQ5ejTFa1taOUYYk5GImjHIo/YmIHbBwzXtqM2UOlEJi2DtGTvTq6Q5DCyxhfX9IMlBbecdB2jMGWXgQwDoWzpTCRl+zpnUkInhyhFTclKTTmJO6Zpy1Rm3NBiaKi4ZCrXrGWMSKKUC0q1QnwgKcGkjqQ39FbIyLHOkbHDGVjFu1gB4SmV21FlEFKBxJyuELoykoY1i5GjPe6Za8+dYMmjxqUGbEISRCx5CIi3pNggUbB9xqRr6TaJrEuExD475RuObjXjGozSJFeRb3dQF1A5jIW81RRbBS5CUJQhQgcpgSoyiiRI54hJ06ocI0Ny77DJ04tC+YrZpqJae7IW0A1u6TF+SBh4CIGURSTsy+td7oC4n2SxARUSQcC1kKFIEYJRaAwq9Oik6E0i2ogJkAWIkuiAzAnV1mJ2LTpGYlIUAVSf2GlY2IwuDRiofp//HfaTGJsSRHqmPcQIvTF8Nah4ai3fO1pj8kDKOmwEcVt2VrGWnHHSFL6mTYmdDNkUFW1xjUy2CAMaEu90T7l70pGURvUKRWJjE1kGCYV4kAjioAiQeYvpK0zTsk2KQE4SQ9lB7HqqqiHrOqomkfcdxnim3NKSg4rElJNiQqWOXG0ZCewAUWlv+el7KjMnL3OqNGXoNLkL6A5KK6i6R+se6xYUWmgjpCT7USyTiNUWsZ6uiJi0Q4qW3gjWG8QHgo24DGwfkQDJdKTxfjqgz4YolWNtT5KSwJgu5UwQpFfEGOmtwSRF5hPRRfqwRZuclCBqzy6PNIXQUOBCIkmP1dd0Ah1nJLGUvsfqFpVWexcfHpNg2ILeVXjlEHp0l7BNgWn3QatsHY0JJJ3QDtRyxqjocfWGzBR7+5XpkCanaAKIhtxQ+IjvIdsOyNcdaetQ/ZDBqoZdBe2W2IK0Jdq1GAuiR5S7DapViM7I2wZxFl0r2HpUbal6kF4Y947D7hrjAyAonyh12E/2fIMZNPtLDpcrdpmlkQ5tGlwM9FpoNXQjzYuyYs2AzCV2asyIG+7IF7xKHq9ylHR4HF4L4MgApRKNTZjKscjAxYw8WVA7eiIqNQxYk6clmESvIyk5BrJmEl8yaFd4AyS1t5d0W1CKrR6ytkMO/JYDmVNQ05eJi0nDJL/B6PtERmwoCPKU4/ASFTbUmcFQo71CdwNyWlA1zmp2mWVrh2wZsdOGuoR1EVjagkyO2MkNW+PZqEOWekLBmiwpMgeF2xuuoxb6DFa2py0EpyIuRRZ6SunH5JuPUBTMbcEmqziQjtxHrKoxLBimZwy7DtyETbZGm4jSCWMdXZ5w4y2XxmDcknMCr/yU1pZ00aBTR60mzLNDlDwhj5D5BTa/IcOQsQZJJC0EFKIgaSEmCxTYBANp8LrhZWZ4loYs0wwtLQ3XDOWaA54xjdeULLAxUqqa7Ovw4OihNYZ5NuBWz9jIjIKITrIPvu0jZ/6aUZrzKp8ykJp78Zpj/5yyE8ZbSHXHsgNpZ0ifk7lIVJYYDMQDas7opCb3GkyintQ8rzpqctp0l1vV0epnaDyjtOC0vYTakUQhLpJCwkvClx2LmeOiFF6FU4zZoNIAJyUmOnAjMlcx8XNKnfDk5Mlzl19xhxe4cIhEBX2GCY6gE74QNmbESo7ZyDktX5CURgysrGVncqZpi5H9ukuYoNSKIdfMwg4JDSoqUluQdx3JBtrCsaWiiAOcquiVAlG4dMYN32VJhWXHiFsCR7/bheRvgIj8U+CfAjx48OB3/G9e85rX/NvktVDze0RCkbCAgWSJEr9uZApfV3anryNrSjrG1Gh6gYAhEVEIKVlC1ERyvJTUVKwoUMaQDCSViCphECR1QIemJ9Dvw+yixaRiv2kJLVOVcdgbyrwlSUevWnLtGfnF/kBldgzSJZMAA2qK4CjTFhFhEl8yc8LAA71FpYqQLEo5MrmilA3jeMB5f8WmfoGhYqMOqM2QTgE5uDwSJEHo9wfPDIJXIPub7zJ6OpOzZUjgkJAKYEUmnpmvaXcObQ1TFyi6fceBjg0lgUFjsC2ItUTj9raDWDNN10zjnCFbjoLnyM/ZpBmDcIXEFUsiNTUlgap5xeF4TZZKTNowlI7MNxDAxIQzHqJQRkVImmADToGNEKPgzf4l/6ZP1Gwrhw8wKgJK93R5YqQdhkTy7HNViAQN0UYCCclqMGB0ZGcjXQEDbZGo9xu8mIh6X9kqZoszNa4IxELtN5IOyn6HHwA2kdmELxLx6++ZBF7vRRe8RmmN8d0+wNIInVGUxgD9/lCiwAcoBGKKSPJgIl4JX+uYKBJR9wRAR7AhUUZPFjts2gdjooGQYb1BCYgPRJOwsuMobPCpoAiRJoMcIe8E1WVMY4uTFovBRE+RekahI6aMTBVE5b9eDwp0qAjJ4STufy9FtOzFpV5prAjGeyRU5P3e4iQx4ASigE4enTydBpUVdGqf4+N0INmAIhIZ0IccI4JIi1CjUUQ0fdoH4dqg0EFwpaLThjwOKCVDgiOKgeTA5eBzxHuUtxhfkTmFqIAmoTqQlCNRYzwoUaSUYWhJCZK2+K+thfHr94dQQt2ih4leub2VLrIXtaUhZolehBQ9JuaM+pYs7D8jCWzSqGD3odAeRk1GXufkJkPHiOkVZSqQwlOJI/MK3WtUrJD6iKrZYXoNvUbCGsSj2/1shaUBWyNafx3+HhADYPBGIeWWtgrsqkiVd/hBJGSJqQXpPCo5MBrfBUKmCLalqQLdOFH0DYwifWjpJFJqRSEaUIgooEXtH0ycjTTDiOkc4qDP2Qe/ChQm4FXA6wyTPLlzmAjWm2+8UBPMfl1tbKTLHCblZJ3FqLS/6xDQDiaupbAtayydBNYy5ZZ7LDmgU5CIRBRRKyS1+6bgpDDJID0MomPjDdp0FOzQHNIxxXFAkoIUBZUMIpo25WzVMW22o1M7yqykY5/JlADwCB5nczZpgEhB6HdUvkCHITYGBtwQE9QYXup7oA4JKpGnGqfmGBpUSoBFxGGSp4xLKl6Rs0JFTxY9lhYlngzPxO9ArdmQ4TigUyMapSDziE0gYCIUwaCiYGJi5D3T5Oj1Elf2lDHnqK0ZD7ZYpVFxSFCwpmdhRuQ52Cx9bZlUSNREESQFil6YqIJFGNPqARohTz1BhF7lHNAyCktEJXZqRDDnFHSkWOOUxmsQEaJWxAQkS0AT9b6VKmCQNCT3HVMJDNIWdMCzF6sbGYI2vEzn5LZn0l8z0A5rOnzOfoIw7vdZDZGIJoilUUKU/X7LIYhaolJLVDk7ycBaVsagdEVnb0GtQTqi1vQm0JgMkjChYZCWSN7ACopWMcvz/YZAbRjF9f5SSRLbVLEsT4n2hgpHrgGdEVWC1FLEwCR5Zu0S0WscjkzluDggiUPUCh0Dmd7vOTpVcMt9cnIMlqG+IalAAkQSMe5T6XMSVazRak0gkYJm4iylApdN8VlGVBuIljqeMjclQ/OCoRWiQJJA+NrWiRiq6Mmi0Msao+d4PEElRK4pGJEnIafAkL6xG6OU0j8D/hnAD37wg/Q7/juvec1r/i3yWqj5vSKhCCT2FbiCQ0iklBFVvt+EJc3HH3fk23usRgN8LWCFQtXY5YLtE+HT30zgj9/k0z5HVwWKATY4UraFEElDYeU0ajAi3HoS9T6DhQIbhOP+Jd91nzNZG551iu8f3+W66/nqe99hM73Dt4Y/53H6Cff8K7AjPpRf8Qd8zvF2w538krHeUifhTfuC8Zc3ZL/1rH7ds/oToX9/yEQ95Y/kR0DHU1VDdsPinYZn8X0a/RZ9qjl+G9LAou0p9y479PKGuINi6CiCI3cBbzccpZpFGNPoCYSClRkxzZe8o+a8/dMf8ofDMc8/HXC0fMlhtkEOLzl5b8nkk+f4v+jobyLhbI36UMhmnkfVFRP1a3RYky8u+UEh3JEtV/o+6mDBK1Xx1bvv83w94sMH13z78K/4O+ojavkWp4MFw2QY/HqOX2jERGLmkWJMIT0Lv6P4L7/PwW9u+eTjLxh+vsb+oSKOA9/0lJrVf/Iuh6s5+sUlgmI8U/TLHXqbcA52LztmhUXdC8ikQoWW+JZGnR2g/IZBlWMmitYkIKIzT1dpWlvtQx3VhqM3EoUEEMFIIlOgnaAHGTx2qMcGOWmhAw4HCIYkS7gGxgmxQqUq5EVNNSpgrJA8YU80jAJ5nsjGEH1O43uGp4bTR4FXf5n48oc9+ROYhZ7isUE2+8GHLG+5c+AJfkt269E+kO6XuJMBdtkiXzWgYDBM1E9ueNN3jKdj1lZz+E/uEdotV3+x5OSyZn25o/y7kWyU4X2EPjHt58TtAl1W9DaikyP4jFU1wX57zPg/nZH9tz+F7YRhP0dVHeXDkvn/JCx/DOVHa1YG8qOArtcElQh0ZO0rYtHgTkdsfEmrBCaGzSCjySEnsEywNOco8Qx5TjIdHSOWcsBWNFXqWN2sGGVDLgpLfBZJHz3lwZ8J60FgdXJI+y/nxE83HP9HJ3y+WXDv+xFtrmnFMzoALOTDjFQUKB3IT6HbJcJth9ol+phoBhXNtOSg68muG+69mWOvtqgYmH14yJXy6C6gF4GDuy2duub8cUE4ycAl0o2hdCuyrRBeJQxgVWC7rhmNR/jNGv9pC5c9MoSpXTN4WlB/uqP4XuL8rRXux0LzIw+rRN0/ZfllD3eF8XeEI5WgdjQ/ytjsOg7Px7TFkmokSJ6QUSK3E3Y/26LOSux/k6HfzTBDQdeQroFtRF1tkSaHSqAIqE1AW40/cti3D7BtRLcBRgarh5SNIT31bP/8mtLm1F1DPg7Yx4rQCOSB8oMzlNtBY9B/oGkOWyhL0iyyHVqusyEH2nPuV/vMoOybf6boNxuKCJQFtwqmtz39//YFw3c1zTuJg3OLfXrFo9MNL84fcqUUikDPhOu4YiVDtIxo/Ji1GVD5LfJKkJfQdjmZCO1Pl0yOLnlevUFUDVW8pfbv8avtAw5sRZnX2Blsq5xOMiIjXnLE6GSNkkj8hWKGxU8XhDvZflos5qzVBC8T7sY5b1Ky/eoL5nZIOK84ztfYomOROi7VGdpPmYUNU5XhM8HajnQRoQT94V5YnknLOS+pWFICw7hioLfEkOEub3jbXrMarVjnFWsmLLwnHCv8YSRfNphFJPwMVsWGos8JL7bMWsfgcMnisKP4h5rNzZZZ1jFyG/JihGjD3BV0+RGVbBicakaDRHexZjZX+M971lsYvVcS5i1uu6KZ3sedRI7Mhjqu+Ny/ydKOuCsvGOO4igc0KUfjGEWDSUOyTDM7vcX8yiHPemQNMhpSDjtMXGD0lhZDH4ek+hnj7BVH5T0WMWetR9jQEF1HmVu+Sg+wYceJec7p4BICpBrG2rDRHcrvGJqWSjpu1Yhrc8x6OmbdV2xZcpC+QoXIQp+Qyy1Wd8STEy4sjPMemdTIYY5atKThgHmWo6zhDXnCXXuFHTuoQf6qwbzTkT0omakXPJg8ZUrDFssSxQtRFI/OcE1P/uU1k+eRYZvQy0B2CbNpyyy/QcQhqubWe24WJbNT4eHBGht62hriVLhBI/Iey3DOJL4CtaDIB2RxQ/KKdOeQZW7o0QzCnBN9Q9HX8DIyX96ivn3GjgrvMnCOzghzcnImBGk5njjCy2sOe6HoAWWIWGZqzUJZJnjuhSXDuqbIbvnO+w64ZiMDQhgy6G5h8LtdS17zmte85t/Ea6Hm94qE4FB0JPqvJ2pAyPDRYvEQhN16xrp/h03S4FbENMCTUUVDXBoW68c8Y8OtHHEYM0RnJCcQHZICyloigM5I2u5D/tCgMlSWOOme8ODmM2YOxl6YDb7gqX5BcXrEUp9wrn9zZpABAAAgAElEQVTJn7Y/IsaCp/qc9+JvOWmWxE3GsWmwKdIH4SifU+0ukCZhXkL5Vkb2Xs6MW97tPoWoGBY7SrXGVQX/o4xR8g7RtmzOoD8UFreRera/MVIdGCK5NJiuoyw3ODQpBjqZotyaYDw6brnffMUHL2/Rg2vevBbkVYRKc1cHztJLRos5068CcmXAJXjbYEQxDc+wZkWQAWW75FFa8SA85/PhM5y+4DOJXAwFdzcxHj/nu9m/5A3/BU/MOUf6S85UR9nd0gWDMZGdCZDlkArWqkF9sKYP1/QfKarlmE7t2ExqDn/Xj97fkC/uJGScGPdCqTzae/wGVJ1TbDXGRTi1dIMd6rDF4dk+9Ewf3LDrEzr1xGJCbQo6Ikvp0ZVmKyU1QzqrsGOBYxgkIXnBFSAx0g8i7WlEnTpKSaRdpD32lKIJXwnFc01nPabymFsIQWCU8FWPsQmmiTQSGChERVLvSVYRD2rqO4m5GMrbY8blhuxyR7pjwGnSGsyiZ2Qdqen3LWo9pGnO1dRxcNkzug1QWcgU3DgOizWxang5NXSHA4Y/15hrheIOd9/4EvW4x6CoKcgyQ9H3tJsdkvV0edxXpUbDq2zI7anwg28ldhUcBEswQpxEcpvQHQxfgnqVU25BphZda1ITKGykcIHaR/oso00Gi0N0xClLljoOg6eKkaigl0DSEZMUO4Z0MsOnLSMfyHeOlE+o1RC1bXn06Rz97Rs+eRMWumL2wlL+vEG+e0SxvaC6G5ChI6qEVAo8+KHQZT2ldbgKUrefeLA1hKjZmYxdoclGjrzuidNEWnckn/DG0kkGMaNawflRz62ak00Nm+k+FBOfGGw82VKRvAYnZBsPq4CqFJ0IahMxncI2AaMCfBJJP/FIqUmTnnSRkI9z5EYzXPWMLjTh0jLMAtzZW/aKlxVy26HOJrTFCmM1ZgQNgUoyslVEDRvc+zWL70OrcwatYrTpMC8jdUwULbjSslORTMEoc/RTyB+2tFlL8JE4gEW+ZpJyhn+dsfuxYxgMmkhvQIaGoBNdERm92bCNGzSwvZsh2YQA9IWhM5aQCmLq6aIhGIeRb37TStE5JILLFLXNOFsYyl8tOJtNePIthQwF/bzhcOQ44opSXmLlDoocn0BLTeEGhDRiY8acGgVbkC04DyYaynVkuu5RRUeSBo1mx2OepjfJ1BzjX0EBO6PpIxTK0IrhclSi/RHR1xzaQD9MuJliazMKZ3BoPIosJQ5Dop03dO05LhSUdJi4YhOn3KgJQxUZsGEpJdN8SqEa9AbYjmnMgkbnTFLgDktGknBKEVhglSOle5TbgvP8FkqhyYd0jFmqA9ajA6K0pI2ncga1jKhlYuigvOmxjWU86qnzBeGsw+/A6kSVakR2BBXZ6UMcZxRsGZURyS23L+achgp2G2pJjAY9KiXKlZAbBUdzDsw1y3CfkMYs5RiXrlDkXKhTbqgo0ivuSAtKUEp4UMFpSphdJHpIY48M9wbRKQu2nLEVBalm4ldMfMNKShrGbMSh0w6bSjpO2YYttalIKUAPCkGpllaglfvYOCDXT1grzUrdp7cdRmpsUoyTpouWhR4zSgcMTcvSVNRScyQeqh43LWhEYWzOrc5wojlJzzmXJ2DXoBX6BvI7A7pgGJgr3pQvybxjmw3ZMGFg1uxMIqkK3BJaReEhtRrTJKroUGaBk5wDqTFoaj8kH1wzzT6jbHq6LkeLwktkyYyULN7W5HLKcdZgMmiBttQslEUlzYQVY7kmD+3+kkIEpcEnR/IJAmy04lZG5CTQCWdHxDxj6K+ZxkSLsFUwjS8ZpB5P4nh3zTBuMWnBeFQD+2mnPHgOmtVroeY1r3nN/+95LdT8HpFkbytQKRHRJBGEuB+dVwEVPVp6kg+EUBF8Rd+33KgRL3iDpTsBH8nylgEBc5koMouqMtJuAvMDYtfgVx06U6gavGREbVHBgUqsleBNQSYKTGRXWsrWI8bQkdGPD5lT4VSOEiHQYvBEpQiqws9bJiWgPC0NdpAjxw7VBYp8jaJlxZCVHJPpnq0MKGiRkNFkhq0+4Muk+HJ0TJGtcGPBnwipjKRC6EPJLitQvsam/easVmOuZUSyMOOSA5kTsyEeS5y3SLKkQUDFyNDtGLgVZhBoZ0K5VRB7RAwL55FswMBntD5S5DnSQt8ZbgbHxJTIZEtWetzUcqsrbtIxSSaUMXAoc1rV4E4cTgJlaLk5icTQ7+ftFVD0pEc5xm7xG0H1mvS34C2/Fy/RKrA6zIhBo58n8tYhfUT3gt4EGCZkBqkKiNcMBHQTyIMAOa4s2VCxIWcsNQeyIUsBZMCltizvnWCnG7IwwweFNRtGvsbnht3xAVZDVdUE6wlZgelKUr/B59AdZrhBzyDTrEbQv2HYHRSUAqF3rKsRLhsyW22Zhit0lnDDnJt/YGm9wv7iOf6xYvc+yNsd7IS8S5AJamzoc0+2tsRNj1lnqBAIhcKPFHqg6CSitGA7Td5EBlODDRGdepoqkvIN2in6L0qMK2DQo6Uh7/bTVlsTiVroYs4qKzkOgtl2MID2PUv/Tk39luVipjm0R1THLbrYoZ47Rp9o5OWQ0CrSssHGCE9KSueIs5aghdR3DDsY1EKKSyTT5M8979xZs5lsGMaayVwxaDqK8oKYNxy3EX8TyTPPrFiTdAtRaH6Z8UbqIPQs24BbVAw/gcFY0G1G2EXyGwfBkMYFvt1hQiK6RL7UxN9m6K80rHriteJgETFVTZ553MBTnyv8MJBHTTsFlzS9z1BOGHWO66GwPjB0byioNrhxgVeCcZE2BzvRGCMYn2ARUasMtY7oEryCNC1hDGHcoSUn1RkbtaN8WyHG0SsPKsOkntAkwqHBVYFy3WCuIypeo08SKXd4q6gnFotlVQnjytL3gcIrnJRsdcINYDRN6KJBdpCFiCSNchGJkDUKfW0ZnkLb1GANi2x/8B9kZm99awIZkFYWSwGpR5JDGjBBkUohwzFqhOYSbAVTE5lse8rWEUYBVyhs56H83a4lf1O6QpOdJdq7mnWWQTLkGiQLJHHsdEsyJbLTDMKWc/0xXzJmLkOMnDFgyWG8Yiw1DZ5tBeme0N8DckfRGpprIXtWcO9wQcst23jEIh1ichACmnNY/Yai6ikrj0PwGJqYIUozPm1w91/QloZUTfAoRvEWEzUpW5GnJcO2ZfhSEe4myvvQskOJZREf87k8IpeanowaTSivKM4vCW9cwnRDOtX0ZcckNWgiCUc9ELxVlLpg7RQqlaSNsB4PueCUZZrymTzkOnxAWEbWZcHg7Zri4TV57shYwdATMsVnw8d8LHc51z9lUkd2zzac3M/o6ehSThcnzHmIxzGQkj60bA823GCY3mloVw4/zNChQy4VlZ7QJeh5glVbWoSXlLR4Cnas0wM+Td9j7Vvet0tOecKxXKLYizMc1/Qa4rBmro6QOOQgtWyMIogw7BOpdhxPHbtqhTUQ0CxUSScDXqUDCqnYdRUrNWL2qKF9XiMHidviLj/jA85V4k1+RsGWLVsexM846pccFhVeebx0KBGueMgnekYXB7wTf81h/5QwCSzeFNQXFqkVjQZHYprgKPaYvIYKUpNQq0ieDB3CwJcIc1oMX/CQnUx5lK7JwhO4F0ltTXsDZaVQWSRdrXlwLjxXPVG1dJKo88ik3JKpG3rdQ55RpB3D5IhaSCJ0KedCnfNo+kuqB47gC7TSHEtH7L+i7YaYzNGUOfEww85XHLUd88oQRpq0Mfg45FI9pibxWH+M5ZJtVRDv1nRveJp7BziVc8dfMf11x+1QI2cr6rGjQWNTYsKKO/0NB7s1qvkdLySvec1rXvP/gL8FR7jX/L9BEBSGgAHpgfh/izWwnyDw3uGCJ3Qdu+WO1d2KCw5ZuynKOwaTLRPZsPq8497ZGQnLauGJC4hdpJvvq3B1HyGqfTAdERFPsoaoCnStYZiYnlRsf7lAjiGqDDW9wxJLr4RSEtCQqQ6lFKgpi+cXHD4Aqzvalaec5MjjiFGR0SwgknBM2DCkkh29KqC3lGIwaW+t+K3P+TvTD7jsP+Lu7Iz0cI6Md8QSegytGZJSzQEdznkW+ZgbZmgs52nBsVyD8gSriZ+B3J+gqhq5rLExkCuHGiX0iYF5TpIescL6qqa8d0bneyTtmBQKuQafDNvjMZl1VCwp8h1mdpedcbhwgFIWYctAGvK8Y/KGxqqICo7sNCFLR/QeHcEUmuHfvc/V4TXNxqFroSh+p4/c/yechC2N5PizGaEtyP0F6taDE1gEmDsowb5p6MtEoRUYiOtIYQRlYZN6dkx4mca0YsjTkiw4lORcKMvk0SNyllz5+0Qsp+opdbihkQGtGWHTjvEox8k+4FZ3Bt8LR0XO8F6J0zfEtxQ6KNrZAXMZkqd9HstzdcomHvOt6ZzjsKQyjvbccvSHFW/+8Zj+n68p7hf033PwXbBbRfgs4FJAqiG6aMFZwosefU8wXqF0QI4VMtWoW0/Wa9RGKGuHlcBIabxLpElCDxc4DTc/qbkXe9o7AUxi6BQJTZ8FdlYjzJhT8oabk65XFJNjzv6djPSmZvi9IatBRpA7PHp7gRxeE397wSoliAuqE+hfgfGG4acdug9YacmOI03bkq0VcttRbzecnE6Y/6sF43+Uw8GK1O2QF4ns1YZqFMnOhWJraZ8HpOyYHLS0uWdbCNs/v+C4EeRBxsWrjsFFQfHDL3D/IJFcJD1z9L+BzHvkrR4fAmUbaDcJfQndTxuK5xlUA5ovFwxeCowcTDVu1jO8M4aLhNEJGeU08ZDtpuXEgdCw1jXq5ICzHxj8EvRU7XOSOshKMCeKWEdSI3DRwY0j7QyMDL7z2CMhFY4wAu0MaZnTZTuK742JaUfsPKP7U8Jv57h1ID8fIoc1fP51DEN0TA8As8+eGZwNCDtBFQYtFfGmYbxN2IFnbQpCOSAfG6x5hur2U5Sq72ENWE1WKrjtIM+ptoBVHBSCRtB9gd1pWOyzNEQl6B12AjFXMIcsahIKGzzS9IQXDXKwt5zpusfd9FBkeAz8LRBq/FiTvRWwjyuaokDHAZXKSRaEDl8JMasIt45J3XF3dEWR/i/23uRJs+w+z3vOdOf7jTnWlFXVVT03GiRAGaJIKkRIsmkpbId33mntlXfeeOt/wFuHvZeXFmnvLM4iAYIQATSAHqq6q7KGnL78xjufwYuEHN7REWREC0Y9m1zm4jvxi3vec87zCi7IEIyZc81UXZKHBk/HYBy8M0c+O8WPLKpSDJ9LdtMFe9+YckbNlZuzESmmCAyigiGFCw9lg4g1Vg/Alp6ITloOTwrExxH5hWc3KRmomMQrxj5jLbZIVWEEDJ/2yP2B6OMOyQolM5Y253N5DxU2CLFmEANx6nnvYUv57iUhSpHHnjDUFNEOQQdiS5x5kjhBSWjEEpEFmlPHdj5ixZwFE55ywGX/gO7VU/SjkvGHJcfvrsmDRbIhLTpEiHkWHfAZju+GT5hu4frL5+zdTtnQ411GEyJeAy5k7PsxVedQe7c5CyumH2n83wzo8QG2WlA9rQmRxYabZr4i9PREXJHi8JShYuUjPuc2z7c9lDERS4IUCGGRRx59X1BOBKIY6NM51RATK4dkwMmeqIfkdc+RatimWzpSXJgyBElNRRUszqZcbyW7UrD/wYRwXiOODZd6jx8OB0jVknpHKiyKnhMuiTnHMedMSCQbshBYMOMveBvnE95tzkg2ATuD7B8ckPx0xWYHOzQNCYeuZmZrUlVDHuOuepqLlj4oanqUunkGv8ZwzQFVl3Av2SLVmsl7MVKmcLnBHICPBNWTM+5MH/KKQKQdOzvgioxp2nPAGZEcGIoxMnhiBtb0dBJir/B2hN2TFB/DxbnAIJhTk0drnjc1CrCRhsMM+5OaAyH4G++wc4PuFISOpSu5lhEzMSbjmum0IHm/IBkqhrf36VDcGmqiP16S388QdyTdPKPbGgop2Q9XHA4XxHWNb37JB9Eb3vCGXwneBDW/QojgudmWKQYhQPhfuNQECMnN0WmC99w0qAiJ7wM2xECC9Z4A9D7QoUFGmOBJ6FkzEERACJDhppUoePf//A+NofcDuB09sDEFnc65lBku7iHag+YWeThkxSOeyTsciTWDL1lKiaBjJBrSMNwIO6WkFynLpESMoCl2dKohQVBRcmrukgnLeZiASkmlZiPu4rWhFDtmzhEJSWU8bQiEQaN6QZIMxP4C7bdIJJaMQeqb5hlnieVNq9UOTZUFfAbkCh8bxApq4xBC0yaadeQYxxYvIdYSIQw2GBphsSZmIyRdVnDmx6zVIesAGx7jhocUzZTEBWpTspY5HQqHoQqCXhcgQViBCxLnh19IZQHZQ1RhDWBvXHn2l1SY9/8mHhSdGEA1VKpjVzaE/YAc5M0trSRQHnvG00BKuJGw2ggptoTIY2VDYhUzLFsJpQscOEHn4TKTSJGQ9paDwZBYTy9bJtoxczm1T6iTQOwFB3WGEwGb9OSdoWoyEBarLE0CI62JB0HR3rTbRK3ACE0TD1hd4akYDPQR7DLHVgco4GoamI+hTkaIKCUrt3Tlhs7FpCqDGHQW45OKkPRsoxnrEDHKWnRm2NiKdNIwjizXGQxRTAgFsa/oFQSXI5sZyfoVcrPPyCxvFoxQaOMZ77iRccscbQVTN3BtLG3WsR1ZRlGHj25uIymlqJIeYwKyiZkuDD5YjI5ItltcJPAIOg3eaGIhib1HCQNCUbSCfC3xG0myTUlWEbIpiDYbpGvJe0/cSwSWJgokyhHXCnMd4a9TiqtrzFbRtwnGdaRBkdYxQ7+DwaF7TzGAsQGsJQFUNyJr1sgO8GAjj8t3bAtIhCUON6FbsBpBwsJ4RJowRAW5r4l9C4MgWcx5t99D2RzdG6QVBKeREnrDTUVzf3NTpTMBbSxSebrEs5sGXmcwz8BMJFUJh0WHNHCtwRRQTQLrg8DRZIV96ZlGAVTNNnfEaUtTAmNBMy4w2uFLwTqdY0JJnC3IRcMuttTpIbuoZCMDyB6RBdQE7AZEGtO5CG9act0hJw45sUSjMSsl0aOUC33jHivGgergFTYKNMLTTgPjMiKJPK7wZEXNAk+Y5JisYb+VpINAtTHUEnYeVRtEm+N7CcMv/zG2dAKhHSLyOCEZ1ECVt1RG4URK5Ab6ZOCyjehUwTQ49l1NbCsytWGs1kS+ZpAxAxO8bvBRx85AEm4kw23icbpDExiYUplbhGFg7M8wsmfLhMEqUtsTB80lUy6oafwSozzIgLIWL8UvRLUFngxjIZGCVGdIUbFLA73u6RhzzSMQB/ThiMTuftFQeIu5lNTqmnVsGfJASocIGqkChh2BAxbyEYmKsNIyCM/L+B7n5iveCwIRIrYckbHFeIX1gVZ1aDkm1wW9lGgJKEWPZhAaKwo6DLUsUNmOZeZw6uZZJsR0es41d4gEVNKCGtBeM/geSU+QgiAUXg5sEkmfZDRCI7lFHY7w/YhMRWTCQwgElUC3z+Ajtkph3ReAZiOnZGaDNwORcCDBBIMKGucDqewpQ0CFgFUtXdRRqxE9R9RiTqUSEgIDe0zcFo1mI3KwEcZGWGJqoQneI53CqQLvJIkcCFKzMSlC5lQkVGqMRlKR4ELBIAy1TrBGI+gJoqeOa6o0AjEQ4ejVlEtzD+9WeP2KKrEIc0VJTY+hVTlRPyKEKV3I6INkLTK2uqS0nkiYmyM85fACrNa0MsNJw5YeJwtUp/Buj4V6yIX+OYaEHoHzHhkcHkcrS/BzWvEFXXRKH/1C5Bs8EVuCmFBzwEbuM0QvqHLoVQ4k4CVtEnOlNR0jYi9RwmJYY0INAyAEQnY4BAMZE9vgWk/lRlSioFUJzjlaU3IeTbkbXxJ37usbIm94wxve8P+RN0HNrxBBBDwOGyQECQi8kDdX2EVPQOIxHO2l7Bdb1pGink3pgwIaRjOFOZCoMqKjRGUzFs8XnIwDn16/xt9ziJcSt72pSrRtC77j5tNTQaiYlnClS35y/A9YuCnPjOPk7Qc0zcf8+f+y4cnLpxT/42/wav5d/mn3Ax7od/heL0jjK/5l+ZyTwuNbWLcxV3c/5KdmxP7JirdP1sShJISel9zmD/hHuCFmoUpKnjMm5/fPvsXLLuWt4ee8/ZNP8PtbdiPPwV2F+r7FN4b0/Y4HB6eE0LLuj6iiKV4EYhEQRlITs7R3+WvdcvvtH/HOB5d8Jg65DHPe9QuUjtiJhJdHd3jvd0EXLxHS4ccgj+e89JasOGTtO7Yiod4/4Eyd8CPe4zrc5t998ojTL9/BPX/Nw98JnH74mB+FJxwRsSHnuTngk8WSO7sCvdtgC0nTt+RoRjkkuUNGFQ8eQbiUyDbguq954f094J5tGe0JdNjRJDHtb+2x+7URnT/i2h6zFIY8vuBR8yV3/uYV/q9qNp/1zL4h2O3f1L5OLOy1LxGiYrTqyV8saYuE7Qc1QuQkPzll7/Q5t8wpTd/QLxuKnSKKYe9DSSI8/k8cfgPDvUA6NphPoX8EduKxhUSsDMnTHdK/JnMLwqnDBHj0TsLRe2OiHNRJzpWK2ESKSuxRHUfM/rv3WQjNaXLCtes5zL4ifn9O5Y/ACEy4IHs04b1/3hDdDpyGklfJ20wOJV639FnD3emWRuxYRIGNPOJyAx/Jr5h8e86P/9c1z35/ySo4DvOak6nn3X8K7h3YGVAxTPZ71mLFUWQo5hX1JGa1HzP9zph4ccl2mOE5ptIN41+H4ncjxJ/0mE8s9B7iDoqA/thSB09/Z8p2HpMPLQcipm46Igz7u4D84YLxZWD5vz3BNxAuA+F+IPmHCYlytGuIHubIeQO9ZfN/dPBnguL/qlFNYPXME/2nnvsfHFKeCez1NZOTGWyuCXFMNHFgA2Fl0VGE/8EW5wVxlJFOLV72mO/e4XD2AnPHQ7Doy5vwqM4HXhyc8LK8xVG/ZP/8r8iWnvN/6+EPLllvrmgfCt77R1OGRaAuO/iNlPQ4gqdbrn/q0PuQHIKMHS4SZA9ylo/3OctOOPURt979igf/ySui9RafxczfHdPnx/DbGbHa4WXN3qcO+f2X8K4i+qYhej8h+27Hs1u3+WF+jyzUSGqe6wNMr/jHv74kUS9J37rNH6j3uFQPUWw5CZ/j4yXz37xN/y3JpbjDggdQ17yVPOUwOWXtJc/lY04nR9Qq4kpOCBjuPTjlN/97KLoXbOSEZ+EDikvL/csfsP+gY3Fg+DJ6xCpMmetnzOsE+/IavW1ZB8F4EHjraVtJ8qFh+7SG/a97mvzdSNI5/fkrdAplcKijax78q/u8Vh4nBffbDcie9a895md6n5Pe8VBekegVe+I1c5YIPFsKNmGEFhfY2Tm3vikQcqDZ1ez9NzHqzjHnNFh/iyWHxMOCb6SvuSDls+E2325m5GFL4irOzSOqsMd99RWlfYlcn8FLR3pb08uMi3CIF8eMfE8sBcpp6hj2/suYcM+z8of8z3/4m7xaP+C//u6GD7Lv8ULc4bP1CeU8ZZ8fsdmbcOufF1CvEG1Ay4FYD5z7GZ/Ye3wjO+ax+IQrF/he/00e2Ut+L/lDdKx5ScI3xOcciA0h3jJ6lFM5zeblwMM7Ocktwee9QNz/iOcLz0JmdMy4UHPUx5LCHPOjkGCQ1KQswh6fuPdYsMctWh7aK3TQuF1P8ywwPj4B0SH3eqb/+cf8290BlR8jQ8xr/yFPv6y5f6dhf+zZaEsSasJFi3f7LPYPEVgSITh1dxmKlnt3XhBPr+mFoFutSKJbLFVGjifftCSiZ/TOhJeJ56m+w8rtc+WnbOT7MGhS6Xmkf4gKjifqhMd1YPj8Ce7unOADv5ZWlEHzhZvyviq4J3Z8P9zmbzhhHlKsKDgN+0DJ1NakChLVcpoa7uiSfQv99Ya97xiaeca47Im6BU+i3+QH3QG/k8a8o/5P5v9C837Z84E65Qf+MT9rjnkrgY3X1H5MrgKv7D65uEfeXdBenXN4kkB6cxiRffxN/joc8tLHVBiu3Rh5seVJd8K/OfwviMVrPtZfsfWeISRMUMSh40LkfMkd3krucXL0ObfjgXMTUeFIWaLVmOfcIhFLTsYLZv/ZjD8KEUOY0GHwR495Lm9x6Sc8lg13xRVv8znHJkbsPD7zRGqFFBOeyFtkhzsq33AaHvFSjFnqnEoVnJMxNt9if9Ry3z4h+rqHyRve8IY3/C28CWp+pQh44fHhF1csvIAgCcIjfU9A4ZUhhAU5LT23cHKMFxGaARFVeJ0iVE8kKqT4RV1o2Ee6AF4gI40gRghw3kMQOKFxKEIA3Tm2OuWTYo+L8IgLEdHEO7z/depVgns5p+6n/CT8Yz5S19yi4KXYJxZjBnWJ1NBrWKvApd7nIjqm8F+wUUuWzmNFhKPk0h9T6WPO5TFpeEjpNZfqMYGUmRKMdytW04hVWmByzZEVNwXkQ8soDNjK0iYxvTEo0SDp8V6gpaASE56Ih/x0/i5Swmec8ErcQvIJadiy8zkX6pDT6QI7bdnvelAJPkrovSbCgbA3H33RhEbMaETKld/nTL1D4wy6a0ntK+JwSSw82kMvEs7EPmfqgD6U5N2GmYuJbCAoTy8hEQM+GugLMBce03mM/XpX3d8LHozTlJUj1RGvTcRulLANJWeh4EwZDsPA/eGSpDPIRpHFMaHp8T14rcAKkr7mIGjyJiAXNzXyqlfIVGG7G5lsatZE3UC/9mTbBBf3iG2DjCXdVqJ2EbrukOZGaixEgtfQRYE2CsRSo5se7Qf6IYCTZHYgsGMpLbvc0BDRkbFBQ9FR07PFcEGE9RrvZzgx0IgROIUQml0ScBMBSUMIKb04ZBMc2J5gHLvkiiR0IKHDYLRG24CIoA0e23vaIWBqz7wOiKuY8JbFBEe0A1k4dDwQdy2iBy866qgjKgR6GxDom0pTZdmVG9Rxj8sFpsuQNMhOoLQFF5DOIXREL2JKJRBDT/BgRfNrSXQAACAASURBVE+sNb3rsFIxWnn0ZUxYtPS3wEceVIAAA4pBaOgnjF8u8Gfg2gBeE68G5ConSho2By0uDMxMTRWBcQMmByLNTljiSKH6gTYETBQYFAgdkOlAnwdE7qEF5yxSCFo9cJ0ZLnXJe+sdt84D8bXGXuQkT3uK9UCtBPqjCWJ7CY3AdxodSURjKBcBozMYD6AGvAm4zLEpe640hCFjX2cE6aAJhCimERtW8yt24pABRxcWZHVFd9ZjRgW7RLCdNEyijiYStGKG9oYMQ+znJK0jlermt85itmZO5w4Yu5LSLchMj1OBJovZ+ZJG7bEJW8bJjIlaEHkIfkrNCbugsW7C4AYG3VCXL1lnik2Uc9XP6JuWW6ubDl4fJXRyjhumKLfGC4eVv7jY1wREKMA3eOvRvicK/uueJH9nXFTR5QIdG4zo8XHFq1TxGVMKNA/sKToIapPwWo8RwDpsyLhEcYbFMkQFtTdYAi2SVSYoikDqB9rOoSc9u9k1fTxhkIE+QCsdQ+iwaCpnGUgR3iKCBSq8h42cksjXIAYGFZCxZxN17ERMEwoGsyFVlgzYxsAEtjlcxXtcurfYukM69Zq5fE7qc3y4R0VCKxRdBOtpxzSXdDJBSEmrS56KezzVh5xwSW9jenHIlmPWqmBnFI3SSAQj8RotVixlSxEnsAuYYKmUI8wTrnPNlcrp85SFMUR4vCgYkgUbZbkgR1DgTcyAY8tAQLJCY2mIZADX00rPJoU0dRgTaEaSlyJhLcbEInChDqkpGUlFJyydsqRUxOEUqUbABUK8RoiGnSo5zQ1qqriXg1ER0gkqI7nSOT01fTzgaofUFmska2ZcyT2WsqAKRzilCDxBqgus6VmqMZWuCcLjvUTbHXvmKSIcsOaQKmTMwxKYc8k7RKKl9M8QVrIyhyh5wa3wDMWGGsulnpAjkaLGlzV9HqgU1CbjS3HEqyzhkRhR64giCqhsg5anDPKYZVqwkBFrFI2Q5HjWOmHhUo6SjD5yZFgmkcMJaIqMUzXiBSkDIzpmKC9og2Kp9tkNJeiUjjE7McUESQo4ApWULHTCJuvInWArIir2cEja2FCRs6OgMoI+kVwSsSThEkOkJDJ0ZH5NqnfEYkvsexyKtQqoBES8xYqChdqjKp9xqlOexQ/YYNjKnEs5Z0nOItyiUznWvNn+vOENb/iPnzeT6leNAOCQAfAKjwRxUynrAYRjb08w01dYmSB0gbWGSAu2XUV6cMSd0QX3+ZxP0nss9IwXw212iyVhIfBrCJ1CWHkTBCFBRPiQgW9QfcSWMa9DwYIjVv2UL08b9kYf07afwcsL7BcnrJtDupmC0cCqmxA1goDDxZYh10hagtxS+Jxb7gwtGlZS0wXLONTEomUrdvQ+4+qTOfHgyN4yqNLiVEOcO4QSVF5yMBkjRq/Q0hJnniAtMQntUlEdpvQCJIpMemL/glhmbBnzZ+4jXvg9LuVDVrVklr1kruBKTlBsuKDg9sGcsKuwSYcIhqGPCJGndAOhvWSqGoRJuVQTXkqLzwRyDKO7gdv5c77FX3J/+JTr7iPWyW0Wcp+LpGQ3yhmfgXjqiVH4usMoSVCa7WhAfJATlhYlA271Na63vyfCRLEsC4SXxOsGITcwK7BiSyl7Igb2qCn6C0JcER5pwl6PP47o9x11NDCxLWIlUU1P2ARCDdpY9roVqwyioxgALwZ85xGpufF4aE84Al8q7H0Pyw55X+LHGtdZ5CQwSNhSMMRz9g+uibserMJpjaPFHcFVNOfcx2TSETNgEKQMDK4mVwFFDZxiBsX49JQyVyTzBqclyeAZoh476+mKgFQNlppOS6Z+R05Nzo1MO0YhGehdjFo5hFZ4J0i8YKwTOtsSIglW3IQrrUJcexhpOB5ubtt1gdSB7FZkA7SVIrieedghvSUcaNrfKqCXiM9bMKAWgE1unBVLT9IEvIQNmrGN0L5GKIsfx9h9cIc5mz9tUE895aHB3g2oiUWvFdSCcCumdIrNHzui7xmia/APPP44xb/qic4G1IfQ/nZALXLCZEBMBWEmcWjknkfe0YgsYG2Mi3qsHxCvBH4nCLqmnSes4xINRLMdejTQxTEYx7G/Jv2LF4g/NFxcRay+kKS7m8Brc60YnVqiCqqXgdGVxy5bZD8w7CS2hkiBKAVdBfkOynbNfv4MT03Z7BCLgfpKYkc90bFBi4HMN8S+IW1bbJ7S3jLEqWRke5ZRxNAr5v2Cd5tPieo1Ju8osyuyjSI7XWKPNDZ03BFPeNhdk29rjvsFmV3T+oH57QgnPidXNWMtKeQa1zQUfc2D6DOsX7FLRoTgSKqGad+j9RZXZIyHJY/8z1EJKGrEORRrx1H8Ajm8JC6XqCYmySAMQMPNWkoUUjuCDsj/H/iyfBEQacAJTw0MMmewGRfyhK9ExMHokseb56S2ZtAzvueP0HLNEZdsQ8oLHuJ9ztg3BOV57QVzpXk4rghDS5wpWhx97NkKTRUidmjaaEwjczIkE9FiUs9WGpCSQ7bs+S1QoKVjkwrc/YwwrdHsSEXgYkjZypxICHxIuO0Cvo04bx/wk+KQvUeBt7f/nglf0YoZ89DwLfUjIjSbMKN250gPQ6xYu4zBKz7rbvFp8h4+bJiFc5SMWG1i9jOBSi0XRxNeqQkRgjYklCqCABpF0B5TQnwoCLOBYALXYUSrJ3T0pFhWlIxDjHcKG6YMeobwAyO55YF4jqZFhuqmwW77glmyIbpncBE0WCJAGgupY5COMZaMFaOxp48FLTE6GPbDkg9HPyaXDcdhwaG6xjMwUFBFMfNbE6y7RjUWLzTXImUZ5ljRcm72WJVTtAkoFxByhPSCA7EgBMcgclJ/SSlXxJkk05Ih2ZE+lNh9SxJVZCxpxRgvYoIL6MHiFzlffbnH+H3L49FfkQjLC59TcsmJfEIclkxEQx4agu8QaURjLUQKoRNqchofiKXAiUAbIupG0k1SWjQaj3QdQYIUAh8yNkxoeYXXkoWNGR3P8f6CMLYEUtpqTVLUVHIfQokhJrJbDkvFfX7GTC7QREg/orEThnjKzkm02vCOeMZENgSREnxD7+GCCSt3zDkP2ISIezzBCUOLocYxIJAiQbdbHkXPaImIfMRCjDkT9zCqISkbfJSgRYdBUPkJX711zPP0Nmduwlj1uJBzup2RFQV58KxFzk/y9/itr3mWvOENb3jD38aboOZXCBlu/no8Go8Iko6bJ1A3h9gBZM18ljJXWyp1hdTHDNaQkLCpLdmB5q3RFzwSP+Tf5b/NC6M5tWPqZQuvITQO6dqbSuoggR5FIJARwo52gAVHvPIjduEdVl3JTz/d8ujdu/TN3xBevab+GSyXku59gysNr/sjssqjVYeMLC7N0X3DzWfWgr3wgt6nbE1JEwIHYUPMDi8jqkHz1Y9uYy4rHj+2XMxWdKxI8kAUJL2XxHszRCzRQZIkmlrsiEVBt5V085zeBDyCjJpcvCSl4AvxD/mz4dv8OB5w8gFd9RWP9ZfEScaln+FVxyUTOJgwmOf0UQ8iZwgpAwMTJ9GLcybzLd44LtjH41CpRuQwShQP0it+s/sZWbfkT3rBtX7ARh9zFo24mkzYe63xn3aE+Ryx60kkCKG4ShOm38zpPz0DZRgufvklNWoiOc1z4hBxdLVB2Ra3N9CLNVNWjFlTesj7DpsOxB9q2iMYJpJd4uiUp98pVBsjlo6wtNCDqnpm3TlK1MymCZkMCAm6B3IPayDyuDugRoLyJEAZ4D6EmcQuQU09Nghae0hj7jA68uR+g6wkUW5At2z2NVfxPlf9HsemYiSeI8OAcRB8RCkHdFiTi5a9OqX5+QvG9yJGe5paWMZasTUaP7H0RpPKHicGWjT7csERFyA7AgOKFOnUTSi4DJAnWCFJAmidsbUtvYlgMIhmQK4lPIsR8xFp2aNVBCjK+UC8W5LZjE2l0K5nJnYYL1HzQPTbjjIB8ecexgG+CIRriW018qpHtze3ZippmPmU3FVo7RGZIppBuD/i9I8d0ZOGyckEeWsHpYWXMfKyo657SmK6P7pA/KVHlhrzHQHfgfbfePRVC3sx8VuBfC0Il5I0BzXyBCNg5CjfCiACwcT4rCOsPNFI0UoIeU11OOY8HFEExV7+CuUqhNgjFpr97oroT1+g/zVcL3p+7B3poDHBwnLg8Wc7jIfh2CHOJbQNxAG3A3ddoeKAvg91DXnVMdkE7qSeSkuMXRPOLd0rjzvakdzfQ5NhbMeRbWivLWq0hznuSNMVoe8RiaZVgqm/pFwvCdc98gAOzTnxFnjZ47P8pshZ/IwjJHLZEjmPXzn6tWI+T1DZBbV8TUgT4tDDtiG1jpF6Cu4ZtZmRDzXzbY1dCF6NRrSTjLx9zaFasYs1XtaE1xDXa47Mjtg43AE3m6oEfCOJdwExDLDvwEhcLPDRL78XwqQpIt3Qyo4BwSaMqWzORt/nuSi4l2846l8SDytElPOZ+4h3/Kek8gXdsM/T6NsEJ3nkPkUbeOlmHPqEd0aXiOGMJE+5uLJIPcKFGa2fMzBFuoTMW3ItmdGjIoeVEsPAES1HfsVG1qQ4mjQlPB6hm5rZ4JhLyWdDwloekMgZGSm7oSbZRVzUJzyx+9w/2fF7w/ep6oqz+BF3wgtum+/zpX+Lq3DIzr3CWIlSEU0T45OYr9q7nMXf4L79EVO3xMWaVes4KiuiuOW0vMPrcHjjj/YZqdsypqdUFb1M0RNIbrWY/QEtBDv2aZkQ9WeMjeBCjpiLhNArTJcwZCXG77gTdkhxhtU9E9+QC02yO+dg1pIexyir8GiUFGgzkAwDpfccBIvlgtl0g9UFMmgyFzFWZ6Sjjg/DFXEY2HNLIimo3YgmOJjNkdUp/rrDmBjflXgxx0tLxY5ldkqhrqDv0KEg7T0n5hWpPKUKexhXcRwaDmOFVhKvG9K3AtWsIeOaAzQXokOIgOo6siogvprw9K9n3LtrmU+umIsLlM0p1Jr3xVMyd47RCYms0aHBpDM2HTityJwiDhGp6ykjhRTQiYRNB6FXpD5hHwPdQIEhERLrM3Y+RojPKbWgtorZ7dskbok1LUKVyBeXnIgNn6Y3AVYuHePuBd/IljxWP2EkburOjQ+0TlOJOyhn+UBu+CB8xZFbkQ0j4npAW8ECw6f+Lbb+W8TqmsHHtGRYSiKvyIJg2oGsNzwaPSc3A6844opDSlpuhc+RuUKPxki3IPWC6zBm9fAjhugtds2SQ10TSDlfRrxTaLRoeCkmLJOP3gQ1b3jDG/6j501Q8yuE4D9s2D0Sh+Q/CIQlKogb6awZMHIg55KSmCBbEDkwJugIpa+Z8TmH/JwouiBIgfUF2laIQSCDQ9DdCOgiAYODMCCEB6Gpfc7APpswJYRjhM2wOqKXMWKIwMagIIQUhtt0JGyjiLwNZJ1HxnBlYipTshG3aYRn3A84lyHLPXZqjzE9E7dD6pJapoT2LtG2wbDBKcUqTtk6w1YnrPUhL/NAMREcZQrvU/AtnoD0G0o27IK6kSUzIGSDdQlNOGLh96lcADXHeWjDXZwIdELTMOHMpJxlAVlBbFNkXxKCxdCQ2Yq8bRhVA8dInuRnlP6MVF6zzmZUw45CvmCy2SGtJ9EdQfYIIahExCrSDJFgfwVSzXGup0u22HjgWowYCkWWvSZ4Sdn88gc10nlGraboUsplQlFZsmSPWqRMrMAEhQgp8qxCbl8j8kDSBmQXMxsSjEuJB0Ena1zs8AnYieSy1DRRCsJQRS1Npqh1QeUCWjZkaaCWAl0IklhztpfTlBGjg447qWRnKkYD5DvNDLjKBq4TjXEGaXuc1DQ6YZPusxMRXjZ40ZD4DTUZWqX07cAQpVSiJqVh3AXKhcToESFPGLNB6ohMR4gmQSjN+zpDCEVrJAeq44FxUBWIQWFT0HHPtlmD1QgxUE8C9dKhsy1yJejTnmYWaPY81niSlaUcS/rE4dOWKNYIHMngCTRIESiso2trWqPZqp4Oz24vZ3VPoQtPtqk42rXolbkRPLaeedewMxKrLEE4RBAMBr6ca0ajlF26ocxvqqZRnqCA3FJ1HhHXyG1GtJVo76nGju0t2JutqUrI8FBYVnOPMTtcJ+hyMJkndQ2rHHwmyYQi8jVeemQQMMTErUVsM8rasI0tQbe0qiHyDqgpbMTROmNcpcS2Z2IDk+DockdAkFmQnUFIhdlF6PUUtasQQlJcdYS1RJ4rwkQSuQV0iuISHsiUjRCUmxR9sUc499C3dO8mDHFzI4HuHYkP9EKwKHNkvKMwPUhHXAeiKiN6beA6giGQVB7xShI2jtBqZg3sDYHoTMFrASjEKiU7c4iDO0T5jpApBBIxODiPkSFClgP3VMPQxKhqIDq1+GpGfH2MlHvIziG1Y1oViFdb1LZHt4JpkAg5EKjhWLGY3FSA66VAjQcu5p6mLJhHGY25oPxaJ8nfHe9aVmWEM4pJWCPFhK/UIStdogdL5GLoc8bDQBGWeGMxw4AS3U0DIIKgBwhXpGJg7hIiKhZZjwyCSYgYbQ2udvjYE5sNo+Ereqe4jgoyLpmFz1Gixql9Yq6ZsaIMZ2zlLTK3Qoua69QiAkg8RWiJVIMOLam4JvWnjNyGaW3IbcXUn7HHC+b2LzAyYScMY55woJ6w9AbpD5l2W3oNNhsT6hHS7+giTyU8WViR+ZqKW3TCciv8nLF4zUaO6bzgyH1ByZZD9wItt3gMiVtjZMfrsSQZZXgl0MGwVQeU9pzj8JpR2zMPAzu3RokNjdrHy57SLTnmmo03jMU1hX9NzAqhYrrYs5EtRoMcYrIhpXSeOtQIejyBToKTN7Mt8VfkskGIMyb2S5KgSMWSiewpbUcQmqWAK5MwFZbISoz3KLkBXxHJDXFvKSWkvgWzATkw5pKZP2PrI2KhGfkzcl8xqBhnlqxHAWFaHjavKeQFX0RjVuYIJ2+efWXNGNkf48WCPRak4ZoVe2jRMuYlk37FVt3jmileR0wtWGlptaQKKZ2aoJ2lDJc3bZLWUFYwtA3T8IqMKVamDOww9CRC4KWglAvm7gw9bIiSlMYEvBDEvWdW1/TZliSu2CGRqiI2S2IkM16w37+mVDOcstT0DOKSsXjJKJySyXOUuqSPl9gYOjXCUaJ8x1F4wmh4xpE/p/SaBMmMji5pQa9RYkfurjkUDT01Qd1FYXBiYFvUxNIyUQEpNKsooxUjjJjiTUfHDoA47FDeYmRHMaxJRAHmax4mb3jDG97wt/AmqPlVREhCAEEA5E1dKx4RBASYjTyHastS7CiLiF73bHyOGxmixHGge+b0FNMtQju48ignYdnie49Qnni/p/WGcJ3cuGtY47zn1aVghqRzl0w4JHGKtH/GrexdNiSIaET2GIZmQbOGOuTo/Ix7UYP7c4E9kGyOc16O7vNTf8Jc9/hPO7ha4t9u2N4bg15xKDaM2MN6hd802NNPSf09JHu8EnM+3TvARnd52R3gkoF3/uWcftPz+tKh6jEYy2haoeILOjfhSkoaEYHIqBlR+xHnn9XEbsP+nYTFkwXuPYXNBrRYYbwjyIRFHHgwzlj9uKe9ExBZRSE2xNGWwmjs97eY24bimy135Vc8ni64Lk/Iu5q4sLAIqDPYe1gxjr4kwXFAT5E7spMY+fsD9sdf4A80+QcRl8ewFGM6J/mgPGA4X2Dz8HWvuL8z8tyS//RLkkVG9bRDnHlWn/8l9hqcEKwvPcHD7CSw909AfQhhKdnE17AJtM8D0XcE0e9q7NsK6aas5T5nakalxgi/oyyWFNM5P+YdWp1yJM5I7IAPgqnZsvaSH3zrY75KHjP1X/E7u0+Zzlb4n1qG62vm39zhHy7YpQULMSUab1mKA37qTxhCzkxcMdOnKOuIVxXVfMJS9BS5ZRdSVmIOnBNCz+JPPfnpknbw7Gwgn2lCYYkHgczgun/NnvghlBp1u0fMp/zgf3rGSSHp3vJk/wKmdzLclUVNar7732bsRhmT6X3C//4pMtYM/8Sj/1lMUm3Jnuxg1qLnIJNACBGv/n1NsYLpLYXOPep0QdRnrB+MqEREHg757NZdru+/hbErfvujBev/4Y8YvbCok4z+p1viMygebjEHBiE9OOge3OWpOSKqZ3zz977H9NDjj6YwbKERhG+PyMwCbTvkz1s+/wLeeyeh/FcF2X8lkSHn2F7RvQroX5tR3DtD1w4+3GcXSzLXE71asRYxdX6H3NfMulfkAdorQf+6J/oyEP71luHTDYe/tUQ8FvShxe8g6Gccoql+f82rn7b8xnfK/5u9N+m1bTvP855vFLNc9S7OPnVxC/JelpekxEiBkQKWDclIekGCpJ3/kV5+iRuBAwdwEsBxBCuyJMekKFLkLclbnHuqfc6uVzmrUaWxr9N2YCI0xfN0Vm+tNTHH/DDHN97vfbn188DRtMT8Fxlpajn+PyLpwxfobxdMmx3t/7nDn4C6UlzHtyWKsbB9IzH7Ly3xs470vtDKKZvunMpmhJ/1uA/AjRPjakf5jyqudEFIe6jVGXHiOZ/c4yqfcFc+Ydxr7I897b/eEp4KbMDuCYMCfQnaJAbV4mwgvIrMnmZsfxXIRpHSapY/btn8j59xsgMpr9OnygQiEckTs9sZL5uAHD5Dv4rsTRWb3ZJPPrjgygsnKiK5Ytqd8PVx4sHtRLHQPP0cahH8e57b/8Mdwrvn2Fdb8mFKO51xPq4wec6lXzF7NP9Nl5J/b/yuY/nWO2zomLx4zGz/kB+bOeds+IF9wRtnL1j+okf2I2//3hPe1D+ltCs0K2bZBW/wU5wk7uXPWKRjJlmNW3Zczls60Uyuatp/coH80RmLP5wS+BlvW/jQzPkw7vOQE96pP2RqdxznbzBTK2Y8Y7+4YMWEu+qKeXOM1y3tqKTXA6VccMM+41BdciAfcVf9nLdNRnh+xoPbH/PHxQJP4KhsKTnDcsXc7PBGcZOWty5/zKNnH6DvlPz1ZMGo0Rz5FTerc27wAQ/MB9TtBUv7TTLf8X3zIW+aL9lRcFttuMdfA/BQf8GOjJN0wLv9K+Z5w/Gt7/O3tNy2PeN0yvs8YFFVvNl9wo2PrzDLK+KhYvrN2zxhQiaOmXpKCVTMOOKKo/Ix2Q3LJtXkysGB0PtE/zjj+G+fs/jeuyxnPREQJsRsn50oci64bc9QoogkptmKCQ2GQNlcsicDX+qax+kWz+JNuovHuHLDZP+Kfd0iesVd94LJr17AlePRe5FflJ9isgkjBm6w5EhfoIE9tWb75BnzG4n9vS26gK5reLvrWZy2lG/d56/TTVZiCRNotpc0mys0W27Fjnx9wfn0kq1oEgGrKy7TAY+l4F66wl48JisbBjE8U1OeUZAVGx7xKff5jHk7oD7pYTjm9u0ZxyRSdRdPR8WamhMyDDf5lMP+MYdxQwgzXg6Ofcmonp7jPlXM/2FiXjzDy4yoKx595whrXnGUtrwTt/QrjxwajF5zl1O+m33MgmMcELkkf7jD397nFYayz3lPPuPd/F8wCp9z3/UUn5zz+LnjG3/897mSc5zt6MIGm1tG0vFAjtknYGNiHXtGdwpCOKP1CY8hqYD1BUGuqIxhmcCYht+/3wHn7NHyezymOP4x3P/N1ZHXvOY1r/l34XWj5neKrzbsoglfNWf+Ler/bdpoctYUvKRO91Axo7GJcxnT5Bl66MhTj8GT02KImOQZVE/SLZIKiPn1C5FKJCwKda1GSYLEGY6BwDlBekQ8qIRSDqQFUxMt0EdSTJASih4JEesUEhUex6Ues5OKQ99QD4mhgXnrqFxPZnqsODTXoyUyJIrQMAvHlFHQYUtjDb2U9AgpRdbi0IUwkDOKFq9aWhswMeCp6GNFIiOZCo8li0LeFeiYg69RSTMgOEo0I3K3ZZZZipTjVEmbaUiKLCpa0VwZgzUBLUInJdu0xyAZWRoYu4TylnU6oimm5PkFna4IUlDFxA3OGMczNoWjVpB0YlADhYWoPFuO2Gmh1yeMY8IOv4m19utFJTjYAjth10PdaUavFHIpJKXZXlyrjczEkzkFXcRs8msz5WWCqwEaQ5sXLMsdIg0vpOeFaCKWeW+wPpGSIkiOjxmaAuMMQQyiB3RK6JgThpqopoQ4QkJF0Tp8m1CriFkNXJHAGvJUMvgxo3QHrxJH+phZcFhXsbcVupGizQMiW1TIySWnDBpxhnKnmW5GTNctB35AuikyXUPSpE4zaneYGKANxBxiXPDw1Zpb1YhY9chLDbkmOkFFT1O0XM23lEctm70r5go2tabJZ9S9o0QhriR5TeoVxBHz1TkZETnIUamFPjHrYes9QWcUacFKK46LjrFztPVAaROQQCdUEPY2Cdla3F66tqwSobGJi1xhouF4usYc7ahG1/fZBE3MIusCpmuwQwGsWY065ouO9UKTuR4WO5pNzjTzOKMIxUBkgzczQlSkJFiXk7sSZTqMt2ifYztDcg0KUI3hcJXgShO2il4KspXGmIB1FnVS8YULhOma5SwQFpDdAOoRoRScAbSggMwLdgDTFcQu4IcePViK1oEzJHX9OfYe1UCeKdgp8l7odCBrNGMH02QohoLowUnEaUWgRKWaqu8xg8a3GhM1XoQQFSollOM67tZHKi/4HlQwWJ8hziFWKFpFeVVRb0Fpz6zSiCRS1uPHkWw55fZ6R540bjlgyDA9PFy2LEKGoSMZw4FP3I5CNXGocsJ8u6VOmm7nIRZ4HRmKSFY0PN3TvLKRg5jITWKnA3u/iQLya8REIfiCXMGoFQaEXhvquOF+esG0XdKHjGIXeWe95KT4AiuKR6mnSA239Qt6V3FgEpV0zEJD2Foui4JlIYiz7G1BXSrMSrNXtkxlTcMTHvk57+Sn3Gk2WBfI8i13O8OtvmUShLXdcDNsGDcRHUqKCnQxcFCccWdtOczmHMkzDlhRNxN6FzhsN3xr+CWdCdwOO458pJE1xnQMWPZYcvvqhMVltmeUPQAAIABJREFUS78YkY1qolpThsibmyV/z3zO9+MTFm3Lq1KxcCe8HTfcHj7jzN7Cyo5JPCGPObf9S07MHZyMmDaJYujprWUXHTUrpvGcXF0x9Uvu9FdMmg1sIRvlPNht8HLFNGruEkgysEuRqVkxVT1dTBifU20qphpKrdEuZ68J6NWSbAN2pIjumEf+M7CGb8dz7vmWIc+ZRJiHjoorooZ821LgeKAVqVCM2gOK5gpXRA6GC+YhB2n42jpyeG6IbmBotnxfv2KldszMCQf6gr2wIQuBue+52gqzKlFX0HmIeFJsOVwH+uaMol6SjCPEyKAFpx1eBZqUswiBu92SVVYxTkIWQRGISZP5gmmvEa3IAkyS40G45CD0fF1/yl37kjJGBlFMe3hrOxCyc8KgCEoYqS3aJ7TR7MmKfeepWoguMQ0FNYZsJyw2jrSBr8tL9u2Srerx3UsOCsceAS9jWhuZhRXfbhR3zYY31XOqdIGXPSa7MbkEfBEpwoqb7StupSveK39O3RxT9yPSqqdtwK6u+HqecRhq+t2YZh4wumOUttTK06AwITHqayZDi3Fr6ixnJI41AwfphHna0oXEoJe8Ef+GEBsyuyQlx/TvQhzma17zmr/zvG7U/E4RQTRgCAIqXccBCZDwgIFgyKWnYokMke1FQI0tx2nMNi1Y9C/I0hYL7I5bygdCsejIDxSX8xFpGUAsfg2JSyTtUEAAEg7nPUM65NUWQjFHU+O7ERsKompJ7hx3DvQ5qjYEPDt3wMXlgFEG1XkKHCpZUmrJ9QZGoARKmxiZ67GrTRoxkGFISF+innXclY5Hsy1vxC+50234oooo3XLLL5ksW1y5B2XGSHYMRJrJDSyWS2pWMiOGEauwZqcyqpRQVwM7N8MdlcTJjHWWcRkPOV89YiIfcBAcPLmguVWw3s/ItadIlrM0Y6tGmOIlN75VcjJZ8Il/xEfmHuv+gOHPHJuXimd//BZf7O9xdGfNs/wBL7pv8OrxK9565NCnr1gfTMi+2ZGdZzAsMTYgLnGZDliJ54eHe7iTLynkt/8xD6UgBxBiiyk1SRLhwKNLhUikiB4ZFGGcSCNLn3qyvQ4fC6wIbjvga2Fn52wlMQ2RAwbO7Y4W4aZvmXz0nDrr+Xb1Gct1z+Ssp1orhokif9QgPvHupz/hjnpCebjmRthiv9SEs+76tDACLz1mfomaBdIryF684K1pQ3/gmeQb8pUnbQfS/sBEXSI3M7wN9GrLjA57skGeW+oqwriD5PHnYNdbXJ8IY4+u4nXijlXIApyOyOWSly6wvupInyfa/0nYfwtu3YFY9uTjxP5eRrqZId8r4DgwvgrwfkNxEnF/Cs+fRl7mAImJbzjsA8P9hKEjes9ynVgcakZnV6hkyKLlwV7HiEsW/SkTL9hbCbaKtKcJVYIR2EyToicIBK+xfcv9/Iwmj7i391DZlPR8CUoIOqL7SCaCdxnd2ZZbs8D4Ozew1RX5xUC/2FG+PaJ0EF5doUc9IQJnHXV3hdERYxXTzY7RyRNU7ug+j7hVQr8MqMuAmSXCyNFeKMK/CoQPYPCJYhXZ2cR0f0f8SUNca+StKdm3NP6eIXy7x3Y59U9b5H1AzWEmiPZI42BwqAGMymFuGWpPPjKkUiNFgg0oHWHs4EZJOO5wEwg2Y/iyZ1yek+U9m4ngK89Cn1LHlnHYEfEMY0Hey1Ek1NDBwoCL8GqM+WKNOqgY6g77Fqj7HdnXPOkAaAzmSUKvPdpGpHLosSUNESk9eg9kvsSMPVQKVQcoelRnGE2FsBZuhIQKAxMgzzL0KEMODaN1JPcJMwH1q5dUQ08+MQyZpgqKu6ql6Lcoq+lF/eaKyK8JeTYwbj6n3jP4PnCuhJlccr/dkH/+BfVkRFcN2NMB/08e82beoXzLtF1D7On0miKWhH3P7vs1nepY/HzAbByH37eYeImMI8O/6eh++YzJYaJ1gXtvvM9/zhmHq1fYSU8/Ctzav2T9i4b4sxXL08id3/sV40NPc7qjOtP0MSJveW5+a0Wxtiz/5Sfs9QNul3gpa25MK/RJRK0/52vvjihfnvPqTzUjrdBvD9z+YcGrj86Z9Am/FHbnG96+/wz3MDHtPIs//xXfedoxH36J/YMMs7/hG3PP3fYxD+IpmUqUesTesKH6/BWjZcOgd2QHM9QrR0PO9P4pj2SFPWnZ27vH7fCSwyefMjnvoFawFvSrxOLJE948P6XcGEZxwLeRaZ2Q+wPx24H6qGD4xTndXwTSnYbROyDBc3vPYD74BfnPBP1uweThCTf1c8wHkQe/+inV0Yritoa/OsOuHWmvJxwkOjy2NqyeDozVUw7LgnbYMFqU8PkJ4S9X9NuEW0bC0YD7pmO0fsl7fwNPj5dM/yPN4ZFn92dXVKdce+WIp3xb8ezLwP47EG5m9EqRHzuyYsPRty5IsiJFg9rfo364YD1OfKwOOdJPGH34hIyC/UXH0PbcePOUzgTMly/pP20YvZsxXl/ytZd/y/7PPuJGm5gvzpm906DvKNQ3ID11lP/4GV8zl3TuE3yrmBih2TlCZdl/1OGnjj7C1cueooA+H4gbxfQs4/gff8TD+znzu8Lo7Uu+4zNe/Pgl3LzFTx+8SzF+xju7z7j5r4TVhWPxfccs39E8HYgfKdZNQr7R8uYfPGPOjuLjBl4uaTqAAbmKHNyfsPnR5xx98pRi19Pf65n9t4Gdu2IcLckEVG4pVy3+Rw39qx5zJ9K9uWP6dc+5CXxteMmNFx9xefQG6IKHnKB1w1oi79tHxAP7WlDzmte85j94fvt3cK/5/8i1L02SRCJci2ySwpO4NocBiT0KTwo5rhHyZFnGHJ8qdN+TS4slZ9hqcuvIq1fINEMqC2lAA76LwBakJaqIjx5Ui6NjYI+mHTOkCVUqkCFiAtdRo36F2gCDIaYML5oURnTtFYiAg0kyqJThUiKpCHVCNFgzkPQaT4ZjTkIheFQU0tXAzbjmTvGMB90TblyueVKCVZHDzYbx0vGsKIi5pWoaYrR02QjxawYMG5kQ9IRetXRoSumpN55NP6XtQMqcrixYo9h2R8T8Ryz6JfZZQ9jbpy081vfkRM7TiF5yJsUVk/0tJ2rG5+5tnqU9Sn9E+pml+eIOL38w8OmtI1T5khdxj9P+DpvzCx4dRPbPO84OjggPBigN4SxHTIOOsEklr4i00xGjlKhc9ptccL8WdJ5IlcIXkXyh4SwQx9d9R4mCKRQJwZWQrCI5IYwiLgqlsfRW8FboippWHIuhZQ/PxHR4UewNA9PPltiFMCtOuflsB5+D2mT4mxGxHu2Fyc+fQXiBPHToTJPOclhFjBWkUXCWSIsddm7gi8To4wvU0SXpHkglyJUmXq5R3xUmN1Zkg+bSVjTiKfCYTQcXHTJKuPFAahM+gR0c4gqSbVAeooJYG1LlCQr0uuU8Ji4GjzmLqOOeg8sCbiWUTyQRGGk2UwsPLPQRs4tUXwby5xn8xBH+9Y4+GjpgGg3jSSC851HvlGSZZn0xIMEyvhqohgHCK+5MNJPQc3t3TN5NSfuJYZkopwnJhJAnTAE+RlQAeqFMjsO05ArL2eGYe6Uif/UKbYXeJEodyIaEDBrZdozqSHk/ErNEvc4Y5uAPYDxPxNOWcgHJghwHFhc9sY6oexlZ22Mve6Q2bJ8E7LFgLi2yEiRLSAnmMpJ9GVDicS5iuxHR9Kg3A/J4RfAgdyOjd7e0b2heTQOLXYG9qa79dKyQxg5VRuQMqB30oEpNqiKxSFAF4jggGHSXo/uOOPUws4QywUhAMsrjHfPRCrkV6SqIWceBP6UOO3LbExSQQ/FIYBaIIZLtOUID6tkGt0tkE0VnBTVLUEc0nu422Jc93EyYz/trc+VFgpkjbhLkkCaQFv76/+SBOHz1bJlAXsJkk0gJvIIM0JlDTSHNtsSDgHjBjoHTDVXusXXGWkUy4KBxZH1PNy7pUgm/5ZZZ6lSxaM/Jo2XdRyKwl17x7uaS2eNT8m8HVNUxOndUP2oZW49p15iNJmjPhESWMjYPS5a3ZgxlpPigJ/9yhxpNiXsdUoB8UjC7Oqe+MUeFnv3+ObfjY+RlwN6r6d7ZMNUb0tMdxV8m9Ps7xHSYbxniFw31FwXKORoVKO6s2W8ST//8JYe7PXYXMBxssf9gRHbluPn4iv2JIz3eMftfE2NvcX/UUr+rqD9YYfMRTTKEpw03epA7AeUDNz9+ylt/0RDcKfH+LQItt6uGveUTJmnFDXHYcsJ8cIyfbtFnARVWjHcDqxNFGpeM3Bqr1qSrxHRmuMmSg+MTOO/gHaBI2BeOo59fcPQrjboAJQq3cWQTg/t+IOznlAc52y+X1P+yJt4bqEWj7nTYac7Rz4+59ctEDGPmB0/4dv4R5acgf7Uh+65mUIL5S095auFOILwZiRnIjQX2R6dMoqc6srR1T/3DhuLCU/7pK4ZTRbYMmD8p2H1HKNoz7n6wYfzRhni0YN9kXP7fKyafKXSpkdsGawz6Jz3UIPuWqGrURcd0suMwvmStVzj28JOAOeq4qgxfyBF/L0xYPD1GXEbuc9humd9Z0pcZcrZDPx+QN3PGzZpvPL/E/IuOYieENyNmMSc8jIR7UP6yIftzj/Frer1BVkKWMprOIbWQ/6CCh4IoRflJS11YmrkleYfZzil+8oK738zY3wjzQ01KW37+izXd8DU+uv91Ds2S39+9z833E8efWxYHY7L9FvWhI/2FRl324IXR90/ZtyfYJwl+kuix5F/5Gpp7mvjJCW/9M8WotbT/madMLY6GiStw/Rqf1VQrR/nTC+ovIH27wpU7qq9dYaj4RnfFwbNTPr95m+dywdfDLxmpgT/nW3wh99mvXk8+veY1r/kPn9eNmt8hBEGSEBKgElECpOsGjZAT8CAgRvCS05GR0JjUM5aCDCAO1xGdaAarENUxlWN6PQGdEKUQEYJESCVEg0+RhAYFNrs28NMxUfWOBQ3S7sh6UHG4HoPyXEci64YiGexWcMqym2jSGhavMkYHnkzLV8aMoArHQXjMt3pNVcwpg2WOZaIuULMpxd6Sb/ZfUDU/5e1+SdXDze2at/sv2e9WbJPQWo0ZelTfUI6n9Dic1DQyYSMVFs89HmPjkm04RGUTMjVDmwTZDpGWgg1T8xm5OiFnQz2sGcc5eQY+CzQxY5A5PmWc5nfYcMDHfJfn5j6DH8MqJxfFLow4le/wb/x/Sq5bkggueVo9pvTCvIlchYBbJEI/sLv0zEoQ3VNKTzJzlmrM1AHy2z/7FI9z5IUiv/CoQ42zjrjQ6FLwEthlhj4azO2BmVJUVyUhabLekURjOiHfacLKk487tEp4rekSINfKK5uEGAQl1wotAFFyLQcbMjSWFBsM6SsP7giFJ2UKExMEB9FQdwLLEraJMOzQ3v5bnTu0gbSGtMtRS48tE9ODyK5OxGjJegV+oJ8qWAn5qkTPHdvk0SmSGUG1CTVS1+bSgFGKoU/MKoOKBtW767jpTkGERGDIFN46UmjQ2kHmrscfswSe6/hbLH1QJDS7VLJ2kWK5ITxbUFVrYudgO0LvNmRqINaKsfP4bSA/BxUNPsFApOo82bmBNiFTjU0JNWjoElo82l+b466VcJ5vKNVAvrWkpSVVkVxAVgXdxtGNB/JbO9q5I1OWnhGhzMn0GhNAe03KhETCeEsKnhQEFUC1CoylSBHrFam34AOSW9g5ig5oBDCYYUAS1JJQlxq1LCgXDS7bIflAFOhEs7Rb6gWkPSGNz+mmnlQk5K5Gj4XVLXBGUzmQCMRIyBVSBGyh0cbQThP+/kA4A6KF85zJRYGUQDBUlcZKjlUGnyV8AcVa0ychjgJDnfAqY1tXXGQDR01FsudkDKgiMZSCqg2bCL1OkDnWDw2ytbStxk2E6Sigt4Gy82QkiCXgSDGiReGMIGPDcL+jWWjOs4IzDNMQ0ZMeuxjQxtPNhHakKeaB2ihUBMSzLRJNFhm7HLP2zIImmAT7/7+Xj18vbY4aeZT15KLotSakwMxt2O89URo245LDQpPHhtyvISYkKJJXFCGAOFIbqVY1aQX2IoO2hzbiU8KXCm0ThU4Y21PlCWs6bBuvz1pygx8ENeRY58l8R5YgEaEPTDvQbQYpEFVCIhjfMtOBLFxdH9DUQpposq6/vqfRk5xiKsP120Bh8SpHZcAukrWRyn31vcMaNGQSqfySMEBHxU4qnGpQNpBCRMRRqpaSHbl3EBNTBHVhKC8syQu9y+mtRkmP0JG8phyAJjFoRVsbqipRJaAT8IA4Mn3ts2eNIvQdaldRbgpsrBmliCo82z2FSkKmwMaATwOl75lUA4ZITCBaoTLIA1gMwUAwQq4CahuYbcEqhfKaQgmda9HOM3YR2ggDiPNIllDGYTrHaIA2WVTKmXqwIZFKwUwUrAbmK43uc1SELhf0XOHriOWCveQoe4hxS5afEpWwYUSMBVUKIB70iJBBFgbmuwF1ZpmswEfDzhjKQTPdtCiXGHpQOBrRXOqMW6bAuBXGJTJ7PRpO6Mk7wEKMO5LO4DIyvtLIYX09e2w60J4qA6s8uYe82xDsmkkSiihkIZIIJInYBHuxw3KtJLRNDq1G3AAKvArXyYdKYEhkOiPl4KcKGQ+ME9AnJAhaJwbpSKnDhsR825AZjT7TyNJgOo93Qi2RsVwwZZ+9fkM2RHwUtpKTq47FsGaiE4Nk5Om337vvNa95zd99XjdqfocQ5Kukp8R18lMifOVN49FEIqgeFwJtskRdkJUVYznmgFcsqm+iokaT4/FkRyOMDeyzRh0s+FCEmFlMliOqJm2OkDjFssRTE52nskJMir4N5KNEIQ3+vGPpEk5tSdGyvQTuCeP5mqMA/bPE5XxG+0aN+qcG9/kp+398zuLogHlM+Baqh56H6RP+m/YFg5ryfvYuOZqDbEn9g4pJM+O9q8/5zov/i8VBQXjRcXf1MaPtx9x7uMcHFzB7YDFphRaHkp4Cyy/Um7yQN1iljAfxc/4r+Sv2wzP+wt7mf//On1ClmtkDT44iZ81d9Yr3Dv8aEyHrK8baURevmExLLmWPk90RJ3GfIJHGfpPH/YKflT/gGVMuXu2x+XnL3qGme77i52d7/OyL32Py1nPuJIN/eUaYP+LCGkRBESPpIVTTBduTBllk+G7DzXTJq+o2z7qSm1iI7je78H4N9P88Yp57umWg+vs16eYAt0rUuCTYgXSzJr8xI3tyivzZOXykcX0gFUKYtdgx+Bc96U+fcvsPA7IfuKQmqhxUz6A7dikxyxNJecIU0i2wS6AUgneoOuH3QSUFcwVVwPVgQgnm2vdEYiRuE2nXIi4xFJArIbiISqB3lv6lI3wZKGzO2d/s2PuPPXvvZaxDQTgt4HSg/sGIbdzikqb83oQ4vsQ6C597+ueB/GiMn69gDDaf0L/c8MN/OGf1s4HlLwcuEjyLHQcuwxUD2VENlzuC78hFoWc53bYjWlCdY3028LlPnGoYBXisd7zfw90P4ffdMdNvatwU4k/XrJ91TN4RLvZ37N/VjJ/uaD8JlHGF2UZGCXgaGIaIziP6cku4EVEHGautZ7rdMMuEjW1YpBF1VxIbQT3zxC8TzdRjRwrbRFaPPfvvWdLXK2LWEKearcpJg2EymjJsG1RtYVww5EvKSUJGlm7ZEU7AFPG6MVMnwkEk9p60TmTTAr8bUFbho0Nbz5An8nxHNtJ0v3wBx5F7DxVqG5ClwdmcZnpAq4UbPxDUJw2y8FRvZAx7DvtHYxgMxVTI9heUzz38sy+J2w59P0M/VMTGkMoxcjOSKeAPBsqnOd0/P6P5WLC1kH9viXmgaPyS6maBehtCDuE4wl5JeKQp6Gh8zRfVAx5nC74ZE0flXxGzRPWGsCyg1IF8o9CbRDWt0f+9YaeP2MabtFTk+pQ9f4r9m0vUjyLpyfVYlNYBcjC1we8nxv+oJP/OHL+4z8bdYuFb5i8+xPzkKTytKRcdxZ+UhKsdyY0YLi7Je8GODF4JsVX0n23IpaO48vBf/2Zryb8vflBcDHAwFdT+lC+McBpnuKJhyC3ryYLm5j5++RLzyWOIIyS2pDqQhkhEAAHj0Z9t2DzuqJ564gwCAxsfmH+vILSR/rlgHwpdBea7I7pnW2Qayd+tYLdkdyowzhgWG9RDxbCwiC5oVz1z61DjSNizbOMIVU3YPbxg75YgraJ/y7L5e7cxn50Q8i0sCnzVEx9e96aL37vF8Qzi7Qz3I0N8ssMeKNJqoN8l2J9xddQyflQQmoFNadkw5SxlXE3vkjvHytT0SpOJIy8DZj+wOUvUT9akjxPxrQlx1eNnDmyi1YGgKq7WPd4Lq4MZu6MjerNi7+MX6JsaN78edzVNxB9muHsJRYBXkeWvOvbmoN509O8oVt+YYrt9yg/P0Msl6ZalzS22MIzvrEhvRfqDGWnPU9xZQR2RdzS8qaDTxIuS87Xm9kNDSi2Zjpy9chxUI8JtQ4rhuklvI/qWgXGCPDLsGZa5pSzG7HLF3lEgfMNh//CQ3f/yOf44UV8KA9AfKYp/kLEt55Apbq0E+6sT2AwcVQXjtGYQS2cyWCh6rZBHY4bVKYaG+uyU9Y87VBORduBsfhdteib1GgK000S+gJ0Vzqb3qI809XyJScJWCVlIFC4RC+immuzdAtlPnP9UmC8T9jtCuD+gYgkXGekU/F5BnF5fq8tKhoOWvHrFA9vQ0HBVHmDuOXx3STKCO9H4E4csO/J5gn1FzBR+bIhf16SnAzoBXxN4JyNVgfAFxCOF3Xn8GLw2VKoiu+rxl4li07H9cYccW2JQmH3HwjqmIaD0hsHuyArFztScyi1Oujep159Smojonl79lkv7XvOa1/xO8LpR8zvEtc1nAglfJT4JSCRxfVqviERRJKmITNiKZ9ADNnlyWWJlS0iBIJ6II8aITokknmA9KFAeqlzRxUT0hoQQRSBpSBqRgShCVDkxecCRUv6Vx4eDpDAC2EhSGyoKdF/TS01nRyCKcgezwVO7DmMc0QAWqqZn0rZ0qucTeYtCafLY44uBpugo/cB8fYWaVgSfMLtEvu4xXYtPCRV7RtGjQ8KbREyRFMYocpQy2Og44IJb/XOm+pggS7zd4bSQhS1l7BhxQQ3EaFEISSKaHVkKRHHstMLrGhc61pJzmt3mgps4cghziDWBHrSnU9DzBlu5A6wwREKscBSQwCRH0AlnO4bcg9aIEjK26NQTqIlDwbXT6G83pRPC9UEcAjRlBLMlKxqiToQ6EscJ6h5lwejrSOcYuY6d16AVTAfQbcIPkFeWPFhEQSaKpEBCJJrALjcMmTAqQMy1eIYkJJVwKaG0QhvoTKLIW5SOBMAHCAJGhWtlQw5GO9DX40rKghOQJBhnGAch6wzjTYEJE2K/RhLAQMwjMtoQpzuuJp5R36PrRKxA2x27GkwOWgueyDC6YD2FTXl9fU4gKIXTghGHCdep2b3R5JmmM6CziM8TbSHsJNFZqIGdhZ3AvhckGJRP19vLGCk8mK6k6AdUUJQ+IA50yoh+IKrrk0rtIVmhV4qgIiYJJoKEknxI5BYKckwQtBeUgywBAXQUdFDXBsTlANaTtCJIgWeKxUJcXS+OBCmqr543QGlUr1ExfKXu0OyUR+cKioDLAlZ7Ehqfw7qCUif6AWIWUcW1UbDTUGaJJAmjDPgcE44YlGOwlzRlYr8MiFF0NqJHO+gdV7MMZhq7dHgTsQaC9QwlGNUhRaKtS9ANftxSZI7CaYp1QjLwDeStkCdBBlCDYBEkQC+eaCKDRFqtaU12bYque6KKJNFgDN4OpNSA0kSBqAIhH+jyC85UxoqAly3T4QozbghFIFWC9BErgsoCQ+bxuSavI7EeWI0NJ8mgXcut5ZIkHvTAkDusFbzxGO/IA0hrsT6jUjnVkMgcmJRR7yK/7SQCXmn6rGJT1AxSIli8mrC0hsFMuLSW45lQTWDkEilF+pTYuopOCkrVM8q3jCMUX9UMXyaGzLLViWnZ0ZaRs5ElTDJe1S13Rwc8L/ZJ0vCoVrhOsIy4spFmotnbQjOyHKkSHVck49mUkU3p2GUZpAnntbBXKjZl4OlUGI8yxuOSrswoy5rTsaOZOkpVMkxnvKw843yJEoN4cFpoSodXgsKyKgIX0wylYZdrIhNiWrCWc8qsZi0zOgqMZKj8BKsdzXpgLPY6LCBYbMgRVbBRA4NkaO8ZVMTnwsbmnBQVRe1IE4OZapZFgcQSm0XiTKPqhhlQe00eIBaObZHoRxnnWlNnmm0tdDPIR8J5NmJdHlJPd8TRCXmh8ZXBTzbXBz9jzXKUUWfCwVboDfgMxESSgEIx6JK2zliPWyb7Qj6CXaHJiwpTdZyNhFVlKYuCi1xQE/DjRJ1d18kkDl8E1pmwtSOCaFampiMjj4rCR3S8PkzTBCBnyGp2hWKXgcphW2isClQuMeqAqOhVoFcZvVGcTYQywkUtTLOKpcpYZgWnpWc6hbEXTsVSdkLuPcHBbqqZV0KWfaW+VkC9YzWO5ENJXkVeTqEaFagyYUzOlVQclztmlSWTDYHAJp+RZi0Xk0se1oq0VJTekqJjyCFWELWi1QWxKliPBsoE5aRHT1qysuJ8VOLnkSNxDBkIhk6VbDJLKwN1EHInBAdDBqoc2OUVSQqGtM+lvYnLT2m0YatGHOf3mWZbksrxYlmq8W+2kLzmNa95zb8Drxs1v0Nc74EC4NApXSsDJIC6brqopCAaulhzxZhWlZjcYFLEiGFoHSYp+MoeeFrUvIiGra4YVVPkeo6EsBkINqBnGr9yJByRHdgBQaNkYLGfmOmO0TLAqoFewJSIcZQTYIChMzTB0GuwytKHmsFrsnnkjn7OuwnmruLiORRvL+hMwnx2QXk7kt2Hlow+jdGlMHmQCEVL3IG86EiTPb74n6840FAVW+b3I5XqsF/A8m8T4z8R0t2IVj1zWnJp6I3BuQKcYtkpAAAgAElEQVS9Tcyzc9693fBZWqNUQidNpKQg8kZYspGSZC7Ye5CQXWDc99zeO6PJpnRxTlSGQIPWK6as0cCmu0lcB7zNWfzwiO1giU8G1L2SptgRXKJYD8ijQLoNuUqk5xGbPL3TpHEBM0erd+Slpd9E3GUkHf7W20LAuxF1d0rRDah3FKNaEy4DKiSKccZu02E1SDugvjtB3wFZJpRRUIFkDgpLzHtSbuncQOcaTN4To2WQlsX3SyTr2FQLng232d5fsFA7bnXHFJ+eEF86ih/uw2gLovBDYHRvgXraIX5Hqkq0ZKTcIHFAeoV+leD5BbqyJAIyS1SLkugC5A7axPZ/Gzj7p6ek6pKjukPVEYKj/naNfG9OuDnBTQJ205Ld28A6IG9NGese/7M1fLCjNGD+k332/7sJ8+UMPgjw8afo72m0uv5d/h/23uRXsu068/vt7rTR3j7zZv8yX89HiiLFRqJkQKoyyq4CLNkGZBiee+D/yBMDNuCBbMOw5bIKsFRlCKxXohpSYvf6fNndvP290Zx+7708iFcaWjBM4EFk/gYRk4gTJyI21sFZe33fdwv60TYX9RZmmmB1g1Ed04OU7XdKfu8HZ4TJPrZuWT1d4lZbrP/1Z6zPWkqbM3kQ4EaLHiz9aaS7CoTftCzvwTYZnHRopVEPDeQppgmQGdQDi2x36FRRng905UCVg9UVp2pCoqGcJshui74/xY0W6K0Uqgm286j7E6rC8vlozKXZ5aXaZkLF7t6AQ+H7HrMuePlMmB1OmNzZ4eWPn7AdIdWw8h3J13ZBFPbKkxwNqJ/XuArkVsLsdwr0dEr28RK0oOYlcn1F+khhf2eP+JVzKCpGNmJDw5MkJbtxgwffMZinj/E4wsWA7DvsDYcbCSEIhgz3wGDenKFv1lRjBX2GUSWNEqw05Kkg6ZRldcb0tTGSd3QyYIOhqxTomuIWxATszJEnihbFdWJpJCOqQMmKJK9JDzXG9NRHitGDjaKqnThqbSEq9EnLqLnmQHWMTMKYHi5r1HkgeVAiW6AWPWqwqC1wMiBWcIOi/rmnvHXMm3e3ccdrln++YnQK5o4jv6uw84hOBHkh9E9Bkp72dkq11TOLKcsTKPZ6uGG+7Ery/59lS31nj/P8FmsvGD1mkJIfJZ77X3+XTisGNeHsziE3/vCA5TrB2MiFL/i0u8fCT3lj9Am75qckj5csP2kpb2j079/m+s2CLvNE3bIc77BY7jJMWkblSz6oHvA/yRuUX7vLg/aP+O1JxYnM+eitR+i7Di5rnt3x5J+9IPMXqLnB/c4O8p7herzHot5h9M++w9IP1DFlWaa8TErMwS1Ot25SKcv0jWvu/NcGv4L1g4SL8oyHXzvk/JMjys/OyX/rAP/NlpUDGOi+/k1+8uZb7DZ/TbmzYL/P+B//z094+1/cJeprPvMTLpOHKJa883CNDxWv36vQf/Wc9MMzYuyogvB0SAk33mQRU0a2I/nGA67bXXL1klXIuLpzn+e/9ZDk27dZ9wm5r9hKIoO95ObeEzL1KeqjBXYErnC4797iJ7slF9GwZxPy39jh4rZmcifh81HP38V3eTq6z1u/teCt8Y9x82v6//x32D0fcNuev50NbAH/dLpg8v4z1Ntj9Jt7WDmhTRznO29R/RffZTtec3P9OcwuOZtO+TzsMv4PDmi6lMVdz5k75c3/6te5qnPq0Tl3uw7dWUYH0L415vOdezyPu0yHFa3KSG3Lw8SQ3hTal5phPKVWwmWc8ljNKN+4zSIMhPQGdW5ps2vu7qao+QlqgH424VRr2q98FdK3uOPWDLOaH23BIEJCxfWDG1z+4XtMpCfRp6zjhBddiopjJOk4P/iQR6FB58+x9yzdt+9wsqvJqoLtoWHnTc2L8mtUnFPtGH7W3OKv3rvDjVnGm7xPieN99S7dW/e4ff8J+e4PuL3TYj7qYQr83m2632p5aSMXq5tcz+5i/onjllxD8ZRusqRLDzn6+iGL23f5bvcR451P+DgUHPEaPrfcef05O5dPUWmN3rUU74yRbw10B3OexT3+j7++R3Lb8Wv3llzjiH7KH58MXO5+m8F3XLgp53HOP/3H723+ile84pecV42aXyHkCyNhxGM37hab7o3axFJrEhCh1TmnzGgYkylDojwJiqEXDAWaYnOkBGoNFRkF6WY3VwthcEhi8GOHWgZMHIi0QI+RDIVgs2ucbhiHEaYaQMCbdPOaDBg0DCWtHtNnKUqEpZRUmSF3LRM55W5sKLoJqzUssn3qbsHuBVhbw91LFsAiTmlShbq5YFCX2NahbCCOE4rHnpEewa9bsBEjV4wuSvInoNYlQqDTERNrnGoYVEB8xK5ge3pMln9IgkKpfVBCrQqsDLzhn/DC3kTsArcNuhJm9cC8PGNZjnka9mjdnF48moFCVaSxIR3uQT+mKgeSHegHhT4rMO0WVbEikmGuW4JbEbcgNSl2UaOAsdeQOPrU0DFQxQEfx9C4X4IuDbQ3I66qWPQdk9uKNgomwrIX2OrwHpJ2QFCw19HvAZfQ9pCPwDlAG9Z9pEgSGg8qFazpGWKHz87hZkWrFB8XGT/kLZ7ITfbVR/zu6jlvfRDRLVQ3F6z2ByYrTbdOWO5W3PQNdRzI54E2CmmZ0MtAEhWxDPSNZkqC8h2hCMSZR39mIfEkrZB8MGb0RGBeo77qiLcDvQqEWxXLbc3jmyOO3JS36iWvmzUyDLx4q6XoS+Y/VnCVENxA/9qCz795Qc2IR1uGfFGhb2r8UnClYtiKXJqUZ/YhpyYl1wvmsqbcO+Pmo6cMbsWd7BwvkeIvhfTxQEND1QE49LhHZg3+0lEcR5wSWqs4zWG81UMlxF4w2wFfDOhWyDSoPUW7tZkmiolwPq7pbYqWmlalXOuMJilIxi1ur2M1FcKsp/Qr2v2OuD3iaLLNj4pDHvOIa1LulU95RzeMapgQUbXFN3AxTqh3cs4U7CoFg9BK5Hp3TZP1jA888xGYlwLH4Oee5j0o92s6E5BeMZoZmgxmOwPmYMmw1xI7SAdPGlccqxHr0S7TvUuyI8H4QDJolHKcTRsujcIFxdJp+h3h8M6K9dxyzJQuG2EQFB2zqCgsCJa1FkbbPdYFJCrwU3QzoBnAp0ALSb9JhzKWRhs6mRIkQ9Hj8zX9dIBOcD5iRFNroTawZoQOkdGwZHTWM479ZipLIJ6DiELvdDTKkyZCbPuNj4yCUIEYDZUwWTSM/SeExpEcefTa4R+uWNyPzGdQGZg878iuYLg0+OCotCf2BmkMEOjySPol1pFfBNIoFskhn5bvsLYLYMbC7PB35ZTFKKEMl1gpuZinPJ5HegyKwOfM+Ru+x1G8y3f0/8YfDH/D11cJdDkyX1K/fcbf3nlIxTYMp/xgb4uncpc5p3xVXfDxydv8t7t/QLj7Lb53vuRrF2uW6oA/vf0VTpOMh3LEpZ8wP/O87j+GtMbfH3O0m/FMzVjZXZItR8EKz5wXYYvKjqj2ZvyZ/gPOfca7+i/Y1yd0feTQCErnnOxEmhuab9hPKA8qHt8e0Nc3GPpL/vb+a/yp/i5vyAn/vHrObh/pzg55OrzGuuj53OzxM36bq0ng+eQTllzzH8XPuPv4M4wRjBf6GDmZ7XOuXyONLXvqOUeHI67inG/6I5bM+Kx4kx9/NWOh32QNbPOUPRaMyPkNdcpBLdifbzz2+u3A04dT3h8/QjEhcEZzqHm+f4sDq7kIDf8r/wk/2fkeo/kV/6X8D9zmiL+d3WP//pLbzvN9ZdjG853132FG0B10DO9EVpc9V6s5f7vziD+/c5dH/IT/OP6Q/XrFs+LX+FP1PU7f20dE2NI9t8IPWM+f8oI5jpz00yNuicakQjt3fDR6jZ/wDrNsjVMd9zmmiY5J3tOUmtqVRBVZqbt8njwmL9ZcSI1SD2iy+yTZE0p3yWRySr4ING6HE7PHhwdvc7yf8Q35kAlXPFV32FUdb8S/4uO9Kd/f+02mXPAu/5ZTtviY21h2GLHkgCXj43MOUo3Ja1a3NH9T3qeclEz096lVxZ/GmwRKHprAv8m+zb/a/g7vqs95p/rXbLmc/z75PX5w/7t8S/07fk89pUifcjge6AvBvNbz6Z2BKtzgqP8af7nzFpcHC74pH/IGE4KasOAd3n/wFn/+6G36cMz9OPAsOeQH8h9ymm7zDv87X+mOyRTUOfCaZ7jfcJyXPO3v8Ony9/njvGIxXnGHBtPc4q/VN/CJsB/+hkZPeK5ufcmV5BWveMUr/mFeNWp+hYhYIAMcgQT9980aA+K+uJ8fEG0IyqKJuLjGscJRYaVFVE+kwzGgpcUraL+YmQEhRiFiUEFBr/j3uiRRDgigNZ6BnkgnhlY7vDN4BToYiAmDAJmidxAQhgCJK4nW0AJJrmn1iJUdIVKCBkVLZ4V1AqNyRG8cm80Si1CwDnMGN2GdgqSKItEEIJrNt7YKDJHeRqoUxlpR4BnJgk6vN+cuOb3OGDIYdIGRLbTMMXqK8QtcFCR1rEzJECKGkm6wmMTTARqLjYqSFSFqLClZTFAmEHQgUWuUMWCnqKhRARCzkaPhEd2QpXMyD7qH2lhyZTZRxgboU4p2l2k+oTBC7yq8jhvDgX/kiFcgkBrQvSVrNXppcAJhBUklZJIwtIKmQxiQzpAiqCAEIiZXGCVorxgHQ39Z0qU5rW0oddzITKwiDZpcWvapOaCjGAIohehIPmxuOtPaIYMmCwqlI1bAI8Q04k23MeiNGq1ARUEUiHIoEZwPiI2AorMKR48S2aQImQjO4dpAWkMYDcx7T4siHTbmm0SF8w7tLYMSTOJJLEgLI+8weNIhYAUYzCbdTRt6LWiEVFqyGEl1h5OGXMBiqYJspEFBUaeCyVe0BfQrEDGIsBn912CCphwMutlhbFpsG7BDB31AuoSYCpFhIyfDkHiw4ggrYaLH+ESjVMq+Nsy7hMQ7kh7UoJEA1ll0CwmC0gNGPFkQtsUwMcLueiBtYdJb0h4kjNiqzohLR1JZRkGhGwskTHyD6xISrUgGixki0gdUgOgEbwIxspF3iYDpqMeRtPCMbIvIJgGJGHCykYZlLtIUNcuRMLWCakGFBNcaRrnC9o4y2L9ftyYYMmVQ4rGqJ6FnEiJpZdHtlLw7gnFkSIRWhBEVICg0qnO40BMdRK0xXjMyjjQYuqBxSWDaepxspE99BCcWFTypzui0kA6Q1SW2AXowvYAIqgmIRBgcVgTlI0Q2UzUe0laj1zmjoaFMBbUsqeuNhk4hGKNxg0ZVjqwOKMkRtUQPlnGTIp2iaBJo9OZzh3/80iclQio1MFCpMUZGFKHFxjWZ80QiUUWUQCASVUBLxOs1Q2zoxFGrOZUZ0+kVmd7Uh+AMlTJ0KHCwaeP3tCrnnBscJ/vUSUEhChVGqMFgkzWWawwlNg6kEkjDQKYFJTCg6JQhKAUWWjGIKWhweCxCoNUBUQ2ptBSyRKmWQTuiihtvGRVJNo7joANRhDQIymxSFY0aSGJEhw6hpVUQkgIbWmZyTWkGVmFEtA3gGZRH9BeTVSqSqIgPA8FobIDUDHQqo1EJS1Pgo0URUdJiVKCXkhN1A2EXLyk1L+htSaF7Uh8JqI0smwyRlFIJRlYYWRGYEvUIiSOiaEbSoWSNKLA0GLUgkhBUgkOhgT6CdQa0I1Ngg+CUIGgqNaKlIKoVQQyVmtCogjJekNARVEalRmSisLLcGCZ/cT0WGxB6EuLG9U8UtZrR2DExrUnDBYWcYvAoOoIyeGVQ0eFVwUoptinR3RkmCsoorCjGdCjWVDqhEsVMLIQaYyuUWuMVNCgmeEzoQTuiSmmJKAQlitRvhPI+EYL2KBUJWlPpgmsVaJh8MVc90MeU1jhaFQk6YL0nTSpSWTKolqUqadQWojqirbAOlKREEbRqiUQW7HKma27GKxxLWhIa49A0WLVC6UhEY/AkUjFVCrfxo0dHQUIKOjIQUMqD7VibHSoOID4jVQEfDY1yYDwJC1K2v5T68YpXvOIV/1941aj5FUJ98SiKTfLTv/epifqLhfCFf00cyKXDSE2IDYX0TNWaUdLTqohWgYQWX60ZCs1KxsxjBmET9x31gBt7XAm1NhAdStTm2KnHUJM7zSBbnLscc2dEOQLn12DWZHug5o56muBV5HCiufQ1NZbsQJFYCKlhrbaxJmcskMsaP9VcvjNjnWyzjtugExIZ0McN8XSb89d3Sd5WqCQhby0y0ZhdS5P22CJBhwyZCPF1TSwaduOKu3JK0FMm3CMw52eyB1t7fOj2WDa3OK3vYIopZXNNXmpWlDxXJWOJ1MdHNFcWt2u5VAMFKRPpuR2fcx0XVPqQheo4YYVXKfcPPse99S6f/TAQnvWkexnDegXakKuBohi48B3qyCAVtI80zip0CestjXppaf+XDr11xKN/9i7t7AS2Wyi+tCX3C8OeKtSFkFaKsLAYX7D4QcA1QigUVRMZekeS9Gw9dLgiIK0l6TYeLOpmRN1NkEtPdRRIPRAaMnNBMulwWwN+Av1dzf514L2Ln5GVnzEzS/KrJf7QYuaCfArZx4qQQjYDM7ToJxqzDiSHBrUV0ZmQiIFaowYL5YBsKYag4BTSpSY6Q+xlY8651TN8JsReYa1Fa037MRTHQl5Gbs+vmSYdqeswg8YYxW4HxgW8KBg1GFsgP4PdqBCjSdY5cmuTSJK4gFKa2IHNevbkGbcagbDAuUAZHePBkU8MlCOUS7Ff75CdiFrsY/74KQA6h7hjSE4gtNAdKfg3l2y/LviFoAbB9CDLFO1a7Fzje2EoC1ZO4BPH8C971I5g7nrqTti7/ZStvQl9uyReKeyJx85B94H0smXiAiapmF70vGNX3I/PGQwkDGQNrP5aoa80vq3wP1eUix59ccq0DphOEauIHhSmjcwmCm8d/ZkneSrIJxC1UOxH3IOMcFZhAOaK0XsWbo6IN3skbhLBqt6zNhaFJYin3smRN0eok550GVEfNuSfJAxFxBUNyRXYTyJxZtE3enaLNXEViKtAEiCcBPxTQ3J2SaIU5iClv61wZQDTYSYRrRT1WU/iNMMoooxHrwK5adG9MFssmbSQVSucGHx0xFVAe4UIjIeNsWbxXGj/VLH8zNHUCjFCYoRU92QzcFOwopFGiK1GfWwZejAO1utAc63opobkg0jie8w5kAT8zxXplaVzDlVCtxgYVoJ84FFZg50omqseXkT8vsL9MmxibxlSuSIPDY29i0K4I59wI1xgAiySnJYW4zVGNKXTTIca5Qb8cMxhO+HBqCcf5gxdRT4dUIcOCTOyUJIAIj1jmXHXe2qX8mF4m8fDXcq+5m58znv2nHF3getXfEVO2ZWCN4eGhVpw0L/ATlt8pjAyIh1SsiSnqRq204hXOddhjlWWXXONiOZb8fsUseNg+ISMEWd+ukm1ko4HzTX34zPK2wNMbtBJwPWGTDS77RX3y2Pe7gy7LzVX20vy13ZY64q9/oi7/U8p8xEfxfvc5hnYjlvNBbER4kRjxp7R8pQ7MWEl9cYr72ogSxVVmtC7FEtNHq+515bE9JSjOOdpV9K6m5yvI5ezO5z4Z+jLBTMnNCqy37S8Fo+5ZAVSMw3woFtibEMlE3aX5yTPHvO7xRPeMc9wbuBbnHOjreiyQ7at5YaqSevn2H2I1uGaMXl3zWxdcXs444G5yUwbYn+TlpQmWkZcMkKYN8+ZlT0x9CSh5CYLppziVpeQWCgd0kAehX31nAfhc07MPi/iI/5qeJcpkR31nDfKY2o0B3LGSGpsMKShoGPA6pq9eEX57Jp07WE0wqkVD/waLxOUfo+MGa5f8BXzhAlHiAos/IyRaB7olgeNZ5rBEFvOmRJli0NTMK/OSHd6hlGGCik70lANU47Mu1zGNUMcM2LApsK2v+KeesaOXTA4gzEVXw8/phxatt0VSs84rwM37AnpPQjVmkm1Q50qnLlkl2vO+te55jUu1Bkz62mVZtKt+T37Ee+dXKKXHfWjlkv9U/ZNwt3hjHjcYRUkW56Yp7RtQWJSZnLG/u1PGdl9dCdkds3YPWF3NMUS2Oc5M1lwmxNQf/hlV5NXvOIVr/h/5VWj5leIzc5Mw0ABut1ExxLQIjgGOgbQGms8E9VixNP3kMvAjAUjU9PhsGgyBuIyoqYp3XCTth8h0YNohBo3L/GjDlSDJmLweNOQZj0TrhiUoDjkUhvsrZStTDjRDaQnJDdAbSl6mxKUYX+y5qLyLM0Wyd2MZLVCkius7JJQMFWARNaThDi+Qbfc4yruMqiMNPakz5foteKDyZxue842jp2nJS6D5KbjmWthVKIbg7MD6SFI5pnFFYf6jCsZM9YrjuUGP1Tv0ucpT9Qt1mHK5WqK02PqdSAU0FDyUgrGfkE8vaZbeDjYow41hSi2+hNEO4o44zrJOdZnjNUIHaZsb39IEt7g0z+B7rlhbITQ9BAVRnlGeSQRTzj1qAbkgUeFgC8Cq20LTwv4705Ix5e8/lvf4bP8mGx7+KVo1JhFQD1TsAB13YAvUP9ySbJwDHi6LtL3FrU1oL6doW5ElGrg0mI1iBfYA3sO4bMB0yW45SmjeElyIyHeaohvKo4fKfZPem69OGd8w2MSYVV5hjs54g3D/92RLRx+r0VlCrMCjkrU8QCSkhqF9wNaQ1gGlEvp5h3mfk8/CM15YC+kqAyGviObOZSLhGtLikP5AA6Gpx50SUxakuScLZ/AHsS9gJ5E9FWFKQISAlKAyQv803NMr6ldxN71+HcGXB3QRtDi0R1I1lHoa/Z8Dec1YaqgsCgF6W6kKzz1LMHfCtibA9MBqn/Lpqc7AvYj/mgzIROeeez7J6TKUPmA2lOb2PK+w/uBdOxo1p7lVHOpNflnnvSP1qjDivitnMV5x/x7F2zv73I9NMS1hoVsztcPsBrIUlBZR35S81Ctieo5PcJqnkK7y/qnwugZ2OMT9DWoqwrdLijuROggLhskgHy+QG2VhLRGDcBLjfnYwqBQjwS2Buq1UGqHwzL7aoMaPP20ZTCWvFO0jTBIQqCgN2Ou5zs8zJbo6wv0APLBGnvmGNkBcyfF9g4+FfR+xPkesyuYE4hPAtI7wscdPAfklJAruBPR7wWKSSBcgB4bVCUM5z1J4kB5rItoBS4HPfQU51eYE8F3ETMymD6Qnw+YhUAmJJ1H06M/D6g/E/TfJTTLSIwBk2j0lqAfWvR+iyojsYdQW5KXiuBr7FTQH2t4oemcMPo0ks0DegC1lWIWLfqznigR9SCiOoFjTTz16OMaSTqcyfE+4h8Bky+vhvzCmBuMFrzknLHPTF7ywD/hppzyIo7R+g5aWSqV4bVmpAJzXaOUY6Q7SE4J6pprnXMdNds7AjeFS2NomWAIVDrlOG6RqJZzNeGH5i2O41fwMZDKCVvTa8rumrSZsqMC52pBnvbgV5TqCjuLXI4tPkmIwUIs6TEEHVirghdqi0RHxlwhqmQnvuRGUlGGBZ1SKJOz1g7LiGl/wlayILkL1daIp2rEIZFRqIisWdNjVEFxFhmSBfntNzhXY4p+4K3mMUP+I46tJZGBgp6yXTDUHX6mKUeBpFpyOHh+mChKHO6i5nrrBkfFFg9FI9rQMaJ1M3rTUZk1L+odavMaMfRs6TPe4Snp6sfMkoh3gpFrJmpMqyyXOKwqUDolqEt6NcL3Y+KTnoM3ByZuhVeBMRccJMIzfchK5fRU0D8nP4CLEtrcsb1QFENPbisap8kwPDHbrJNtjuIWA45Ee3AtSrV0Fs4EZnSUUqF8R19mpFNoO4swo1SeWzyn1iV/Lodcx6/zlXTFyD7n0ewlL4PhhlyQWc+FJFR6yrXKUeaaIjQUR2uSNiAHhuhq9ttrhrjFh8Wv8VLfRplLft29ZFdOeS63eK5e44gd3tKPEbdGzIpG7/JMdmnDmO/oH5MPa7KdgeNszEqlgOJCTznTB1xIz4m7wQ3xLBBas2koKzYG0bleclc9ozcpUaecs8/TXnE/N4zuwhU1Pmj6mBNcimjLSZhxrvfI1BG3VMM5U2qd8dAdM1qsCM9X3Hxt4Jm9ROsMFwP1uWfkoN8faHeFY5nSyT659OzduuDCzTjqS75hGub6Gbb8Cmssc73iUfyURq2+7Eryile84hX/IK8aNb9CqC/kSYhFVETUABIRAhtRUQRSjF9TyCVjEbKQ4VRPxjWJXSM2xaieDIvuS0Rp0IIxHtCoENEmIQRN8BEs6DB88bmaQlrGvGQQCPoW60RjkmtKqUg6BUOGd5vkBhs6ksGgdE1wUGlhkUeunWM5eoMP7Ls8iA0jqymxVLLPqbpHU+yzVFNyqRhxgnU3WaU7PEtuci2/yR1luaUfE0YRJg0hibioUaanLgMXdoTN5nTak8sKy4AnYaVyjtNDCqVZ8i6+mWMajR4ZTO/IQgcMLNhHfM+ky0hXGoLDW02FxZqMQI1TNQktLUJHhkhBpxZoW5NWBrl0lJ2ipyVIt/H1MVfkNpING+lBZKCzBcqsyXQkLBzJsiCnZm84oQ2XJK2A+cefbqB7ARMIiSAppCGQEkn1ADEy9wq8RvdgvUFFAxJREZQC6Q2qc6QN0Bl0X2A7j+DRBKKHWCUUfcKo0/Q+4OIAvUL3m7S0qCDpNHaVYqabMfEQI2IGhmwjnbNRb27aExCRv5cLRR+wns0kT8wRd81gQBeR9Z5idVcws4H8IDIdDygLygi+2MzBFWEg9hrdy6bBqkGZgSFVdFPFdFSxqiBPBRxo25AooN+kU9E5irbD5wHdt9haUCuF0xlBe4Le+EQZCXi3pFeeIfckB8cs5oq5drhgEOPx6UCaKLJeYa+24Gm5kUx0Y9SyQeVCFpeQzyn8Gnue4UgZnwq6qghn28iTOTtXp5jHFntzxs7LK/SVIy5Lios1qgZOFXrRoBY54yZAVcBayPGM9g0xSTFrg1tMYKko24aktaiVoOqA6y10YBqDb1tcm9PugjoAACAASURBVOK8pwkRLQPGACJINDCkpEOPsZGgW6q8Z+R6XGNQC4dKUuyw4vYZWBFq1+HKFT5ZEQuPpGBysImgDCjdYxzooNDLgnwdkLEiSo92Gw93g8IISJuSygCxJ5oBk4A4jTEaoqJoQDcpdhgwTY5uDQqFaxv0ywiXFhkGVMiQSjDiUc2IcljCoLA4VJthhzUSNlJIH2BsN9cD0QNDCkmxyQKMcfPfRwSdgRUYicAQmdIhSuMViFhMb0GEaBRqnUKvsE23iRxbO1IXEeMIdNiVQs40vPXl1JBfFDoxlGGgiCu8aklizeGqZ6c1XOQZptiY9ncmQVNT8pSgNEpZvF6wTkdcq4SRmXE7Szfx8TEiOqXSBZpITUGlLbWbcKwPeMo+q2yHrlixsh29V+SNQ3UDzl8TkkCnAogGBDKonTBYhdIDJUu8ZFypGTUFQXdYNaDRGwmc6gmq38iH6Wh0pCOhx5AI2OAxAk46EjREt5HumA7PksosacYdvRM8I1aMiColjxFFQ6c9Ei09EDAYlTDIsEm4ayANYKVnVsPelXA1yzjRY865xbXcolYlbdKy0nCC40U+o9MzHO9wJqe0vL+ROkcDeHoDnSpoKLEsGdQabxQWS03CpSoJkwk+XWH0SwbGDKzpU2jo8CqiZBP7LRq8CQymZpT2NM4x6IHeLHkuW8TsCsuCVhxrNcGgGLsWozpaZWmw7IuCoCEGmrxmYgZGEilZMQSD9Y5oExplqMyEIGN0/JCR/oAdpkzjAiMXeB25ZMK5OsSYjhBKjLeIAZ3X5ENJuiq4dgXdqGehB6YuZa0ypkwY4pioNF4vadQV67TnAs+5yrkkQ3REoqD6jQxUa4+lw2AYTORKb/NEe5YqJ4+WazXh1O1zItvsq4YsKLaGjnm2RnPBtd5jKTdYaU1rNpK+IBB9gfaawtRoBk7TLRpm3GXMLQIewzItOVcKb9dYFVEx0iN0KDQZZvjCY9FCj6JJJqxUiZeMTkqu2Ofc7RDQFLHCmoGeAqJF+4iyvxz+fa94xSt+uXnVqPkVIqKABMi/eA6gNKIiQ2xxGPoBpqpjR12z7Xtk2aGIOHryuYXWovWSLFquVh1DEkiKE/Z3LCZJiE4wyrA+cggDutNEJQTJIHr2R44RFVoLUS+wM8XVezvsl4FxLLB+i91CmOQdN1hwoBUmBJwxTNMKNQ2cTO/xR+E/5UfhHv/E/iVf/1rB9eOaLj3kr5IHtHafqVlwU54wy27ys4eW4xfbnMse/9fzr/Lr2YzfsY/Jv2uQXxvRP16wvWrpRg3X93ZZmVtchEipI6NwzYIxp/02g81ptOeT6Fj713n6Ewtnn7Hzu1/j7NMjZrMrxmXFczXnlrpgvciYvnTkfU26N7BIbvN0lZOMVqSyQqmc026LZ/kBRo1oCYSYIicV/b8LZO+M0XsrSGsSIrPZmsk3dgjvXxNOFU3vWR48JGn+gnezyPP3P+D954HP9oWbVz/lpmmwoYCT0Ze78H4ReGAWMTfA3CjgxyvSr1qicRjpmZ2lcJXDWBNsTbvYNDTSNBAKYXUFs+cNOnHEGyBVQM1K4rgiPkwwRU742TWpVpg9RfQROQcdC+x5hd5KycTjX7Sol6uN78+hxRRCOBjIDlJi3yF1pD4V0ixge1D9mpEd0/ykZ5SAOwpQtXAffAXpuwcU3/Mk/82UXjkor9DXNeOTBThDvmuIKFQ/wix62o86krspfr8jFor0oSF/u0RZKI86kmlGuupQywhLhX8JXSnkzlEfdRR3epwBnkXkuRDLBnVTIc4SLyJ6NJC6jDSbcN1f4N5w3PzPEtrvL6g/9pTfLCnyBnXHkd5K+cv/+QL7J5eUUUizFbO5UG4JjYJhfEY4FIo3O4bPAvWzBCfwd39xiv/LM0qB5b8SXn/vknQVKFzH6sM1PFe4QSiPoPNgXq+ImWL105bsxxq1Fla3I/b+Av3EYNYtH3/e8tqvl+jMs/68I0kNMiqIpwtspVAWOL4CILcCOcjXcvpRg+8io5cKXoDdDRAj5QjUC4X/vrD8sGL8mz3dyFP/2U+Yfv5TRvvC6PfB3Ae1A7wHsUqJZw7JGtR2RC6ErdYRP74m1NC3AXsbkvcMcUiIJZixYfiTirxwcCQk9zS9RNJSEy4FpUZ05ysKtULtWLjQLD+qKAdF80kgTzR67mkqwYWaPhW6A5j+ZEnXRwZTwQgmXYk81Oj9krwR6Dw6VcjMox5q9K4QE4uNFrOsodFY51CtwUiPu5eSlAPxkYK5xgahf16TiKZdBvQeJCcdq2eRyUQRDkGSGtUF6HpMCXwO8Tno3/6yisgvBjsaczOeUQ+f8CMmzBkYHcPF++eU377FeCvQqCvGIWOHJQ/tx6zjHYyc0aiCWh1yxi5LFpjZGDPXyKlHPl2h9qBRAxItM9asu21UMsEpTVosOHwrZeLWzFYZl48b5mXkljrnHGEcStrzEW09MLpt2R4UTy4X7OxkZOpDVqN7PI+38d7yurliwhXg2VdnaFqmDIg0aEkZGFjqijELRr6Gpkd5kJ9/yjd35jR2grINe0p4mzWle4l6V7OwJd3nCQOKNik5bx1CRkJgmxWDqtBK04swmqbQDYQjGFYNt0YnzF+2hB+fUc47ir2ex/6QleySuZpb8pIm3mAph2xlE47OhOGjCnXdkxw0tI2GyR7eX2KbAi9zGq95aDoSzrnSh1yHCSaWpOaanben7KlPuT2ccGkd6IAVSxLWPDQrHskaVh4HJIsV6aXgvNCNS9Iw8I6c8+fdV2jSA2z8jLk2GHpGWA6HS/Z0Ty+7VKogl4xxl2OCML+7BccV5cmSh90nPM23aKoMsTm77pIDf0yy6tCDoeCawmh2dMU4nmF1zknjqbIcp0t6SYiZwdwBbpVkL1c8ewL1o5TJ1iWlDOz2Fd7mPDdjBhSv8ZQtOeKeOiP3JYnNmKG4J6fMpWa2OKK7aEk72Eoq9PlT7rltBnPNmiUhJmzphlnsaLTjqplzXkeSXcijpnvRs3NzzW6+4lpGDOLoG00oIwgMT6HbC5R3KorijLvZXbLBUzlLQcdeOCGYbc7VFllI0JnGTqE1Ck9LgWZUDxtPQAeTNOf0gwX5vQG2KwY1o1sn7MwTZn4AZfAxcNNVDNQkytCZLU6l/JIrySte8YpX/MO8atT8CiFfBHTzxc6/wTEoC3pjKuyjATSZ1Ey4xLIiKBhwRAytSxDrMESc6ul0QKmIVRVGKjABMQoJcZMkEhSC/uL9GqQl0RfM5RlZX4O1pCqnHiq2gZifIvkN5lLz+vAXHOifkdiHbPvPuBGgjJdopxjw9MoCGh0H0I48DIgvuSpu0tgJ+/GU3XDGyv2EfZPTuG16lXIVf4MWhZhAV4AvNWi1iX1OLF2ZcJ1E6sHgmFBrR8uYQTJ65ehUTmMKLuJteilwXUMRN79uJpGcSC0Fl+mMRQK3jMIMgSTCkkCb5AQNKtYEFRhiSmSK0LJmjolbmC6DUH2xOTpglCaVDsMFfVJQpxVeG7I+YZ0YEq9IWwNtgsSWVIRJ3WBDoHMepyuyL23V/WJQg2FwkSYRChMISaCagMk8tYB1NTFtSFMhMZD0jmg8AhDBBiCMCFlNPeqwssKIYTGL6HnLxCq8hUQi0Xass0hwjkJm/w97b7IraZal1619mr+z3m7rvXu0GREZmVGZlSokC8UiCgQFgkBpKmqkN9D7aKAXIDQRQICQSAlCFYsQK/s+OncPb29v/d+fszWwADQkhUogkJW+pnd07zXb9tt3zl4fK9eROQch7BsmRkI3shQ+p0gqtA5IiDgVpMoZlj0mQtRu/78OglNHH6HxDdF1OBVsAFzPxeySpWzodExBR972bEfKIKuoZkrZK/NYE/uIUZBqimsXe8GxKOpL8IE+VWyirAYOFUNaWzwVaWMx2xmjpEFDTzRCVNmfJhqFYDGS02tNY6AlY9jOcO0KcqErKqKPZJ0l9lO2vkZmLToM2AijypC4yHyXkiU9bhQZlg7Te9rxjmQ1YnhZwc6yTHpqBy4aVJThVjlYTqG5wRUJow346wTpa3Rn8driqhxai1/WuNLQtYFkJXAzJnQbQtawypVtUZNkSqdKaiCkDdsB5EVgExUM+LA/QlULzgfowTcpdDlB1nRYkj7Btg10DrfNOViUyPqAie6YX3T4Vx5ig155wnFEtaP3EUlb2qwhZJCkBpd12ESxUTC1R+rw9Q0rIZiGblDDwLAulHQYMDl4HCodfWrYDANZ3dMmSu6+nt+xx6li65xsu8OOEjpV0Jak8rjOIJVgtwOKakNMOnAW6QKLScQMVzQ7oAZfQBxDMQGXg2YGEwzaB5pUiRbyNKEcd9ikYzMFdwRFIaSlIh4kGGwvGALSJiRNi2Ioi0D0Ad+Bd0KbKsbLXhT9TQ2R3xMxEbQJDMOaiZ5zoCnjqiV0CbvocLRkWqIRoikotSAawdNRxB0DW1PrmLRz1K6lKyJuC8NOKfqOykPDiJ4BGhMSdRSxp9UbMJGpvGYcdtj49Wc5DY4BNRMiKa01aNJDLwy7lqyLON+T6BxMj/SGLLQktqKTCNox0msm0lEHg6qS0VOKxYoSbEmb1KgH38O4bVn4hoHsheVGCxqZsnIJG2losoRrc8iVO6UxCdNQc6ILvN2R0GCkY5PVxLxnUO2lx4PQMw9Lpq2StIY0dqRsQSxKC/QkeGznOIoVd+xz+qagDxuyrifqgFUKuAbZKT5EBroklSG9jIgxpeWQNhZEjkEUa88RekycMIwBkRphSq8j0iiMdIcxLSJCXgu+VXyQ/bMMlkQVHx21zljIKUFzBqEh8zXGKE4jw36DMznBDNkxJPeGXdKSGPAhMu5rDBsqX4MV8hgo+hqNELhDDEdM2HLcviY1NUvmBFOQ0pHREmxkkUM2hGnS4GJH1uWYKKjWOHFkWmMI7BjQy4gsBmayZUCP7VJy2zHjhhgcSayINlCmlsKBoWfYBqZdSW42CBEXUoaxYiAVHQeUeh9Vg6fEmo6AIlqTshc6GyyN3dAVLZSQ95C3njIqHYGcFbeap3ijJMbTc4wQGMRrihj3zjagsyli7V4pLDlr70gySI0goSeXEi9gOOJW9wSncLf9ioFvaGSMlZKRbpnrFV5rWmu/0Tnyhje84Q3/NfyhPzO94f8HhgjUROqvoxPLXiphUPUE9kZ8pztyXZL4BsY5mz6nShzLxhB0QlBHlB3DWU6sLZoNMZIiuYJ2hFCBJETZgfSoCvtvRCWZXPOQr5jYa6zZ8lqVHxw7JGt5/j8+wHxym08Of8K/dP+Wd/gK7JQ/GcJbweIuL2iM5Z4/45+7f8dHco/3br7APS1pV6BxjZqWVGsO44JjqZnzjH99r+Wr+RGX8i6L62O8LpFxix+kNHFOPqqIr0rMwuImHdP7JamFPs74shvSpxmJrKlFqbnFogq8bBNa5wmXHZsSwuGEynk07l0jG0mYfZAzo6S/brDZnPHpjp2FMpxQxSnWBXJfMogVzmx4Wc65/k8l8WYAtkeHCm6C6zyOmpRA6YTiWwVWewbnDYm5ZHsB9XbK+vMl3zs54c8OtvT/bkn2icF+32BOzDf7wvt90BXYUY3PApKPMQfX5H8xRFKPmQ7pvSBtjv/dGvl/XmP6BGMCpgTTOLTraY9K3G1D5h0SPJIYBkfAJGIo6N/pqd9z6MRQHp1yGQYk/pD1nZzJYcU0Cs2/mLLoT7kuHIfTNQ+6M7JfLKAK1C2o6fA1uDl0bxvswyFsLc2qQg8yODpg87hhWkN4tkXuVxSHSpt6knZIHvenfuaHR9TpIdd2i3NQNh4OKoYvFsiyIVSRsFLyuWIOLGRgvKO8Nef5YEwXPce7mtunz2j+tsX87hr5nlIdCvXAMk5TYrvBZmCOPaXv6E6FduS4GYGvE6qvYJd25EcTmnSDNh1t7Hn1zm3GA5jnhrefPMfvElQr0k6xp5Gt7XnyBQy8cnqi2E3N2dOIveyZncK37iWUZ4HprREvzio+f75jbC3Z2rC56JjUDYMk4rxlOzAUG6V/WiOf9rg5mI8ssoR4UeHfnyKnkbceeLLjBLmj5CMwUiN3Len3UkySMywDdgehMehis3ew/KrDN1DXPZKsSO9beh8pz3uyREm05+VNxfMfRW4vdqR5h/sqkK4MXAvx3weSVaQphOk0o1m1ZGHvnyFEzCSBWU/30w67FvpaMWuQMfhpJKjAgyHFX0fkdER8oJAvMcWQy8mIZhrIV8qgLpFgQTxx1ZB4RUZg5hYZBNykxxYCC0v8TYf8TqjvlfQmkuSKm0fkGIYfjgh3pliTIn2OWiH4Gt9d4NhC26OdQbYJvO/QqcWdJeSbCn3wCG63mGFNdlbB312hLxX9CuJGSQ5yWDrMZY9MR+SzDk077DrCzhCPA/YHBVrsvulJ8g9mJzsGGdgAx/QcxhXebLCnhvV8v+4SKbgyI77E8UK+wwN3QU1Ka/bCWSMVE7dkdDtif5Ciz3tiWjLsr9n5Q15xxBdmRpoaxFRMZIPqDgTGvGY0vGQ4F6w3lOI4lzFn9phiXvLdDxLkHOzSkYaSSRNp0hysIZUNvVM2ApWMEJRr5ozknIyW1hTUEiilZxvHGJmynhrct3P4PLBygdqPeO1mvN1vKJ3nl3KfwxC5r4/JXI2Mhnypd3is9/jzYsjg6WM+OB7xaj4itAGVgL3V4Q4y4rMG3wtFXTGWSGIaDo4s54XQEzgyN1R4rjhhzTHGZQx0xffl59w73PIineBSZWmHnH53AnWkeAYLVtzmC1p7yqfyQz6L9xlIxYnb8DLkrIpjDDlfcJ+5vs87vCKhomXCpZ1zLjNWfAW3FEpFbYtGAy6QmZKvzJhfygmDdMVWlL+XD0k7ITeBjI4nbkZgxy13ReCCl3IX8Qd8OEnxHx4CF+xMYL3NkVGGuuc40xJihhQOkwpnXx3z5NUxP7x3w6Pd59wMRjxOHvLanTCQLXO2tLYjPPSkwxHNssSIkmUVk2FNY4RGcqbWUxBpmXMRTlmZQ4xdMmXJODU4OWOC5crOeGWnfGXnfPv9FBs3aJezNQPKJKGxsMODHZHKDUZqXoeML6pTNO8ZsiS3S9Jjy03uaExGT0ErHcVpZJhm8KsVWilt2FHbQ9Y2oafne9mnnJsd25jzM/4US401OzoR+mFCHKYQFGtylnbGp27L7Q/vYfMKLloUi6Y93rTkNvJXo58S5TmFe06+XRDSQ0oDD23Fw/gSS8Vzbn3To+QNb3jDG/6LvAlq/qgQEAMYgu7LuVG739lVs799IB3e9aTSYUxFbyxVmLIhowkWoqUTQ4uSpSnaKcY7jLFgOlQMMVbsa8D7/YkVLRGDqCeNNSec80ifMOjOuN/vyLOcp2bJ9AcnMNnyKP0b/qr5W7w4nrq73E8WuNKQXFdkueOgqPnE/ZJ35TGH2xvcTUfaePKwJqHH0DDRSw7tCsOC98ef82h0i3/DLcpqgm9viL6kHDgaO0aTS+wK2BlyFKslJlZs7EPO9DY1Y5zZO2HAUXUpq86ACLF0NJUSixF1khIwaLQ0piA5hOIwsr2y2O0IpzW92VFywI6EhI7EKUUUeqe03W2WX4wYrCKNNsigAJ/ixZFIjyXSoLgDix+D33QM568JGwjlnHpxya2JZT5M2Px8TfYoY/2JUn+7Yv6NveZ+PygRTTtMAZJ6mrkyuF3SpYI9LXh9aBi2kYMgdH+vQLt30wA0lqTs0XULgwQ7UIzp0JEitx2Vy+jqhObU0U0KNsOEhT/gRTdH3QFm7ojmDEPH6qOcz80drmXM/fCYw805w4VFVtDWEWy3b9zJLdXQ0N12JItIqz39IXA6YVGumbxqMTcgS8OQlK140pAyCB0lLdXbgYVNue4Ths6y63q8CRSTCGc1ydLQt+3+7Zx7NPTozHFzMOHp4B69tcT2JXONxP8QYVcRE2GTB9qBZWAy2nzL2IMkwibtaA9yWu/pyAjlALkEM4yYUUpf7Pa1sr3nfDJjNyw5lC2jhwpnHdrvPUIyDayD49XGckTkZKOwC4RFQnlZcXBPmI173EVkPHXIWri6rMmSBOdgV/WMyXADQeZ7n4vdOTgLtGeR/pbAux32c0N8Eoh3lPBhzWzagCi82+CPBK4C+iDBzhqsD5jNfg1MqxyNAbm2mEUkLiwuj/BWjX+0vyXS7yKsDCTCsoRnL5R7tWXmSjYXEWscputxvwtwPKIa14hx+zXRTDGNAe3gdkecKt1OcJUnISDeIGvZB7FjwYxLspPI+UNPNx9zuAIR4Ww4RB0cFdckJ4qUFttZ2ChOQG2DDAIMA3EENgpoxJ71ZL2DlcVnETvZf9FkDO5ew/LjwPUkpzcFfReZY/EvDHbd78XY0YGJ9HcrktsZRkDvNTSf9Dx9J2UEHJoW7UDWBXqREtolahxSK7FR1PbYcQAfIbFoBJsG3O2W/kC/uSHye0KlwwJF13JLF8zMkuDXhHlGk+3omVBKxtLOeaUTWsbc0cv90oYYZpwzxjIylySTNeZRCzvITMOt+pwmHfOl3qYkRWyP44YjKUmDYp3jlBdM7DUmj3RG6UTpdMi2O6ROn9Af697N1OT4vsZ37T5ECJCnDfsu+Zw2DoiSs2GERcjpqVF6UxHpiTGlNAWVt/RHAc49PRBtRtUfoeYFHYYr7tCKodQpngbvhEsmvDB3CcUBB789Z2SecjH/Lk0cg1/hcsWNO8LS4teRFGUsNSo3JKMGYzoKPCNZIDKj1AmvdUpqAh/IE96Jz7hKvuLvj97DEinrCr3V0zYNdmHou447/Tm1DfytPeJH5k95KI+ZyK/YOKGyHi8JL+OIl+kjHshLpkArsMZTakZvgLmiNxCqyN6wo5heac2M83jII/OKDUOe6iOclHzLPGFC4Hk4YGM837FfIs0ln/v3ueYWbaZ0M4Ur9mUMpSFRy0A61lRkuiOzlzg2rOOHnG3vkzS/4bC/oSLlmhPWrmDOS4Y0dOIpZ5GTvGdXBfItZEYpbMWYyA0GFYtIQsOQ13ZIS8EBDTEarDGM4w3RDDnXhnNzzMIO6A8TZCzEtaUxCbXZPx9aAi42TOyajIaVnfEynHLCOQfhDCdL+oHQmQACikNoGRQNubPoxGGSQNZ37CK0JqWIW74jv+KFWfBLPuQ57zPjFXNdYMTS5hbNlERbXISdHVImhs2tAbaKsIa0tKh4LA15XPGR/Q0tDmemhDJiZETmOk7sJcd6SYchpfsmx8gb3vCGN/xX8Sao+SNCMYhmYDwtgH4tF44gKJZAUKEmYccACQVaplQ6o2KEYy+tLNWzZUJYJEgO0QWa2KFqQM2+ZURKrJ3QhxRDv9+wCENqOyFqz7jfMAotVjpcuWKRTFGW1DJhEiuOdi0qPXmyZigdg6gkrSetLdYpYw0YqUm7Diw4CWSxIWpGL5bU7sh0iVPHRC+p+prCV4hkBKO0ac1yLpTDnJNiBrsLJLXERGilZqRKv9kySEteyEPWekShDRN5zdod0DVgEzBpJDWKrTx99ASjWAksZMAlBwTnMBpx0tGrkFjPqCtx0lGRUFHQ90LqOhL1EDKkAYOnbwSNhrY1WAIJJeKUmz4lJgZXR0Rrhp1ibxRnoLlXsjuoiUsh1p6+6FgmzR98ULN90HJ9XEAWuTUsWarh6nbKLhFkWnHjEm4oWN2C5OOEeRVw0dB4iyHSVJ7y7QHx+AApVszimm5ieTY5YSUzZtaSFoZbGEoVgiiJ7RBp6TShlhFdLBlRM29f4U3LQbtl0Nf084iNBhdB4n7Vo+v2IcxkmaJXFW5jcBNL6SqcNlC0dMeGMDJcJDmvjCfNA0dBMNHQ+oqakjqZAIY+7UlHwvZUyHaBplaa4wRzAtm8p0uE5Szh3I/YygGtFlx7T8lriniN1IqsUybnEbP0mNKjNUhhoA8MvMO1+1DQIEiMhGnCZhpgIGxOhOGF4M4Mh3dKVtOSal6x+iTBXRm0yfF1wBxmhMueybGQekN3z1A8MPi7Pa21tG8JpXec3zU0dx1qPbnriKeWygbMlYMDRzuPMI8YFxDTEWeG8r2E5Z841h8IJyr7myZ3G758S5Ai4Tj2xDtKMY6kTURoCE6xXUfooB+kYPYddCRQPTD0Y3B3Df5QMUWAtVC8SjHbgjiIjNY9t3NlYFvsSIkTRz+CJBHsMcRpi06Bo0ib7YPBLLbEFHQSWR1B/y2LXzU0c0O4C0yVdBJphoofKcHAZeFZpQ+4TsYc+yXiaxoKLtyM5E5LsmiZl6BDSz0ouBSLDBRf5CwmHUVsOb1siReOWArJOEDR0R4a2lOHeRCpbgeuJmvOvKWiprA9SazQScsoz4gZJJngXWQ9AOMDowPH9cPA7viKV/4Ew4DxSc3gvqW4bmj7noXxHLxboamyu5Mg70X69/aBvikseqkM78FRHnDtH35Q000slOCiomrYEenGgWXIqP2EQiCjoZSCZX+bISNKc5u6H7E1I6KJHLc3HJiShbV8mR9wp9gy3iq7siIbtqS0ZNaQBUVsh8WiUahbi/EjqIWQ7FdUVjIjcIukO2QgrwjGEVNDqyn0PRJbTKmkWY64IcEMUE0IbcQme6vKjjkLSVjJmG201DIjMMJoSS/COpuhEyFevWZ2uePA9VjJKO2c1h2jsSLWDp9FhkDoUl75O3zpb/Ht4Wd4syALHZWm1JrQOEuSZNS5IEH2gurQECaR1baF1DCkY6aXzOSY5ygtBak2GA34tmdodpwkV1gcPihV8DQJZGlJ3mVIP6KxGWO54bQ/J3GRzlqKuKPod5R+yi44auu4ZoKVe5zrfW70AKseqyk3+Yzh+IauUbLSQBEInWJjw0jBBUuujpE2qFT0GgjkpDGnl8CNHmJlSBPv4/sn9MbyZHRINs5w2xpPYMMhZfcAZ3PmekXeXWCMRTVnLTNeJcds1AMtM27YakfGCsTQk7GRgqs0EjNLclOzmeQ8T+dsuyP6ZEASLZmWdf0ehAAAIABJREFUiOkZimfDhCZOWcZbXIlQaIbGKabPyGJkknUons0oxceMpNzh+mNukgMilnm8JpOGyo5Z6ylKwiC0oJ5Ld4uBRKz2LHXElzwko8Zry4Wb4fMtwewYN0LXVizFs2sd3o8xChoqkApnwagl6AA1DkKHSqSSCbUeYcMFN0nKxTRhVvRM1g3SKWnomcUlp3FN1Jam3bFrZlgDRQZKx46URnNakm96lLzhDW94w3+RN0HNHxHCPpAhAqYlSguxQQgIuv+ZFix1wiuOua6m3HwVmd11rFEmyYD1qmEXczZMefzjC5wI4bgguCH73Y+cyBrnI+nwDpvVgBAVQ4U4y7mbsWOE3RmwCdUwQ89X+DGkbki1GdOFCdKkGMDHQGG35N6j5Fz9ZsH4LfDbbi8F7Qp0Cpo3kFtqsXv1sbZgEqqYYjTj2DuGUmJnLTqpaZOGwR3P085zMrtNs/iCQiLFdwvWsmVcQ/3kc97/tvATvs1ZN+TArTjVBTuf4qySvwXVVx0u6+BFSXknpR8HxlzzzBzwmZ7wl4MjTHXByO+og3I/BOLixzDxfGofse0c3vbc5RkXowc80QN24RiT52gJvFph4gFKoGCLVcsrmbIpxsi1EFuh6JT4tCVRyP/lnMF7kSf/85eYbcbgKOXa1d/sC+/3QPvXE278u9DXHIWnJOsBj+ffY5EIx+acPOSc6wHNJzXvfWDJq8W+Acqn2CRijfAb9z1+Ju/w0Lzkz+SnVC7j5+EjLu0JJ+6GD+/NaMzPSV3BKC4ZmSWqCxZxQmML6pDz7vUVx4slYTTExB3ZpoQfpsgLQ/pVi95EEIPFkHzZwPqcsAm4Eagsmd0RsrjDjZTRP/PsHuW8YETFnKANG9MxTSY4AqkKA1JCDHjrsOME9+czwp/W+wBjPCR4RxMWqFXW5pSln1NjeBVTruId3i5TBlYxfaT7rGX3PDJ2Sr19TXxfCB/nhGbLSC3ZzYZ2AHaWUQ8DxT+dUkuDaYXx9xPk71rsT55xO01I/+SQl5M5t/6ngPaGFcfYsGQQd0z/7y33jy1hLFR/saH5cMzBn8Dhz1t419L9xSkud9RNyvv/xyvCZz3un83p+grzRY/Vgv5RQE460icl8Zc92Z0c817O2b+ac57POD13mP/rx8RPBmw/PqVXT9bdMEwX+K7DNTuq0pDWGeF1ibRKendElVl6VeLYUfy3KQ3gbiv2w8juvCZ7PaL+3zektiPcFmalcu87A6zvad6DwV/lxLenNKstzXbB6HsHFPUFzbEjbTx+GQiJoxGHPRqSTEtG7zt0LYj1rKcJkgeS7RVTFwjG0rcD6uwhv+7foRl4PjL/mbf4gqgDfqZvcfStezxsf8vmRQs+0r1/yrPZKVUzwuqE1vUcmaec/OkL8n+yIb522NwQ5oI88CTHGV0eCEnPBIMPkVIaprFi2rSssylfTg/wJ3AaFwzYYo3S6YjlYcAfdlgrzOMtzsKAsyPDd/77Hfl3S/x5yujI4o8qasAfTFkUByxdRheEhIyMFtddES9fYi/6b3qU/IMJH8zQ6y032ZTf6gfctWe0d9dUd055Ze7zbnzMaazZ2ZTPuUuvG4x+hAbHOXdojPCX+h+5r5HnPODHqvzrO2uav/sxi9OCpXgO3DUb/TY5SiDhNXd4Er7H2cKynY/4gfyaH8yEJh3wS/Mtfqb3uWUdk5Cydo56OmHz2rO5rpm5grObjLPRgPN8wLkcUHZzxvEl9+SaF/GIXt/hqURaHbGISuXGBFNwt7smJBO+8EccvjfHX14zf7ljOr+kWlt+d3rAr07nfDu8RJ8tKSYpJld26nk5v8eP5YijDydMRKiNo/GBnfNcD0aYwQlhVqLdGbiMizjE3h5g5w3nX1+HfC9c0nDFj2PHGXM60/BSj1i5gtoOUDoO+hITDri4OaK/29PPn+D6wNPuEZ8mKbe45Pv8hJfmPS6ZMY1g14HXo0Oopnx/mvFZeMBn5pjnvMcX8V3SuOClyXmc3+KduwW+WRNvVrD10Cup2ZLYnuswJxhhEm9wUVlyzGszIdqaUlo2+h3WJFzonDv6W3Y64jfdOxwc7Tgtf8ZmUPBj/RaZmXGrgyJ1lF3Ohc3Q2LM1jp9l7/NB+i4TWXGbp4iMSRnTNJ7epTTukCe7jDvzAYvnP6L++C7/cfYO/0l+wADDkbngPXPDfVagHX8jH/ELfZcJExYqDERZ6ogn9iGNsYylo7WBr+7d58F8QvfzX9Len/P3/h22MuehPONSRiw45iJMoe3ocuUzc59p+JBDV1LT8ZP4Pv9n+Kd8zM9ofOBn8S3MI4t8/htGTcOAvQ/td/4RK3lArY4gnpGpMPRc6RDMAX0cUDSwiilfxDu8snd4aGoWDHgxO6H4+ArnlkS/QauEabvFjMZcGEeSCNJckpgV1/2Ip7zNU36HoCz4w2/DfMMb3vCPnzdBzR8R/99ZpgVN4etwRunpTEuMLYilsgVrDlEdkbSCDY4IiBGCWCozomaOi+O9eV8VFf266jagAr0D5/f13xYLtCCRSE7HAVs3pkmGXLmMzHpavY20t7HVCTtzm3U2YdRWJF2C2AG1KFb2VbFEEOlQgVYKElqih962RLYoB/TxgNIENsbgVbAypsIhocdqINDSkdIZR3D7cvLMKlZ6HBErCaJKHmqsbxDtcQoRS7QGwtceVmPpRUAFIwFhx0BvSHVMS8LWpUQPQ40UwdIYaCSQAgUtSENvOgyCFfZ/I92fJpkgCBYbhCRGclNiTUkpwtZ7MhsZh68dLG2OFZC0RLOGdQZTKxRY7D+CDsqLbMyVFcY00HaoZFRuSCWG+PWpb286gq1oTEnttrjGskkUySKlyXhNyk0YM9MlW+OpJWOnc0o5YknJOt1Xt5rosGII7CtWvakpSYjG4aMlbWpC1mBNi+uVflhD4vZJaHT7q1b0+B58l5C1LaEDoiKNkjURcuhdoBGP0wlJzDEKmALfR0Zthwg0SUttApaOXBp2WY9LGnrfUQ49nQi+EUySshNDz44keqzM6M1eId0ZRS1ItOSVIZWUZNtRBkPnLZXCWBTX9FDkVDFibMXW5FQ41AeypCNIxFtINeBiwtoeceWXWNbcxA2ZWePbHVpscCPoJ7CYQDqp0SOFyZZikLKa1DzLYV41DCY1zbzhaHpDEyJx0pCaNdUckmFO4kF9R18ouzGsRo4Xfs7JFI7HPeTC1mbUkjKwFciaIQrRINFgQ4G0PW1o9u9bwId9PbZmPbWtSAuLzVOaKOSSkvQbXAuu2dcs77I1OoJ6Am6yxk57NiJ0ogyTHY1GkiTQaSBYSFwEINBRuxJj93Lnpe1YTBSnysAnONPQSaB3hiRYUqCVnjz2zOgorbD2CblYVBIq09DlgTqF6zThJktQMtBI2if0WUU32lLuIM8FN1QooBzmNC7DBL9vtZEEBxx2PUnXc2MslfN0sqZis69r7sf0MqBxNbkmJMEwNIpooPEQnIKvqccVcZQwSS29q2knhhufsjIJPRZkiZEt0rYUC4fRP/xpVGd7I3IWPfNtQjYoWLkJV1KgWGyoUTyNFNRuyprAWsYYCws5omTATn6NwxAZsCWloWWA4GKKQYgs6PD0AqUkrBjTmym9eja0XNsDoihJ9LTxhGt3TJ5U9E1GaVLK1NI5wfRAbwkxp7UjauNopSPamti1CNCYMWsOiQRaHbEUT20SvPYQhY4pV65CveVIBFPBqN9Bn9OEKUsmNJqTdTlFnVJkgvQdEqas4ztcpI9RuaaSgGpAzNe/U5pBVjO3BtckjJqCtRM2LqELllxbXKzBelpJMLq/vRL7EUs7ojcwkDO8qfdPMsFh6YlJT2gNKgVBE1J6Ml3hWdKjtDIgMsAiaHQ0FGzsFIkjKnOfFbfIXcpGDtiZKTtK5mownQfTYzSilEjsqZ2BaPEB1CSUxlGbHB+hpkBlzNIn1OpAe0IQNnbELpsSEZJoSKJgAKOKBXpVrPPEIPQMWckjzuRdvHyBjzsGCsakNBqIGBoK1CgqDsEQraE10JmMlkhtAkEMBk8aPSqWnR0S5BY3bKkpqcjYakLQiqhQmyHLLOUgRNJO8FWKZkM640EDRoUOIZgOpwHfDanjXTb2kICiCBs54cqfUvb7Goulvc8ircjNb7mlLVXXE2NCKRkbO6UTg/Q7EtnQCazsDMMhIXqcQjCO2szpZE4ML+gZs3SnbLKazK3I+8AoWIwYaikoKehNh5MFNra46GkZsZGC1FRE+cMPjd/whjf84+dNUPNHhBJQGvZdxyC699VghGgUjR3oiJtlwSIcU/cZ5esN+bbjaLoj7yualbDoU2pvaNeBamvpHgldV6NthNgQ7YDYzegCoD1g2W+hN2gfuGDMT7IPueGUFwHeHd+wbr/Fv/83V1z+jfLp9z9Ci9t8P3lMrjm/q2esE8MPH6QcvP4KmpJ+m7B++4TOjGieP2Z87OickonjVZfzi/AtnsfbrJwjxjO8G/A43CcsLfmgw9YtTTHmkglXZsdb3wazE+rdFn25hDRlMh1jXM19PeciuUa14KL3XOMZjoX2SSTVDYdDy0o3nEjDqC85kjU9SxJ2XE4C9z4+YPG8It6sGb19gJsqaraMZcWxueHKdJyHEy5Chr03ZvowcPWjFXk2xrwzxqRPGbHiMGbMTI1oBBvJBy27m8D4OsBXZ6QFuInHPIi8+9cppoHQKJTAH3hD93U/Y1qf8+D1Demva0rX8ujPfs3DsWF4s8SbyN1ZQt9HchEqM2VtjyltwMaa2KWkBiauIaJEHD5uUeCCu/T9jtR9xby+wpgE2XU05z2dy8nvdRxkO463LfGnJVwo9rDH+kh3A+EWdD+O+MeKLqEra6woMQc3q6kWihkJ8VRJTze0W8jfhnjXsi52RHeNE8O1Ea7xDHYTXv6vv+b0O0OGH1e0IlgswQSWo2Mu44iyXXMsFUksWdkTXukcI8Ipr7jfnHMr9VxKysSWuNMRXLS0P6/xxrC93LErI3ML3agjJiCHlu2LHj9ryb4lSCGEpCNoxyQ6XAN9AO7Pcf6GYb1h50c0iafQhrf0Fb6tcZue9IMCHg5IkkBzkvFpO8U9mPHoaEnhv8I2r1A3INoBw49HjN9P2J1GtIPRkSMYwy419FeO+PyM4Sgg3x7jH0R2KFM2eNsy/cDRztZM42syHXFy8wq3W+PbnOpHSnQdLG+QJ5EYYddvGU4m1M8iemOIXUNIQS8VbjralxFzuUZGnuayI6kt+f05cdagPzwhf6eGwx0mK2hnQzbG8HTYM9xGzC7QZmPKu45xqEl3O9plRT70xDRnLQU7ceTakhPY+SOWErBuxajbcvz4t3xY3hBOxzw4uib2Sj+0iOuw9KgqxaEnGVjWacMxK8a6o5KKBWPSYDFNIL2b4h8cIK+WmE1JfCYwcogX3MstedWS5Ya6V+zLQL9Qso8bDt5bMW12jF6s8EOP9zU7C5oM0ZcbhlLhix9xeDiiuNjB0zV0juG4Q3cd4bMeBsroB8pkuGY9nBMxzAlYNiQKtuyRs/BNj5J/MBcywi8myNNXfH/yn/lpe85n/+S7PHZ3OLA1k/Il19lb3FRTnr906NE7ZJMzjvUZtRh+9kXK0Trlr7+bcs45xj/E6IB41tNNBHlrxIqOI7nmrnnJBVNyHnDgblj7AU/DnCfxbZpKUBnw5PI+v5Jj7h5/RW1SfhMfUcURHx8r+vQlbGpcMaWzSh4N75gzRuZzXCastKDQa5z2WFshUoIcETUjpSExPVcMaJgxCBFzBXLZYP7FEtpHXFyNKW/P2TClbRNWqzXDo0s+HPWs/rff8nh4i1t//t+wGvwH3tdnVJIyryt21ZZ+DsF6dueK+/I12XdXbO+OyI4OmWaBbXNNuOwIJ0orDTPfcCw3HMoZngAIohuczdkGKOo1A1uh84yXP90x+2DN2CWs4pQBngd8SSWGl+1tNl9syN/tuDsxNH3JwAXO2mNeh4d8+ruekwfHfDZ/j4l5wdi2jHYV8usLsncz4rCnkDVHcsWlDlkzoTEFnTg2kuHVciQdXeh5JqesmXKre8Itdl9n+cJ5M+TWxYBZ+Rv+8i8n/CZLWTBlQssgXJL5jOSeIxtZnnSH/C/PPuJ/eOh4x37G2LRURB6m1xzoDUFTLqOj3gjm80hysGM2X/LA3DBjx0OeMeaGMgx50Q3YZCPaOOPLx1sO7t/mNP2UaXjMfTEsZQRxwKWOWIvF1obtT2rkpCI9dBSseEsWlLJFyFmYBUUubK4r1rM5L2pPnaXEJlDFMb1NGSag5Pxi+T7bVvlX0y9ofvEa/bjlqjXEbMIsrMjMDanrEZnzWoc0mtGFnritaFZQy4wnC0uftxzaNV5vuIwpJ3qb0/6S5uUV+e0RFxUkwzW1m7BtB8yKBhnMebFMSScTWsmZyRUnWvEHnxq/4Q1v+EfPm6Dmj4oIpgNtEPYrT/vWpwTB4LH0jFnvHHXsMeEY2WX4+oqRXlB0HbF/QB2PgIy09mjn6X2KBkV6RYlgDHQF0jVgIhqESAqxwwHncsB5cYfPwkdc2IRX/pxm9yFnzyZ0nzW8bD/gs8P/Dq//lk/qES/tuzw2lrfzZ7yb9cQO+mh5ljxg4XM+yV8S0p42elIdUpu7/NrP8NrQMGJrWyBhwxF9kzHvA+MGnuUjluaUrXsOMwjOkqrl8CaQ34LVMJCw5FQ/5VjvcanvsbEnbPsDwGC0IfoSl/YkrP9f9t5kWdbsTNN6Vvd33rtv383p45w4EVKEQk1Kqqw2Kw2MEVVmTDCugYuAu2DAFTAqowZlGAxSRQIGZEmFlGoy2tOfs/vtvf/tWutjsKMwZhSWaciEzjPyC1j++fJ3vd/70m9bplFzYNZIuKJnGq6KlERnmOfC9MaT3tHsRx0iNaO45DDc8IX1XHHCjRrSjqDLA2hPcAoZL4m9U9K4ZkTORK/oS8CKhWRLqAOUoHcBYyEeWBaH1/gnDfrcM4lwXMsfvFDzyt3j0/05w6s95o1hMomMu7eoOqI2gggoK3QplMmUjRryZe8pOi7omQi6QCQlZYVQIlh0u0IZxcocorszeknDQFpQLaYV+u8gpoHybouXDYNGkPNAXGkkhag0+tzgigCXPeTSEHdr0i3otiDmQvSKZNOidjkSgOgxukJKBSZFdE1PBCHjjB5rUkydcfyy43heYz72qMxQNLcBiivd48o8YR92FOo5Y71mq6e8Dg94IDc8bH/HbLNjNAJnhwzUGp1ZJDOwVtiujz3tMF2FvcnR55pkCMo5ipVHG03atHQpeAwBjZEEYkVloT8QjIae8kTR3OgeEwbc7d7huogPUB51VPmCDmHJY563n7KbD7lRv+YHeOZlxzR0XGnPmxNNdIZAwMXIehDZ2x7nfsjddY9ZeUN/ssffXbA7sgR1gCZn70rkRNPagJGOUVszbGrYCabuEa4rVKqI/ZywajG06G2EvkN2wNqhg6ZXVjiTQDEgu75BygCpohHBikNlnvbOnub7F1w+0BTKkHU1i0HBN6qgQPGR29KvNnR5wkWaUUvFvRBwV4IuHOcWTm2GqAkHYUFqKk5dzk73GSrHQXvG+GrF/HpDNH30uGOvbzPDjHg6JZSpQrkdU9MhyZ6EFSOENRUrAK0wMdIMWpaDPcOyobeCsE/pvCXJHL1NTXYVkEmkLxrzOkGWkfm9ltAuOdoo3KUF3yIDxchF8J7uRkgSxWG7Rbstah1ROwWJRTIFNagbIfEKU0aGUpHlHUESpqbFsMVpB0H4trjoD5obNeewU/RfPecoecYis/y1fMoze8Rx/AXzds0i7bGS+5TxCTedJeFj+nrPmvts20+4XL2k7/8KZ9asVMaeEaMGppXmZeyzIdCXHUXYotUQo4ShWpIljtfqDqfqKcoogk+58o+5MR+AXLHSGVf6p4T0nO8MP799kxGNaKGzFVal3O023ONXbN2YU36ISETrgpaGSnk6KRApUHFDaxPOeEDLAQ/khmzn0Bc7BkHwklK2Q3ztUPQRAUzFQD3jaXzGy3eO64N/wi/VIR/I7/gsfENiYeQbQhNpdE7IcrLyHb3XDXHeUk6E8ljwek/eBdwyomaC2EhPbSjUGxJ9ipOCFEPHhi7mCGNo9ni1ocsGpPstB+Ulg1HGeXxCRsGcz1mI4l34c7rdjEEFbhZo5da5em3v8qb9kKr0VGHESxoyzvgg3fKQS/JSoB2hWeBUw4g1G25djVuT0KohLSljWZHpFZUxnKpHlJxwn2/oqzWtgj2G63iIa2c8vnrBSflXNMlj/kbnpFTMTYNRkXq8pcmXvEn+Ab9U/wn/2Pz3/Cn/E1Y2rNQdZvGKkWy51HeIekhW14yXsF1vmKpzTnhNTsU0LhmomhtzzFl6yCW3Qs26y3gbI4W03FELotriO0tUA27MCRsFrmsoLgRZdCRxT6YDh7JlE3d40+csnJObPRtleKcO6es7dAyhqfB2TvA5RdLgW80z+Qn7ZMqfu58z2r/mptrSyICdmjKlZRKvGOkVIey5lO+zM8e0zuE7SxIUaz3h1D6hULeh0tsQOLePmGc1d+VzklWJPYrfOnQK1pxQmRyX7pCkhk2LZ06jUpJYcayXf/jD6D3vec//73kv1PxRYSGmgEErUGgE9e3KRkQDiMf1BNE1Ej1GJQRtiMpQeyGEHp0fge+TRItqwHSaru0Q3YJkEPcgV5i6RsWOiEYQiHts56kZ0YhDq4wQpvyb18cY+S7t4g26XtGZjBebh5zHJ3hriLomVY7E7AmHntbBNjGcuxlKjxlcetTaEk/6+DSgaSC0GFuRdVPOfnlCcEfo726IpsYFQx4giZGeD/TimnIMq2JA0Q5IV9ecxyN+Nf6Au2qBkRS0ocKwVDm7MCKNhnZn8Ryy0wkMNN5BGSwxQmI6BlrwVcKFGlIMDem+YWEe8AsZcqjOeSRbkq7GpylBUuJuhKLAFQ1IwKVgD7ZoU0IcElRKItfcCVdkTctiOiZtNqAUqtEMemCTDe+SEdtZwoNOY/X2tq3nD5wv9Uf0ixvun6zIvrNnPUhY5CPSJIeRonQGM6wYtxvstmbQSxG1B5OwJGGvBrSiOWTBRE4JqqFMDihkz1P5t8ySl1gFnydPQTcUA8HcV9R6yMb0qdQhT3o16ukVqzBnPZ4zCxvuDr5hkHTI45LuKKWqEsxFS5Z2lGOBA9A7haHBzwJqHKEWbGbJP894+NrS9Ft8b41xFa3NmZ8HyhsIp5r+G4VOhEFjWA93JMMzBqpGfMowrfHak8cLflhapssrxqqjc7CxhrXJIAd9EGnvNazHijER+8uOsFXEjyzNU0NTW4YhEI40zbjGKs3aGt5xyKU6olSBk/EL5KSmO054Nx9zWcy5Th5yrUacMcbYhH66wRJpTc4yeoI2hHgMODoiS+Y8ix/zOu0IasBOjbhgyKhb873dCybtKX5iuCEH7YhFTXjokUnCzbTgTTFlJU/4Qj7jXG/Y5L+jsxULM+fM9hgOjrDJkjulxn3S4Y1HzUfs++Bjhb0j4DzxaYKMKoKG8sCSzzzZYEs3dTRVhy4Kukbw9/aY7zk2dzTl2AI52WrDKIDqLcB12K1nvmtI8cR2jTUlaVNhy9t1s1a3RKXJCHiJVCqjo8bYLaPQUFQVSZWiQo1NNSHtUKoiDZq+3zA0U6Ik1GqMVxGDZ6FmnHGIFUOFY0tCG2ukzJHYEEaK9SwjazyVVexzQ65AFYb9vWNWwx4hBE7ihnS3Y3/UIS5l3ddkJxn0HdfFFEvFyFfsj1LEHLDJOuywYyIl42KD0R03kznLgaWv99RFwnEuFGHNg/UNe1XTcwtcXtMpxWZWwEcFB7/vYfK3pNc1ZG1LthfUpWI8gdQHoiqJKtLqAVdM2DpLMVrwyN2gJHBVPeJmc0xca2ZDw8pEljKhVsfc2DfcG8HELDnwC75ODWecsNL3ONNHbJkyDoGeXjHTl/S6C7x2pG7Fo+KXzLTiwhzg1Dv64rGxofVbBinIZWTsBAYtC2Xo6x4Hqs+GPufxiOf+U564N/REsw3HvN0VDAdQmZzTds44GdHJOetYQpFAYrCnGcngkvvTr5hkV8zq1zjVoQTG7YraveLqg5z1UFFmY5bqkH+tHxBj4Ef6JdYv2fs+C6P4sGdgBeaLnObOQ14zpEYx9xvQGTszIZqMoWxxES7iB6zkhKFpGKkvmKmSub6N5hfrCG1koMGd7pgmlnoy4kZPeBQdxtSUxtKmQ4x3dJKx0SMOxHMgp6zzK56NepBsmcs1B+xoVKTua4YWKGuY5CzUCe84QCTSUx3XOqWmYCJL7oYXpLpGZMYxVwSWBOW4ZsxQR7wCbRuCbWgaxeBd5B9+8TX5vcCLh9/nMk65d+Oxu0CbZ3gCh+MNRq7xSmMEWpXxBd9lZzL26pDcV9j1M1wLxb7lUXnF6/w55/ZDvpEfofSIawqu9ZxMKu7q37LujbjYf4pZ/ANWbkYxXTAzr6mJ7NQEr1bUtiMfwnR1zo/Lr/ifhx/wG/OA+/oNVmom1BzXl+wrg1YFuRaKeOsoHeglY95yyJKBbui7CzJVkljBWiGpGsZ+Tyod7/QxN0rzlM8Z4VlJxhseE0KgtTk+EaLZo5IBp80hL933SU3CWzVnal7x01RTXEQGC0+YJbzgMQuOEdVwkCUc8Yz/yJU4+QBNSaN79Nn8vkfJe97znvf8P/JeqPkjQmGAFLC3r2zcZikg4du9eA3akw+3JOYSa8tvQ4cDDQk7pWhVpG0EVTi89giglSGGDglblDhUbEBdE5oaaBEMEQWxwWhDKRl19GR+y7g+4md/qRlPJtSnf01oXhG84epUswpjzL2AMzcckN8GvH7g2aWKmozT2Gfe9UjfdbT7wM44/IFmYHf06kuc3VCXI57/Cwh+yCf/ZcDbiqAUSglZrOmHNbZb000yruMRkxsHneNMjniefYqNn7OTE3Z+iFjLHkPZKmYq9XTzAAAgAElEQVRGqBYlocuw0TMcN7i8plaOvUrxMTIVRXrdsR8kuNmI1foNZ+aAX8SP+cQMeCi/xUqHRpOHHVyVaC3YsUDRkQ0i+QcZiY507ZBWF2R2x8S/JZOWNwd3OFnWEDS+6RjfB4YlO/Uxy2nKd90Zsbsmqlvf1B8ySznhdfKQxf3X9I9K9qbgpf0QH3PczHCl+1ip+ax7zmz5goHbcOgW1EzYiGWvUwS4IxvuhMvbIEh1h0I2/ET/r8yzNc6nfG2+T5A1qqfQHzpCNFzrPh0Fy/QVD3+a8tZ9xK95wsPmOXcfnCFvK5oTsHNLvxTaZ+BONO4wEvoKW95mEJArnFaoM+BFQP5yhVpYkvsN9uiG+6lGW4erRsQlNK8F+U2Djw3YSP9gy+C+4o47RecFS5+xSjQn8ZrB1Yrm12vUQ9g9NFyYESt1gIwt7klGl+9ocrDzPjJuSReB9p/0WHymab5sSV7tyH5UcCElAzQ7nXLGhBd8xDJWpP0tB3euqe6NeDsd80YOWfsZW3tAxW2eyYG7ZG5LlORctpaQ5WgSnDTM0JjQ5yw8ZeUySgGJI57rO3y8e8eP37yhX5eorM9VktJFIR3usJ8G4nzMu8ETnskRp3Kf36kfo/2aVTpEzJqt9IliKAZP6I3XfLD/ms+mwrZpueof0iUFTlUUcYdZb5j/SYJeVjDXJI8V+0yxE0exNbTnHaMnA27WIB979D8akA9K9oMhw7pH//UNRjrGxx0T5wlvtiQK4kSTlhX9XUXYCVgFPQ2ZwdIxCRVNLNm7nK1yzGXHNKzpFhWqSugUhOOUZuLIkoDzHUkMDKxhFRK2dorBEh2c6ymvOUHiCKWhUytC2LJfWlKbYY8H7MaBVd6gk5ZoDNIoqnHC+fQB3ySHZFTIw1dMo6exilo5tr1A8qRAR8MX6lP6YcO97iX1nT6X+i5LN6LRgQ/cKT/Yf4Xb7rg8esA3ScbTB1dsTU6sNxy8vmZaXpBbg++3pLnGa1gfj1nND/7ghRrXBZAdxkT8G09ILTNdU4QVDZpydJ/TrqBLWu4dXfAn8gu+DPd4fXPCu29GhG3H4d+reWsM72TGTk64tCvCfUORL5iYBZE7vJCn1NJjLRlOdeRqy6zfMlGXfBBeInZEIkt+PP45X7sJF+GYA3Hco8G1C2IaGYwMnHf05hrjDHscF6pHoU9YM+OqO+F5+ZDD0TkJllX5mG9e1Tz9rqD1luV+R5IUbBo4UynN8Qw5vSG8c9jPLvjhw5c8Ur/lhCVJYklUxtgH2vyawU/mXOk1Sq2o44R/wd/jgC2DruRBSCnDkHOj2AwtY4TqVx0vPr3HN3HCwKy5Sw1Fj3WSgAhZFPZMeaHu8o2/y9it+ZQ19+IL5mpJ54RGjWhcoF+AfnlNmkwp+33Okj4zNSCRgI8NbRqRqAne4JOCIEvuqrfc6Oeoh9+lKM54pJ5zxA1eIu0oYqZALJHsmCs55iIccWTOmNHyNt66iWd6wV13yY6MXBueqhfQXnPj7nPVjBl/e+VycYP0am72Ge5qyuAvfstH/1h4e/9DdmpMfb5A9jVxVjCQHd8ffsFULljJAUZZVhzyi/Axz/UjHJEf8w1V+YxGAec1R6uGyWDD5zJkH+eIKViwYk+PkVpwV5+iTz7jZ1+0vD09Qk/GfDx8w5/azwlGsYkFRu/Y9gzTDyDfnvK9/TN+NvyIf8NDpjQg54y18CAsebXyDMIJc9nQ90t0VjA1r3isxxyqK5wumSQvmMWKpOcIA8HtWuaypa9KfiljlBozZkGmLln4lHfqENvtiUmBziCVJQMb+OoKnqf3QXWc6owP9II4sPDrQDLZow4Lvo5PKZkw4orocg7jS/7Tkysu1DnXMWHNgNRUv88x8p73vOc9/168F2r+qAhAw+0ejCaqACIgBouj/fY4aPE4qUDXiPEoUTSMqFUfbAdqibY1nb5tIQm2IcYAIUHUbX+UkoKgAiK3rh2FBe0IBIJyrEwPUcdstkeoroAmRzqL6SJBEvbhIdp/RSYXBDSRBKs0pU35Sh9xzQ841T9F2LLUisG3dY6dOCIJ4Tb+mEaNke4xlEcoOcerDh0jqgNNpEPhyVGS4onsE0FbhRAwwE6P8HGA9YKzERMV1ipQHmdf4801PXVIYI2hQqmIVx0inmGs6dcrVH9MZxvazBMpUVoTsWhRaCJCR1AJIp6QCbuBh3QJbkYwO4Kq0T6gXcRSM5Qa00ZaEiqtmRiN1xFVQNODrRqydgXLfEMiCgHc7+3M/d3wsDnjUNbkusMoiJKCMt+6uDp6Ar1WMeggbSFpDTNd4nWPEDQ2r9gHoW9apr6+DfhVFcSWYX7DIO4xYUKPPl72NMrRWE0rhhgCiXiUeGoXaGyJDTvQFftEyAzs8wCDgHeOctbQHyeUfUMv0/TrFTG5dQBpLHm6JU1LtAlYFVH6NkBa+YhJGkTf0CnIvSGrwBlIVA2Vg20PbAVa6BeO0MKo9qSlJt0bdJXQLwMnyQ7sjqiELq1oeuG2trcQVqOIaYRhskWFnNw7TDlFb8bMwitSPSFRAx4WCT7pKBqPiy0kgWgqRIZoDFYiGTtaahq5raxN6Wh0j9IKqIjTkSCg8GjZYG1AqxSJGRFNraDVHhcDtgXdGoatgm5PP1R0xtMkltomlLrPiikVEWv31LpDqz5rpmysY2R6DFkxVCsWaU3pG9bugLVNGeCIGvq2JLoASaBNA6s0YZdkWEkJmRB6kXy05/WhZzEyPOod8K5oWJkJ8ySSW6ETCEmOMxni9nhj6JxBaYW0js61BBuw1pL5jFEIVBLpXKCSAPTwEtDUZF2JihCVUKbCzuV0kuBYEIzGKH0boI7QqcjOtnRqS48hiMPHhsRc02/3pN6SkBJ9Shdq0mDRvmagGnJvaRCiE0oNolJKgZHy5CEn9QmiGjAe8TmF25JLReEFo2sKvWGPQVAYFfFGEbRgTE2qG7TUKBURabGisZ2mXwsSc1TiCIXiJvHs3Pb3PEn+9izdnPtmAVzRWWGXQWkyaj2jk/23gfvQSo5WQk+uqTkhqee4RUrjIzs95kqNqVWPLo5Yyn1qOyVjQYgNaz7iRh8xiCVTWRLjDkxHRLB4vBf2poeTS8bhlGP3mq4zjNUebSx7XVBHeysQA43yqCSQxC2Zb5AEPAXWj0jLgnYQKG2LdH2S+giaErFnZKzI6XHDkBWK2g64/eW0iO1I1SVT2aO7SOUyFA09VXMgz5iYDQs9IgAdM670J2hesksG7JOCSo2I3mLCCOKCkAn7PGGvJuQ0IJ7GGEoFQefsw11qo7hhgm+O0fUJMb3EyHN6/oYtwt5MqFgxIkJQEPsIFvBUCInKsAQsDZFIgafwW6wJhBjwuo8wwcYSbTo6emz1BE966zyWjjYBZW6/uymQ2oppuEF0SovFUxCjx6nb+5bSLRZBR4OIIxFDPyYknUJrD8qTdnCyET7erlhsz5n6BiUNioqcK3qyxkhOo2ZYWjyR1gwo1YyUDRUVreuIDpKQ0OtSEvFYOkzU3O7dFrRM0LT0ZUseNLYcEVpH20IbPGIdlj1WCvZhwsZqGgMDJWjV0TKmNnOCRKzUWNVgY4NSEVQghABOoeKWgbzlQCb0VEmnEvYqZ6thZx0quQ1PzqVkyBob9njVw6qanA7xY7ybY2QDOiAonM9I456USxK1oVNCIwUVQ6IUECEg7NSYC3uPlgKrI7WdQPuaWbMiDUNiKNilhsD+9zI/3vOe97zn/w3vhZo/KjpQ8m3907frSIAS83/1P6FumycyHM540txR1iOu4l2utzOGWnOvtyVVK/rDKcbAoN9Qf1Uh/vsgW0QUwjFBWZTcvih7BMSCCgyo2ZNxRsFCj9HXK1QCMSZIp1kFxfJdTW5L3PESPchQklBdRz7XR/yroz/ny/rv87vLe/zZ4XOGf3aX5K/ecjK4fT1+JZon+Zg+HUQDr0q61/D2q4z6pVAUGtlAlTtaM6K9Ulw+2zD7szmLScLRZ1OO9IZx2PBlnTDsRQq23JBgfUpzvUHNIf+TgqkaYgtBc8zCv+ReYehLYCmOZF+Rf7VmeHfLm8wxLXq4bMV9dYGVPfuQ4WVIScHCjmlUQe9DRXrqKD+coAeWow/v0OmSURKwBGo0bp/iXwndfUP3sUbWh9T/7Zekn814cTzgndLUbY9vNj3yfMykX/5eT93fBf8s+RnD9SWzxRXGCm5gSNSegYsMWJGqKwpdMZMbbGzx76DI3uKqN+Q65+DRI66LhGm1Yni2Jpw1PDj4DYdZTnAee2Cpsj0fcYXQsWHGqdyhImdqtiQ0jG2No+K+nDHVDYVbgdGYYUoxHfBKzTm3B+RPDKdWscHx4X5D/+1fUR8M+Gv3A/aqxyejU+5//JzhtoOrDTwAPc/AamTswSuO7h8RfnZJ9yyQfmih7vArxe63a3QKahpYFeeoCdj5iG/+5ZpRlzFpCuovr3n6g7f011+inyqSMaid8MEkQ7nI/D84hHWF8mtOzgw3/1tH87MS96827EzA969pxwsOjt7Su/9rxp8MmJ94WgvOtsxMScmGnlIorvA0DHXHx/EN/XbDOzNjkqakIjgGXNoTvPLM7TkJ10gMJDxCyYoVJfeSG8LlEn8NJi9RyTM+nBboMrKvO4IEBvqcVHJ2fMhIrRglrznkHTUjXnHEjbnPTixtcGTxDlMH/f6GbRyx8nMKOaXRC/TAkE9z1KYmHYwxuo8Vhy47EmXwfc92dMLsP59QJgk/twWvXEETA4/tSwZPhygxnJsJEc3oaQ8vBmNa0lihogU1IuhA0nZ0L9eoqxa516d9CK3SpAwpxdKqLe2rLYNtRXI34fTxlK/NXWah4YO8pWFPZM/UNYy4oMVjlCan5JASbRIa1SDs+RiLfrZA+hozOqN5UVH0gfuCmzrC2uMrx2jeMNINWikyFONKw8sV4WKHDi1NFGy+4zufXVM4Ib2saHJHetSR6T0NlhklIQQG2jJ59wWjOwVpqzjMc/K6xC0j279pia8hix3No4rNP8zR39lxbNa/71Hyt+bLdsoP9QgXBP0IPvrnH/M/Ws05xzyRBVoW9GxkW/dxbkSrOspOUf3Fnu1/tYSnY95+8oDToyFalTTdmrOqYLVLmA4Cihn/w8UJzyYZPyp/x09GJZVuWeFYyJytmnDq5ugHJ+Trd5zoPd/jkgcpDPwZlT2gDA6/aNCbACnYuWZsSh6xYGZbgi9xxsNqhf1fLoj/mXBJwGwKqn/5DZx8wLBfcme4ZEbOi0XKTVug/RDpCyqPqBRmYjmkBrZsDoZko0Oqtxd8MoaGwLYHTmU0VcqXVxOSoyWnreLp0+/xzcbRvtXoLzrQMP6PE2afNrxUHT44fD5h1405R3PFPbbl9/hy9TsmB5b8y4y/+a//O+78F0fou8JBsqVtI3Xo46oV9jKA1bTzCa3akKuUSqa0qsFkwsnTKc9+d0N6uOdBv8Th6UzGvprjf5PRHWXoR3122qLqAl/3UZ1GPeoxOFCMzSUnzYzAlJyKD90pXnJWHPKWHQO5RGmhZoyY9LZxkhxXTAmyZdBCtm0Z9TrMwZ46AD/f89D8ioe9MyZ3+xSTHzDKW2bqlHniiRj2PjKjYkRJKhUudAxsQ25umM9hWFgqsXTdbYlDXwnTZMGJfoHimJ+3R1y1wqN+QtmOKf/1O2R1F5GGi2qN/Q+HJLxkaCZc+j5LHxj2csgrrns5b2Mfdh35cE+iNmxJ2DAlDBRblXKTztnqEffDS56Ya864XYctQ8bGHdO0C9Z1g+5BTD2ZLHnCGz4yj3kbFT1T3d4P1wml63FvCka2kCok9Bjaip88yHjoX7MxKa+j0EfjbhoQRRwMuOnPeKN6qNYwpccKx7pVPKgKnv/F50x/+gP0Y4ez9e91jrznPe95z78P74WaPyr0rViC4baa+9/xf/usIkrtMWzQqkF0xOuESvWpY04iNROu6bNGI5gY6ccO1zlAoZXC0tIqDboPUaNVC9KC1igUPbVnGOBaSkR16NCSCmjV4Z2iE3C1IlPg8GA2DGPGwd6yS0eccsIX9rss9TFtvKDrNTjniaamVpZaZ2ixGAW5ePR2i952pE0Gvo/xFuXBipBEGDaO2RpoPWepZ9vzuLAhZUujjimVpXNChSOII/OeoVS07hm5fcNchKSuSaLCK4UKDjGQ+pqDTaDpVsQip82FTHbMwg2Bjo0eEFVBIh5NhwlLgrpL2wtIb0uwExJdodnjtaVkREmBCjm2Vrgo7I0Qi5YqAckca1tQMqbiDmsT2NlTUlMy+v/8rP3d8tT/W1QFqgOMQuGx0mFQOFrSuKNPi40dKoLxKce7LXYDUVXspznKJPRjg2si/QpUVdNTNXjwraPJYOg7DA1WFawiGN0xVGuS2JAqhcPfvnCqCiM1WkqiDnQOLpOCN9mcoQp0NGxUxmHTokXwGK7tmKXuc6gv6KuGXtFiCsEPQPotxmja3NM46B/cUPU8dmvBZ8RkD2LJm46k0iibklYVETBZRn+zIdOKNmoaBb1tx51Ni/eOkAm+B7iSMPCEocelHezAhZa0jrjSoLse47DGeo0KjoMoqGQFj1fECZCAiv8uVHJJHht6qqSlw5CRS0lORe73DGxOYiKZ7KjCKVjHEZdkfs8VkZWCAS2HdBzUJUUdsS1QOzIaXN2gOgFRt3+W1G2GVkMfh6HnI4UqSYwlj5FO+nid4YxHFRNy3XJEwybCloKNLmhJOFCGKo9IT4i5Zq371LqHNhuU8YRYsMscb6awIeWKI1bxLn3lKdWGZXpGS8KSESYK2mp2ymHE0ScSlKNjSECYqDVzv2S4g1AJ+9jgdAIhAW0gCr1OoDHU2vJqeMDfcJ/HvuJAL2lUQwfk0pLJBhsLEjE4s0HLCusdpdvjVcnED/BtB42jt/fETUSTISFgG3CNEDohoSVRJUYiRvZAR9IKeqtQISMLJUo6krolCRFa0E7hpbptbiLH4REjIJ55qQhli9eC8wHb1tBCVoJaakzQqGmkqS06aoze/cEHeF64D6nsK0IGlYXFVGhdBeKptcOT4KJgvEfZQDANScxJVgdwlaEOPIQRO7kD+orKWq6TlK3OUBE6Ui7MJ7TxDi7+irm8Y6tqfPsAj0MSD3pLk0G3a+jxlvv0qJoZjd5Tq4RGDMKITim0EzBCRklPVhiJeGXpVEqnIv2Vo+9LtrbFNQmq7GODx7Jhom449MK4u0PwB6yTlNhXNEaRW0goybkAXbHKMrR1KKM4qPc8qF/wrv8rFAdU0bHjLqVsKM2AZdqytjmJeDyCDGF3HFmPW5TWGBlQ6gVtFvA6gozYxmNWsWQcphSbMX75Hco2Q1RD7t/hlKJkRh5XiFZ0TrHOcqJekZMT45jWbHH6mlSvQTLyuGXAO1oKJAouAHVH5vckVHgSKnXEVo9oEkNSlDTJjKBup1FQGsP+tmRd5uzUAZEEdCShIZeOEPbs7IhWZSAGrSNt1rFOO9YF2EHCpoDxCu4tW9h5mukeawwueo54xzx8gzV7ShJ6DLFYFB1WtaQiaCz7JJL3PVXi2SUK/+1Cey/u6KtX9FVGjD32ZOzUHo+FNsFuDTEUmN0cLzO8qjFsaDlg60aUuSXPFHszYq1OSHVKwJKFhlZ3rIsCdjsyWeJ0xx6HwjPjgmk8w+kGMSlKoIszWl1ABjFRKCv0uWHmr1hIj0wHHJ5WC0pFemGH6IYmUYiuGPM1Q1UwC2dEfY9CdSSxRiQiGiqrWTlDLT16CEJH66DWOVJ7JtuOrNmzkx7+D34h/D3vec8fA++Fmj8qLJAAHk2LEg9ERHdI7L4NEw5ovUWrWyEmyQ29/juO1UsO+jdsXUKmOnqyQ1xFNNBtU4xzkOjb1/6mAylBbleelCgEDSph3ypKUlYULP2AzdZgzy9JPgRJAZXiWjCN4K2lcwkVFRmKfF9xqF/yp/K/s1YPIDUMTImWlu5+wuX0gKUakIcMpMfL7i5VeUJXv0E1n+P8xxAa9goYaazuSExJGPXAwvhixbxztIlB03Evv2FlE97xhEolwBZlxvR0w0/l/+Dh9r/hqFhg9r+l7G744f6MtfXsex8yUNe3jqA84q/WTJQn1wXVVc3R4JJuovA20JMbPpXPuc+Q6XTIWTHk9OExzY8c6bEwVtcUsmdtHAum7CVhmTuOZi0H5RlyXcNS46eK7CCSqwZHysL22eUZTZZRSv8PXqip3oK+Abu9veCZg5IH90oq31F0FYMqotaRfetIXM62FobvINagWrDVGvvdKbujgsksJ915ZJiyG1tMW+N2nnyXot5apPZkruSwuLhtAmODI2I6IdlXoIQ67dB0DLQn5BrRgdxcch/PJAZ8u6EVw8GqQ1ag2x2f6L+hzh0H+3OcD6gMGGtUUVDVNb2RxewCiRfCPsAdR2wCPIaYG2Kt4VwhEdTEY4NCQoBdi+0LyR3oPhXa3pA2y9GpQN9T5Y7F1NDlfarMoGjoq4a06Jjgsd9VqKUGOsQZ4tyho0WlQhx4uouA2iu0FvTYM9itOHF7SPp09Olijjdwaaa0oqnjlOv4hJ1kjO0SsRsy8bjo6ElGrgNad0Qgi47gHN2THI4StgdDXuVDrnPFrF5C7FibIefxkKU+IuAQDAmaGYE0rGjiW5xovO4RVUOUlhaDEBmpJUYlVKqllnu89j2KfsvooafsFbxUh2xljLF95nZHppd43bHB8ZYTWhlQqJpMIm0Ys9BzaiJbNSQhkkuLJdJRcBN7bBmw0wcoKdHKM59ZRAJ2EJn4LV61lDoQcLRakQyB2uN7A1opAGh0wRvusY2BDdNbi39oKMQwoUFXnqGALT2JDnSFwmeR+FmK7gQIZAcaCkUsA8pY/BvIykDRW3DnbkmOMLlc41sPhcWNAlxGlFFIrnDbSGyBm9u1LNt2zA81vitJI9hUEJdSnbbYl6CSQDeJ2ADiFOqug6lFEoXKavLYkVwIqgCmv6ch8nfEr8vvcHr0G45+krHTKZdpjzEt9+MVVrVcqyEKzYk+I2pDFaZchznNwJF+L6G9syadNqz0kEqGnMXH9NMt6ukEGohaoZsEc2qYzeGACzwOgmLk9sy45GN5jt2v2OkBK9UjY82J7Hlhelz7KaW+y6vhjpPHYwrt2bgBlc9RxqL0EsMeT8Z5NSYJLU84p+KKhdnTTjOWPnIoionecy9uOEh7vHN3uXpylzg2dKagHSxYqYxVmLN4t+DTwZiT/ookb7HB8kF5TfC/4it5zDp5yHyy4diec8gGjWefadr7ju0/GhHqK84e3eEivU8nKVZu0LZCsjUfyQWn3dcs9Y+gnrB6Yxlk4D+cctVWJCEhNSUhU5xySDOG2Q/foI2jzRLGKtLnnIGylLLCqMC75IJnScrd9JJjXrHiPtcypUpgeu8Njw6+pjOeV/4TbLXjnx70iZ8ZusOC68EDLvycyuQcqAWWFRtGKDRzbphyRo8tmXhGcU9UJQrH0FyR+B20A56NKkbfP8Q0JZusR/XnE4omw2wC5kWEe5Y4UFgCRgwdjohlp0aUytID7qor0iBEfcCSI57P9ri/f0OtCt6OehgVuSNX3JG3zGXLlpq+vuEgyRhR8WbfIff6MBfcDuqtZ9/eYW2G5Aok5lybyM1HI6Y6sDVzltcp8/6QnSo4UQpUyXqw4URt+Un8hk/k1ySm4UpPkGA51ksM15Qc0l4UnJ+mbKafEj78S5phwZn5gEU8odANn8rXPOAMg8ZkaybJmplaU4ghWo3RN3ykv6JAMdfb/5O9N/uVPLkS874TEb8t9+WuVbe2rq5q9kZyNORImhnNaGxDhlfAhuFHP+oP8qNe/B/YgP1iwxY8ljXizIgiOWSz96qu/e4391/+log4fsgevQ4EUW4QrA+4L4lEInEz4mTGiXO+g5WUQ3qUJrB8MKKXXeGngqowYVeB1IjFa8JVcsBnmUEfr+lO1kSbcMHRdx1K3vKWt7zl7+RtouZ3iF3dzN9W07R/q/hFBaIERCOoYHAYLBZLkgvj4oIHPOE4P2ORHICxpNJg8xZvhM22yzg1ux763EHlgAi7zmyUBEghOhqv3JDzWo55E7/HYt7FvpmTdoGsAB0RG2g9VImhTTpsEErTpYktw+qKf8RfcxE/5CI5xNqGMno6DxIu8iEbLSg0Yy0DvmpPKJsD2vhrrL7ZtWZ5y8Yp7TjDh0BtPYv9CU0mZKdz9uoubyY9MrnhsT2lTRpOeYyJSmGucPZdiiTw9/Qn/JP5/85erNkufoKJkWLe8ok/oO0YbskNqVsjYyW93rJvMjrJHu3TG+4dvKEeGObOME4MHwXH1g4Z7I/JZMDqxLL8MEUOHEMJuNiwkZSwuw/nKk9w08DRzTn1lxE0xQyhM9m5VCxCa1ravKVNM8rw256mAXmeYi8bzNIiCKOTErd3xabdkKwgnSX485Yy6+MfHDLXc4YvUiIJblHhLircxHBzu6AcG3pLJQ4c15MRablk/82W/gXEX7Zw40ncjO5+CbmFUCMZsIzIlQVNGXRqSD0ud4SPlCx67oUz8nhBvgVdt1CBvbRw3iP3Gz4OX8EIuPZEyWkHFls0mDyjmtV093LkpiW9SpC5R/dTjC2RRzW+E5FW0YFSA2YCbg3mG6CM+BG07ynhY0/sBBZlpJN3yPtzVkmXs+yYG7NPaxxp9AzzNXvdF2ThlP574FtB64jrK3oS0EXcKR5KMKc50gj2qMIcN0zLmrGD6mDDM+mxNh0cGy7MiCZRNox5ond5yR7HXHFg3zCgZGVW9O2CgjVON0QRhIRFNzJ7ZEnbyJs04xP3PpWmfL//jPv+Ei8pZ0y50t0JX6Wlo3MO9ZKeRoxzFO0pmAE3ccBFm1FkCUN19Jpr6iTwLHaZ2Xd5EfYYZAHN4SJMeKYPmTMlYYXnij2rFLKGMOZGP2Cgcw7tUzzCSvpcc4zXDTcyxoqQs2XInIoh13Gfi7jPRTqlyzVHcoItUv8AACAASURBVA3TjHpYY2nI24Y9Ks7zhJmOuHEF6dggS7C50FGYaI3EDqf2gFUQgilopOBSLAdmQ0fPSOqKTlRkGUhqpRlkbI8T4g88ncsanQWyfQGpkYUgGuClYm4yRv053b1I2oD5Sokocldohx59GejkCTEVuFbMNqI3FjcT3LLB2AYtW8DSHKVsujnVVw17VwFyIdzx0LMEp5i7AdlXdCDIVaSzqNAzQXv6W5+o+Wz7LmdHXeizS76rYxwCIV6TScXCdjEi3E4vKM2UZXXMub9DHAG/76DwyKhlheGKE855xMT+DemJgxmIgWKzJf1EOfmHV5zwDWtu462S2wvuy9d80D4jX8+ZJYdc6F2KsOJRfMGNfZ83/iHn7oD3kq/43oOU1vQ5T6cs2x6ZS8l0QSHXWByX1T5Fu+IdeU3LUz7LTmnHhyxigUqHIdfcsgtG6QlfimW5d8DmEJZGaRu4kn2u2o/5+tkzqocznDml6M3IV4Fb6znD9ozXTKjSRzy0v+Q983Pu61M6DGhSR31kWR51mXnLa3fC3B2Br+gyo2tWFLLlsZ7ydf1LPrP/Maa6zeJizf5RxD/qsNrW5HVBaj1VB16ZKdfdgh9+lNKVQOtgEjz39TVTs+CaCofllb1k6pT76Sm39RlW+vw03mWedpjcfsK9/iu20uXX4Q+wm8/xt6HTU66SPmfmFudhSlTDMS9otOKN3MHiOOCMI3lFolv60THWBepq6mjYl1OSeottD3jS8dx/d4+7FzNap5T/OMGGgPvnHvNG0XWGNy3WrNlKjzf2HiMucCy5os9YVvxAvuJh+JIn7o/4lR3xTeeQg9GImfZ51fbpsOax+Zwj85w9lrxB6aSXTGNkHIWvVhncGxC6DcmFsn25pNru0xZ7pMyx0TFPWq4f9vGhZiZHrE+F249SWrXkusVqSZt7HuZz/iD8JR/4n1HYhou4jw8DpqZCuabUB6ze3OfpZ5Gb/+o9Lg6hkj6vmvd4qfscu0sehS84ab/h1D2gk15zJ3/GVN+QxS0qhpSaD+Ql+1yRuRJvHEc6JBA4PxkxPRCqJEAsOJQl1zJmGXrUrs9pprwoOvzg/Zq7o89xJueSw+86lLzlLW95y9/J20TN7xR/m6jJgBRDi5CimhG/FeURBdd2SbQAPCoeg921I/gKJOwkxOwkw7vBUYqKgkuI0uxEuaS710SJRCBAVLJWSMkJdNC2Q6exlF5xgV2FT6IEB+Zbj07A0iJYhOZbq05OSzRCkJ1Xp0oMiYdWIkKkpqa0SqCD9QkSImIUqS00OVEyokYSMViX06gnAIgSERqXg28pwgabdIEWGwMWJViDxpZ+uGIvLMnbFhcEU4OkSjdEEnUYDRjf8K0rENTSGkMWW/ImsgyWBZYs1nTDisYqXg0iNcQt2J2CUQkkAhaPjzXBdnYtZW1CooJERTSgVvEaaY0jkONdSoyOaAUv7f/P6+w3T9F4aIA2QUkwYYORijQGkhZM2OmXIoqPQlDFxYBikKAQImlsQRtEBKwQJWKMwQWDNBGritUALeAF6hp2hSa7reOBGHdO7jaAC6gmeKNAxBJIURIjqPnW/xQttLsdZ7WFsFvDitJKYKd6DmiqbK2SIKRAG0FT+bceKXURF4TW7+TCBoGgiAAhEAVqG4iJoTUGYz2BXVtBBFprKGUn5Y7GklDvBI0xYgXaBKgNopGYKTFhJ9Budo9rTGgEMhNxjYJAjC2RikjEqCOYmpqGgBLE4L/1YJndHSlGWmCNo9nJJwmIiQQNtDYhqFA5WEtBLTkBiKakcRm1EVQLwO3a3og4A15rKuaIFCTqcFIQTU6FI+puD+w+REuUhCpx1OJoSWmkx1Z6rOiQWM+WDoEuRj2RHhszImON0Ro0w0sHpbv7H2nBLgpaFMWj1EaJJsHTwUtFq446glFBEjAacCYBtUTZ7XeCgIfghK14QlSMSahk1/JhBCDSiNCKxagl0YBQIwIGu1tjAt42OxkwgO5eGnE7Ldm3GyRplcS3mGAgQlTdrWkUr4AmmODBQoiW8LdxZrf4d5vRK1E9waQkAiYCUTHf7jPjwCcBzSOkuxvuvAVN42//+DmgiX3UgLqWZVjTuoDiidISjKDs2jyIWwIlMQ3YdRf/rZwfWozqTu0vjmAzWhQfPSo7VW9mIoKS2IaEBRkTnChBAtDgQoXFE2yCSk6qM3I/x7WKt9AYIZLS2oSVNVTiiBgicSckjgnRWLzIrnVGGwIVkd13hYZda1siDblssbHGOCEaoUZpTAtOCZKQaQ8Xxmw1pcQTbPy2rXhNHs4p4j261pEZRcSj0hBpdlOXJKVyhpWNrFBqhFQFAlhnyEJKVEEzJTaR2BpszLEqiOaoL3BkGDUEE6ltTlBQDMEqtQUjQhqV1DgIHrEbnG5JQk4eoSft7veNGAwBZ3ZtrRoLQszAdalNQmOVxmxoxNPaDLwhxaO6u47KYktHV6S2QYjfCtRrwINpUdeiVokevDVUEqmNkiSWhdsiYtg3Zvd9EyJWduJuQ02koaHBGoNotmtdlEhkheocbzNqk9KQUEpO47r0aWh1S222qAQcutvvku48glFRVVQDLYranCRsEF/hkhJLs5Ocm5ZEBKVANQUMJnqsqXFi0TYH43GyIJcNFoMRgxNDGiHYsIuBTY4ET20si4zd599m1BgiNQkrCjwiEE2DlRUpG0yyJUog8Y4uLV2zQliTsKSrGxJKGtPQZJGtNIRoiLIBmWKlA6psJdDYDo0mEBpijDQU31UI+fdGRP4p8E8B7t69+x2/m7e85S3/IXmbqPmdIn77Z9nNAQq7h1UAR8AAkVzDTsQrFd4EspiSA+nuvIOlQUKDsRaiJ/clHdNl1+BkSOhSk4Gp2SVxImgNMaBVl1KnxCgcyiXEDl/IFmfBuTU+vSFaMDFigqcxXVbk9MnoBMFcOJq9KXt7Cx6bL7jLJXHTsMp7rONtqsqSZzU5a/JVF/O6ZbkZYOKc3nCOO6rRNMHNLJ06Mj5aktiauGfwXWFVtFi3IDUtJQbVlKiOUgasdZ8WIcm3RElZ1+BsyqYZU8QtpqnY6IRtmBBtDxd3t6NkQtlrobdApxsk7xBDRqEOjFBZaCShq4YeGWkY0uGCY3nJA77kwF8i7hZbM2FLhmrAdxPmbkJmZxTzOS41GJTcb9EErnVM3xwQBDK5+S4W22+Uy4OCOk/ZbidUSY4bX3A/qYltg+07ggvMMsfKFtheSmkGzO+1rCUj2TiKTs1iClecoHrIqvsKX/S48R16bkg5mVPnDXm1onuoJBpgDCETQmMwztC0AdkEDB6fGTTNsDZQTbvU7ogba8lspGMCtWuRbsOh2zKsltSVkowMpJaQNWAburVBSkGTXTLH4mkzxe3XbBJDFiO6zWCbk5sNitD0U3ww9IMQrbAaQkaKNhlmUNDGLl5zPAXzIsFaT58th9tXFGZOaxNMbOm2LVNfki8MMrek0SCZIkWLCY5tJyWowRlPq57W1vipxeaOxnicEXyd0o0b9pLrXdWc2ZJqAK054JTMX3PibphwjY0l09jSXTs6ScmkN6OVhKAFjh7iD4h1i5cJJsnY05pJs0ScZyYdlvTwOApqCiJLpnxlHnAkr+myobIGJTLSGa2Zo/S4Nh3m2ZRoOmyMI+ENIz1jT1+zz5oQX3Nte3gpcWwYckmPLU4tiazoyCtaNiylSydaun7BwNzgtSYwI6Fh38zoaInnhkQUYUIel4y4YqDLnUundJjU0DSRYC1FT+glawojxDyhnQpqDCN/RWsiHqGiQ2UKEoWcNQPZUFCTRI9axzyx1GLYVgZbWDohIQ2W1tbMe5aZOaSUHlm2QVKLeXDG4XBN0vPY2MVmgeZWTQwOWyQQhc0xtJklH+0SLaFnUKNUSYWbgO0l1AJFpaRRiTFyPerQJhbttqSjhFyUFmVtOyzNEcoeSbFkML3GJtdoL3LwXQeTf1+sMAtTLpIDZumU5/GIM7nDjfSYyJqpXoAYok1Zi1BJoDBzwr5D72wo3Ircrki1os+cxK+52Bzwef8DjoafcuUs3rbEgXBVjHklD2gYIcFwLkdUSY+DpOL99hV5XFFqyiy5S6cIXLkjBnzDloYrPeKFvM9dfU3RlmgzZZYM8XJAX3sYMvrFnPJwwFz2aSgJ3ZbJrTMGnQJDwireYo6jSUZsbcYSAbEUVYrzvd3MQjsjn8zoc0ln3fINj/jL/jGPj1bs9y6YxjOciVz7u3xmG96xT/k4PmePDYvYI6VH4hNWtsc5t8io2E+WLHXDxnS5kSk1Gb10Rm9SEEwCiWF0OCfrX3KeFLyp9lF/Rl83nMYJq3hC8Lsk70sz5cbc5kAbCn6JFaiNsuje4plZsR/vs2WA0UjBNa0qUbp0abjFExZ2zStuc26mFLJgzCWp3uXGHfKSuxgpCYClpTJDLrhLK4GJ9UgUUOVMHjDVlqG+IE1bKm+Zp7ew/RFpSJCYcqMZfn/O8Ydb+vsQNKFq+gzSFXf0G5zUlPRI2hpNM77hXY7NK+60p7yKOVdMqMKEoVqiFnxt7nIj9zmRT0nk/yWjJPMdnvkDbFFBb05ntMGJIw6hqraY9IaOWwOerWQsNSHSoRsv6XLGYDgnJoIVy4YpguXA12ySY35p/z4ZS74fX5J4zwU5K7vHgBldqSgmX+PGA0ocJfvkKhSyIuqIN9yhJ1u6RtjSp3U9lvRY6BRfO/JVS7Ln+HXyHl/ziD19DgxpESqmXOsJMX5JESPjeMZl8h5qIG+vGTaXKMrXdYcnxe/h8oRzm7HRwW+tL0tV/xnwzwB+9KMf6Xf8dt7ylrf8B+RtouZ3igCmBN0SqVBt2I3rbgAhUIBAbucUXIN4ogRGesUhr+i4axjcwRpPbhpMHiGFpFF6ziPSIMHg6AAZSIlidqOUv+XVrMDziK/8hnfzFceTwCedSNkH2EJ5TSpAbgmZcGMGfEqfe2IxIUH+PNC7ec1/9p//Bfe6LxhrSnjV8PTW+3xSfJ9vXhj+5J4hTVrCG8fmMyH422Tjn/Hw+1/x4sDTZi3+84ArPSdHl6yTCD/ssW3BLmpui2eUw6xaMfY31EY4i1NK7VMlNfvjLX1tSF+BnzW4wQKDJ14qHBzxIo54rIGmmhP7kO4Jg0nLJlkxeL9Ay0jblOyFnNpeQGJYaJcH8pxKT7FiyKeex73P+UfxX3BPnvOF3uYNR2xiICQN2/vCKzPk3k/WxK9vsOMENcKdcs5nxZZTchI3wWvGMPjf+p3+Vx/8AS4OOA17rF2Ph/ETDtb/mqkTfFcpRwZrx4TkAd/4DHs84er2lG/MQ/JwxTvmc9bpPf5Kf0wlGfdGT8iynDe+Qy/dsn8ww2rFx/dekeiaJC5oRZmbLpV2KVQYsaQwK0oMgS5WLDY2nJlbPOUha3XsyzlZENpkgmSXuOJLxmmLe7Mlywxh40m8xXSU9iJiZ4oVT26BymOmQrQpLmnoJJFQKXW7RNZKGHV58eAdNj7wnl4gAWZ3J5iQYR72WQ0S6mSNGser4jZf2DsMzYbf10+5tT7nuF0RMBj1mFXEXAXaa8VmIB2oJuCmFjFKORzyQocU5PQ35/R1Q5EnbG2L2C1ZmrG5CHT9gumxMM+VQEbr+2BSxu6GifEc+xv67oZWaoptn/pzy6T7kt7HDa/kFuvoWEqHV7ZDVUx57U441ynH3NBaqN2Yy3DChTzAS8G+nNMj8FJ6VHKfkZzyYfMN70pKGS8xMbKOygtzn1fcZasZbpfC5Ha84rGec9CcM5QFRZoiUpAHg5GSEzllqBWBhKle8g6nzMgIYsnNgn1zzpAZjRhSjRRsOOSaXltSSMmF6bIxe4hYDvWKY39Jul0TS5BNgl4bRDZMHloSk+BNzvJ2gT9OGSy2fHj6imZ8yae9wN/EH7ORe/TjDc6+pi+OTJbYZI72+zyJR1xlA+IoMtI1e5QkZstqOuDl3iM+8x+w8il3sxmVj9w/fsNR+XPM6zPcpoV9Jd6ztLrP3AJHG4oHKdtQsLSRTFYUKMWRIT7z2JEiA9hGiDWktVJhmH/0gMuQ0TfX5BZmmw29TsHrOOJn+gGv/X3u7F/wgf0VU5ujJL/1iZpVNuan8Xt0+CMqk/I38TGvzLv46Hhsn7IxKSGC00CpXRa8Rxgr+Z/mxN/bcq/XxSW/ZqoNVtdICV89e8D//L3/lrk9p5Zjsq6QHpY0o0N+zgld+kia840/4poD9tqK/+L6/8Qay1cPHvNrPeG5PGGtBUNpWQN/Ld+nouS/0QXFpmIdxnzTOeRYtmg8x0vJyaHw9I9ztnZEolfY0Rf86L9+hxUbGhlw6d/hjcJ8tM+ZDHnOkHk7wS4ime5x0/Z5My7Y+3iP40+fs3/9nMv3/3v+t+I9/oeTNQ/b/5UTt2ElLWVb8Zm/xY85ZhD+mqPijDkDSk1o5ZCn7Tv8Kv0hfX/KJH3BQJTSZSSk7DHnOPklD94JVPGQonnF339/i+o5T+OYf6P/kL7/Pxj5GT/Lf8g72cec+K/YkvEsvsNLucft+Ir/1D5hFFvaWFEe7fFLKobtQ3ouZ50eEEzNpBPwIlShop8t8ZMRvw7vcpcP+H78gjEledgycyO+IkVihbicEOBG+yyYEojcZUHQFk/COcec+FPwltx5vm4n/Cr9mFv5ku52QxUf8Jo+ex8v+JOHDQcGyuuEeRjS14oDYBMcpeuSJitWkrJuJnw4+5rjzae8Ojnhf+ER9/UVj+WCpe/wU/MjPje/zwehy2Pzaw7Mhmwz42pzh+z2ADO84eR4RlM5to0gqwi9DajB65gbucNadTcCXF4wkUs+vlOyjms8lstwgtqao/wpv2gf8P/wB3TDc34QXhMY84m5x4x9Po6nDE3F5NElpj7mm3jMtTxkT06RzPK6uUVMRvRZchzPKO0Qoc9WEy7ibbaLKZ2XT0gfWv6i/YCX9h1+b/V/8Y9Ga6Jm/A0PyXTLQ55QLE55yBO+GX2P1gXGyZzx1Sva7BF/0TykvfUhD+Nd9uMVrRn/1iZq3vKWt/zu8Ft+fHvLvxvfJt4lYqLCt40XmBYTWiyGgOCkIpclmUZsdCR4umzIZTfhxmNoRfAmgN21fZgIOCEapcKi7Kptdk0aFiGCWrY6wUuKUJFrhfUdAgVBwcSAiGIUxAi16bCRCbu75JLAriR6uI2Y9ppXSUJOj6JRrDcYTeio0G1b1EFrInWqRCkQb8jDOalEDBVOldxHOn5LqQ3bpGUlXSprSNkQHGxtzsIdsJJjSrtPHSyGXZlMKT0WrkvHLQlpzkY91kVK6RAQIgaxCVjwzrGWDo20NLakSrrMZEJPAhP/BozwwrzDVgZ4ugRJEGDMmofbM47bNd90C1qbY2TxbdsKVFYIEdpoqZ2gThABpaA0B8wksJEOgeS7WW6/QV5nj4hYLhjQaJe95oiZOyYkXaoiofQeSaZcmimXzmKlpe2c8IQjBmLoccmNHnEd71G6HjlCKnCVdKh1jTMOo3PWeBIxtKSEAGvpsDIppVYILVENTSjwkmHwBPGsnGIaodd2cLZPlJrGeIyAZ9cG5wg4H3CtIfqU1rTUztCkCXkyZBMLVLs0ssQkkTJvaEwXT0s04KKlSfoskwlrUzPTFuNL5rYgSg81DTazbCWjZchructZdkjDhht9zTgZkjcO8ETZVedodIg0NImjTJWmG0kLxWnOPEk5dfsI++z5wElc001aaiyijtRGvPUQLCYaogieFKuWNNRYBzUOSMi1RWRBcBkthkwthd9wky7J2SOow0pEjaeWhtY0xOhpRYlkmJCSSkRlS2YqcjyOhBaL4iii7loMkhWikUoLIMGIECQHuqQ0WFY4LclCg3NKJRaHo0AIKqgohV+R4FiS0rNrSiJOagz1zkpNJGBosAhDNggJW4IKgSkbmRIIeM2+FQYXBIHE9iiTlkYjxva5thYhxaddmjbFWUNXNogxRDJ20bll5xPrEmNDsCu20qcxUJuUSns0ZIgpSPWMvmypsCwkZWZzVq6zu6E2kZFZMguWNLOkaR8xSmMrGixrScFA6eIuttNFmZBrYJiv0SKQZw5jO6xNJJhI4mrWTljayNb2KOkyYI1Pc9Y2Y26HzJlwmhwiKAcyIAk1iel8h1HkN8P+9oxxpqRJQWkNtUkIUpBqQ8fPGbOiIWHSrDlgQacuKcxTjPap/Iy9tuRIz9hnhUk6jKs5i9IwrQxZmhOTlqG/RMpfc1RdMbIVg8SgzYwhewQ7otcqSUjIIwzrSF8imabYmGC0pJE1LX36wZAZQHfj4o8qw55RuloTWXCyfc0yBqbbJYk0LJIX1Lpl2PTp1ykHCAP1HMiS23rNXrqlGzJiXGEkJQsbDvWMg/qGib8hZwsqbN2uSqeVHhPvudte8qx9ibR77GPJXYOTFUJJJcLGDNmGMZ4cicrYG0bRoEmkiDcc1T3WzYpFPGfrlnTrc/a1Al1xO5aMNwYblUY39NpI4jKIjiIoo6alig17sWWcGAobmbbXHDcv2avOGfqKvgj3qmuspnRYsZctaKko9Q1JU7GvFf1QkLsuYyscVSVHeUuigSRuSPSGrUZaxnSbLmkUxral34D6yIHdMNxEOtucQV0xMELaCokzeGnwZsqGY4xzzLAMw4ZoLTEWmGjoypZIoNKKLFRYCkxr6flIT9c4Kakp2Ng+jVbYkDD1JUdsmKghzyBPZ4z0BXthj+NmSH97RiNd6m3Kxhuq5prb2wuGrmaVpkgM9H1kIJGMSO6WHDUvab1hUFT02xbxc479GVcypUsg9y3OKEUQRt6Rako/QL+oGIUzBjqhHzd0K0MnGgZ4DiqPmi1DPNY4MjXc3SyJ8oah2ZCse/S2ho3WiE3I2pxxBWO/ZRhKRmHDyDWAA+lR1MLQX7LBs9dsmZaGlUlpyJi7Cas4ZRwXqDTfdSh5y1ve8pa/k7eJmt8hBANq2bU+7T56xbA7DPytLwMSUTI8qUbazYakVjarSBsSTCoktOTeEpfALUvsCEXIMT7FaiDLUsr6BvQcocXgdq6NqNxcrhjFHtN0RScoRvZwg5zhEObuHPQN/RS6A2UrW5pQM/726NSe9KGf4S9qsidLpg/6DIuS4dpzwIwkqVh1j9hkQ5rwhNVYmP6gYJueIWFMNHeoNhXWGuqypchSBjHh4vIK3cu4TnLCpKCWjC+byGXnFq3mbIPQ2AqSlOv1AaeXjr/eu+F7P7xNRyc8l1usJLDvas7zDt4Eto1wsTJ47dPkE2bG0cXytYfL/IheVPZf/xq9aVjeEi7GfX7Cbb6OPdY9xfYLyidX6KtzJPWs32vhttJtViRl5PrSk95vcQ8Tnn9SMvrwB7QHpzT9DZYSv/RckjEfjVgFx953teh+Q7xuUxrpciH7rP2A2hvKNCFpzjBJghholuCyCTYvsVxx4Q+5QljohEX7Pb7O/oB/qR+Ta82A5+zZBRs/5pw9LnXEvpnxBWsW2qWlQx17XMQxagMP7DNOeMNWcuZuxICWI65JZcUrDpkkC0yyYkPGSnq00qPRnK613Op78vyKq26H0hv2vKMyhvPjY26aAY0OudEePmvYN28YxhVXnS4bt0/TBrzPaRnSsTO60UNzw6/sfTbSpw2WPNakrqUJGTc+ZWsGXMqQtWb0TcWp9LH5Pt2dloRg95GuY7IfGLQ3RCesTZd5Zqm/9e7MtMN1mLINA1bmCFPU9MMSl0wpW885NWFfmOuUjRmw0YRaUybJmkIrFrHAiSNlSXezxqlFMk/2UZ+VybiSgmumrGWAE6XPhr6WjGjoaw1ScCq3uI6WRdqjT0ufBkNgxoAqJAyAr5tbLKVHoMM2COIiG1JK2UcIXEqPSx6wHy9JmXHqJqy7GZ1Qs23hmbvDl/FdcrdlQAn2DRqa3S2/KTigYsrlLoUdCxwbQlS+0Nu8lkeMmxUP9CvyNPJFc8Dr1GBtl0/8uyxw7HcuGecV2kDZ6VHaPhuTctMGhmlLJ6zI1LMcdMmLjE2S8jV7FEY45DXG1Fyyz9NmwrEWzGyfjt0SbaARWIeUij0aC8NYMzUV7+k5aMGNjBjTspWWNC6Z5QfEW3ts6LINgdTsJNhdKclEWWjKNmZ0xPOL5iFG4QPzguM7loV1PGkO2BSHTMyak/wlub2mS8u1F57FKX3pEPEUahBVOniMWk5lj9fhgKGW9PS3v7rvvzz8CQ/MXzPWzwhxQGI+pqHDveSC98/+gj/s3fDZ4obfPx5zYl4g9oZdY3CgzRxjUyDlJ4y6HaKkfLz/KX8yWvDD5F9y4N+wCZH/7uBfkY5rxtkpjkuQMc6e8MdyisiKP528JMm2GI18lP0r+smIkSoHqwtmdNgfHHMXz2N9ypgLlqZPP71mL/2SvP05g/yGjiz4e6OG48EzHrrPiZxxIDO2RoiuxSG842fEX3/Bf/LxnKl5xn2ewPOXdJwji2v+6O6vKOV/5G4/57F9gd8YQkiozIQnfsS67aO/+Iw/OTnh/KRDT5/yQJ9SLpeMfOS+v2CQr3nqu7zUndz1qHjJY/2K4c0TtqMuhduy33lNy0/p8StyKdlLPVYqTLzhlm6465VfXq05sgv+KPvnTKqfcZJ5coQq2fDIfM2+vqJf/xSX3ePQnvOH+a/4Y/tT3tOf0MqAcfKXeA5oacllRWDIMVfEwvCO/g0P4zeklyWnl2/4+AMDdkZGyiQuycOGMilYygCfQsuGAs/YVRQ+MPE/41FcMf/sCw5HP6BzC7Yyp5R9ks4IG59zZB2GDhuTs9E1+qLP86slf/JnSz66+HNujm7zV51DOonjiC9JixbmczwTNmWfOJxwTsGhNtwuTrnFNXf5NQMW9OWUVFf8aHiF7T2h467pTM+w8gvawmJUSfYWjO0FHUlZScEH2Rfc5Wuy6lfUztGvX/FP0v+brXP4pmKSXzOVp8R0S8aaRJT3wg3V+QXulvKR/SnzsuZR55yNsTySfeL3LLfdF+R6PH6YkwAAIABJREFUzupqhsoz/sEkJZSf8r68ZJC2fLW+5OM84z17SpSXDK4+YfHS4y6XfHTyK96xv+TPJj8j/uQbfvhxwA0X3OJzbLymqRO2wTJxJYm7Yd+cspU3pGHKPz6e8TO+pGPAmoSc+XccSd7ylre85e/mt/wn01v+3ZB/m6iJ2G/VkBaiQRACASTiiCTfHopiLOnGCK0h4rAu0lFPv4p0YwKieFcT4+52wgYhswZhDnoNtAgGQ0TU4JuMNgQkKRFJaRHEtdgOiFuCXZEp2I6ytkJpDUW7k61WfaHNBdNAunK4piFPG3qLyH69YBJPKWyBlRxCSmNLdNxA5rHbDomvSOMK17SkDrCebr1itGopul2WvRRNoNaEczfhZfoebdtypT1qk+FEcE2H1abg2f57/PzoEU/Mms95zALLfa6Z64AqdtA4xscpy5ixLG5zbSNHOM5jxpP0XY7qLR+un9G9mpEOuiz2bvGZ/BnPmkNCktBLIqPrLfdft9hC4F5KGwz7ITJaC93SEkKDS7ZsikgcJ5TDHm2ypsVi/ZhF2+dm8pC5+eI7W3G/Kb62HyLiWDHgJh1xmd7jlEdkySt6ziLG0BZbDqXlHi8p9Jqn7pg3eptGeqhteWZ+yHN9hwE3zGSPHlsWDLhwjyn1kpF+Ta33eKGHXMstbtw+z/WIERVd/Ut6JDyXKacccEtnQEomPZo4oS+/osMNUe8w44SSPhUjrqTlOlNGCSxsh7lzrE2J1wlfyR7POeQNx8x4iLDiI77ghBnPeyd8wy3KPKVmQMWQd/iUH/AVSaa8lgfccIzTlpwFqSiVpsx0wtLdYiUJVpfsxZdc21tokaKF2VV6iEVpmTBjj91EoiVjrjhmu9M5UpGy0hEbc8gzu4+3Hd5PPiWJlrPkmMYKhpIr9rlmj4SEJSlrrpiyYRUKujbS6lNyVRLfZZ1bFv0tp9JjzT6eHq1YMlYkLLCyoSMlw3ZJ4kYszQEQEW2ZyivQDUv6bOQAzE4AurD7nJlHbBlT0+BQMC19WoZcECRlxj4plkrOmLFmKUOcDYhLOOUer+U+Pd09N4uQeKHQSJ7MGcs5h+GKpUyotI+XDkFyXttH/II/w+QzTrXHnol8E3PmtkOfPmf2IXNzwFSumXJJW0SW5ogNI7ZqKUPBsdwwdi8wuuJGwKX7NKTMOSLTyBGvCeJ5xn0+y77HTbxHaZ9xxBt6XFJj2ZoOTnMMBjFbRpwz1Rn3GsiSo51sXDwZG0opuOmOecOEbUjpmIQ9brhtXiCyZsaEjemB3vBp/odEtXT4CSVPWMqIz/khr7jHsHfGj1A+iCVWcmZun695TIeGhIYBV4xliQCtdLiJU8644L5c0JXr7zaQ/Ab4U/M/0YtLjvyKRCNFXmFly4P2Of/g+kum7ZJ36oIDv6Ey12RxyTGRwG6qoqszVq+31HdvUeY9rDzhx+6vuOVvqOo7lKHmB71/wdAuobXUWOa2zz7P+GFs6fOaO20LwdAxCR+2P+XItIi/zf3LlyyTAZf5l1RmziGeDjkNGXfjc27VL7GrL+gKrOwVG/Oc90iZxiWELQXf0NEVQQIthvEyYfumxt39c/b7wqgMHF0E2pFAaHm//pIeXzJtHL1SOW3uYDXi8Zy5Axb6kJOr5xy5v+T1Lcs43nBPXmOCcrxdUpTX5EZ5lf6QS+5hZM2k+pwP5TOy5SvOx4850HN64RUH8gKrSw60YRSEZg02KmkAS86LKnCnPuU/yn5JZ7HgaCCM9A0j+YroLH29Jq8C66TgXfkb7vJz/qj+BQfVinnHMlxuSDNhnRq8gVpGHLRPybMNt6s37JVKsilYr0oO6n/DOIHGpBxHpTMvaboJs3w3nAA1VAiZh/Gq5nETGFSWr849J+tTZjznWja8CT/Gygne3OAkw3OHFRBMzri8TX4x5aR8wntnaxbdF3ya/5jCLrmvP2UYAx0faZkiFGTAQjIaa7ijT7njv+TD0KE1I6b2milbPor/ml74mpxz9vQlqYBXyAmMiCxnllf932PhMh7EZ3zsf0m2LnGjCbfKr/nIfsJWeny+OeHIrBjGUw55wZ30FutQcL+JZHWgE85J9Qq/qDhAmRU9glj25HP+P/be5NeyLDvv++3m9LdvXhcv+ojsszKrYRZ7sSiKtCUYguFGBgTbsGHPPDP8PxgwYA888sAiIMCe2bRhwKAkmxBFicViNWRVZR8ZEZnx4sVr7323Pf3ey4OXtAwPbAJFIEVU/C7u5ABndPZZ2Ofba33foVwwdEvyXHODGZPed0kuPmbXAYOQppixN1wTpQGlnDHaNKyWitGm5t36nxGHZ3xjsaI9FhY3f0oQP6ZrZ/RpudAxKxEyLrnlX3CnOoLiitKccWB+yhENgQzoSs5QPX05+vSSl7zkX3leCjU/dyj+7xQUPKBR8hdSCqAEJS1GWjAN0SClDlqSqEHpAtVWRF5hfYF0GuiBDj2mKSH11ylDxKAU2vdxWFoaBINIxnpjuT4fz8h9go9S/I0RhKDdEPxNRKAJMy7NIWfs0ASOlWwowpDqFRCnOd2d8GH0Nvf0FSN9SfZoy6/pH6Lsn7EvD/mQB0xiTy8sudxJ6VwN+Zb6Y8zopzw0OzgT0LiKQM7oy4qdmdAtQ07TGJcaxv6CS3mdP+A7PIreoPAjuqsZ39B/inReMLH7/MHlb2Fsie8fcHV+iRlMULGlJOHK99AVHK4WPM97fJZOseTcVAvmbsRHwW3u7HyNb714wTTPqclYLm5Sz3do0w7hRpOEBSYUFJ7EerzVSOlIqoLRpkLPNtg6IGkLJuEJG9NyxCFP5F0umn2MXTFTXV7ofb7+la65n53Pq/c4iI4YcETKipWaslQZOphQqpBGRfhoTsKcterSNLc4sfeZ6z22EjLUF7zBD5nyKQsZU6ohFwId0zKQT7hbf8o3/Psczk94y4+ZRV0uxwNOJWMgngf+MybqC16TjKKZ0nEVQ31JqHO2rs+0fEFnXXDYVuzv5zzvzagIuCnH7Mol/dOWydmGKx0gd2Deb3ijOaYfCo0d0KotmdvQVy1OJTQSYIkJVUhIS58ZO7Ik0hsKiRACdtUpmg0zdlgxxagt26DLY+4ycwkjfcpQVwzVFedeOFc3KVXEkBldzmikT4mlwxavYipJ2DKmwpKrgEufcWnuUkjLfrshkz+mqy64MCmKhInMGLNlJQsiqbk0GUhFR8U4HbBRAUfBIaZxpKrFGc1a1UQIGacYAaduI18mDxkjJLJhV18SsaQvMxLZUPkWZxOuZEIpU2K9IWLLvj+nx4JWDLnqU5CilEFJTkRB4hsSWTAxK6wzxHZNxIY9KXHe8kINiXTBK/4DxuqYMaekCyE+r7nXO0Uf5LSqYauGnLNHbiJyr1nrPjWGfZ4grNiY4bUAHrRoFJZLDpgz8Kd0zByLsFQTnHgyNSeViszsMFAzBpJT+R65DhCVYDCkEpC4NVqXWF2zw3Mu6ZPrlFwMiSoZUODF0FLRU0v6/hStStZ6QK0UG6WoVcRcjakR9tQXHG4/IgorFmECVtGVCmU9SzpUCI6EWg04UQkv1A1EOZ5xyJoAhwUxRAitSilIaUzAhpRL2WcmNxCWTNQVkWowNIi0pNQ4DamvQTVs/VddSX52vv7himC9IWwd6W3Lw4OPiPUJbxeXRC8cKqwYpUL29BL5qSM8zth4j3IVHk0rKaOqxX1Tc/e1j7mrFe/8yOGfW+rXS9QbFTzfEv9IU5xHdMOA0U0HyZL2HHQZoqaC7TlcDdkfJMjGMXinRp6lRNJw88886qRH26sIvg7TYcnwiyX2Y01nk9JGEdIruf1LNe4DSw7E+0Pqs5rqUURY1aRZTOVS3GdzsmWfXV3iLwLcfIP8IlgVsPnU0D92tKVlPRaKXxR24k/4NhkxDU/VgP1el+4XK35l+Rk72zWWmvOuZvSNS1RScqofcs5dYjfnnjnjtbYm/uGW3tJzdWfJtMqxP/Q0nwWwSWjqlkVtaRuFCVu6scZ0E6Z+yeB8Tfz7GpqA6E1DmubcWeTwLKZdRaTTkmRa8yvx57hFQ/+5wwSG8TSg/FhR5RaTxWQ7QvdmQzTZUh9VdI9CjBKqrqeTQPSnhtETQ/rNHiUXSGIxVzBxJcGqy+JTy9jHeNMS6i3RskQtY0Yvcga/UrF6vuL2nmLXPeV4dcFp9w7z8JBxsOJ2c8nO1tKmF/TvrNhGLdWBJdQltzePCIMNB63BHJV4ich3avrhx3yDIR2ZI96SPtEM/kXA5NyyjBXZGwHp10um0QuyDwu6H9SwStlYjW0V+JJKarLQ8Pp3FgTdT+gcwehRFx3m2F/f0DUN/Ehhji2v9Fekw5pwHrKjNTvujPyVLuFtzXpdcfeDjORjzeo4YvDWCCVr3hycc3+5ZlQ3DALLcuWxQUNsKupnUCeWthexE3h6NzesXoA5D4l+EhO5JWlYcudiyeAj4OMOsloyvHnFu+8XJFvofivj0cSwciPGcsw7p47u/6bRG83pbznyQ03LllebGd9sf0wneAbhV11NXvKSl7zk/5uXQs3PHV9GZePRfxH9qxQioFD/0sYGhTaQjnu03QATCtZcp0S5FmppCXYTyECFHp3X0NEQCk4CZJuhWAEBTjUoicDFrM5KbrUDfKAR1yJJg7ofkWQQqF2UE4z2mEjIVZ9LP6ZiTYpBjCV9FzZK82y4z/fUKzT2lDeTP8F+fMW3hj/grVc3LMNPWLl/m4dhSFLPeXY3pNPLeDd8xC/c/afsbnewsUYWFTafM3QVyYuSINOsexOamxm7/oKr9pxPqj0uwx5RO6A6O+dvvPKHTLt/wrH6D/gHT79JmQjfiHZ5+pM/5vCdIVG0JPSKXPVoXUO2umKwMZjeiK12HMgJly7mu8FNHk3u8I1MoypP4SOWLyzrTwU9NYTOUu1FyE2NnjvCsCGSFq89dbslyB3J0RIz65IYYXdwBRrm7pAzfYuLFsbRmoqaJfFXstL+KpkVEfejmnvylJiGEzlkoccgDSGeRmqMXJGqc5yquNIDamcIrECTs2cW/Ib6FwTM+ZH5Bu/Ltym95b7+nFs85VX1KW+2X5AezTAmoE40TS+iDhRxrQipEF1iKlB5gNSgbIOOHK2E+OdgjzSxW9Lp19jumlYydmVO1lboI1Dfq4ibNeF3Oqi3tvQvjnnQzSl7AZltGLdbRnbGRmXYtmEqW4Q5idmQkjP1Z3TDkpXvEqo1980x+C1rn1LYfQK/BRUzV5rnZUSSjlioE6Cm8Jojc5OVNzzQBaFkbGWXbes4VCd09IKQAkWF9wZvLRsJOafLsnIsVERsNJFs0VIQG2HXn9FzFZgemiXn0mdFFyGjUQ1LtctTOaSIY3a5INEV4hv6ytH3lwSq4kqNOXcTchnTUR4jFT2zpINwQz1n5K+oFZyrfTbqBmvXo5KArtIMZMtdfYplxVZSCjWi8QpRDYKgdMaOPyeVx1wy5ZIOVhxTLmkJOJYeHVnxQJ0w0UeMpCBYWepHS0b3PHqn5Cgc8jl7POWACkNMl4oulpZX+RCpc57Hr+IEulLRqJKOXPJAfcFN/yEpW2ZM8C4DD5Nwi5ZLNpwzkStCX3Lm77CWFFExxld0zZpEr2ilIqHlthzResun6haxnzMxM/pqgYiQIexxzpDnLHWfM/ZZE9IGnlZlnLoBBfBtfcTN/AUDtaUMICdkSMOWkEKllD667qLUFZcktL4go0IpReW7hGrDvjxmJCsaiRjoLYEuKZSnkBAB4iZnEK7oypJINozVFQ/5nEULQzlHhcJa/vp/GY2/V2IuL9m2FaN/8y637KfsScsrvs/ZEfRvBwRDzfYHnuK/a0m+Lxx7i64mlKbBB0vu7sVkp57D9ScMh13Uf59S/gTa/2jG/qtjnv/Eov7bKScfzBj0hf67LdzYkn9oiU778E2H/vdrTB5Q/X7M7IsVo//csvlswmZ1Rv8na+SPUupXHPY/a+h8zcD7BUe/W9JpB9RFzrPJmt1X3mP7P6+YrZ5x+995jYt/8ojL/0UzWQzoTAPK3oKrtdD7yFFuavLjJb39Hs3+hjAKWPyvBe0/3sH7mug/aTH/VsQwe8631YSFE1a6oTmYor7/mMnnn9OdVVSVUL/hmXzDkPcqTn2fM7dDz6w45Bn324rzfzKjHwXwbyi0RJT/bIP6hznti4CZz1h6Te6FLIZ7BynRfsWNfxd4ekb7u5omaYjaEcVwRXKSUv6exz8Rwl/r0NyZM92r2fy4Jv+eIxwrzCstz/9Rgj+JGXUgfasg/M2C4ddizv6nGfX3M5LDgPbrV/C6hpMOm/9xTfRfjLk0Z4xeG7PdQDIr6B1llP/wks7W0/a32Bst/tzi5zXpA0dYtOQfLHkl20UHJ7w49uS3f4djN+ZG8ANu1ld0112qyYLxaMO2v+KkbznYltxefUFVNWS2w/z9BX6vh7tnuRs/YYwwI8U3CZsfeNr/xuM/DXhml+z/vYLOqwlNrFA/3ND+10s4SXimIHYe5RMaHZHeXvD6ZMlrwyfUfxKj/1GC3C7x92rCw4jiD2P4Pzz2mzNMbKg/VwRph8UXn9P7D2M6Bznzqwa+MKx+t+L545zp379L9fwpo9sR/nlEc7yFGyE2qAgOFJvnSzafxBxMelTJnOZGg7zTY/l753T+PCNalLS/6dG9hs5nW4L/IYY/dazeFSZ3V3T/8Zztpw3Zf/mAzQ1L3gy5yU/Yne/w4r96zM4u6G/cY80A7Q2vVo95z/0IY1+OPr3kJS/5V5+XQs3PFR5UC7Ro+QtPGgGlEFHoL001HYpWKbTX4FpKDSUR2qXo+toaWGHREoIInvrLaG+uG3ZaByIobUA04NAIvg3oNIah27LlhL7OcPQgOsOaEi0OxKBUiw3PobkkVEO67oohK6xzSKL5LA75IHyFF3KPe2qLTxVt2DD2l5jNmtMBhHqJZY03F7iJZyUnpGrDTf+M1C+xVUm01qh5hFY1pgTjU3Z0yLxUJNozcDNu+EfUaFoPgZozkA/Z8z/iXP02y/SXqIOUpd3hytzjhW3YUTlDmROpkjoAEHpFxa1NwTYWnI4IfUPPzcl1wPM0oYz7zO2QUicgfaIrsDbmItrnyXRCxjlFZEi5wqqGyljEQ7a97o7ahoBtCbBM2pokOEOHU5R1KByhXn81y+2vkEw/JXUv6MqMntkQuoadYo7UjjAKaKmJZcbQLpE44ZSMvjuno6/oectIVUSqJuKUvnrEVEYkdc1N85SJPcXoLRttCKyHqKRMFJXuINoTBjmlWHI1hPh65MaYFq8FEsE0Fc4akgBqI9SBp1FdasYUSrO0a8K4QJKAwtTEQcEmSgkiRxtWKFugVYHSGzRrFAZvPM5t6MiCrlpgaWitYMRg5drId4MhVgmp83g9I5UlW68Y2QVbB91qy8Cc07NnlFITskHrlIaMhbrLVk0IgysSSlrlKK6tQCl1gFOK619BpD1eWRbBIY3rU+kJoj1L3aU2AUZZYoFSZRQMyLGUKsK6iEZbKt1hKyVWQtAluRSkOkLwVKQs7A5GMlAdWmpKMjQVuZqTsKLWMRsGLOhxbias2aeSc6ZcslIlmgENCVsStkpjcIQ0OEICrQmoKG1KTpdUVay4ojaWnCEVXUp1RU6E84bAOapIU5uWyLcYH9KqDI+lJqbUAxwRmgpNQysdkrZGqxpFTUZAIh5oQRwtlg0dSh2gpEV/OR6UuQUZV9eG1GaJV5rI1USyJlag2RCyJsKyJaRWXbx0UDpAU5G6Obot0W3Fod8Q65omaQlVC04wOAKzIiHEKEuo1hjTYmRDJjM6XjGotwR2SGMtDkio6PtzjA95xX9G2qy5zxGhyvGmwNgCJ0t8HTIN5hjl0SgSWdP1p3RkTsSSgAbrSwZyQqpKOk1MGHhaLEL1FVeSn52OeUGrG2wgeL2gJMYrTSAVgThKFVPpLlZaMlmRaGHQKKKmZCXCNmwIrSOpDFHRkmQLaLYUyiM2QXSDNy1BMEOZCucb0AbMl/cxB99igEYJ4uprs37rUCbHNorUOXywYqWvh5y1GJSqaRxYJQTOcW1Zl6Kjzwk0KLUh0EIiLVm7oucVVhq2jSJuC/quwoigvUPL9d7AiqBZY9uGqIxYSUSNIianI0LtPaskJYtbun5NjBB46OeGYNOQdK5N+y/VlI1SaDmiJ2uypoIopZA+C+0QO2esNmQots5TeUXSQKYdkRJ8eL2n0a1gtFAFgjI1ifMos0U0pJVgmwitW1S0IlQOqyBQGhsIaE/Y1mQeYvx1mEK7YVw7RHKQ9Do0QQlaV1gcyqxoY2EbeHxgQRdocfR8Rce1VHK9FxLtaDWIeFRd0K882jRUxtBePz2cXI+5LlVAGEX4OkEbYWM8l4wZByWRrDFeocWCbiGssD5gr9mQyTELewevYiJfYKXBuC2xvq6HjUmYaUtXezLfEFaOXnRtqm/rEmc83glWWvpVA40DX1B4T6ENLrx+fxMEEUGkRXtBqYZYWqy6vjdQglIVidQMvEO762CKXlVBW7NtPKGDOnJgFtcJhwJRvUKFLVt1fVAYthVZC0a3lBEQtlgpSKgwRmG9ENY1VlpyIyhbE7ZC5DSeFtSa6MvRptok1ApCX5L6CqNzMNuvrIa85CUveclflpdCzc8Vwl900/xL82DhSz9hrGgar/AKWhTaCe2mAA8+6FPXCeqqgQp86dl8sYD7Gm80JnSo8+e4ix6NnAFDlASAQUsNCCIWW6y5aRQVK0ampuhtGd2akKUW01XsH+xiIojHfSbugr1gxkNzRsIlPg/5cX6ff9p7gz9y/zqP/SH3gmP87ZCkdjS1pvrTBvuwxt53eCLKMGH6H7/KzslHRG1L8KkHtwU34vj3ThncvyD6dszFuaJXt7jbOZE9J7thGBRH/P3dH/DPKfg4CsluC7vUPDSauVrR3V1ypIUnecFqBp8tLKMJ3AqPmbYv2NkdwxOwPymYvPKYyZtDWt/QqUq+neScSIf/8/a/x6nf5URC4rBlvdbkPxY4zHn88Ca/d+Pv8mD/mGV3SF/ntLQku/u0H1jKxzXp7S17r2nacIQ/OeXW5ILb0Wfc2X0LfJ8Eyw0z/wrX3F8N3+xfcJc5YxoSam645/SufszAVKjQsK0Lxj1FoYRHss9S9xmEFROOMJGwZMAT9umSMiFmqJ7SSZZkVBR0OMXg0g7914XW5uQm48TcY+UtHbMkZIMjQFlNFpbEPiegRWsQgeRVhz1YESwVV1nMMz+k9gMaVmgLtw6FeLmmVwj1jYCnNqLcn3BFn6X0iMlI7IIxDRlbNnrJWi+5wZY+GyoMa/rEsmLfOIQ+SxnilGM3atlpn7JrC3bYkPIxJ719Er/mHfWct9Uludmi+IhTpjhirtyYuYkIcCjdI3UJodY4pdioGOOFnlXcYEYcN/QpOfJ9ImIqQhyOp+qAWgUYLAPGlG7IzIw4JUMxIKalyzmpXBAgrERRK0tX9WhbjdEjLqXDiY851hmf+S7iG7QJGcoKVEWlDaXXnNLlVGKOVZ9nfsxN4+gwpnRCrBXGC1dkXJk+Xdmy4+fkKqFQO1jlWakM5RumylCQsSRi4xNK3aVlhw0tWXnJ5OQZZjKg2auIzIath7XSZGpJqFqOXYYQ0mVNbQx1HHOHGSE1l4QEWEJtKSVha6cUGGZMKbRhoAsSHJiQ1AjZqsXahr10TkVDz67pMKeizwJDoAQRxRGHvC9vU7uYKjhD0NzYLihPZ2wur3Criq3dYt4yxDsTvAmocISsuG80JeD8ilVs2MQxRoV0VcHAbxBSzpWlImTMnLvujLAoeRhsCYymV54iuqRMOswJCfWMSHIsLVdtjzzosqe3jPQloS3pMWfAFkyER9NjwyBxrAlQAh3911+o4Rdr9NLSC2POR1uueIUXfsjrcsp0XzCjfZ7Q5ebtUya/6VF9z/0a1LxFBOiBGrXwesk6hqaE4Bcbsl0hfD1l2fGM/rWU7PGK1w9ABQre9jAUGDs4cvCKpmygjA2D7xj0M9B3U7Qr4ayENyJ0v2bnFYN5GEGYw9e73P3VNeqyIi1guAOn6Zqdv3WO+hz0zYi9vz1kdz3DnDUwDundzEgvc9QUksqTnILaTYmmCX52wd57IWIs5nwLHcf5JmGRBdxJZjQq49Te41G/5NW/s4PdPcPnEaqMOQwbth/MWAS32AxDiqjHse8wUfsE4edkkcNMhRbFE9/h3e9MSM5O4SncLkEqoABSDW8DX/PIO0OWHyzp/4Yhixt4JaeTgHrV01kJDDzuniP6BUv4OoS7FfQVTC28q3mrKuFxg+pZ5KFDvaGQLCf5dY0atzBy2DdC3O0GbgR0j0rMw5bh2xMa7xjNrkj6DrUvpKcKlWuCboP+5QF87uCHGxjGyAc/JXloeRZ2KXXCvbsBi+rHDAZTHnGHjrvPr/Yi1LOUqrZ8PnrIH7TfodHf53B8wiQIqZYbdr5tUPGavMm5eFLR3RtixhGtLbj9tqX7a4KarHlbg3ovYp4KF8QcvhYS//Il6r7njTCEqkaXLeIFua+Rt4QrFRBvFUkeEN2C/HbMtu+Y/HaAiRd07oeoBEhqGCzYHQvtHpxHN8kHc9A5o7+pGRwK5k2h04WmA8FIyB4ANzKywQbuBqgnDUKLiluCcUi2V2G+rtk79+hxAU3Mjb855ipyxF/XmL8rcEMxuWGQbov6RZjeE/R+yYErOSvOuMx2UXHDwddg3enwIhxzKoqODlknXZ6auxiTMvoKy8hLXvKSl/xleCnU/Fyh/l//L/lSrxHk+rIIVkCJB/GA4HA0ukGp69OQsFFoEXCeQEqsE1AlRjoErFD0Ed0BZ8AIzhvQhkgv2JEZuM8YqpCNg702YezvY1lRS5dM5UzkY4bylL5MGPOMyJ+hlGFh9lmoQ5Z0kC+FoDbUVJkjrg1JbrBbGPgZA84o1YAXqaEcfEQrJapRGCJ0EZJtIVtbVJ0hUqFp/xYNAAAgAElEQVSdIik82bYmqGBSnfGa+wHPDLzgFko0FkfiKvo6J2kA3SOQCJ1HJJUhxdHjBQlrCi240GBbz26+gqrC2Rxpa1w75si+wg8H73Ep+zi1IdNXXPgbBCvNYJ1xJbf5w3HAiXzIXXlBphYsdEMZAMpjmhSF4K2g0HQaT1guOEg/44b8FPEZk/aEHb34a2+ad1secchjhuoYLTUPioJbl4+IbIUIlC0kClZJykUYESthLC+46z4lZcWJ3uNE9UlQ7MolkcwxegkqJJcxC0JWKmSZNKSqQZTDuxqjQlqCaw8cFZCKR8TgdIR4IRVHRcA80ug2Jig9uR2x0F0aHdMnY0WfRaToxgrjarS3dHyLUdepO5NmQRB5xs0RO1yxMH2m2tD1S+7aBWO3wbUdXthdEmbEEqOVYSWKwDQkXBKWF+x3GpJGCHRFoO5SoJm454z1CeN2SR4kBGrD3F9H3kMX8R1a5RG1vT6tlYpcJzilUbQM5YJRm5PqirkZE5gNmZSkeEpJqSUhwFJicCqmoseaIVoFWHOFp6VRllwUrRI0GS0FXqfkusfaT9jYXTYq/LITJqfnC7o0bNQYg6GyhjkTZhyylH0KO2FNS64m1JRYyXFKqHRCSUJIjccCMa0kOOWpsMS6IPA10Ze9QqLkS7Ha4LGINrjGUdqAbaLxRjFXU2ayQ/ClPCUqoJWAQLUYlsQIu+0ZkQLRPazLwMZYlRP5FaGK6LktqVozVOf0VEPjhUxq9sstIR4TVGzDDR23pOvXrGzAUu3QSgdPSEUXL4qOOqMn5/SloJMXdLeK/maL3YAOhKisWPiGhQXlFD2V42nZogjxOCKsF/pqzaBd0a0KGrNBBS2ehEy27NcviIqC3eIYbACtphZHkeaITum7km6xYhsMOE0eUGOYygsi/wWtMvTlmIHeslZjtmR0WBNQo2TMQjq0uvsVV5KfnXzQYescNjQs4gBHCvRYBEvqriKJO5zYMXVPaHa3JDsb2gKsUfhKI6kmGDqSjtACQoJLYTHMcWlAHiUUOuLWtKEYNgShxY41vmORgUHKlqwD6AqxivlI80WheKOT4qKSRq0gtFwNa5ZDzUEUE+qWJhG+2A3ZVSF6VfO8G5Jmt7CTx5xdbbjbH5KXDcV0xhCFn4S0O1Apx0G/ZF3B1kN/nEMUoyPY9iyLfctUNGmoEcmo3fUbZr2jUZajrMcX0mcymbFpFUleMdyWSBvQ+jGN6qBoCaQlkBpjtqxD6Hc0jYqYqR06A8OtvTnBVlFvInxTE5Q1kincXo2devpxjRbYDBU+VMTDiFXkCWyCGzbYSY0MPfO+YTjq4McB7JbYnRa1E7GadoiuHFGvwA0hThMkbPGpUEwV0agh7dZsU4Mymud7lnTY5VmWUTnFQbNhzAVppJjvXTFeC4sB9PZrdClUU6ET1oQOxFuugj22OmXQbDBNTqsVa/ZY6D3qYINRNVY8W6Z8HrzHHjO6XBBSsMkSlHX0dE1n49jZgNrmDPprrmK4Smr0xGAmKc5VdHowD8ac2Yc86tQc3nhOQs3KRAS5J6quh+LrsWM/q2hKh8Rd1NixGZcso4QimqLGBfZwgZsqIhuTj1uGQ81RnbDtT3HxgCIwtKllNYHzJdwZKJaX0LGGuiO4zBP2t+TjlrCvYBSzHG2YxJ5qIMwGMB0lXE0T4v2aTlGyHOZcBVM6ekg73aAOWmzHEaWaoq+5rGvuhELialIWzFRGETS0KawzxTwas1W7jNhi9YpSJ7Tq1ldbSF7ykpe85C/BS6Hm5woFYgGD/D/MhK+nnxROHChHgBCoFqU9kkBjHRqP9BwcGALVQmspAoNOWnp2RV+lqCyDwEHjETXEBS04fy2oSAzKM8zW3NdPGcv3GXrN1pzSuRmRBp5H+xXHsxFfi97nDf4Bd0JPaN9jhzkDP0NfVdwKCn61OmK/fkoR3eFr7gN8U1HvB2x9QCfrUXcNe/oLvuUrFGfcD3fYTNY8iVKKvZvsty37s4w2smibUJwVdB6AlgZvFOVMY/YsQaelJ1cM/JqOX9OoEY9kiLd3eVLvsfw0hHxAPUxwhWUQNgzICaQhl4yzrMfOKxGjsSJYKzYfVtjXM3ynoccxt/2QD/SWhfb0nMFlDumCoqb8Ystms8PzWc6g2+G1QOjKgiIIWItCTzKCnkV1W5oUtp2a0Fmi85w3gs/4nY5B6ZCvVZ+zKzl0vuq197NxSz7hvhyRmiUbsfRaR7KuQYFXEAsoB0ndMurl7IQz7vEJY3lOp94SrS84yKYEiSKiwLqCKKgRHEu/peAGl7LLZ/qQWFVsCClMByMlmTIs2GdBl1AVdFRFoIRELxnJOfiUhoQTk7DudDhTu5wypCGllIwzprwfN2T3hH7xgld6S8arJ7i1otfd0kmXtJIwkBJrSi50SkNESkifJX3W1Dh2fElurj+CduWETDSCISUnNHNiLD2lGatLnqtdlmpEqBqkKQlVQ0ZBhyVOaRY6xxOB6jGWc27Kp/TVgoqAnPDahFYCMgqsKpjrjBVDemrDQ57RZ/alvFETSIAWS47FoxmzoMcWS81GOiyYkKqSnt/QVQ3K51QIc7q8UPtcyj6Bakk4Y4c5E+YESrhkyEyNyT2c6j2O1R0K1aeWPksa1vSZyAUblTFTPdYqROHQqs+pion8ii5XaAF0hBfLUnVIyKmVAfEode3VpQWUVahDw6rb47E5QDnFU/0ql0yxqiHE4bXFCazpEWJIuEJUS6wqJjRYU7Klj1Y5oZ7To+GQAk9LzCVCiiMhaTb0NjMC7emMd1h4z1qNWPmEkgGlN6Q+RVRApre8qj5iRz3h9fYpPX3FphuStA2hqiDSoCxWPANZY70jWeVE2rHpBMx1TMfnpE2LaUr6uiD0LaoQEp8ztAu0FVLZYHVJm1xPVfhAaAQC30K7IjEl/dyRnLaopKCzs8ZFnpHM6ajq2mzYLwiVJ8DQ93P6ak6LYqEyrtQhxyT8zlddTH5GHnUekMoFNgiYJQNSTrmn56zChu3DQ3qRxYvm0/6rXLx3h+nh58QVuDpi00zZ6ICD/jGvp1+QPW0JnKP55gHn33Dkd29Rq5hcrci/dYuLvZgmHtNOauJQUHdC4mLNm+kTRtuPaeOEJ5NXOb53SC/THMQJWQXq7j75rzRc7VZMhi1aurwf3+eHv3GLu2vPNH/KxWjK4+Q9pncmPEh/xHhYcNnrsPzbX+cq79D0SkbxOdPPGlgV+Ds7PAumROmEA/0ZndZS3Z7yydv32Z5vuX31BH05Qya/wPf9DW7KMa/qD2hUzkfxfXbvpmxsyZ1iS/94iztdEVQlsaqJ2bDPltf0R3SDP8f+9ohn4QOO1CGX4QFnw9vc+OURB68btm2Xpa/Y12sm+hI1vqA7dbQvNqReuHwv5dJOCXdHnNmKudzEvRdz7/AZ+/0XnN8a8t3kbYq7Abv6c15Pn+H3LL//t36JO+863ov/OXp6RRQlJD+ek08THr96G0LNu+0Rarvh/NYdPv9OSm8iPG1HfMpDbJxxW33CW3xK9uspuohYhTXVoUU6hmByRbetKT4p2E0tlbvkSfCAZ+mbHHmD1wFd1nTVCrEr3KjCmJy7nHDXv4/oK17IPtQLotDwJBjTrzo8ePaMwdERwe2GzJ5Rqx7n/SnP/8Z9inceQPuCe28+5yQc8WPzDl/csHzzd0JGVzNWZoT1FXGlKKOGeHjMgeRkH2zRgUd+qUV2Qy7iHc7lLovpiu63Ui57Y+bqBp3BkmFg+H4+JL3V5Zf899gN4Th9jU/fu0H79imd3YIs2EH+fEn17g5XE2HQ0zi2XCUjXjyYknTWjOojbLih2L3HH3TfZvmt19m7M+Od7Z/jRyWlnzBjQHI352boiD99jN5EVG8e8MwrTBZQuRxlPXv6iKtJQu/v3YZaE4yhW3tuhAse+E/o6zVPuflVl5KXvOQlL/n/5aVQ83OF4vqR2y9PnBtAobwgX8o2KIcRh5YGowWTWJx21z04aQt7HqdzRCJqHWOso2MLUpWgki7elLSNQqkEFc6hqlFfikMYRydx3FTPeEN9xqCuWbUnvL5nufBr/vfRNzm7cYN35Hs8WP6EtGN5FO2yVlv2OCe9XHEwEQ7PLvn2+nP0YYdANtiiptnr8tyGtNMJVoQJZ/yCvsDyOWXS5Yu4z0d6lw+j3+Dt+inT0RWbAUy7Qr0s6dw0bDOQS8viC0vAgNWgpCJBK0uqHXNJ+al6hyfcYM67zE8C3IXhavd6nDtJt3TY4IhYScpVkHF6M0J2QP/IUnyWkz485CKZkfkNd6oLppzzKLmNkpA2iq/b4k3D9nlFudpjfhYTxF0yY1nRYmzE2gntJIAdB12hyYR1Bzp1THq84nb8lMS8QEUho6okcs1fe6Em1Us6fkVMy4IOi6BLzIxOm1PUEZ6KfqPwlcVIQKrWjNQZsXIkuWF6viaZCOtYUQQWLxYrGi8lYhSlZCzVmEJCQjRLNIqAvjpDo1myyykT/JejV6F4xpyjEEJtqUn5wN7nk+47FMYQscETsFCKmJJ5lFDtjdj3H+LVn/BbVx9Sn0IoJUlvzVoFRCalNbBVUMrw2tdJLI02uLBDLCu2KiVQQo8FRgwNGR1KgqClJcTbEEuLwuLoEasKnMJrTagaBpTAgj4LQtaEUjPmjDv6U1K2XDIikR1ghEOjFRRGs1ATzuizLwvucInlBEOBUSFahVTSoSBAaNiVc/aZ0ZLyyPVZmTGt5GSqwVDiNDRYNsTM6VP4gL7aMJVL7qhjpmrGlfR5rCZsGdBKw0JGFASEXBFKh8Z7GmNxGLYy5ljdwkvOkFMqQs7pMdU5fV8RisGIkJNSqJQ1GVZq+lJTSIGhJhaF0tDe0CzjLo/V66xcj7ne58prImlJqNDUGNWQ0yFnCARszSUDKjp+i9YNlhgrHoMjZUtoFJYGR86MATl9xgKqmqFtSaAhVz3W7FPoFktErbZYQPuASHLumitede9zvzmlDAO+SIcM4oJRtCWMHEhEHVk6rBlXC7LFGhXCVRaj6JLCdUdg69HiUSZGfIsuWibdJco6Gu1Y2BgfKpSDwgyojWXilzhbs9UJmSjifI5vKtJxgUaRqRWJLkm8B9/QEpFIQSQbuuQsTIeWlDUjnjP5SuvIXwUfpTc5CA2RjlnqiF13zNhUvAj2We0ekqkZHVfzUfeAR8OUg9ccBxSsJOOUO6wl5nW23Lx6wvgZuLJi9V7A4zSm0HtI06W1Cz7/Wo/nbx5wpg+pVM2OcohvyTim464Y/bliRcin9+4xD6HnPqKTXvurccdz/msBFwEclp6ySvmj+CHf2/07PPZHPJCKPLzPH5d3WXdf4z/daeirP+LMDDkbPwBJacwL3vYt980K/7igejfj0fQGFbcJHp8RNldsX0346c4+s1wz/O4Z8eU5Uu3wQedbJJR8k+9RiOJp+C6zwx0qOydtzziUGcWzNcZBqHM6HHMgNW/7T+ibY9a/sMtP213O/IC5GnE6nPCTb93igSxBtZygeahXfF1+imk22GCH8qcfYhWcfD3hgptUus9M+vykeYXmrQnrN7+LZ8Oxvscf6V/jbHfIm5M/I/UVXmf/F3tvtiNZlp3pfWvvfUab3czHiPAYc4hM1pBFFskqkmB3C2IPEgQ1BAG6EHQjQK+gJ9Az6AUkQLrRRQ+Q2BQotqAm2SyyqrKqsnKMOTx8ttnsjHsvXXiSV4K6gSZQKFZ8gF24GezCYQcLZ/9n/f/PP//eP+Cxv+RO9FNyMyW/juFfe9oPhE9/fQ+vfX7tswr3ZsP1pM/To3vs2inn/oif8ducprd5mNxCZcOj77TMQ0rjDWkktBNl/KjFbDacvyq4222YhFMaHvLC3mfqZqRc0dGCnmxBKkJXUCPs6Wu+2fyYN1HCC97D6Tlds+BzOabXHNC/KJHpa4Z5D2fWDEg46fR5+ru/wVd8RB6esnI/oHAJX3Gbdm+Hemy57V9TWovQ0AlKbVbsmRnN8wL7XHHfMvBdT5lHXJu7PNd3mI5P2T+Y8GO5z8/aR3x4b46EU/5UPuShKfj99f/B2An/5/guf3n0EbfTT8nDT3lkdon/6oriXsz54wiViOSqz4sw4SdHd3j4aM47m1f0vGfdv8Uf1u+gHx5xKzzl3fUT7MWaph3xMkkxtwuGwyWTJ18SasP64YAn3RFLr9xZFjj1PPYXbHNH8QdDZNmQ5it69QUH8Ywjubh5iMDdX/Qoectb3vKWfydvhZpfKf4/bE83hicUz18HC9/IOZag4CuIfYrQAlvUVngTQBxRE0FrQS1BazACJkUYIiEQq6FQxVKh1HgJlGJIG8Nw4+n4isRuqWYtUbwg91tc5dnZNowWAakC+6Zik79kElYkbReuHImFaCPIrEVMgxYx6dbgO11e2neJWfFheIptC5amIpYTDugyY4/P9PdJzDHf7/4J872An5RsmkAb7fG8lzDwMfHZU5x6pmaX19xjwZCCiCV9Gt5h3t5lLR/Qui2SLWnzCZqkuLYkYculZMQCPTaICKdpDzeO6L2a06u2OM25FmiTIatyTMUOXZ2Sbx1uLUQNhNJhagfNMUt/QUNKSUyhfRr5S9pkweWkw34s9IOSrWrUR1TO0S0bDjYKbYn4cBOi8kvOax6ya0r6bLg2O1TpmHp4ykHrKTsZlRmyzQyz1PE8uc0LOaLPFTtssO01SVTTJjCTAdcc0EYJHYQKZUmHtUR0ueSo2BLXSu2BzNLxC1ICHVPQDzOSKqXXWISa3MzZkZqqs2Cee7CeWnIqIlJWjMIFqZZkUrHTQlwlHG5ecz/a4GYZdmEhMeTdLXG4sUaUWc1uPCPoK+KwJbMb8lBgN2tKCWi6wmlD3zekJmHjKgwtTsGHCmdLIiwdXTIIc0btlN66JI8bvL0kkS3DNiM1FWLW1CGlZ+aYEINRLDFZMKQmUIUNsdSgEU4cg3bFgXnFiFd09BpPhJMML0qQFRmQkNNtK6w1tHi6Yc5EDCI1yJaGBsGiGkioGOgMDQUjWZKFFWJaImmJtSSSipQNsc7pN3MG9pKRZhyEl9RqGJg3jPwbYn1FEl6BVYYyxaqwMWMAajJiWvJmQSYX9LXmcLumGwLnMmebZkQa6JmaXGa01hBEiWSBOpjJQy5Nyi3O6OoFQSwNMZYVAUPKmpTNTcC0L0nCCmMNid+yFxqGTYVIRNoWeFPRdpS5RpjW4mqIG8NkWRL3DVtJgQJB2dJDLSQKraSk2jCsW7pVgTcplRswNRk+UwbdgrTxN3Y9yfAuAbsAK1SkhJBSIUyjPlGUstYEtWNSX+DqKdbXBG2ZSY9gUyyBxgnX7LNMe/S1wkt7E9acbSkGX9K4wFU8YUWHkcBYZxhWRMYQ5OZ/uTY7yI0MxoYh9uaX/0WOkb8VnpnHXMb3yEPgXjPnW5fPSdLAVS/nOh4QuEZoWIowtwNS26NkjzmGJULMDNE1m25JMzFcZjuss9vMoi2qNZtkzAt9h8zN2DiPckWMYrBMreOcPb5wd/kg/gxbN4Roy8LEzCThs/EBozvPeXd3xSza4VLGnLsaYz0z21LZghXCtXZpxSJ2Q7ApL+0hlf4mhWSEuMQAZ3zANtxjNALZazjLbjGLh8Ra8Ky3T1pc8jqd8MbcphO/oUorktbQb6cc+CcEJ3zKN0ilxLNm5XZZyl1S9xXH45KXowGz6AFr6XOkn/LBsuSxb0i7GW8yQaMLDtsNwXR5Zo44j/dpCQxkQas1nhXXeHx0i024he+eEsmAT+y3GTBDKbiW+8zjISLwghzDMQV9Ij8jMpZZlPAJj6iZsG1h7gJf2rtkRJRdg7s3pTm4zRt7xNgrdaSUkVLahjeuw4xHvJFDpr7HxjnOOeQJ38aQEZmGtRMyYiJTkoY1qwg+PYDOIAZfcFyu6PAFs+1rVp1jZjqkbneINilxVVN1Mr7gNxAZsTWBVBfsRCVzucsrvcMDu2LdW9MeGMrkFoP6lFY2vHYdlvGCwAmuOcVICaTYICxNxjNzwDwqyajpsqVhRUvJAUKVbnh9y3Fx67uUeUbHnlBozszscpYKC1lxzpi5nbBhwdBMiaXikh0+Td6j6c1Z5Y7Gbtg6ONUuZqQM71l8X1gkkAdPHTs22mMZD3lhhKfdEfsamEuCj1tKUzPHsFWLpFClfZ6bfRoLo/w57WEP1ZR5nrG1CWsZsZRL+u0Ldl53MN2IWX9DKTcB9ts0ZirCyqUofU703i+9Jfwtb3nL333eCjW/Uig3TuSA+foFAUzA6E3mjA8QxAEx3ivV3CN1RJpvydMtXZfRBiHKIvxyC6XgiWjFo05AbuwUAY+RFOTGymM0gCRMS892lrJ+2ZInsLAl7VZg32FKcNuEcppQPIO4VsL9BccfbbFtw3YTc/HTGQcD5Wot2OdCby+jXq+Izme4B8ecjPfZSRwDvyJqKupsQM9sGeiUl+2Gj89HEKe8sT9g9x/m2OEu0ckl0/59Xgz3uJ8v+dCfY+spq3Cfn3GXK7PLSjqct5aJdnj98zkhM+hoSHYnIsSB0A7oRAahYKF9dmXJuLxk0jp+Fu2R3evzgDPs9AXR6JgX6S5rs8+baIeCHGeuqX9yjn92H1N61k9P2LwaEk62nPY8dc9xpT1e1xkDY+lEntWv79GczZksVoQnM6pxirk7JlyXzH84x/SV0Ic0hu7eL/bK+w/l4+ZbdMyGiX3J86ZD5sbYewf0paK0Mddmn+chsIgynph7fB4eIrLmAdeYPLB3rFRpxEud8NLfZxky1DUUPsVph0Ne8b79kgdvnmFel9gA2rNgPErgeL9DVYD/pMFdgDctJg10cwi/ZnHHJQfJmGnziku7Q9dc8di8Zo9LUl0z3iwZfXKJfTon1oAmUE9BT0HOBUJLiCvSu/DgoKVf/xzpOhwbunWDPTsjiRPy0YK2bnAr6PRj0l7ExuW0poOVQKIlOQUjlgQcvXYO0zXpKHDbCVmzIU0z7jLlnl1w7nZQjVn7IY1C7VOUFGc8kVYoBlFLV1ccuxmP+ZxjXpDQUOqITQCPJ5aCRAqMdqlMwsYMqKVhYqZMWLEVi1cwKDEWQ42w4o55w65J6HBzmBQSvCYkeMYyB1ZMokv6Gpgx5Ep6OH1OSU7ElofujLv6DINhLQMMLTEFUyZ8wmOmoUdXlozjNRM9Y2+zIPtyiilqbj3epahaTB1wKRSuJtGIcVDuuxfE0uVzPmDOmHvScosLCjIq6ZHpDKHGIox0S86a2DRE1uNkSpeK/fWW9umGqGdw7ZY0aclvl4gpMfUGUyr+pSdqrul8JBRSckBBpjFvwi4rlzAIK0ocXlOKJqLceLwVNOqw1ZQojunuboira6gb5t5yHg/ZPWjoaeDc7FGELrVtmaWGgONKh2wYMekseZAZTNygEnNOh4UKnbZmIRHPw4QLc4dWI3wwiBjuhXPe22+JXc0bd8Trtseh68LXUn5XaoIKp9Lnqd5l6SckocHSgivpyuqX/nD0af2AQhK4OOW/cwH+xUvKKCf6RxmrfU9wHhFQ42i9peN3uQjHVHGgE9a8ZyruAyHNCH//kGX9LT4vdtiJr7D+gjNj+JPVe/yT7EveiRb49g1Kzch2+MKP+czd5ummQPbuM7h+zriZU9q7FFXOZ/tH/NP/doOvn5FyRNXc5dPylMOeZ1w1fGBeMiAiLffZRpZ34mvmvuHEj/lpuMV+Evh2/Rck1vJvm/f5OTmHdzx3D494okeMNScpfsxZeouHD2petocE7rLPFbvHNeu2x93OCXt2xadNjz8xv8eBPed9/ggjfS7DbRaLBR+Md4j+oyN+5h9zJR3eDz/it6dvWP3oc5Lv3sbccbwr14z0FX9h9vi0OqJMbtGGPl1j2C3PueNqggy41gOmq4w2Ktj5ncf8pPoe/2n0h2ybOcskw/iCsaxxdofnQRm2M74TP+W0nbKRLi/MB5y0Iz6wwh0vvPHvgtlnHV1x9J/s8JN6QtU85LB6QZxvWAxvkq1aP+Yv+RZfzIVJXzhgxqyo+Sx5QKTCUFeceYePO+yEC+6ur3gzL2l//+/z0+KSo+kr8ouf8O3jE+pswx+rcmUO2Gz3uXj2GY1eEr7R419O7/EvF9/kvTvXfM/9M7aivOABH88GPBq84dHvKef1u/yw7fK984ywvSQ+7vFuXPAeLxmGF4zMlFNyxs0a74SFdhAO6UpJ3l4xsp5ILEdckQ7WxP/Fd/jf2n/M63WX/7z/Z6ifsrAw1UNi6dB4S6o1A7fkveqa4FZ8wh7/xj8mOnAktXBYXzKMUwKHTAcFB//Vd7lwQhqUTDesqdDIkLU5yzjls/abzLlgLnDfLdmqJQ+e0oxJsjWLUHKuOzR2xFebKePf/R5bW3OBcJsBi23E1ER81C3gf77gxbLinX+asRzGqMm4zvZ4yZqXOqbVAU/kAdhf9DR5y1ve8pb/f94KNb9SKNBy0/x0kzuD3LQ+aRCMCuiNhNOKu2ltaiKMWmK2RDKnkTWNDdzE14KgiNzU0GKim6pvSUEcwdVgWiRYHCkSElRbklbIK0FU6MYRWm1Z1yWBmsYGsiaQbcH4mMQLhgIRaLF0q4xkPme3aiCGuIxJCpAcNKScxod4U+DCjBxP118xahbUwbBJ+1wk73HtVix0iEkbtLNiYxuu0oxniSOzNe/GHrGGhQy5kj3KMMCbhI0zRLrDWsY0OkSN4KMVtUnQxFK5FVDScItGt0SmRX3M1O3jkpw2EqLW0LLHRg7xRESyxlLcCGdhB4KldUAw9EqLbTt0y5vn0pXEqA7oBgsNrCMhxC09C6YNtLbCJyVd7xgVFiJPk4D9O7BRc2pvcWXvkSBsZMRM9oi7M4xsaYk5Y4+txqh0WXGbte5TSp9rqUjjjNjceL8KHXElh1zZDkhBkAFZ6LDbXNI3c3bqLWFrscGAadHkprJeipJ+4dECzPbm7i7UN3YS3Qa6bU2UbvG2IAi4EOiyoQYREdsAACAASURBVMeUlC277ZKd1RyzCRAihAbrLdSCKw2Ix7ub7adEazK/ppA+hUTU1pIET9wGojoQPNQNxC10faCMA3McgRyrGSoZFsFpjRFojaISkBDIQkPHV+S+xUQFDTOWX29S1PQoJGJtbw7dSEwhlmBaEtmS6Dl9pmRsUBW83DyhV1ES9cTqiZniTcRGxiiWVL+uSA0DSnU3obxGaBSCaYjDmti05LrBUJCxwbHFS0akLUrLTUTxFGVJqzvkoaU1fcpQk7Ng1F6TUzFzK7xAqmswBZZblNLB05KwoMsb+r7B1Q1xCJjqmiQ0iAcTDCYEgnVYWZJ7Q2Z3iCXFhghjIabBBcUai+C/lpxuRBRvZkRmg6Wh0ZpGb/K/okqIu9HX9lIoTMTC2pv9xRDjQgUtFKTMZUhfG1I1lCRAjugKbxpqFGMCkffUJmZquxACFrBRjTNKVAeMKqV4qiiQtIEtMYU4FKFyGZ4uVxxyzpDSdZlUM/baJZnNKUzOSno0UcEJd3kZDpibW2xJaYLg1OPiITlbxnJF0JzKDyiihFqvaKjZilCLsJQ+cxlyKbdxQejKDGNa9O/Ayegius1cOthI0LBgvAQfQVpBMBBRISFCvaOKUryxrJynEoM1AXSDo6A1npn1PHXKhTp2aL8O9M7ZhPvU8gNaVqgpsdpiVfEhp5KUWdRhFQsuajEEAimN9jhL+yxkjZUVAcfWxLRxTE8iorZLbCrayLOJEkqUjA0LuszMDmdmQkfmBFnSGM913OXS7HIiKbFvmSoMZcuOKzkxPRZxyso4GgLeFBSuoiUi4RLY4u2AmR6yS0Pqay6Mp7I9NnbATLqUSeCNJtSS0SuXHJcXzMoN4oU2KCkV+8WSjlvhjdDQoWRJyYLaVNSuBJRt2yGhR5sE6iRwGe2yMZbGJtTsUBhDJQ0FKcoQMddYvcSJJdBjaYZcmn0yzgl2zhoD0qcjK6bAzE4otHdje7QrWjV4EirpcmkOWdiGXZagysYOmZuErZ7jQsNC9mhkTGJbGhdYR57XSY9JaDienbLbbOg2JepqRuGY2AjSRuRNQuotRjtUjPGtozSOlpQmxGzMPrNoRGO+oggtr/KMF2HI908vyDdK0goJK0SWOPsckYqUI7LQ4o2wksFNNhI1ZdSyYcNAPEnbYgOsjeF5fsCLdo+pfEliFjTibsKi1VOYMQVdSszNfYfd0IRAwYCCfWoElUCMocDTJp5TqVhoQiyWVoXGWGpnKfF4MhZ2RKaBBosNLak0qDaUOKxCjMFrSiAlGMsqjpliWbFiQMkmGQAdbCgYLKHaQtxWmOBJWkPa9pB4gKfHxvSZy/gXO0je8pa3vOXfg7dCza8QiqKiXzc8eQItaAS+87Xs0oB0WWrOXHNsiDiIz7iXP8dcG0I8YYWhjlKoDUN3ys5ujWNNkF2woFYIaQIenAmglsYk4ANohdm9xZ9OHnHd+0122BJsD/Ela/kWLy/2mV3EfD65x6u9Yzw7XEa/RSEPEXPNg0cZH5UfIxtI48Crh4ZuL2XwvwtlYTk92OX5wUOubJc/tV9ik5an9jFLr7RuxB+X/xkXf5Xyndsv2K/+HD+OeTp5jH32Fe/86GM638t407nFD/beZdduKOiy8gecmiPWjDlurrkbf8LO3SE/uX6E/lmOVjHdI8d2vqb+5v7XK/4wpCB+saD71TUf/daP+SK6zz/b/Q1ui0OTQBDhNfcoZcz99lO+bb5k+xue9v4+8/WNbckvBQZLkv2cucbEUnDXLxg+C/AUup0TziaPOUmW3E4rQlJyxoD2+BjtXxHHnst4l0t7wH/9i774/gP5L83/xISKrp9yV74g0KEEau2iWMay4FAKlAQfDJemx0t9h4/Nd4htwbf0Uz7gMwIRthUi18OHQGQrUlqMEbZmhPZO0F4AD5oqpIIRkDTc1NjnQEcJqhAZCEKwEbNkn5mO6Ak0skYosVKxG+a48orUtEhPoQ+ap5QfWUqtKKKUc3fIlblDYxseZZfcf31C9llJfuQJOx7zEuRK4aGi44RNKInaAJct8bxlErX0KAmdLpgC7RuGyYJVmXNwMmexM+Zlv6VnFvSlxXrFNMpwu8YtAvX8HDdJWE36XOR9RFbUpGxNnysGzKVDxoaRXNLTaxICtQiBmkJ7eLp4CjwdVmQsyKm8Y2QLRKc4UWKFUh2tSQissbIhlogJQqBLJY5aMyygsqbPNQ7PxmeIGNTEfyMiawD/dQOdqtAax1YqWqOghlJyZnSpyYkxxFJitcQHRzAxUc8jpoW0JUSAGupIaOKURhJm5JwyYcoBMQ4jhk95hzN2cQIFMVPpsdAjRpR8U/+cscyY+oTCDlhIj6FZ8EH6GR++/4R+vKTy96hcl6kdsAyGnw/GPHtcovcazvNb/Mj8DnMfk9of0TXP2OOMihxvWgI5rfZ5Zt+jnAg+NWTMyUwDGKaSE7sBO9mUSBq6WmE1UNqMhQwoJCFmg7QRZ+aIH5nvcKkDvsNPaV2LawqOmhldu2XtYpZ0iSi5Y75izQ6v9B7nMiGYlogrtmLYo+E9/Tn3jTDwMC5OWKdKbCCqK1I3xxiIfEIpuxht6eiMsZz+IsfI3wpf/PFHbL8x57flisE//zF8oWz+G8f14TmPPLy7ueLEBZqo4sp0+Us5ppIOBYZrERJeM6Gh9T2e6wf8WfiQJQMsKbGxnEpMv7PgpRvhRDjQm4DtSvZ4JhNOGLFnn1D3GtrKUJiMC3La+JBpe5cfxg/JY8ul7LIxhsjENCEmNjVilzyVD3giH3C9LLg/8gQzZ+IDV2cxxeRbHMSfkMuCK7nNxVQY7FQUoeVn5h0mwIRPcdYz0BN2s4qG97jCMrUdJErJloHhp1/w/V2luXXMxgbO9QGn5pCLWvluMmN48glP+3+Pn0fvcid6wfffTNH/9Rz76A7PJgVrt2XvtEv851vu/d4rDkavOeNDzuQ2LcLaNcCWkTxhzBNGZgB1gbNCX85ZiXJudnjGAVcywNOhZc7ArClIWZoJT+Uhc3JWZMxNxK60tLqmqysSU2PbJZXtUkpDZa65ikqmvTFh8YoBF9yWV3zhzymKA+a9OxhZsoy6LDZXHHd6WHvBpUk54Q41Gz6xR0xGHQKBhRtwOdnj4PQU/XyN/beeD0ef8eC352TRhnzs+XwLfxD9C+50/oIXyYjfM1c8rn5A5ISMj3kcXvKgPuHLcECzvsu3vzpBL77g5KP7XPYOiP2GK9dnYfb4UF7R54J17HkiOSpCY5cIjttiiIEa4VnUJ9Mhc+lTzyqifsSVZkS6z4ID5kEY2SkR5xxIwYANpc050Yiv7A7b5Aj4kvvuGc70mHJEoyOs9vjcHrEILRMpMQjENXHq6XPGmgErSalllzkDnvMeVegxlFOy8BfYzRrZLykDrOgwNV1WsuI6dHgTHuLdPc493LUdXrUP2Pv+x2y2ltd332GdCw/rn/PfX33J5swzvX/ID3rHEM1/sYPkLW95y1v+PXgr1PwKoQL8zR6Mfp0WYP76g6/fEWqJv36i63BuSxzNcFsQ7dGYhDZyN6W2cYMk4SaDRgOIYL2SoGwMX4s/BvAIW0RW2H7MaTYi7TxgpVta08HQUm8fsV73adcJ50kH3dsnYZf59g4XDKhdwmgvZbX3BfkGGsmYHjzA5zldLvCVYa0pa4loGPBaHiDG8oV+hxOF2O5yXtynOW/YGzVE2zOaUYez5Ihx94o7l5+RVh2uu/e5iCKy1GAwNAQKcYh39MqCcXJJ1bH0zpWzVxFshcwaqlmNFDEeixVIQkJYZMi0Yhw2qCk5T2/Ri4WME0xw1GZAxQ65mTGRBZPdC/bziiIW1q3QNgZNDD6GCoPRhoglVVnTriFqAsukx7TdstdvkaahVsdlNqTIYxLTcM4ub8wvf7vBQ32JaEweFuyZOUrMK9lhoQOMKH2W9JnRkpPJAUYaZtLhSg8JXhnJmgNzjtLijeJFURECSmMCQTxeaqoswaQVpvb4WDCRgA1ofBP141OIOhFtCIjxuNoTbERlBcTTC+ubyl2pcNT0tEKrAmdSvLO4tIVuRX1oaaIOa9PnnENeyTGiBQdtSbMEvYS0EyNRy+qiIF7HNHXA2ghMjYaA+AgfAnHrMaHARI7KbHGa0fFrCCW2rAnphFns8bTEqUfKluC3xJWnu2wJ04Ikb4laWHsYmg4tFRkNBVBrwhBlTwuGbEnV4yXCigFNaOiCBAKBSh0rGVEgdGggeKwBpMXTgCgtBis308GKYFVo1NCYGEExxKRsaNiCAa8Bf1PgSyldcC2KA0oKiVi6LimWOiSosQSEki4Bi6Mg1RokvslvMZ42EyIRNILaCFhLHcWULkGDpQmOxjhMW9J312SaM6dPQUoqypaM17rPBYfs6jUTRjR0eR26LO2QtY4YsiGOSvbSOYaayjuWZshK+tQmsBKlGCS0Q3jNLpf0b8xDEjCyoasCGuPFYFAaI5ymfWL2yKQioiChoCGm0YyGLmLWRKrEBEQMNRENCR5HIKIRYSNd1tqnDD1ak+CNYqQh0w0JJakaHDcNYRElG72iUUuNUKngTEVQxaIM5ZrUrckUcl1ig+CCEDcei2EebbmSDUEGqEKKoa/VL731afp6Dx61dHqO1fmGdWOp9o5ZpbvshYIUg28Dic0Qjrhkh05rKW1Cy5pWhFUzRWXKhdxhbu8yrXIuYkfW1rTW0bMLSm4ygIa6ojXzr3PKjqj1Dtp8jsOgzlDYhBX7NJqw1QGnepuOJmx5j4qWYOaI1CTmxrKzpMOVjplVa45ob0RlE9iUCVXYZWr2bvrc/CHbYk0tBmc6rNkno6U2DictvXrNKNnDsIO2nrYcEOcWU6YkV8JeXDA6XDKjz0KO2ep9FuyTSkpnM8VnCRfRASNWdOuEzUnN/MM7XHYyWnmNqx3+siSqCxKjlNphrilWIPcJG3X0bI2EisznVE1CFBxOU9bcY6438d+qFt+O8DbFU9OaHvN2yNzcYaMDahNT0cHjqZslwaY0YUutkJDhQ05tB6zMhDIeE9mXJG2Lc4ILjra2BCLwEanZYb02rNPbLGzJNRPmHHHBjDO5R25PMXZDoXtcxA9Jwkv2lyBfLNGRcvTea3r7G6qoT1e3HPoFa5ewiQJ7TBkYWBhLyoZjzujolqf2LlrvsH/1ik25ohj2WMd7mGbLWo/I2x1aU2GcYqXGkKN+AN4QXErZCIWNUQNvNGfiZiwZ0tYCvs/MjUlNTY1FNcLLAAkBG1qcgTJklBxSmnuswgq1LR2ZE5shK3ZoJCK1FbUKBSmOLZkEunZGREnPJBTEVBJT64a1dJkxYm76KOAawZUtaI/a99lEY67ZocJTacIptyk4ZuvPOTaGte9QH+WstyNepY8pky27/iu+4ee8uPKc7X+TaecBQv4LniRvectb3vLv5q1Q8yuE6I1wciPQ/HWocAvSoCiiFkRoEAoSGo0JahFpsPbmsFPbITObUSSOKgQ6ePpsSQJQCEY8rr0EsTSNBQShIRBQtyFJl9SkXOsjYpkRcU1NwpW/R9FkmMIy0wdcrL7F3aZm5IQ3IWJldlmJMu3vsOmcM5V3+Tl/j4m25Hd+RryuadOSWgIxSkyDJ+B1RPWzPSSMiW7lUF4T1Q4xQpllvIl70E+591qIrmJGUtB0PNpuSHXJPf2cmY0490f0TJeUFQfec9zWPLkscJUSjQWZG0ZGiVhT6ZAVA4reIWv9gv5KOIwWLOwIlZTcBo7aS2bRlHX7Pi4ak2jFfXnKmf+Si/QR15qjTQx1Qy4tXQ1cimWVxay6greC2yYM1uf4uGFLy14TmLRb3iQRn5hH9DB0ZM6EV7+4i+5viRTBi+AEUi0xuqWvghjF0dJtLxjoisIM6cqGrpREckXPb9Hg6NgNDZZWLFOb84YBjphUAwnCWnqUGvM8PaY3mpHXG0gDYgVMjKYObz2MW5yDCsE5R+aFopOx1RjrKzqcYelRU5HQ0GkNOhOiJKbNlDb3xHkAichWBjXKsLOmMid0yorD2QZXCtsRFHEg8hka17SZx1qgaYhqj6mBGigdoYwgbvGTQBUJIUQ4ImJpqSLHtRtwSodrPeLMWjrpmoPwhMN2hTQ3jW60LYLHmgiDQTAkNIx1TaQpiQZsiKjMEK9bAhBZCxrTiiGhpdSckg4VKV4CXoVguiw0YS5drGzYZQ4IFRGxwhZLUEcIjso4GhHWmpFpQS0RC+nhJaNC2ZBypXsEWhyWjs7oyIYpO3QJtKFFrKdWQ6MpA1mQaU2HDU6UIIa186yHIypJKJOYWmq6xuNsTYulNgPOZJdKU3q6YqQXdLRLjRBhwBsiadg3UyZhxr6ec8ArDDkDAgMtOJOAIIh6LC1GK1ItiUJD1y5YqiFWsGrQr7eTzrjD0vepbETQhEgtMQmFRtQmpkYpRbEexDgq6dLSEBAqumzE4mWFaItRRcV8HQ8vBL3Z4HMm0GfBJFzR+ggxQiuO1oBTCAo3GWYAlkB88z0p6foFPTw9nTOSOUMWRGxwbBAVAoEk3Ih3ag2NSdnQ5cqMmNInUkOEIWj+Sy/UNK4lIccbWNwueJENWA4esJAhhzxjawKrqEujFtNmrBYZtdth6SOiGp7qHibpst9/SoVFauHCH/BVM+GYFoshCw1n4S4SBLUNmZlh2xHV+W2K9B3W9SfYboe29TQ0FHjwjm0Us9G7XK2PuMx/hypc0LOvicKSoulyHd2iYUCnnRLbNXFIuAj3CeaCMhng5kNezt7jelSylAnqG851F+czrra3SXsVF2YMTYe0BhM5zvPHPLk64ruLHxDHr1m7wE6aM1i94d3qY06Sjzg1d1hpj+t2yEYHSNqhTAOtKB1aYl0z3XWcjzIuOcBpxMytGE0sm3jMm2LMLB6ytRFSKFLewsTHrFzGXXNJT1ekNqf0HZ7V79BGQ26iaFtaH3PeHOOaK47yVwQ8czNh4d9lcQJu1KfUlss8wrQ1K7uiIwv25Sl9DBt/h+f6iGFQ2vandDaGkh0u7T5r26UfzTnUKQWeot1D6pxZc8xWMmZhQhO6zKI7XNd7PDavaJzhdTjk2nbZHDzhftxS7m3xGYAnXCtiO2Q+vQkQlpphWxJFNY2psVoSe0GoqcUQSUHqzvGdkjfZLRbmmOuwz2k5YR6/z76+5La5pKczEt/Hb/v4cJt6MWDu96iHd1kUl1grTMdPGJsZKhnaOmbVMc/4DcbmL2jimlL7nDcPCKYiUDCVgoEql7MHLHnEKJwQ7d/Yl6bschLu3Qg1/oSe9Zw19zhtc+poj/v6Q4b1Eu8SLuJDUEM/1CTWUaow83sU7Q7bkLDTCFrssfS3OEuO6cbPWLFkJX1O5D5LDhn6Mzqhogo5X40e8WUy5Mq8S8oZrXsF4gnB0tRDNtUt6rj3Sz+L3vKWt/zd561Q8yvETZ+Thb9p3hBu8mrar/82IEKNoSSmUkfcQK412inwKKXPOXcHzAZnlOWKQ2p2WJB4A9cebxqq5gzoUmsfEwIOoWaAWs+d25atTfikuoMxgXeir1jR55Mi47LqUZ295sX6N/mjL97nP46e8k++bbnyW2Z2wpY1m92cp92HfN78Fn949l3eYcH3v7dP58VrRjs3UaIZCbvhiq3dwsrw//wPDf71knf+lwDzKZ11Td4TFsOUF2J4ePcY83/9jOgHW3beO0ffN+CVvWLF7w0+5o3u8ucrSPMeB5wwjKbEg0v+769O8dcDqqZPWxf0mooONWsD5ybAnR3Sv2zJfzJlfzin/vV3+HSZczvv8Kj+nGn0lIX/PlE8occ53+YLTrrvc3L4Adge1SpCi5KeFOyo50tVljYm3emT1IpewK03T5h85x7X5PirNbumYjtI+CP9NY5Cl39s/g0Pzc9+6W9IenJFJTmJLYj9hry6Ca/10QyhxdZrUgmsJbBvzjmOT0l0SiQvaaIOXitSrSiJ2ZBzIrdIqNjRa1JKFkx40zzgKq+4c2fKmEsSt8EEQDM21qLiGXQr4qAEG0AswVtO7ZhXfpc46TDSKU2YUZkOSV1hV57iU6V7t8VNHBcLYXSnR9sqvRcrsniDHC/Zz54zWgjJX22o5w2D34ooqwpmyjAyoDWIAV9jlopdAtsKnoF/GtHstuTfELK0weoa5wsyU2HzCW/cAZ/oPnhLQ5+OXPH73SUH6wVmXiG1A+/xbYmxgSwUCBGR1PR1ztZWLH3OwuSIvY0L1+z4GYlvCOKoxDGi4XXY59zeZYvQYYWosNQ+V3LIhg4P9AuOOKeULpd+RPLXTSgmZWMzCnKUwCU9EjYELFvp8VLvEIU+dWu5th1aBIOwzxmxlKgv6RiLoyKjYhuERmNumQVGV0SuoaMlojXLKGE23OdE7vA0DIms4SGvuN9+Bhaembt8wV36tmWsbxiYgq4N1L6gYxIWNiNS5T19wQOesW8vSZjyUu+jRhhIgRIxlSFqboTqQiMSp+xxzVjnlMYyZ3LTHiUlYHhOYMaYaz1iyxlp2OCoqaTLigGGhjwIXVPQEgh0IMR4lJVJAJjEEbE2iDQ3go0EjASCdxjrGeg1CSULOaIwExxys+FkI5rgiLUiUsdK+qCW+OtcsFgK9t05O7rkkFN6zIm0xGmF00DiIfLQ2JzWNlhXU1nhSjq8Yp+FTuir4lB8yG6WLH+JabtvCMM9NlLxzvcKbu8f8j/ajCAtv9t8RVwsWObf5ky3YDe8/KxktnsIVwnhrOWHZc7e42/xvccZv2Z/Sm62PCkmPKsc3+1dcl9mIDEfr9/jyXLFb+284aBTkrfC6Q8TnhQ12aCl+siiGyGThowplZsxJWPuR/yrz7acv/8B6Tbl/ckQp6+p4l3Omtu0Nrtp6pkkrLzl0+1tsvSS/OCA839t+Vc/HjP6gzGLgw569f+y9yY/lmbnmd/vPed84x3j3pgj56ws1kCyOEmiaEputVrobsOAjQYMQxt7a3jlrf8Ebwx4bcOAAcMw0JDtdjdkdQNyu1vsFqkii2TNWVk5Z8Z8487feAYvbhLyyjAgATTJfIAIRGwCAdwvTtzzvO/ze2Ys9neZlm/ws2fXKO+0bKc3GMxiVvM5VeL4RG7x83/1iG8OD/na+AmL7py9w4TRR5/y/WsFH3Rv8a/CV9hWNaenc+yOwez1WEWePiXfdsckF19w9GaPq69ErKRgaRv20gFfvTVgld7mJ0/6PBtr+r2cL54seCz7/Dwdc5Bf8Pe212ybl+gsMJUB/3a2zbi7y838KcZZTu0hz1ZjzldP+O7dc1YsOPFjHqx3Of7nH3LnD6+zWDzg+Y1tvrQD9iLNdbVk5JdolfCs3OEHcofUnOFbC0vF2qU8DcJMSm6OFrwRTXjsDC/liKu25YnLaeoxiyYlrAvY3ueyStFc4s1NHjRv8KUM+KM3v8N3zx9x+7cM3ZdzzBLCcyBzyM2KTvSEbf8SxS0OOGPL3SeqDXHbRTjFD7scesVeeEay6/lh8k0+DIdc+UP+l8/3We59i6OeZy8NvCOwrg55OO0DKernBcUDS/oHh4RPu3jr+K3/SLGX/mvepkHPax7PUy76N3hPP+bwsMujZZdGhhxtzSnnZ1zvjmiXDe//sOUsFopyQv7veZQqOJeYT2UbqzP27ZpIL/hE7vDzE8O/szegWzxnGKZU/YwT9tG2JfJn5Dpl3eQ8LXYplgnTTDFoAs0y4v4i4qx3QOozlr5g5kd8xgELdZP36s9ReKZ1zpdb73B1cJ1JHXOrnhHhEL+g47eYTT2P4hzpxr/y74te67Ve69dfr42a3yj9dTV3EAhBAA/iEP8LI0ewonHEeDQ6gPgKtEWcBRdTy4CVpATvyIIjokECiNME1eBDQDB4ZzcAPgTogKwQ5jgxrPQWpdpM11VIWUtGbSKgppKMMnwd5RzaN9QJFGQ4CsrE8UwP+Fzd4nn6ddLoGee50E09TgIiMUKJCX7TNmV7yPJd1LxLUlvwioiAhECtNnnn1vTxrSAtpLWl7wKuCpi2otPOyJOKWmU0kcfgGfolXbeAVtD1LnFlEIT4VXzAe1hGsFBCqWBYQ6fx5JSIj+i4wFa7pBcuaUOJlSEez5gp/VDRugASYUQQa4l9QxQKNMkmAqIMCAQ0w5WirUtmqcE4TwdLCJpLdUQsI5z/K0bh4lf+DYlmhcYiWJxSGxC1q1Ch2WwM2ECkIQ6WSBUkUUFPFqShpJGclTMYI+hgEJ/h1AAXSpTMUASsZKz0CK0WdJKCIIY8CIly6ACFimkJkNRUUlGJxyPEwNztU/gOmYeen+JCw0xvAw4JhsgJhAhPTRkHthKFF02wGqWFri2JgmdYA6WiDuCjFucFfEZQUEcN2kAUNNjN70RQSBMRr3MYLvCicQYiJwTbIOJptWYqOTPZxUqPQu/QJWNOSjD8tWfLhltFsES+ptHJJmwUZjgMik38aAOJDGhVkQQIwVNLhCOiYsCaHCcFOriNJawVDQYX4s2OhpQ0ISOEGCsOr6AWTyMaCQYJ0JLQSkIcPEYUpUSs1YDSpFyqLVqSDXhYGgp2iMJmMp+ZOYp6E9dSMYOwJvJzJDREeLwEGjTrKOYiDDjVd4glZuQth5yQKKGWHlYiIlZ0/IJcX5GHJSsHsUB4FeXsMmW/fcShOaUVTyT7pBjyMCeXGQs2lwBHTCsxRgI6NPT8FbmC6lX3VRIKOpKTyByhoBU2MQpVQyixajOdTmnJQoWWlhJ5tS0DssEJYxEa8UTKIgKaGiElDo4QMgRFFlabWnlZEYUC5UuMajA4BMELOEkopUMSildMMyEKaxIKhkwZ+xN6fgOqbVSGwqBdjXoFS/a0YCxWHPZVg6Anpn1l6FX6V9ylAaypUBLRBqiTKfNsm2N1nZwW8QEdNE66BOINA84OaOUAsRGq9uD3marASQxvhI8xbYP1+xA6LMwpQWZoX1HKEcZXWE6o0BgifHsERQ+T7mBsQJwisilR3KMMa9AZ+Io1O1z4MpzvmQAAIABJREFULXbVXfApsW9xYQMMNzgUM3QY0dCj1gnBpJi2Ja0VZXObVehjiTBK0fiUlR5jzS4L1TKVawxZYJxGwhZLf0QcMmx7DeUsdRRYRn0GLWzVDuNT5uY6A45RfoxrUlxiAUsSHH1fIz7gTKAwllI0ZbTDyqTUEZRqSOtvE5praOvQraMyPSoNibnLVC6p1SlORXidUuprrFSHtV3SVZdYulR6TGOu4YgIYlj5PlUYIPUbRGUP5QY07Zh58BgmbKsKtwktso5GrO1tanmOQaMCBDE00qchpyPHZJyTMCaEhCARK+mwNn1KZ0i0ZemG1H5nU08vmpna44m5yef6U170Y25mDVYpjFXoetPspkNNqjyZE5TaRFeTYMjLCONzlnGPBkNMSeYuaOhwlh8wiceUMmDGOxRqj1O9x1p2cKHFqh6l7iBtRl7tE6ohLRm+PsI7mOiYpeqAvyJzAZShkD6WbZJQ4aTPLLrJIJxD2MCIrc4JzRhaTWK3yK0nVYEm6jHR+zh69N0UCRVXZszKHDCNp7RlB4KlEaGgj6agZQNId8FQS482GuBVjA6gvGClQ8mAle7RqA5l6LPigIIDvIsx2uOB4/g6E32XwliO2ktUsECgIWLFHnO9j5JffbD5a73Wa/3667VR8xspYTPW9JuvgyD4V0aNIsjGsBGgEaExCWkr9H2BYkns53SDoFXMlIgrBmQqIugWadUGwInDyQovzatY1cYQUrolDQ2Jy1nLLi94m4Yu3uUYu8bqAhsgNIFYamLAktCQUqmMSznggm0ae4+k6hDyHsdyh6xzwlLlEAxTujzVb+CDpgjXCckSkiVij8B1WQKzjuYqOqT095ggnGwLt3Y8bWbJrUapkgmXNFGPBEW3WkM+ZBLdxekdzuUaQU5xsqZOA8HXxKxesR1iGna5kEu6Wx0GSc0i9Wh/wa5cEkmBBTQxUWip0JyFu5sWBf8GSRuDb/Degc3AG4Iu0HSw9DhJ9zgdgem29GoP1KTSQFTTqECCY2QtkdQsdMwxe7z3y3rU/pY054gQHI6GK0koo5o8WBJp8KGlVY40ONb0WcoWcxnhgJ5a4EhYmT4FhoUMmKrb1G1OHENJhqbBUxL0BpTrMFRhB0UXkQVKNAVdGhK05ISwxL2yLaAioyFXK4wojFJYG7BBMzdDJkmNz47ppgViUgg1YgM6FCw7gaAHlPEWtThsNicZz5jOAtvZHle+wnQVQTytjsg7ik7SpUgLXGZRcYzdaVHrGVdDzbB7jxPT0FcDWiPM7Io0FxodA9DqDB80AUUlQ+ZJRNhqsJUm6gyYpSkz6bIyB1xwDUVDqo8RcizQ91f0dUMbWrwIEipypvRCjKGgF07Z8o5aBSJKJKyJw4yxr3AhoacvsGKoJcIrsBgKyVnTw74yFXTwGNWlYhfHGqGlEy5xAJKTARpN5idsq5dETPEiBARja2JTY1SHBRlnYugoS07FxszYvNJJKBhxxqgZoMwAxHBpDkgJRG7CTVakviGShp6/YNs/peYGWmDgrmhUTCtwFW3RUQ0AEgwmNCCehDW5n6PYxKkIAR8saxKmuvfK6kkxoSEJgR414/Ale1SkLKhocJJvWt7waBoClqUOTBlRogHDSio0LRHVqzhgwgrNkpiEFk+fMoyZyZgtDLVKWdGhwhCpJYlq8XRxkiJKWMgWVwxpQ0IsDRURTciJUCjvaCVjzRZGDFY2FeyBQGamJFmJiwyt6uLQzMMOnh55EBaiWYRtbBjhfg1an3R5m1HZ4PM+H3f/Lrt6ROPfogoJnyQTYvcj+m3L7nqMlTfRixEjFdGuBLfS+BLiqcf7hAt9iPW36Cx61EYY5Jrt9Ixu85iO+3v0ljlt7wZP8ncYsIt3HXSp6FQx3bVCnKIKW1xymxJPFYaULtBdjTi6VGA1qq+JjCWrK1oDReiR+TVjPyV2F3wZrlO7DqKGuMgj8Qu0uoO4TUvYRA8oWyEwx/oeSw6J3Sk0fdpiH6UMutRsi7BXBD4YHfFp/+v48Snd1GPZwtW3aEKPun0D1XzG0EfEtJTS52l0l9OtDpNyyIP0e1xyxLoqmbKkTAO5njJaz7kSQ9SxGAedCnwjxNKhGe+zcDN264h+VdJZD0hUQrcTsa1ecujeZ7b8feLlTVa8R8lPMaFLttYs5tvka4X3A+I6YrC8zk66S93v8MLM6bCitSOiNiKEiNbGCB7lMmi+ThG9zTg8pePP6de7DJohk4sOW5lgc0dlFVI7tIspwzZFtImT5X5FR2JsuMFL+T7vd7/G6Tbc3D7jcP1zttQcq3eZuXtcxR0WWnPCFo3O6aUTEhdY0UeHHCNL6rxP6Y840wc4ulQuI7SQL+GoHtDZvkGkv2Qn/Iyt+gZts81wpkiuMqILuGw3/CLju5xwmxdql5VKN/hC5cjlkuv+BUdty9LeItXX8UXCquuodEOjulDxahimKdWYpX+Tlf8KSjyNnDKRhHg9RE+HDNOGRHlUvKClYcUOSioMjiHHDNwNYhdoVgHpgFSglRCCQ1C4cJMLP2Xub+DcgFwE7yJCAr1yTt7GPDd3WVlL5L6kjVIut27zbwc3OclvY0MHG4a/8gOs13qt1/r112uj5jdI8mrmCYJnQyH4hWmz+byplJUAmhZDSR00pR9iFpproynXe0v6zMjLEgrNpU3oJzsMfQLVEl9ltCwIvISQgXja4DfTeiAxig5rnEt54PZ4mLxNqx1nkxQ/OyeEGSclTB+v6PQv6ZAxD12uwg5XJJyVJT/svsP5wwMe/+Bzxn+0zb+O3sGPr2iSAeu2YaIP+YvwNk1o+eI8UJ4/xVhhWhzBWUnVBPjaNn9pj/jQfp0dmfB7/0iQAno2pp7P6Y8cbHU48YaBFOzolrbN+SQd4xnwvMhxEmHkHD26CZc1eSjYoqBEc9ne4Gf+nN2/8x6FfUxnMiVcnfCVoz10WKATRZeMCM05HT5t7oLu8NFqi/v3F+AsxdzhgiJqUrJgcSheyi1+YI5553eO2OmfoR57ji+uYHwXyQqMaumKIVw4LtoVV9d3+Gm4zT/8Fb8ffVm/QWoKYrUmCpaEkn29pM+EgMVHObUXyjBi6QbMQ4aWAZqKFs1LdvnIXuNZe42lu8t0FjjaH9IxsE/CPqfkeGKfsAgxEzYTzV6IiQ1MwoAq9NiWgoFL0WIxUpPrGk9G3xcElTAThTY5liEnkhKlDb/3Wym0JcSw2w2oR1f0viX4g5gTn/LMHFJ5xcHglGvfC3S95oQRVS8iOnRIqNHeouMZlyRwo0/YDyTiGL8zJ56tyLp3+JPmHs/MbXTraULGLLS83XnGjC2uvGEpBhUsxqc8kENGvevc/OaSeb3Lc26wTGOWruCBuscD9w4hGA79Ew7SNSPO6OkFjpgZW8AWWj1nEKbkNOxwCTpFyS/Mr4pEOWIi9lRBjzN2OCP1NYV44lBivMOHHku9RUGHXOaEAJN6xEptkaoL1iLY0ENJRN+t6avnBFUwlnPe4IyYKSvpoOjScUuGaoEVxRM7YhLlxBhSbzGiqUOf1Bds6zPGUvBC1ayC5tT3uOCIjvHs+SdcNysWQVPoIT0sd+SUlB4FDYd6Qo2hkgFWJZTktEFhgIGpMFgGVChZ0aMBWmIFBo8noiFGYWnZMFuMCDss+CoP2VItEzKuGOJJMXhCMBgMjWgmbKPIqa0nMoFGhE5YITgMganf4iJknJsBWhSt7fCc2zxnF4NlhGZNh1YMXTUloeSSXSRAwooJI87YwQZPF03pMlrJGepXBlIwrFQH8ZZ16HDsD1iSkcmcXrwCH9G0mlJ1uJQdXnKdC8Y8k13O6FG0HZanhv/2xi/5MPkbSp61+H/yPtUf7/A/dP5T1OwEl0S08Q0m5fcp3TPe1WuWH13yJ//bI9rHXTAv0YdjwvMpne096ul9/HciPu3e5rP3DbqE1cNj7Lef8bXfOmecf8C/XP0xn/5oRv3dW7w/+C7X1/Ds5RJ3OeLLJ0/whwm+MJxfb7mvNVXIOJcI3xzw8iPF9H927O59hP0vM47PTsnyO1xEXT5s73Kv8vzD3g/ZTn7Mp/Jd7s8PmD3PiGKD+cZdylrw55Alh3wqLQvvsKrD6VTjm5t8ffJXPPuk4KEPXA0h/fSSyfITrD7n8ta/z7+YvMd//p0T7gz/DxbrSx5/FnNV5cyvNE/7K/ztCtlWHEcxH+gO33nnt/nw+hv8E/tHnD4c8vJP/xnuP36TPzrKGJsX/EF3zUf/zX/N9n/1nyEvHrL+uEfzUlP97jmP/tByLcu4aQvs+TnLHx4j3zsk3FjzXvJTvtOd878+vM4P/+SS05uHrIefcLVoOP4XgfZpy4MfnVBfr7i46MEnT7iIG+TvDPnw4G1+N4GHP1O03SsmN9esTUpv0vLwgzl/JkNWb3T4an/K99OHNNN9/vc/XbP6OOb53UvKOx7f38WdLfDbHRZbNSfjlBu9HfTxA0a3bvJw3eGfzv8Bnz0+5/D3f4e3dv5P/pN3GvrrkskTw4Oww3ko6baXPNBv8aMm4dv5fd6Jn7Nc9pk0W+i4S5HsM03e43m4RkvC0zmkUZfp/9Ty6NE5k/+iJP/OfX4/Ej6dfoN/9uc3Wf6Pis6LgsknM/itu/RvKu6//xjz/e9yEmY8lRTZhgTF3eEVv6f/kmrk+NEHd/no4pD20ZzqP7jHKoqYmREcQ69TYVXCh+cp79sbfMk28ILewYgHxQ3mP96B//4Dzv+wS+d7EdloQYvwabiNXS/4Zul4a/Alz9Xb/JtPZoSfaPj9lGYGq8kVg9EWj45hkb3Jn529wO3fZfFljC2XmLeOuKwD9+ZzqkHOn17kZIXiW+2M+nbOf+du8dm3/5jZZI9qPWfuxr/yMczXeq3X+vXXa6PmN0r+1cfm1v7XzBqNRmgBgkK7QEpFTENwBu36pFbocELKGYYFCof3iqA0jg4iGnRL0D2cFQIFm8dLXq3nRxAMQRSIpRLLPBrR6h4+RFTtPtI+JpgFSwWFHRC5LhFTrPSxDLCh4coMec47TOUr2PoFpRvwOL3LoTyhDQmJr5nT5Vi+TgiCb69BNUOqgGkNVClaFBcaXsoOx+Y2z9xHvCThemipyhjrA1YbfEggaBLWGBaUJuGS6+ANlUvBG/AVhhYVwLgWQ4VgmetDjqN9HssWaWsZxzlZcUXiC9bKUKgIoUS5NQ1jLtTbBBlTuRsYm2DDpkJZmiFRc4GmAjxrxjxPrvHEbCO6Zoc1WkHwikopIqPRBGzIKWSXkgu6vsuv+iD7Kjqko5akskRCS6oaMjEYX5AIOBStpKzVgDps6uYjB6I8SIvHsFQHnKkbOMYEpoSgqcmxr0CxiY0JWrFQCSvpvdpkECIs87BFSWfTdKQKYjQagyPBkpI5S9BrvKxBIMbTknIZD5jRYVg12ERRaIURcEGzjHKuSClNQulhEaVcqJg2RJyGHhUdYlUgGGI8gTVlSGhIqCNDJA6bVAzwPE87PNc3eRR9haDBypBVUHRVhCOjlAEL2UaUIMoxDTe4kAvS+CXn0YAncoglofVLTtQdnqu3aUKEhIyYU1JVbiJZeJqQslQdjLS0IQAxZXA0dAjBoCWgJKZCI6SkTBmHlo6rMMFtmkakt2FXyRaVj3Da00ig1gmVdGloIOQ05JTsbX6OrNFBIb6LdlPi2OF8xlyubbbyogqRiELtbfhYKJwkLJVHCKzVPl1KemGFFc1S5cz1FkmIcAzp0rLjn9NlSeUg0gleBOUdKWtiaVDhkkrlmKBJ/YpYlXgSMpYYX6CUo5WAYMnskkTXJKFBh5pItRDcZuU+bDalNDV9V3HdNxgTaP0BtdrCkmEoiW1LV1sKq9G6y0rFWNMSqKnoEuNJZY4VmMqAaehRSBdIWJkuCzo0LsYjGCDylq5ekVAwYIkOmlIGG4gzKRU9WtnA2AvVo5aUDpdk4rACFRGJGGo0KzXggjGZ6uKZ4lVC4TMKNeAq9FjJgFpSlqHLFXuU7LCS+Jd8kvzNpUtQqWUpQx5nbxP0KfvugsAdZmmX+/wFtzmlW2yRLq7Trroon5D3DE1RYhpFY7cpAS1dxCt0q6DpIe0WaajZ4gmDFpLFCF/vUjhDKUtCm0ENuh6QNgnWGyxjlhzRSEQbIi5NREMXPTcwuIXznxI70KUn5ClOuq8Mwidc431Sv8Q0Y5oAPtQQvYpm4gkqUIbtV//VYhxd5uE2aZtjqjE0h9AKqs3Q5RadStGoPp/13+Zn/Udo/RNWbOOaPnUAJKENW4hNiWyCUgMWHPFheo8/T9/lZ+t3kGUfa+c8VYYH2SEdNJ3KoYoOWROj2g5RrWhXOZRvMPd9plrhfYRUEcruMzcJS8noy4LcPSFvC0J9xNJlFIw22xclSNlBNwZVpyhlYHWIiRW2ypiJYaYdbZtC3aMNOaWK0I2irG5SpDcJrdBpJ+zKlwz8e6gygzIjqYc0rSW0KaZo6VQd2nYLz4C4DUS0rCXjQr/Fx1nGp/0JD8Z3OB9c8l7zV1xXp1SmZcEeC2/pS8OFusHjNGFfLGNqHic7rM0RW3LJJPI8iO5wHG7QDw0z3bBSQ6SO8Ks7LMK7zKXDyJ+yV05IaqEqBgwWOetZBI2GIHh7l0mATD2h8bNNpJ1AKgu2eUzu34Z2gCr6SJXSNDmVAmz/FYc8RrzG+4hS7eFsipZtfFixiIZ4l0KzDzahUyeMqoY4gRWDDfOqTRm3U0ZhQqc2VEWKsgm6Fbo+EPs1SMtS9aii6yizjQ0xyoL2GZFXjOqGmTqi0u+SNwv6dZfYCg96X+eH5vfpxTW2LCnp/FLPkdd6rdd6rf8vem3U/AZJfmHUyC++31AOCJrw/1hKjwNk1Ci/QsUK33i4gN5eQ0JF7Evaao0ebbMqhH4WyOICxoIaGKLJTSKd07g5BPeKURNw3jBZC3tYduIllZTM6z1W7zuS4y60FvycxoKrMsp+HyvnjFliw5Qhp2TRC/bkjDr5GnW6S/yq+eR526MvA3bMmiXnTCeHXH6gSV5kyHqFK4TVnwMPHTZ4PIZMClK/ZqlHfNLeYp1BGe0RWtjPApMw5jOfslQZw+6KWbTmeXGP4n5K88OYsADXesqFI1QVbYAWzYGseCwtkPDC3ean7ffZ6hb83fgf81b0gqtwjUv2aQkcpsdc+povptdong65+rwPDy1UJfWqxc9rqrnCHzr6YckBU7S0PFbXuKzvcn14zlg9pBdOKVLFnC6OFbuDKUsOKRBuMP9lPG5/qyrUZuNLhYAiog4ZNR0ufcZIZmRSEELEVAZc0GcuObFak8oYExwDVrwjz+iZEh1eoIYz+mJRtPQ5Y5dTempOkIpSRpSkxCGQh5ZEKiqfoESRU5JRkxBALBE1XSmxOmBoUExpfIySGbsoIjF8ITeJk21EK9K9Ej+oWUQRD8wdrmQLEwyVghURrd8wEJ7KDZYyYuDWaF/RGoMNGZlqsT5wypgLdoi0Z5CsqMyIWid0w4oQEhZS40VRuh6ZCqQuoHWEF08kDRGOMgy4oqVB0WGCJ6byQl88I5mxEEVNYGZTxsTksuSGHNMPXS444EkY89IdMZN9REo6vmRkalbOsQwjAsK+uWQnXDEPMUo2F77HYZ9TdY2alFnYQsKSMVd4YEVOqiYMwwUJniCG2E+pJeVC9Xi4fpvLpxnvjvqEgwGPL3M+b75GvuPYi0aMPWgXk0ZXjMKKyGucbmix+NCiQ0sqNRUtkVrTZYYONRPJSdDs+yVHzUt6viX3jkfqDse8wUK26Po1QQ04C2NKtujLAhUsKSWprIlDAQQIhkggYk1KzUDWKLvCknChDmkRJBi2wgovm5alPmt0OEGCpcfm7zjCE2mHZbW5IEpgQQJY+mFBXyoGsiZjBSgKAl2Z0ZczCDFzduhQsy/n7HPGJmwJ+1whTNhhTi9oJLSU2lIERU8qmqAZypyAJYSMWK0IYpnRYx62KUKMokXRklCQ2CUdvUbLHC1dNlD6gpwpaaipgYQGmOD7LfAHv5Qz5G9LNoqot8YstUG3MYtPu5ytDWzvEF03fJxv8+06hgfb2Kcto+/D8gcxZg8qO0C0wa33qaoL3uqdcf1mxE8fB9g1tHbIxO9Shtssyxw52cL+WeDGbc9185Kq12P1KQzUGGVXLIvA5GKf09N7tMfQ33rOW9+4osoKXrIH0R6RN+iLir0tw11/ylw77iZPIATOw5hDc4zuK+bGEe9ZTJgxSXY4NwckVNzQ91FZ4PP9u5zblp1kTUeuMIWi+DQjDCHq5ER1hps5boY165Dwj6u/zw/LLX724rfxlcIeRmDhrIgIukMyX5BZxfPtb/LjwQlnKkMk0MzBh1u8fLnm34y/wzVTccEd6vWAs49SXLtHmRvirwou7XD26AbPYo0738UkimgorM+h2bvBU3+HjmqZqgTbv82P79cc3NviMIXTNHDxEvybA6w45HNBzhWdtyMmH5fc2raMR485273HxecxdejhvpFviE5tD2lzOBfq0Q732WbmrsFFguSg72WEo0CIFTrNiVJhNRvSDDI6uw8xnT2m5SHrUrMo1sQcUj5KmL55nZfxDlOZIc2a5Yc7/Hh5g4vvXuOlvMtk1iWQ83H3Wzxtdzloz/jd9C+JdMaj85TZzjaZ+pI8jXFZjr4nmHjEv3z2NoOv/Ie823vAehFhpx4zVqjSoJMOQkSxCni/Qz69z7vjTZPd8XNBjqBFcRJGrKp78HQHdZyRyIR3s0s0x/zTjwKu7bIeDjBtzXh+yeHhgkhbwlnDtbZmL/spH/QF/7vbZN+a0YQTzLxilK24nZ9ik02b3yTvUfmG7TfXlFsgI2g/8kTTc944OOGL7gnKDBiEmCRdUR4W5CLs5+fsn52RNaesL2LCQ0W4EqJRRFgtScM2Z48HLCWliFa0swx2ftmnyWu91mu91v+7Xhs1v2H6hTmz6U15BReWgAlCjQMlGOfIgyNWDhsrvFPoC6FvHXmAnreo2kJ3i7oO9CnINcjAohKDUvuEKEd8S0ATpEWFAnE51apLP1wBZ0zcIb58i9VP1+R1Aq4EKYlakALKkNAqYVeucP6EnlyybR/yVfMTbPQV1jmkLOmxZu1zTNSnJwWJXHBRvMvJhwkHlxHIJd5amp8k8NKz1hvuzThM2bWnWN3hY/82yzSm8ikmeGZa8dLf5EM5IBNHP70CVXJW3WP6RU7+WUwoPM4LbQWhqqgkoqHD2F4xUFNSShb+iH/O34dOzKj7mG11yWN/gxfJLQ6U55o+5mGY8nR1k+ZzQ/N+B/NiDnaJW9WEpaddJ9Ti6TPjVnPMIF5yJgd8IV/li60LvqcbbvCY084BM9/Hi+UofclLdYMCy0iXv+Sn7m8u+6puPRAIaFZ0uQhdIhmzLxfsyhlKWi7oc2GGTF/xZTIGDEJD31/xrvmIa0TEOiLrLBBXEuiBrxnJCYmak7KiRNP6DonbGDyprnFBkQbLQK0Z+oZEAkHVpKzphSUrk6BQREQsiNBSMvBzGrp8ae5R06VHwc7WCRVXrKTHx/IVWvqM3YRSRzQMyUSRu5qpHDBTeyg7xYSSpenQDyX74QrnWxZ6ny94m6lsEScNfbUmk5IDd0IIKV5KSlIkRBtmSijo+AIjDWMuSVzBQnqAJ2HOUK6wJITQo2dXbJsTNmjqDldB2FfbEDK6fo6WglO2eCjv8DP1bZ7Lm5Ti+LZ8yD33iKUMeCq3XgGvvyANC7xEXMouCzo84QYXbFPSYS1DroWHDDilcIYCGKoVO1xQE7EMPQyahoipjPiwfY/J0yFWj9g66PN+eYsPV++wt+8Yy5hMddj2V7wnP2DgL8jJMb6lJaDEoXxJpCoEx9CdUGtDS4wLHZw4hqEmsxWiagZS8YAOz+UGtewicoIl55wj5rJLh5IKzQHPyGSFVpsGvZwCHSxaKmJqckq0VEzoccYhJY5RWDOQhJoeXgdEV2Ss2MGhxJKx2YqJ2UCea6VoQkrhrhErT98v6OuSATO6fkYrPeoQyHzJ0FwiBDJfs+XmiAr0WPML9PAozOlyzg6XxBasSlDkzMWRhYLICQMzx0qLIxBjccRM2aZkRCMRQy6BlpQCHSoInkiuiIOlK4Y+LRZBo5i2mr6akgdPkpb8qhs1PhWaXooXxV4NzcOCdhoj18GPDcf5kHN3xGKyQ11cId+5gfuwotzv0roKtTLQNsRlxZF/wPgg4cfHz2F/i1Y8F1xjKd9jHhxhIbQvlry9fszB8Oec9sdQH5KkFmGOrzx2lrK6jKk+jbhzWPPtr37JWbziOHkL3/XEviSd1Bz1LHf9Axp1nzeVp2DMU/kGO+aUYXbBKn9GJJCEc56pQ+bybXp+yh3/Q7qxZR4HVss9DqIFneicUAbCRMEUyB22XSGF43Z1hXEVP3Lf4cftV7GzmLRtMf0VzLYoF5s9xXR9RVSueDEccN9+DW8u2PUF0xXMpWY2G/Kx/w4tz3hKDysHLE4CViB0VoRrCcFZqhNPKfvIZBv2BHor1EWBbgY8Vm+ThUtmYvBZyrOzhv3tiFu3ZjxOnnKxymjdEQ2BcKJQxYJoa4R/sODe/Jyj6H2eDxRc7KJ1iihN60A3FdpXsMpYmV0+5i4X/jqsBHoebjrUSEBa2C5QrkM1CXiryNUT0vhdfJngK4gWE4ZNj9OXAbvbYTkasFI5Up1jTxT3T26z+u1dFnYfOzd8lijKPKctUmz2Ob8TfkSmE8ppSj1KwCzpmwyJF8itnJBo7s+O+KD5Rxj+LyZ1hK0bZD8wkZfoXIh1YN0mqOA4XH7MN7d/xkX7Jn4e4JpnLYYv5RaFvUO4FNzU098/5p3kS7aLF/zF0/eYbN2mjhxil4yWa25GT8l6S6rTU27ZCXv6Bzzv73D2bkC/dUz17By/CAyagtvyM6pEIKp4YcYscOQ3nhGut7jpirAOdGZT3tJP+TSLKdniICpIzRWr7ZZ+nLDjX7DbXBE3V8TTGh7GxIqaAAAgAElEQVSBWlp0Z42vKwZ1xvpBjNuKYFtgFl4bNa/1Wq/1/3u9Nmp+gySvrBmU/+uWp01d06v2Fw3Bb6JPTrB1g5cUmi6JFzpuRkaB1C2hFiRoBEdCScdpxHhsbimGC6xLoOpCyHDK47AEnxMvNvW2nganNV462BTqSEFagfHoFnQb43xGCG5TrSgdGhkxUBHb4SkD/QHxVozupMQI3rXYZI0LKa0dsjI9GDp8G0A8mISQK4gUpY6YEeOCENuaoDTLuE8dUlqvuJJ9ZuEWx+4ek8pxPXqEUYHWa2yIIYvxPYEooCpDshJql1K2MQ2GSFsyV5GaGs2UiBWl/Rr3q39APz/godqjtBX7+oKuD2gsrR5RdkG2BNtrwTiiCqRNCctAFlJSDJHzRE7w2jCLFQ05X4Rv8Sx8g7UM0eGMMQ6sgE6waotlyH8NstgJDqiJMBs8NZH4Vy0a4MPGxPEqIMFuNr+kxoRAQkPGGo8nkZgIyMMcCQXg8UEIZCxkn8AlbegQRBGUpRWFk5hCRTSisDgqZUEEIWwig0poSVgzpKXHWkGNxqkOBZsIUy1CFIQCTSod6mAY+3O0usKoNVoGtB4UJY1UpFyx7S0jLlFSkISUUTghDpbSx6AVA9aAotGGFhgER+wnNDpGERPToXgFhJxrjaiGTpgTe0uhdiilYY2mh0aT0pCwiiLmZKwZcV7tQ7xLR1/wUjyf8O/ShENEtXzJTb7gGzyReyxkG8OCpWxzLEOO/QGnao/gKpS6xircYF+e0WOCJaViiIimQ4kNOY4+Bbs01DhJKIJmRUuBMOEOT/0d5uWYmdrFXw2Q8xzT22X55g5zGVPbPrMSpHubTBRxaGlJcFRYyanDFrWKWLCD1RkjpmSsaFWPY/YopM+aiJgrnse3mLsRjRE6Muc+X+csXCf/xdkono5YXKgQAi0ZDo1j03oUh4ZUamyI8Xh0KDacLpXgUPRY0PUliQQKMqzEpNQ4Yko6zNWA6tXWjKJBveIKKUCIIGgMBhUU4RVlzFDjyCnCDgsSyrCPUNGoDBGL0NDBkbHGBIcOmgEz8lCwjkY8kxtM2aJCg0Q4rSnpswwDrmSMCgtSZhg8CTUBSwOsxbAMO5Rml0vRpCxxKiEOjpwJM+nzkPd4rrv0WJMEx0Tv/bIOkL817e8+Yp3PaZsBubogHX2GV12ibkJXT1Ao7scDXt5xRO88prN9Dff2M+KdHgmPMGWXPi2HUWCLCU3Sw29NiZcxRj1hKcKFfwufLZCbGWp2SaqeMpAz8sFToq/sUaqnSGLoVjUH7nP2Bo8529V0+k/o+jO2t07p3LpPdKODSEtea6IwBamJGeNtwTrRnLLDkh6pmtDjGEKMdw25mnONL+j6GVmosZLShgZQVE2C9/83e2/yK2mWnvf93nPON8d455s3x8qs6pqa3exmkzQJkpAESyBsCwZsWBbkhff20v+C/wzDOwNcyIYha2MDNiiRlNkkmz1UV2V1Vs55b94p5vjmM3hxyzK8sWmIQLnV+VsFYhWI+OIFznOe93k0Ic4w5pzozjmEEnu6umm+sx1i5ph0y1RKungJI8WBmVMmA/by1yjV47Qnzi9JuCSNOtbkKFbsD57T7a0op59SqRHbkOOnV6QPVhS7OY6XlEnMcMcj7RcEpylEY66u6f0hbd5TxFti5emCxvk9yIRoZ8N0dMqBecEgZOSHQvJ+RHxPGO29ZntikNFb0qPvkG5fkKZrRiwZxadEB9fI9BolPaYTpKgwgzcwPiThlEFoMdkaffs5yUCzny4YUFMjTCKHYYIbPCdN5sS9MO23FMmMrYnR8Qw9vSIZQhyv6ZmyDLsQn6OHG0b+KffNkitmrNOCVM4ZyT5LdZuxv6YIa8Z4BuGSXn3Ckj0GqmVHPaVKpzAeIWHJKhbO1QF2aMluXUCmCNNfoDPH9LYhMiP84DZT84yCc0x8hGSBoIVaJdSMaGVFMv05KklwyRLEE8UzsltPSfbWjA5f3bQ3OWHo1+zylK1+TupX5DQcqxc8yz2VQLAFUZWjGNHTYShvmu9IUGjGfs0eKbHSRL0w3nZM3YJDXhCHtxzYjm2YMtY5OQXWW1oRpFO0SY0cVSTZBUXxGnEaGyfE+SXjomGYvKTXQ+C3v+lx8o53vOMd/4+8E2p+pfg/pRqPBFDc5MWgLM7fBAmjAomJsGXHZlMiDPCbgn6tGCU9WSih7dkuaw4nhzybe7Jjzzho1O6Q9H7K4e8cc/a5wv9iTLfMCRII0kOveP4nj/kH/1mCNZrGRzQi+JOY4AM66ei7nmoFttE4rwjBoUmY+5QLl3A7zjmUDR8dXrPz7z7CskWJYZxECEuUus31xZB54+E7QrGC1R8Z2ERkH3k4BddDR4yXDKM9kZSgHOu6JY0nXPkRz+rb1OuPmD//E7717zhQCd6P6LsVYVoz+PYBTWHR157NT7b045SrlaND4bDEYjH0TNU5342f8tmrQ/7pX0/4y4//fdSx56T+Cz45UIhKUU7Thhq574nSgvTSsP6hwreKUJa8+PI58gcRVms6A0YLBR0JF2Q+50fNfb5oDpmOxrxf/UuiQYmPdmjblA2KOht/w8/dvzkhGFpRuBBhQoumoVANGTUDtsTUKPEUNEz9Fi9bJpQYSsaqJZGWDQM6PUCFmxBX0RpPfJNXEjK23rMnio0M6CQhUo6ahF4CG8kJRNQhQUn+tUMDHI4ujFiHPd7YA5Z6D5GOVGoUMb2P0WIZsCGRmuA8jgKjOt4PrxlR0inPkiNaIoaUON1xwltyOWPHXKDpaEPCgb6klQkzdUBMx3s8xyFchilrhoxUQxZXlAiDAE1QrIJhhaFVmgwhFkvQKQsySgJDcYyIUWTYkFICV5JyHfb4cn6CHh6wm7S4aMiF+w5/Zt8ji0qWMuS1f8h5NyaNe3ZlzToMedXs89l5QbG/R7m65mx4gqkG/GA/5uOoJ7M9kfZMVEtORYTgiLlkhxA2VERsZczWx/QIb/Q9/vfzh7w6PUB6oX/S4v/XjKc//DMefW+M0i1646hOO9L3FdBi+w6jCxJR1Ahv3RQk5lrGQE7EimNWrMMeX8kDFowZsSR2K/5SPmGFwVvLWM15oT/leX3Io2hGGjWIr9nVGbFtaCXDKDBfSzUeQyKBPUqQmko0MS0OoSSnF80+V4zVjDUjLmWHJqS0NmIjhjf6iFk4QtuOW9GKhDVKhFgiDB4dFEErlAQi8YjcOI1aNBUJL/yEV/4WmXTkYU2sA4k0KFoyOk44w6sOq/Kb6nMPaz3kJ/17nKsTdmTJjiwIEnMdxrzlgAt/QK9jDkJDSk0hjoGqCQHqkHLBHud+SiUDWnpGAT6Qt+xKxzO5x5+E73K6sfxgfElPz3N//5sdJH8L/Ef/4TN+3H3Ej+YNx8ctv/V3ttyU2T8j4goXDK91QvMfH/Ib/3DKKCu5/uguD5NzDnpweMp4yI75EXel4qsw4eBRwsPI84G19JHn801Gcivw6X95yOpsxXSv40QVfPsPcpq/n/B9dxv/YkzyeMX3x8/4ww+/5LPv7/KxatFJx2//nUPSPxzwSmu6MuBWKbFuKbXhiiPGsiDxC97qAW854EQce1IRQs7Wj9kNmj05J1JzCGOW4Yi2yTgc3OfsxS9Isgn9MOL97wQ++P2GqIx5+MMT0j83mL5l57DH6Md8J/4F1a/tMFMf8JG8YXq8x+9tW+J1y3ITOPhAmCS/IPNv2co9xnnDt7+34OrTIc9jTaRa6gCDOy2/+V9/wFC/QnvH2k1I1JK9uMZLxcm6pjifEfpDst884EB1HAxfoZqa823C9OEBd8c1v7t/xseyREzNp39gGP7GtxkmG3ajc6Lf2cf7MYv4kvt/b8xudMVYYu4caGb/iebQ9nStoDY9g92Kkz8YEKKKY3fFARsu9i45+S92iJzne+kThv4tytwIuAv2MLsb3nM99lzYzy3H01do9jneg/yOo8wvUOENoYm4siN0qrn9/RX/YLTmvv4hMz+hvn2LzJ/hgXX2MZl9euPOo+bT+yU/UgvmTMjNiu+crOkPjrh2E5bNmng44ypEpO8P+YNHCV4MVf0pcVzyregZW9txma+5R4eRmqN7E9TrGweZDQNqb2A05zf/8fsYb1i92scXDpUU/N5/9R4vcsuva090UVFZxeFA8cCcsv+hZlxvUER879aG8r1DWrdl2I8Ily3ruwkLdtiVQBYMITjikHDoBIkMuSroKyFarpgo4VgaTlhwMjb8WEVkesSEHer2LZXJkKYjuaUoPlV8y3o+WHq41MxCw7f+4ZAH/gV7/jnejHkn1LzjHe/4/zvvhJpfIf6vVSf/f3uNfF15KOHGYeM8xmlEIkIQlEtRKJSzFKEntxbtBBturPQZgcg1ELf0mWVZBBodoSOLhIDyCgktvetJ+oSkU6SmQUmNl4CogBEQ34MXjAdiT68dPtyU2Vrd4FTJKFxj/IaKL6hFWAXPIGiyRhFyTxALLiJ1mto76gh8MkeZDKMdSAfWY8hIgmPgFqSmwpOxjPZQOmOmc2b9p/S8R+ufE4WKxEVIEAIpEGNjAd0TAfQRnR1QUbBFEYeajIoExyAEjG/ZmAHX+tv45JhYXxNHr1jJFY4WFUoER4g8nQ4YrUDFeCWAI4QIS4KnRqsrIpbo0CFBWKkx1/EupzxgYYaM0xc8kicYtiArvPT/unHrlxmvICA4FF4MGoXBYYEegwsxRm6CWzPnSLV8nf+hUd6RhIZOe9LgGXiYyIzAkoYhMKHRKV8vQgGQ+EDqHYnyN7eG3HzfcUjRDlAepQKKGhUiSjXiSu+xVAekdKgwp6C9CbENEU5SFD2iMgIZhjWxasjDlqFv6SSnZYyIkHmHZkuhtuzyGh2EkgmJlPQMsMQoFFkosQgih1iENMzYDRekYY9KShq/pNSHN7WrIZCKRdOiKDEYnN9hrTMiLhm57U3wMilRgNiDtRGtzolNylANUXLM2tVk5ooNCTM5oQq7qNCy0S2OMbPkHpsowpoRnSRcp3vYOuNB1LBUVzizwUp0I2eGCCcer3q6ENBSECSiVwHE0IeEjoI+5HRhDwmKuIR+oyA5ofZDGknAKiKb0DCm0x370RorBnGCMzEblZFKTI/CS8KGgmMsiRV0lNIxRKRGh5iVOuK1mZCoDkfOOQ+5VIfcCi1KPEF6RCxBQS0DesnQQRPRY0mxRCS+Jg0dSqfgG7Tq8ZLSUNDTYEJHpHos0JHRU7BUBTM5YS2HDMIWuFnP0nSkoQMcjerxocbgSLkiQhA6HIqOhFKPmKtdCl2ifEWEJZAQgiWIIaBQNF/HCgs6eBQtDQUL7pKJRsIVkXL0omiDog0xDTk9AwwWj8aRIUGjQoqSlE52uFZ79NzUzos/JWGDeLDhELEVqXtDotagqm9gevztkqR/Rudugewx4oJD9xyRjE5dktHQNDHLbMwi26DTBcMAZZxTyee46DWEAbkacuh+zG15y8wPMeotMeck8pKSY87Sj0jUkm0xo82eMuQlY6kJ8RvqJKEMj1nkNWPl2O8u2PFPIe1Q/glZWNPGJV38x2y5jVWBqDVE1pOGGqGiNR1rs8tCpkjQJL4jUXN6NkRBMXSKTFp6v8ZJQcSY3JfYsMLTIGpNE1Xo9JyUv6YxE8pijohn0qwZ2S2tnpDhaZiDes2Oe0KqLZJ+gd+URP6YLLRfB29bpngy9Yap+RGl2iVRIyRoOsZs44hteEOuZii/wUqJokTJY1KEQlIi66i8p0yv0fILYkpCOKYmp4ws2+INkXrJ2F4RtEFHL9gOYyLdkfIcb17T2w0mOgIihFOycEnGBMxL6rDAmhG9F4K2YK7Q9KAMNfs0xtOZl0jYkMhTdnlBHCqsaDqO0FiGYUPke4yvybikoKEIp0zChoIIGxZY6Wl1TuprMq7w6ogiVKh+gdUV3m3odE7LGxKu0NJhgIwzCt6yokBRk+mXVAa2VnBtjWXEtShc0pHKCzo5Y5b2jILHq8f4KCWWuwz9UyZcYdiAaUAEy4gNe7QavLzEB02flhh1TSqvaZOCbZSCvCB3NaHrmboz9qkYYSi4ZMIztlENckRQGblbMuhaTEjQKAbBooNiw4haNCZcYNgiocMIxK4hZ8mAJbthzW6XM0yFSHK6EBO0RXlBsKRqi+ENor4gmCsI+mZ+84aYJ4zCS4Sdb3qUvOMd73jH/yvvhJpfMQTHTTScoNDc7DwFIgwKB9pi24S+HDGnwh8Z2pCjc0FHFxyEM3abiqaMGNw9YuDf8CFfkiVD+M3BTQrCAfD7kD00bP/7NbaOEHKC6RilLZGLbg7XxCRakKEizaEsDHSHyBtgtqH68JpN1BPI6WXKrE+o/Ig4LplLwueccOGFVlZ8K9ln6i3j0HF/uoSdM64OhZ1yyipy+D7FVRrUXRZBWDNlHY4o5YCCF0zo6SVliyFjxnvpz7hKMrr9mKVKwPdMWdPtjLh6mCKxQHh5s0o27SGtcX1LTs7aT+iYUPuWzq7JdeDh4Z/zwe+t6PIxS3PIMBY60SivmZiK+0fnnK13UFMh+aql0ue4c0vwCSoSkAYTwOodLjFMwnPu2y0/jFIGZsbvuDVL7uBCgmVIbi0T40EbVvzyN638t//0P0etHIlE2KbDHySo9y3q/hqTNsTdENYbihTq84TlkwnhTkfyKGU4fMo4vGXl7zG/yvlYn/Hd6Qvetjv85CcfUr8e0h8H3Ld7Pp78nLf+Hl0fc8dcU7hzGgwlEZlYdqmZ6C1ISRViGtnnqh7x+jQm2n/IclPBOCYiQm968mHNYHROxJxJaDl2c+6ZDUnYcBUO2UrKSiIu5JjGCR/KKd+SJ2g61iRUGFrJsX5E4Wpe6n2e6Aes7V2oe3TW0ZmOCMuQLZl4KoZclhkqzfhWeEwhgfPuDn/6+g46SlFhRpgIkRxS/3xFjEYNFRjBrlvsbED7WtOXu3Csme8dUw6GmJAQrKD3A3Zsb9a7bMI2yrkqYrSx9KuU8FhRnzrCdkQzUtiriL94/3v87MFt9K7A+QDedKikp9961MMBHLTIeEM8EKJQATWVy+lOH7D4FylSpYTLhu7JTcqWj6ERj1WKUEP7ZYPVY1xheF6tae6fsIkOOQ3v8ePyAZMiEJSmIuYxJ/xvxKxkyMV8QqtTLiY7fKnuELqY1h6SmUtGyQsu+gdcn8Yc7xzxV+a3+au3O3TqfdzK0lshOk75IjnkcHhNjL9pJSEiUj0JK1o14qU7xLeOw7wkZY2IYELNTaLNlKBjGjG8CXusOWFUveB34rdYv6RSOfssOAgvqAj8zP8mqJR9fQfCgNNwh7etRqc7tN0O5+eG0A+IFoH0tEE3lvAbFY/eu+BD9ROMrKjCDviITK8oQ85PN9/j2dtHmMyxe3hOnjcs1lO2fkgwBu06YmPxpmKSrDkMCyLpmckuL5sT2vMD+seCVRD5iL8YfZ/o1iWNPuT6R7cxXHH9948ZZTMO3MUvfQNdREuvNY3KWDPildxiqw5omFDQ0SY9DTt4aiayYCtbtuzyLNziWqZkjIhxvFGavxLDtRzSdoEQeT7jA8rwHhdql2Rxh51Rw3LvQ/5H/Smf118wikscMV/2D5jLHcIMXs+PeOy/xTNSdtwHBPWS3ajitlT8wg35a/sevxGNkCc/4zt3xszwHJiKlMBROGBKzYnMqBEuOaGLYBuEBQNaffNZSww2C+yoUw72n/BaD7mVrImVY8kRQaV0RYFrDfOfzbl9sGYVb3khh5yZXWp2+YINX4Ydbutv86r5OXfWX/F3zf/Ev+LXeGZ+wOP2iAMzQuP46bnQnNym0pYhPZEXYuU4C1O2cp+WA0y74TS+jXMNv8s5v+9jWFqIFVsOeM0VWeLwJmNDx3ZwwJ8pw0U0YORXrNWQSAsvSXjLp+yg6MSyDXtsECwHeJcTDBzxht6UnLoJD2yMrHoyafDkvHGfEJsCi+cTPsdKzEuOeapuk4WaER0LBoR4TiVvOHxyxrd+MEOFmgUf8IwReXKNDi1KjjiPHCr/nH+vPufW6n9mPoWf8B1OlTAMe2xVzrptGacJtb/PL8K3uW++RMUW2wy5Wu8x3BlThYxX2x26bIArPI9lF03EsXzBgTym5i4/mt0lHYyp05xYNQgH/DP1j/iXIePF7BY2zknyhgtdMOSYc454wj16ClTS8c/FM5UxaSI0MuaP+Xv8fnjLr7/6I7KrBZ/f/kMqPcTov0T8hGF0CtRcyy2u79xie5XyKPkZf4gjViUuinku9ynY8n78mGMZcT1YM3wU6JYNLlqggZFck8YREZpbwbHLa3bjM4yZoZ4PWNx5RDlIOXt0n8fxJ3zr+p/zTz7+Z0RdxM+rj5hzi4eT8292kLzjHe94x9+Ad0LNrxAB8HKTdvCv3xEPXzsVAgpQ2D5GrGbcXbJjTlGqRgyknWecbolDT6BmmFwTN5fsuwaUQiYrGOWEQUCNE+Ker2+qFR4DaNqkoIoEHwJDXzKVmovkLW58C4kqCDGmBLXROD9hJWM6adChBq1ZqIJYpnRhnxBOsI3gswJrr+iia6ysGOfXTNGUmSfSdyD2BB8RFKByajemCjFebRBzhZUNHQ4vitCPSMwhjeoJaYdLJ9TkBCnJ1TmZ2aKHLTI4AbPBY4h0j46FtocGg9UNKqzp1YZVvCFQsudrinFgrgMbbyAkOCKsLtFcsysp1/kSbQbEoxZkQegtBIP4EZYMxxVwjcITlKGOAspP0OoSlazR1PiQUpHiooaYKzJuDo6/7Fx/9SH1PJBFQltvkHZIGILaKzHSkNU7bFaWobT0m5bN2RhdWIZlSpsl9HLIqvuEV8uO4VCYqAVv9Hs8WX6f5rXBqRZ52JONO87dPbo+IUQnGHdAT4QDMlOxZctGKpLQUoWCmT/gornLy2vHUXzI+XxBpLMbwWwt7FJxlA0x0Zo+WBL/jGNe4YLnjHuc+gM2oWCjb9PYjrGJ2Q1zYq05D/tsmNCGDB9yjGt4LXu84Q6r7hbN9mZ1ySRLclPTOIv2MZ3knG1hTEKXv2EYKuq24Hx7DyQDv4uJFBOVsXg7Ren0xtKvhWhu8VeG/qlD95rYCm01phuM6LzgFERB0D7gg0ecggg6GxPHgloK6lrwz4EtJAPorwvWUcos20NrQS4hvAmYGLqlo8gNveoJsmU3glRKXNxRtkO62ZTurCfqFfbSo67M10V1gVoUPkSoVuNmCfoyxY4F18Z0vqBW+Y3zx2YEUjRCFQzbcEynptRuwmoJkYkgg0qv2HZCsPt4r4kji61uYbcN/bhgJfuc1/dp+vuwavF1z6BIOB/fxZOS0ZEAK7tHEsHYvsbpPZ4092mbGhfPiENHqwoGMid1JW3Yo6OgE8+1HHAdDtnanhkVvWRc+T2cNMQ2oVaGBQ+xRPiQ0IQxr8NDnlUxAzNC9RHXK00/z5CFoK4cetkTPWgZ3TkmNQ6tN5TuFt6N0OaCVhTn1ce8vbyNHxnKyV1yVXG1HmMlwcQ9uI6gDD7qqWSD11cYGmZhxNVmH+ZTutOANxplYVHuQH6bxOSUz4XBYEhwBb3EKPnln0XjznPLlZxWl+zYDkNKmRgi2944RL52imWu4lZSEfyKNjQkAhEZwRq01GitafWUrssYNWumsafyGq88J9WKy2bMTvKaSuBS7nHYGg7jimFYETUdaZ0ildDVOZEvGAeLsorYZEybgihyHEtNWnkiH6EWJY/qmheDkt1eSJqOfFAxsFt26VnZBEliBvbGb1urlNZHJHREWjjqHTvpkjTUFPU+k1owjWNAYOM6EutRXgiLmqO+ZsYS7TKazrGVllgljDEU3ZC0HHG8foHeLnk1bLgQGDctE92RkNBeB0bTmGFqMb5lrEsyOq77iM4UxLJFbyrS0YRNPyftG7JOwFvecw1jFRi6mizURMEhXUYShiRa48KAYGumqieJO6SJqaIBXoHvK3IVUH0gixLoI8amJ3BN0y8oOkvUKOLWMnEbOrGo0CI48rAg8SV9mLBgykYrejoCltQ7fK8xIUGWLUddz7HdMNM1ifWk2pMqgV5jXYypNaPGMy0vObErXukEXTsSsfRBCLUiMTF9lWFVgjZvmfg508aSbbbkI0/WR4y3Aa+2tMwQN0EFmLLhSG2ofM14YwlxhySGpIdIalZ+wlLvstk4RtmcPVUy9GuGoWHjN+SqpHMpoRbCOKdvE07Mhrmfs5GMzo9RlWe4tYiL0BqSRmHDlImsOYwUq+AxypDpiJPK8d2uxOmKmctYuYS9pOLYlpQ6YtAUTM2Y0MBxnXJ/qNirHUfacNcEImfZA1KrmGwM0bojXsaEtmJ6VxG3u6Rb4aG95r32imfN+yjnmRQV/xbcYb3jHe/4t5x3Qs2vEAG4SZXVXwszPQT/tbVV4XAQYpoux6iCe+1bPkheYoc1IQkM3JaRXxBFFqVXHGdfcsSKvKspvSI/nNF+ENO5BNVFWCkRhDQkWASnNGtd0IQN+1zh+yfk4Q6r6RUHeU8l7c3KTwOqj2jdhN4nnITnuPAzjD3kRXRI1b/H9ZffR73YJzsYsTg65XpnxCQ9Zd8tSAOM5IpxiBiFnGdisHZAf+qgDHRKMLTc5SsKmdKLY0tMGW6zIMdIRyDHdjGbVpGI58C9QYlQEJOYE0w2YOGvEe5i+ghperxkdAR2ecYjUmIqbGhYyoC5DInoid2KRO/QIHTAXrjkY/mcRVjiVYJVEV1ieSsbvGsIJFgfE5xwZN7ycfgMZIctGafc5kztcCRzImp6uTlQgeOAM+6KZhCExPlf+n+6eAcDRZ8EfKShtRhvMF4hjdBvHL4WujjBbQ2UGjUL+HlHG6WU/R59pdGlYZUVXIYhrQ74rKIfjIiihGjesdk5IdQ5slXMNgOKcB/KlmQYUeoZTZFjtUaXDZUNlMWE/nRIcuaJLiyjWUE+hcY4tirC7CXYepd2OKQswKiKW/GMQpWsybhYjejafeN0FMUAACAASURBVErZxzVL/HRAyDO2BN76Pa7Dfdo+QsSjusD6cgfVT4laQV9YslgIuzv0o5pgPb4xIDHR3CFTTX28hy8uUNbAMiYSj3IBLQZvA6GMYODBa6LeoTbgFha2GnEB7I2YG3KFBUQFQucwa8B5wKNS8N6iM8E3hhAU5Dfp1X0SCFGC7zxKIAioVHBFoCs0wbY3v+O1IJsMc61ROiZkHZFPcG8DzGPiRSCaKdS5Iwot5bSjbAtoR+hTi1nEpEOPlIGghC0Fc0aUYqhVzmV7B687vOmx1wNY7+NdAguLjxTWG2Ta4mULdPSVpurGcGGIzz39BNpJjpkX5KcdphU2rUEywasCPU0ISjFzEdv1AZkz9AFidoifDCCaUu/l1F2FRFNcVLDNNpT5iFYM0gtNPcIrTdsq3J6wlMCVDPEMaFxDqaacVYfkGWizpROF8y1GeoJoXJgQSk1SAdZSG48fBcRmrC9zrveOMXlCiyHWil7AkeKqGEoFY6EWj/cRIb5Z73JRQ0R1E56tNEHduCuCHVJ1Y0wd43xPN1QQBaQ3qCJAVONzA8MEV2nkSjMYGKxaf2Mz5G8LrzwNLX0ilBKTuB3Wq13ICrBnCB5jEko74W0zJPSP2ES7tH6XEDw2TcloSKoRDHPWdop5BSuds1Uz5CQi8i2ui6kud3CpgBtQXrzP1ctDynt7JN3PyYJglNDGmpKeHIONPdccgER0akoFdMaDpIRLz53LN3yoOya9Iq0th/2YzG0Z6JaNN+y6BY0HVKDRKS0aJVCFjIMwIHYJCUsedFtGdUMSHFOuaPQAm3iCAec3WGXofMIgVEzCHNEKR0XqNcaXN+fjrSevBDtQNDQMZUvhGro4oCZTLAFFT6tTzpyi0AGnG5SqblYkjSdIxMaMOQtDrNkl69bs9V/SmZi1ihFlkdCR+ZI2xHgf2KgBbRQwWAqviGzM1j6gzEqcviAHrMSsKNB6SCuKObv0Ucqyn6GnGUlUk8iGK5UjRBxLhJcxlRzQhAErP2BNhnQGYksVMnomrMIFsSjErxB6oCFhRqFrWsnRCor+giN9zUACadeipOVChiyMsCsLMlaEaMJMH+CNI6Zlyz6VHnEZDaDosMaw7AfUiUbH0NcxBkHrnnm1x9PmB7TmgF4ZlMvYlLdxbU/mDU3egY/xQHAJbRdYhh2uognz5YQuOaaLDC6FNRm2PSGxW9bRCX3nwWbYYLG2paAhZkmIt1ypO1TEbBmRsYBQI1th+nTBI95S5Wv21Iqxq8iThqG8pI9zbm8U6cqit3Dn/JRKLIf2gh0UH5uKYz9hIBqjWvb7JZk0HAyfkhY3q6WKiHETiNaO69GI8yLhgX3Nw/DZNzhF3vGOd7zjb8Yv+fHtHf/fCDfCTBAcBo9DvCKEm/aQKFi6LnC5AD+8xWT2Mz64VdKOFe7Io+0rduUBaR5jIsejw2eYqCOZv2TGbfa/W9B8cET/5w3FXAiJJ4QYSG92uY3F64y8X3GQXbMfwSS6x9F7Cf1mzTma0EWU24CzjjpobG/5TnzGp87wRHL+mPu8KL/HV//NLss/mrP3T0Z89UFg+x/s8P4Avi1rrH3B0iy5VHvUveWHdsR2u8/8X7SgPV0kaOn4NCxxPOeMjD8Pd3gSHnFOyzi85J7E2CvF9rJj8qjm03DOe1LzxhvOs55+eJufuxkdD/EdOO3Y1gpDzK+FJbfkMU3I+aq/zdv4Ds9MShye8IEs6DBcEVOHhF93NZ+EJ7wxa+6l+5yGl7wc7fC5b/HbEuKWVhq07/jEb3jkX/OVVvwonPBl+Jgv9JAiGD7qFevIM5ObXJtv+yW50qyk+Nop9ctNWdSoQwOxQU4T/HyDNSNs6whNgO5GdCyvE3jcI2cx/bajjwPrykHroapBxVwwZOfgPkXsKG6VbNZDktyw+fGCdjoiLhXl05tDdFJpyp9e8fC3jnnbdfSfHDCKC7rXGzazBfr9PdyPOnjp2XzWIG+FhXjcjoH7A6qDlrdHHr+r4H5GeXzAfj5jPyxYh5ynzzTrqwKTxthlzaNfi+luZ6xVw1fdgC/tMd0GisTSnWvaLyJYOqRvCZ8tWbkYPs3xdzz0AZl1BN9BZanuTpkyYP/9kjdnc8Ja6BYdRrV0paF8raBpkIFCxTntqUVddPinHrXIsb3gJhCOa/y+gbEilIFuFuguFbQNxILEBmGJ39PgB4CCHQMHAatiQm3xVQOxIYxBugwaD0ceiobOJTSPe/xVwyKNIepgVEFi4TSDJxHbxwpZNJhZi7dgecPy4g62NvQ/XkC9QzNXMAnUex3nvzUgZLvMKFj7A2bzfay0DHYC3Wc17c+bG4EpLSEtWJ0HOAqYW46k6JhfbpiVwFcOXm1p9hTt0RH1k5j+f+nITADTs7UDtA2oWzlltOVcdjl7JjBXKL3DTphw/d9dkjy6zdW+ops7oiwgO0O62wKPhkADSwVvPCiHG7b09wYsiXkVRlzKiMetY+V2aF6NObltWIxfM6IDtSGdplQsMF1EN6thuwOLGgYKdxhRB8UXn9Vcf+eYcQ6JWTGgo8PRu5TlVYndtEiUsulmbIjR4zmYCOs9UdShpEdQ1LQ4AqtVSnWuoAR8A/cj0J6wHiC7YCclbuLgvX2qf2W5+nnD+3cjOv3qmx0kfws0kXDqFOujO5ylG4rK8flTTf7h+3Sbhr34jDt5weliwOx5BmoPJh5aoOrgVgoqwOqQ+H6MqxLc/1DBegB3hhz9Y4XsnVG/SHj85hCODAwMX/zwLj/94yX8p7vo/a+wE0s6CPRZYBk1ODWgUfCcQ6LkkNQYXvqctNhQhRT3eUd87+c86L5iL0AW4Npn5LYmij2dUXid4g0EDTY2WC0gmkYSNuRYIszIc5i0qPaanSIw4S1n0T6bLIFc0XcVa5NyrYeIrCDf0DGgAbyUtOkcm1WEzZa+3rJRlqVqiUYD0IpzQL93zKJvKSJDyx1ezwRzGHErek1CRRsmnJuOKB6x9Yf8uK/pJieM5z/lMH/Kl3zInH0OWDBiS4/DB+GqtqySY9YcoSj5KCxZd56n9SFBLcgLTSwWH0+YUbKNVwwY8Jw7lOGST7Iz/tEPblHMKoa6ZBl26FTgiJYtMZfygFoS5iFhbqf42Yp2X1jpjpUSXtnX/G4pYObMIliTME1qerXlQkZkkeJhdMGk/5JJDulmjQtrvuSIeT5mX35MwRkq2ucFmnwIELHkkAUZy8ER+WDNJgRO4xQ3yBAZYLcFI3GEsOWz+S1+9maCOskhWpL0U66uApQWWZRMfntIFypKp8AOWK23rMItqr1jnnzu6O/sI4c9FJ55SKD5iGdVicoPqM/fIsNDvHRctiWNGLxsWWQ9P7V32HJMIT3H6jW937A6tSTXX7JXPmd92HMwjLjnUiSBkFTEqSZda3xTY5eKwbM/5X7Xk9FSCDzIEu6lGUoJmJ4cRxwU+ztPOXjvIecBqtAzcY52tubZg0OeJbd4xOd8xE+/0Tnyjne84x1/E94JNb9yWPCegMahUUFwQePRaCzYjjaMWERjiuiKqfwl63AfRSBvOvbCKYmr8bpnV/4UaS236i1L1RHrF7h4D5s0JOqQpI1ZM6BhhaIk9BN8t0MXHB5LIeeMwws6D41YoAUzQDuP6oXW3malP+KYHzIIp2T+A67kNm/Md6FJMVcVu/OI1fZ9bDOkcqd06pzMXDMIaxq1QcL7KAfCmMgacD1l2OeKe5yECw7siiuluFY7LLmPlDFxOqCJLS37pFWObQtsGsj9iiJ05GgsDyB0BDK0d0joqPUhq3CXKPw1B/6aS7VDbQa03EZVxyQuITYvSfQd6Kc0SYyXX5DJM4YErGxZ+yFaaUQLg0aoe0PtRsz0IZ0IIy5IZEolv8bSfcRwdYDRKWXyGQ0ntJJxIRvu84opZ9hwwEql3/RD92/MeJPCMKCswm8V9XrAZCHIqMDbHGOFsvFEaOrVgGwh4ArkFERlgCesFc4oiG5jndCFGRqNcopBC93mCO8tqo9gO2HaZ0RrKOePSLYG4QDbH4KK0NsRenHE3ixiuwiYladfAteaxHDTCjVSeBJyM6J1ATNVhFFgxZJxuKbvHxLKWxTrMTtLzXp7jFhhqZ7j/ASz/T55f4jdQIrHbzXxlRDNNdIFqrcFg6DQ+0KVBUwr6EuQEKh6x6AA6fdpwpxeWwyCKiNyGZH4mO21YHpNOg30kdAsEgZLQ70K6I2mtxBX4LYZanmTM+4rgbWgtgppDcFAHAkS9qiDoI3GrQKmhS66CQm3lcbohGSl6ZYQKuhqTVQGXJUzaYR6nlFfZySpQByQdoxKhO5a6DcWyhY6hSIwoqVpW/ARiUtRdcewieiuFcaBM1NCBw07tBQEt4Nphng7Jo8CrB3qWjOKhT5NIddIrlFxhouHDHvDbJWi58LgbcxyPqK3CVXIyZoCWQg7EWzwZNcBdydDOQcURO0d0nWE2hi8ODKv0LOEnd0BjWS4+QHT1OB8oM098Uyw3lKshe7UEMWKtNsh7hRGGdLuHiYM6LZ7xCZGVjH5IBAl3yX1HVk7RBvDNijMMsHNId5ounUOFiQSpFVU3W2StRCNdslkSxYCKnKY/v9o785jLcnuw75/f+ecqrrL2/r1632Z6Vk4JIcUF41IKZYtxbBly4ag6J9ESpAIhgHnDwlIAv/jBAkSBAiyAFaQAFGALEIkyAtsJJaNmLItUpZJaUxSXGa4zNLT03v367fdd/fazjm//FG3xZGgoRNx6cfwfICHd2/dulW/+7v16t37q7Os0TvO2D7MGIwNE7lAzxny9ZrcWGiFYjN2XTxEyFCCgk4Ksv2cXmUAT2NBjSJzGBpHI2cooqGcCc2iYLhcg9CjtMMneyL5NvjQZMHtyT02YsHTmwvWZ0fYXcPO6R0Ws4ec79/gqivZ2etx52Cb6FrUlwwqpW7mqOthXZ92NmFrU2EBt4/nrI/PY9YmPDUqcf0HrI8bilFL63KW3nN2Ftkbt7iRode/znBtRBbhmemSHzu8R1kYTvsZ111GCAU9KTmTKc8cTXhqZOiNLfbhJi/YliwvMcYzXDqcj5BbNFcoaiRT1Cnai2guqHVEYwkyJYoDcrJjj18q+bjkz09uc0GmPHVcYyvD2Wj5+N6M9e0D1v0D1AqHZsp2WDK2LR/kgPOzQDmzrB07XtpZ4PQO/eWI9SyyZxaM88h0YrnQO6aKkbd2cza14KK7yUAWlFJyf9xgwyGHccDZo/vstBU77ZI/d3ibizJgL25y2szYZEbgmKWMeTha0j99kaNoyKh4we0xOYz05mfRZs6p7TEutETdwZolp/QWa/YUZ4NlwjGX3TG5es40JR8fv47YAc4vecEdMvU9zpiMGsPI5xzHs9TjKe/JI/N8zpHWXPUP2ZlE/HGPH3FHXHa3uLS4Bb2SXRrWouGavcMH4xE7E4uxhg8/ivxY7xF77YKPZ3tsyl3uZcK9tiTXmk1X402PvaZPaeec0hGbpuB2mdE6RyFbzB7MuORr+vmEG3vbHI6uMuhF8vwWp+Qy+0eRton44wnvmWyy1H32Z+C4QmYbjLnOFTMjTANu/4iiv4/EkjI/xWIe2ZxbTDtnMb3OJdllZ255/7Hhz8zuEcwjXmjnhHDMyFjO9Udca2/wvv0Zl8aG/sMCs7PGRphghx7rGzR3xH6G6XX/6/wEdOmwu8J6jIhbxxrDRr9BsxKcgaLBHIE2PT46us+9U19lbNZ4dnnM1kQJW+t85NGUcuMufzre5X1mBKee9NkkSZLkm0uFmu8j8fHYNFqjxhOjAh4wXbNb7e6/cWPMranlJ9+7BuYGj3YjfLnBPR24vHbEBlMGzynz/B6X9w32lSO2r13l2qXrNMU6s2tXuHXdYz77AMqMJitxISD+WY7ezvituwUf/eBZToUD1C0paVnYI2K+hLhGMwYz3eAznxqxfPEyH/uR9/K8e5uZV5ZqmI1H1C9/BeQSt7/0DP5ujxtvHvLJv3qK6Q+d5Yy9yTqefRlR9x8SMo8rAhsvRibXAy9/tuTox5+hXb/LizFwX7d5mw12H5aMf2nCrf2WM794jfHimPj3p3xq5wx7P3iRq6ZkwZwDQG1EXd6N7lM/hLzia6+UnP+xy6xvb3K1rrhtDW/IlPJgyfi/vc8nXn7E+X/nB5ltOqaPpiw/voP7UxfYMLdYxzGnZG6WGKeY2OKbKdGf4+6rGf/k4UXKqxe5Ivc5ZEoZDIdvH3P0H22wd/Yem//1VUI8x/jzU2YffIrq2Te5yi1UW3b1/JM76L5NZr8UYLgAcwAaUHeeo09Y6C/AN0gYEqVEXlwnlkL1+SOk2YP8BVhzYPe6lgb9NfTPPeLUe+eUJufRp2fEVzbZO6qJG9D7yzX5IodbFaMbSj7N4PX71KfPoKdqdHGLOZfht0aEry0YXTpPmBVwd4ru51D1qHiE5gvkzQGKpcwjOjiFXLGMP36da/+Z5bgseOv+gOprjvDqiMPr29QHjyjPtuxfOsOn/tYEuTlk/vCIuFYz2hqgxxH9tMG0GyhjdBRpqj58boqeBaoeMs2gCMTyEeV5T34BmvfklG1FOAb/ZY9/sIvsC1pegfmY+Y5HiyF6CPVkibYFxAyNC8qvHoFdQv804jZQo5ArVAEpDRojYhxE0LUK2TyFHgUklGi7ByJQrUME+YcVej4iLzxN3F8gD4FRoDRLdHlMrAvKLAM5huAQdwWNHq3ehMU9RDbo6zUqpgQ75fhwH9fs4OucyZcmELZgUaE7X6P3MxepzgjTKDSLinA9Er64y2Eh6N4G+smS5njQtQbJBKzCUw49N2L2zAXC9YfwqE/55jl0e8Kj9004++JzzL9yB310lke3HqJlzeJzEzZ+7gD5kZe498m3GN3fIr6xBXf2QDzTs2cJX36Lw9uXUDckjJccOYcaRYee6twm8WjKolpCvQXLY4r3/B7Zj36c8dvHHPzLCVL3iQ/uIccFare52SvBjZDMQshhvCBSI+tnCF+qMbeEGCJsWrAZNA1cy9i9OmFvvUbaAokOHdaQK+EVi75RMr1wiuiWLGceOReQ1sAiINcMcdAgPoc2oL2A1kP0XsmiAfUNOhPYFKS0zGZjdDBBdi6gDzfQ5k0Wa/cYs83vPQAuP+GTybfoxV/+DTabz9MOd7BmTGEa/i3dpr35q3jG9LIphThi6NP4AnVFN6NOrPF5Q31syG2GqRvi/ZroLO4DfdbiOm1Rojfn+N05bX2WtU3HUiOt92w/A7rTY3J5SMjeonjtbbJdZee1z/AXPvk63nVjZ30kPwvSI8+XzEzF6bLg8qtzlr8b6H31mPqs4gslRjCDJSEo3nqwsGpAQ7BArmghhNwjGaiD1gmtEfpT2NiH2Zv/gh+6+kWu2YwLy4a4nLM+j3zo5i9zpVCyYobmjsoO6UkkBGU7LKkPx6xNPKMvvcyLp7/C1bzPIBZY07AoWkoZkttNMlvjxVJ7WCsMNs4gh+AGBMnQzLHMDWtVxdU7tzB3xnzkjb/D8701ytxQOMgkELAEV1DHQFZkxOhxRHqmmxntp+yvwzAj2uOuKO57aCHk+RG9kNH4U9RFw4XBHvHmQ9Znlj89/XVe7P9jjG3ZKZQQDa0MaIm0eU6ZDfCh4tQ0gFMq2/Dc/iH59Rnzl7/Onz93xDTvsZGXBNfizTrWG3I3Zb0ZEe41zB9FTl/8p/z82beoxHDajBE7ZZ4NCO4MogFja0SEVjMYFGSmIbYtvtcnGsFEhzEWl5UMerCoCqq4jj0MGEb0zAaVLRCXQVNjXy7RrAHfw5kt6vESzcb0b1qsbFKPQOOS3CtNr8dcGraaPmHiaHTK+98Y0Xu15vLXfoe//JuvoAPYauB5849pTYHrtxT6kIvzCeHlhvEbLflnlvjtgM3AhQZru9awWghiYFAb/ENP9ZkWs6bd2H4DoVXFWMBAWM0emjclV3d/k5/Z/DQ44dLiCH88Z1yO+IlLf5MPZzln8wfo+hT+0yd9NkmSJPnmUqHm+0jAghhYTfcaJCBagRhq18O0ClFpqjPsN6cYFzsMYs1GXRK9kpfK+ckUu1kz71sMhu0lZAuBKKzh2WaJNzWmtBRHA8o4xEigh1Kq4KttjsvLHIQjnL1NjKfR2GdQX8W1RxAMvVbIZn2WgyscL7Z5GBRjf5fWncP6y5w+GPJoOsVag6uvIgcGz7P4w21Gvo81GwhK0B6xvQbcRmWBLyKwoJqe5Sj+FDeNZTM74L6cpYrvYe3oNOVbBfP7T5Ptd9PPukPDcrLBgd9gIA/xckjUU7j6KrAGlDiOsaXSTK5wtLzE/a2HqNnjpgjT+Bxbo/MM3ugzeeMc9d0L6E5Dcz9Qvtew51vKzOE1I2pDEd+DLrcIYogsQB3N+BKHy3Ueek8hb3BkhtThKsXBRbZurXO0+AizapusyYj7LdPj59gLwrp5GSMF+3LxiR533w5uZHGjgpaMNm8YmALXDFn2NgltyWl6jIzHbQQ0a2hHEYkVuXeorNNS0tMh4nKag020EtqeRY76DHb71HsWecpgm5rMZ7AU4lHGxsRQHg6xEwu9IaZUzmif6m5B/VbGxWadQ2lpRhm6EHy7QIsa5hV2uo4XR7AVPaMU7ZD52VOYsmDGnLLaQCdDskMY3jeU401k6VgGS3U0Z3Bni+J2RNca7LkeYdpQ3mvZshmjqkB9RFuLzgtcPUDrAX4pZH1PrCcIS7LjU2jbx8acYSUsJwXs7RDuCb3G0JQb2EU3/kgzCcQYQTNMtIDFLCyqhpw+sEEwQjEUmiqgLURaEE/UPpge+XZBNYu4EDE+w9MgztJ6gxmtw+GQs+sZ+w8s2duWtmpRrTFaYVCiCAEBzTHiiFRgxphwG+fWCZKjlFA1uPkQSw5Gid7QH0FYZLRhgJlsspCLzMQRQsFwKvgHpyhEsE3BfBxgd0ge+sQs0FoYBEc9ztmKPaa3N9DRgLUjiNEQF0NsmcMiIyuVZtay3kR8bTD7Ba4+hR+dxd3apr/nmD7cIsYlW0aYTJb0xKNNj/kxmAH4AFIM2Tl2jA5P433Oms2pqjX8Wp/ANn4J7tEmg3GP8d2zDA4GMLDEfAuNl6iHdK1mRt34Y+vnYHG/pP9IqDD4mZCrJdQN61LQhAFNJuQxRyPUQxjmEN4uWdwxrDUZs2YdNwF3YDG1oV5E+kvDcgBZhBi62lDWQvugm8Y9NHRXvLeW5FVOrPp4s0nxaA0/dTSFoa0cx3qR/XDliZ5Hvh3e8/Yh76kOoaAbp72AP+hd2g0R1r0hjz9ddQ36vrFub3W/pbtOkgPrq2UCHKy293hbDpivnjug624mwDIj1vDs7pTnd2fgQrfcHnXPz74RVxw79rzSm/fpq+L7gu2BWbSIdxhTYKwFAiYHFUUcXRHTKZJH1AbEOrx1eGqoa7ZGNR85rrsYe0Av59TIc3p8qyt+2lX83dB4q4HyDLSOOHMMQsXVvXm37uOcZe/IXc43XkvgG9uzq1y0q/0CLAvC2PPRR/tQ7HXruXfs36xy+Pj9Me/Y3+PtPt5fs7o9WK0fVvvpA+OcwXHDzo27MLz7jfc1rgbh0gjD1TbNajt2tZ9jA9PI2ZsVF48egG26x//gGJLVZ7SMOF/D3J/z/kXJ+3e/9IfjFMDd7l63Xd23q5/H+3ucP139ltV+Hr8P73xO8Y6cP37u49w9Pnbbd2x7/o4c9f5ITqeWsID1yZhz4+OuKaYVsDe7dQoDLkJwTKbgq4LBqE/eALmAHSAuYE0JRYZIhWYF1C069phljyIPMLdY210kEBEwAs7j7JIXbh/xghx0cRkD1sG44dLxm93r2QLOfO8PbJ4kyf//pULN9xWLqMES8WoJCA6PYFAEMd0XrOZgm6/tXuCZpz9G4e4im1s077P01gPWVqjk7BVnuC7PcnFwzOVrezw6vcFr1bPsj5+jeTUjey3AdPVlL2RkBEoqOJqzfPkCb99+juMXz9FER/gdRzG7TPnWDWBO9B4jEXyF3rjI3YNnGV9ZYp4/x/Hvb8Bne8Sji4if4TKlNGCPHO0nN7h3/xT+h/8sh9MF49cDRfU+/N4C5ADaD0GzQEdH1L9/jdf9SzTrB9TPnqX6TEC/3MJdD4sR/nPb5OOS8u0R1W+ss/f6KZYXHf21p5neLFmv++hkQJQlXrcQ30Peapl99ixfqj/A6x86T3nhHLuvnIHf7VPePIZ6D754D7NmkfY0i63z3Ll3iY1LL3H4bE71hfvU/jwHu+toXKOVBcohMsqYf/ECb7z8Ie6FIfanrvH2nU3av29YHtVgMoa/NseElsUNob/c5sFXn6Z6L5j3BY437Tcm+voe1cpdhCOi7kI0qOZoPI+tM6JCow1ilvDIY2VBCMeoKJ4jkArkgBgeIXELvWNYvrKDPh0wowp5ELEHoH4fXnXEWMCRYsmQSqHssdxzRDkFh5FwUNPeGUBtmM2EdqNF211cDEBBaGtMfNxNpETlIRKmyOgC3LY8+Opp4nOXqPZydCTERZ+6BJqCh7ciG3vnCNFSq+CNRY8L3KRBKw+xoEEgFhgbEN90Xw4WimiDSEBDAzqHZUv1ypC9jxU0xw73UMneDsTjPtQBaWokztDQQ2SI8Iio9xBdAwKGrNsOD4jsorwfuEjTKDFOgAXCMZEKwzk0LqHdhPYYYYxyDFIQ49Hqe9o2VJcp7w0xkxL1U4QJMELoBs4WtRiGKGMME1RB4yaWa2iYI+xjWCLjDczLPcIFBSKSZ10LAVoIGbdvrFG+8AwHpqY+Wid7ZDC7Pcxkia4FCAYXZt0xxRzCBjo+3c2MtxfQ45Y4f0SrPaQ8Jr4B7Rda2F9HJhbxebcvVeqDTfauW5rRGowMHATM/pIYGpbMoHH4SY2GGSZ4pPTAEKRlsYiIb0EfErzFRkcb1rh+tMZhGQhlTjMHXfRppwXZyIBtEWZkvSWx6KFhE50HdDmDxSGVWKKuI96hpgZpKCdnsa6PnlcH8AAAEf9JREFUY4SRKUpG5jYwZoifKGrG+LrE1XsQhVhtoH4dAvhxjp3PkQgmWsQ6xGeYZY63faLvTi4S7xCioBSoAe9rjDZIPGBRrrO3uIivF0/i9PFtFfuGECImF6IVRBRjhBBBFUQiVoHgiEbAG6Lxq4FfFMqAWAEPxtMV22JGBGwWCJkn9JTYGPJGMEbwWezGgmoNFOBdTRY8bAmyHonBEbDYGFEnkBlAERtRo4Rrgp4dUG4qbhgIRRcO1mC0RdV3X3Yl4vpKjAFjDIhBslWhBhBjCEDTAx5Ysi9B5nsE1xCGkWwtEiugBXUGMYoIiBWii92MbUSs9dhooWmJOfgMMIKsqgRiYxe7FQSDV0PIBSuBTCLRKBFDLCJiwKhg+wa/pZgJxEyI1iIWjIvd7JYOEEGdRRHUalfcsgbBIUZBAhFFMkC0G9JPhWAFFSVXMK3Hl4reESQHLRTtQRSLxK5Qoz1QAyaAzcH3uteYLyw9o8RNJfRiV5B2kZh5YgYSFCsBkUjIMvwokPUgDAzRRDIDgnStozOhqzoo0YIawTiDsYpqBByqlkDAaDe3pwAaXPcjdAcfiq2ATPAWJIBRRT3gDNEHjBFiEMRb1PpupVbQIKgHGxxEJbqGzEd0RyAaYtblVDKlsRa1kYyIdaBZQH6gD++1tGslZqDEzEM+RY2gBiSrATBtS/MIWpMT+hFjQYtAMB4TDTYqFAqVYPYcZtoQAggOo4pkEdmAmBVoiIjz0LZ/UJNKkiQ5qVKh5vuMQcmIBC1AuvuiETUGaSsKIov7llfvXOL8Sz/KlvsyT5+KZC8aVKFpleAsD7PTvCLPUG7d5vKLQ3btBq8tPsD07fNkv9cQPl/RnwtKQxClxqC6gEcjDn7TcGO5zaW/8hTHrdL+V7tsVhlTTkF2SNUuEC0hTGjeiHzuMxsMfuQDnP75C+z+2hHZ5w1uMaAytxkMGwI1+e0JB7+R81qd8Z7/8gWm9xc8+t8OOS9DqvEG2ruH90B1RHgwo/nMaT776iXufeAMp3/iAnf/u9/GvSHk7QwGrzH6zIdob7a0B9e599YZbuR9sj97iZ3tNR7834946pQhTjaJtqH12mX11V0OPnmJ137nGdxfv8LGD53n8Nci9hMtZryPhH/JwaePifYCZuejTKZnuHvdsv3B59n8twfc/KWKPPQozlpoTqMagBm6a9n/7fNc/+0rNKXhQx/8Ab766Uf0fn1GM91H/GnG/8MA3y6JskRGW3z2Ro/TP/sixZmGYu34G1d8v0epuUXNfYgPwfepREEaNGyAGzLzHg0l8e4SiSNMnBHcOsrDbgxts0+ZHePaDfz1yxz99hbyr+W0R564q9g9T3Nwm+wzT2EuOGR3gbM9QrRQK5M7iskK4hdr9r5usI1FbM1oqbDWQnUbE2YYzhAiiBHaKGimEG/ThkA7HRHeyHjwe1dozlxFr+fogRJKJc7B1D1uv9Gw/rAg6jpNjAQDTJTFrZYoNWQZCwMQcLnQ1EsQJTZ190VHS2K7QHUX3zj2vxA5+LGCJqyz/RDqNxVyA62naRZEjghhG4oC9A7o66B9gkxAt0AGwNsEWUD0ELfBR9D7IA9AH4IqwtMoFdUCNO5Sm/2uD4W9spo5aomygzYF4+sFaAnxOkj3/O6yrAEiVnZQfUjQmyjnwPwgUYdEvQEc0TLDjJ9j9s8E87EItkWHA2piVyCKyhuvWoqXTjNzDTx01Ldg+ADmrzcMnneYWOHlHlHfpqVB5GkWE8AMmO1mhMMFoXxAqRWm8TRfWMP1Ijwa0s60m42NMaoLlnub3HxVaPb7xANBHtX43UfgGw4PLPhA5fcQ3ccYS9OC4TKoZbZskDhGs9vUPmI4Q4zrvHUzZzTawtQF1SEwUupjoTlQxDRgbhPZw25fwG2v43drZuVDkFuorSE8hegGXm8TZYaffhTmT4G/RWnfBHsawgeppdfNPuOWzCfXId4AKWG+A3Ie4hbNYQbtPkZqiLYrxOgQzDZkF6B1iBi0vk9oxzg5TTTnqasRmd4l8pBp/V5m8w3aqnlCZ5Bvn3rQw9clubX4PCICOVk3EbNRBKWnYDF4tSg5PgYkgviAREGCQBtxftXsQnrEoIhVoiuJvqGih2sMVhR1LZr1MW0PyR1NdgRZTe+cJYw89SLQGEu/MkSbdYUaabDq8C5gLysXf0DxpyOxp/QsWCsQIGSKCd1ra0WRQomh67VoUIxTNAevitHVuusZ+WuW2cOajaMhrVN0qybbMMSlEEuDWkFtVzCyNhBMN5SIp+umkmXd+DwhhyrvCg0uZqBgM+0KktEgavDi8AYwijERLwZvc4J4suhxqtihIe5AEw1qDcF0fbmcC0iMGANquoIWBqJEgrGIyXAIZC1iFQ10hRyJ2NCNydQ4S6tgakvRtDCFeCw4IpoZ4tCtigYRoiBZ0RWZidBzhExoTIBQU2SOeLolChAdZIovItYqtlWiASnoxgo6VkKu1ENLIGIx2GAJArEwXWEpKtEKQZTc5mQuELVFQ48gGZWUZCgWxYkhxJwY+kQRoisRGvpeEXXUNusa0sSGYLU7lnxLZrpWgDb28aZFaAiqBG+QxpC3AwhKcDOy6DEXu9nrVA1GAs4KrfTwfU/b1qwbS3CB4Ycy1p6J6FBxeSRkimZdXQ2FUCgRRfeUbB/6ZyGuRQwgOXijGB8Rr+gAzBj8y9B+xRBbi2Ax0pANQDOhlQKNEfKIbUMq1CRJcuKlQs33GZGAKCgZgiC0CF3XJbEBwhK0oJE1DuUyTu7i2cebrvlqjI5ooDYFE7aY2R61DSyNw3MWafrkZZ+mKjFVA1IR80DdZqgKNkTytgfls9S10EbFVAMG9ZCF3aQdBhqp6Qa9ULQUtL6Eby/SrqZi3iyFmSwgG6F5Ba3DNkIRToF/HxPdYBK3oDmL0RyiJRYLaqlAFvQiFEsHy6s0GplpAcsLbJcbFMxYtJGsLrBNdzWqF3ZoF1cJ9Snq1kB1DpkJxHUwhwgBiyNQQDVAls/RhMjcGKiFbFbSD4GGJcF71GfYqk+vypjUzxDqSNsCLbhmh0GzYNYMsPRW741F/BCpn4L6Mo13xLpho25YxBk0a8Rmndg6JLOsVxbqp6k8NGZJkPZJH3bfsjwoUQKqHkMDWhMkgCiinigtooFhcASUmgYhJ2cB2lLHEpOV9IGqiQyajLru0bYZmbdkvmvJbZZDitIgKigejV07cYN2Hx7rHFdBQcOCqmuZ4IWgAcccbxxKwK+aZDvbJ7Q1GTWBOYQzDBcDqjBg0Ap1FfEx0hMIraGqhzTeIWx0ZYvYfXgvfNfPoraCV4+gdO26u9l4IK5m5pmSUVFJjUYFPyBKD0QwDVAp2O5KObQ4hTY6rMkI6im06lr6ywEqLUZ2UG0wusTgMeQ0oUZNhZMpqlO6dvE1Do/xgVqWRMbAAPGgKOgYKwUoSNtDqQEhGk93rb77AzA4Mu0BczBj6riOxpxIQFXRrKH2FUEdeTnENobaBsgC3SXgCrzH+R6myXC+hzagXhiU0NYbuMrRhAkqY4weggpWG4RAGwpMY9Fa0cYzpGKpLeKvMCwLJt6htgFVDB5PifNr5L6g8Wu4VsgVao0UWpN5y0xLDC2OiqgCbGCYYn2fjIhSs2hrMiyBCuhjY4E0jqwR+i2My4KsDBh1EDJsUBoybDOgV2W0C0sehyA1lRyCnsOGdTTOMboL8ZiMp4hAiIHYroOewmQFxkRC60CGOI0YPYLmFK1YVB0uFqB9MrRrDYHFo3gFbQUNORYIbZ+hHqM0qB+i6ljDc8yE1kQiOcrgu3fS+A4pQk7WLLHRd9NMWxBtsAFUFegaHIg03Ww0bd21tImrrkBWuxaOLUjw3W2tuo2rYJvuW2rhSkzoWuzkEXAezBy0YE09PnQtKVDoeSXHYyKrbigKNiIq2AjiPFXuaTe71h0iMBSHKaHueXIPgqG1ghSCj7oqklhsFohFoKXrsWLaQDA9WglIBqaFwgvRA6b7v51VqxYsuaI2IlExCtYLVpVQdF1VNIJru55CSESoVo1EDBhFpPu7djSrrk/a1X+NUlQNKl2hTARUG0QFFy0mrJoq5dLlIq5OedLi8N2sWwbUWBCLmBLiar22K9SQded89dBzAawggDQZuQ9QCcS6O/3J6nVCV5iuV/2lTDfuX9Za1qB7TUWGiS1Z68EGvBNcq9haEYWQQQwGsabrPeYDgzKgAsaCRCGTFm11lUND15wwIlojhq6FiS9RqcmNX+VIkaC4uIRQAoLaCHZVfFPPGvXq+FWcKqzyLkG7nnWmJTfazWCmimayes1t9/4QEKOE1XiHxep4FKMMpSRKVxCCAisLyEoWa56wFull4G3XGsoFJYtdy60WKPrAUIj9QNuP5E7BgTdgGkFUqQvIFmAtGO2TN4JqTehFvLXYqN2FOAPRKuZ7/epVkiTfF+TxB4skSZIkSZIkSZLk5HvppZf0C1/4wpMOI0mSb4GIfFFVX/rjHksl5SRJkiRJkiRJkiRJkhMitahJkiRJkiRJkiT5HiIiM+DNJx3HN7EDHD7pIP4VTnqMJz0+OPkxnvT4nlLVM3/cA2mMmiRJkiRJkiRJku8tb75bl4mTQES+cJLjg5Mf40mPD05+jCc9vm8mdX1KkiRJkiRJkiRJkiQ5IVKhJkmSJEmSJEmSJEmS5IRIhZokSZIkSZIkSZLvLf/Lkw7gX+GkxwcnP8aTHh+c/BhPenzvKg0mnCRJkiRJkiRJkiRJckKkFjVJkiRJkiRJkiRJkiQnRCrUJEmSJEmSJEmSJEmSnBCpUJMkSZIkSZIkSfI9QET+ooi8KSI3RORvPOl4HhOR2yLyVRF5RUS+sFq2LSK/JSJvrX6f+i7H9Csisi8iX3vHsj82Jun8j6u8fkVEPvqE4vsvROTBKo+viMhfesdj//EqvjdF5C98F+K7IiL/XEReE5Gvi8h/sFp+InL4TeI7MTn8VqRCTZIkSZIkSZIkyQknIhb4n4CfBN4P/JyIvP/JRvWH/Ouq+mFVfWl1/28An1LV54FPre5/N/0fwF/8I8veLaafBJ5f/fw14H9+QvEB/PerPH5YVT8BsHqffxZ4cfWcX14dD99JHvjrqvp+4IeBX1jFcVJy+G7xwcnJ4Z9YKtQkSZIkSZIkSZKcfB8DbqjqTVVtgL8L/PQTjumb+WngV1e3fxX4N76bO1fVTwOj/5cx/TTwa9r5LLAlIheeQHzv5qeBv6uqtareAm7QHQ/fMaq6q6pfWt2eAa8DlzghOfwm8b2b73oOvxWpUJMkSZIkSZIkSXLyXQLuveP+fb75F9PvJgX+mYh8UUT+2mrZOVXdXd1+BJx7MqH9Ie8W00nK7S+uug79yju6iz3R+ETkaeAjwOc4gTn8I/HBCczh/1epUJMkSZIkSZIkSZJ8K35UVT9K1/3lF0Tkz7zzQVVVumLOiXESY6LrLvQs8GFgF/ibTzYcEJE14P8E/kNVnb7zsZOQwz8mvhOXwz+JVKhJkiRJkiRJkiQ5+R4AV95x//Jq2ROnqg9Wv/eBf0DXpWTvcdeX1e/9JxfhH3i3mE5EblV1T1WDqkbgf+UbXXOeSHwiktEVQf6Wqv5fq8UnJod/XHwnLYd/UqlQkyRJkiRJkiRJcvL9PvC8iFwTkZxuYNR/9IRjQkSGIrL++DbwE8DX6GL7+dVqPw/8wycT4R/ybjH9I+DfW81c9MPA5B3de75r/siYLj9Dl8fH8f2siBQico1uwN7Pf4djEeB/B15X1V96x0MnIofvFt9JyuG3wj3pAJIkSZIkSZIkSZJvTlW9iPwi8E8BC/yKqn79CYcF3Rgl/6D73owD/raq/hMR+X3g74nIXwXuAP/mdzMoEfk7wI8DOyJyH/jPgf/mXWL6BPCX6AaYXQJ/5QnF9+Mi8mG67kS3gX8fQFW/LiJ/D3iNbrajX1DV8B0O8U8B/y7wVRF5ZbXsP+Hk5PDd4vu5E5TDPzHpupUlSZIkSZIkSZIkSZIkT1rq+pQkSZIkSZIkSZIkSXJCpEJNkiRJkiRJkiRJkiTJCZEKNUmSJEmSJEmSJEmSJCdEKtQkSZIkSZIkSZIkSZKcEKlQkyRJkiRJkiRJkiRJckKkQk2SJEmSJEmSJEmSJMkJkQo1SZIkSZIkSZIkSZIkJ8T/A+qhjq/xyX77AAAAAElFTkSuQmCC\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"hF-w2OFDtWEh"},"source":["Samples above show that the spectrograms are different for different genres."]},{"cell_type":"code","metadata":{"collapsed":true,"id":"I_pAz3wYtWEi","executionInfo":{"status":"ok","timestamp":1619003300411,"user_tz":-330,"elapsed":13093,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["#loading the VGG-16 model\n","conv_base = tf.keras.applications.VGG16(include_top = False, \n"," weights = 'imagenet', \n"," input_shape = (IMG_WIDTH, IMG_HEIGHT, 3) # 3 channels - RGB\n"," ) "],"execution_count":117,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"WiijuI6ntWEj","executionInfo":{"status":"ok","timestamp":1619003300412,"user_tz":-330,"elapsed":13088,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"d3c8679e-c2dd-4c5a-df62-7ba6772f3caa"},"source":["conv_base.summary()"],"execution_count":118,"outputs":[{"output_type":"stream","text":["Model: \"vgg16\"\n","_________________________________________________________________\n","Layer (type) Output Shape Param # \n","=================================================================\n","input_4 (InputLayer) [(None, 216, 216, 3)] 0 \n","_________________________________________________________________\n","block1_conv1 (Conv2D) (None, 216, 216, 64) 1792 \n","_________________________________________________________________\n","block1_conv2 (Conv2D) (None, 216, 216, 64) 36928 \n","_________________________________________________________________\n","block1_pool (MaxPooling2D) (None, 108, 108, 64) 0 \n","_________________________________________________________________\n","block2_conv1 (Conv2D) (None, 108, 108, 128) 73856 \n","_________________________________________________________________\n","block2_conv2 (Conv2D) (None, 108, 108, 128) 147584 \n","_________________________________________________________________\n","block2_pool (MaxPooling2D) (None, 54, 54, 128) 0 \n","_________________________________________________________________\n","block3_conv1 (Conv2D) (None, 54, 54, 256) 295168 \n","_________________________________________________________________\n","block3_conv2 (Conv2D) (None, 54, 54, 256) 590080 \n","_________________________________________________________________\n","block3_conv3 (Conv2D) (None, 54, 54, 256) 590080 \n","_________________________________________________________________\n","block3_pool (MaxPooling2D) (None, 27, 27, 256) 0 \n","_________________________________________________________________\n","block4_conv1 (Conv2D) (None, 27, 27, 512) 1180160 \n","_________________________________________________________________\n","block4_conv2 (Conv2D) (None, 27, 27, 512) 2359808 \n","_________________________________________________________________\n","block4_conv3 (Conv2D) (None, 27, 27, 512) 2359808 \n","_________________________________________________________________\n","block4_pool (MaxPooling2D) (None, 13, 13, 512) 0 \n","_________________________________________________________________\n","block5_conv1 (Conv2D) (None, 13, 13, 512) 2359808 \n","_________________________________________________________________\n","block5_conv2 (Conv2D) (None, 13, 13, 512) 2359808 \n","_________________________________________________________________\n","block5_conv3 (Conv2D) (None, 13, 13, 512) 2359808 \n","_________________________________________________________________\n","block5_pool (MaxPooling2D) (None, 6, 6, 512) 0 \n","=================================================================\n","Total params: 14,714,688\n","Trainable params: 14,714,688\n","Non-trainable params: 0\n","_________________________________________________________________\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"QFqOwsg5tWEl","executionInfo":{"status":"ok","timestamp":1619003300414,"user_tz":-330,"elapsed":13082,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"fd33920b-75e1-4d32-d049-10e50ee680ca"},"source":["model = models.Sequential()\n","model.add(conv_base)\n","model.add(layers.Flatten()) # Flatten output and send it to MLP\n","\n","# 1-layer MLP with Dropout, BN \n","model.add(layers.Dense(512, name='dense_1', kernel_regularizer=regularizers.l2(L2_LAMBDA)))\n","model.add(layers.Dropout(rate=0.3, name='dropout_1')) \n","model.add(layers.Activation(activation='relu', name='activation_1'))\n","\n","model.add(layers.Dense(NUM_CLASSES, activation='softmax', name='dense_output'))\n","model.summary()"],"execution_count":119,"outputs":[{"output_type":"stream","text":["Model: \"sequential_3\"\n","_________________________________________________________________\n","Layer (type) Output Shape Param # \n","=================================================================\n","vgg16 (Functional) (None, 6, 6, 512) 14714688 \n","_________________________________________________________________\n","flatten_3 (Flatten) (None, 18432) 0 \n","_________________________________________________________________\n","dense_1 (Dense) (None, 512) 9437696 \n","_________________________________________________________________\n","dropout_1 (Dropout) (None, 512) 0 \n","_________________________________________________________________\n","activation_1 (Activation) (None, 512) 0 \n","_________________________________________________________________\n","dense_output (Dense) (None, 7) 3591 \n","=================================================================\n","Total params: 24,155,975\n","Trainable params: 24,155,975\n","Non-trainable params: 0\n","_________________________________________________________________\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"o_tl-91VtWEn","executionInfo":{"status":"ok","timestamp":1619003300414,"user_tz":-330,"elapsed":13074,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"199d136a-eac9-4a61-f6f8-1c5315729050"},"source":["# Set the convolution base to be not trainable\n","conv_base.trainable = False\n","model.summary()"],"execution_count":120,"outputs":[{"output_type":"stream","text":["Model: \"sequential_3\"\n","_________________________________________________________________\n","Layer (type) Output Shape Param # \n","=================================================================\n","vgg16 (Functional) (None, 6, 6, 512) 14714688 \n","_________________________________________________________________\n","flatten_3 (Flatten) (None, 18432) 0 \n","_________________________________________________________________\n","dense_1 (Dense) (None, 512) 9437696 \n","_________________________________________________________________\n","dropout_1 (Dropout) (None, 512) 0 \n","_________________________________________________________________\n","activation_1 (Activation) (None, 512) 0 \n","_________________________________________________________________\n","dense_output (Dense) (None, 7) 3591 \n","=================================================================\n","Total params: 24,155,975\n","Trainable params: 9,441,287\n","Non-trainable params: 14,714,688\n","_________________________________________________________________\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"collapsed":true,"id":"Vi8Fl2jdtWEo","executionInfo":{"status":"ok","timestamp":1619003300415,"user_tz":-330,"elapsed":13067,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":120,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"CmyY3OB9tWEp","executionInfo":{"status":"ok","timestamp":1619003300416,"user_tz":-330,"elapsed":13062,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["one_hot = OneHotEncoder(handle_unknown='ignore')\n","label_array=[[0], [1], [2], [3], [4], [5], [6]]\n","one_hot.fit_transform(label_array).toarray()\n","\n","def load_batch(file_list): #to load the batches of file during training with batch_generator\n"," img_array = []\n"," idx_array = []\n"," label_array = []\n","\n"," for file_ in file_list:\n"," im = Image.open(IMG_DIR + file_)\n"," im = im.resize((IMG_WIDTH, IMG_HEIGHT), Image.ANTIALIAS)\n"," img_array.append(np.array(im))\n","\n"," vals = file_[:-4].split('_')\n"," idx_array.append(vals[0])\n"," label_array.append([label_dict[vals[1]]])\n"," \n"," label_array = one_hot.transform(label_array).toarray() #transforming to one-hot encoded labels\n"," img_array = np.array(img_array)/255.0 # Normalize RGB\n"," \n"," return img_array, np.array(label_array), np.array(idx_array)"],"execution_count":121,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"y0lW2yZ1JBOs","executionInfo":{"status":"ok","timestamp":1619003300417,"user_tz":-330,"elapsed":13057,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"9464bc9e-43f1-4829-d812-8daafde49f11"},"source":["file_list = train_files[0: 10]\n","batch_img_array, batch_label_array, batch_idx_array = load_batch(file_list)\n","print('Example of one-hot encoded labels of the first 10 files:')\n","print()\n","print(batch_label_array)"],"execution_count":122,"outputs":[{"output_type":"stream","text":["Example of one-hot encoded labels of the first 10 files:\n","\n","[[0. 0. 0. 1. 0. 0. 0.]\n"," [0. 0. 0. 1. 0. 0. 0.]\n"," [0. 0. 0. 0. 0. 0. 1.]\n"," [1. 0. 0. 0. 0. 0. 0.]\n"," [0. 1. 0. 0. 0. 0. 0.]\n"," [0. 1. 0. 0. 0. 0. 0.]\n"," [0. 0. 0. 0. 0. 0. 1.]\n"," [0. 1. 0. 0. 0. 0. 0.]\n"," [0. 0. 0. 0. 1. 0. 0.]\n"," [0. 0. 0. 1. 0. 0. 0.]]\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"collapsed":true,"id":"phhyOrwotWEq","executionInfo":{"status":"ok","timestamp":1619003300418,"user_tz":-330,"elapsed":13050,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["def batch_generator(files, BATCH_SIZE): #Generates batches of data during training\n"," L = len(files)\n","\n"," while True: #needed for keras\n","\n"," batch_start = 0\n"," batch_end = BATCH_SIZE\n","\n"," while batch_start < L:\n"," \n"," limit = min(batch_end, L)\n"," file_list = files[batch_start: limit]\n"," batch_img_array, batch_label_array, batch_idx_array = load_batch(file_list)\n","\n"," yield (batch_img_array, batch_label_array) # a tuple with two numpy arrays with batch_size samples \n","\n"," batch_start += BATCH_SIZE \n"," batch_end += BATCH_SIZE"],"execution_count":123,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"y9McRuNktWEs","executionInfo":{"status":"ok","timestamp":1619003300418,"user_tz":-330,"elapsed":13044,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["# Set optimizer, loss and metrics\n","optimizer = optimizers.Adam(lr=1e-5)\n","\n","loss = 'categorical_crossentropy'\n","\n","metrics = ['categorical_accuracy']\n","\n","#check point to save the models after each epoch\n","filepath=\"/content/drive/MyDrive/IIT_Guwahati/IITG_DigitalSignalProcessorsLab/Music_classification_by_Genre/saved_models/transfer_learning_epoch_{epoch:02d}_{categorical_accuracy:.4f}.h5\"\n","checkpoint = callbacks.ModelCheckpoint(filepath, \n"," monitor='categorical_accuracy', \n"," verbose=0, \n"," save_best_only=False,\n"," save_freq='epoch'\n"," )\n","callbacks_list = [checkpoint]\n","\n","model.compile(optimizer=optimizer, loss=loss, metrics=metrics)"],"execution_count":124,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"ZiKAB2JqtWEu","executionInfo":{"status":"ok","timestamp":1619003300419,"user_tz":-330,"elapsed":13039,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":124,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"sgvYqPf1tWEv","executionInfo":{"status":"ok","timestamp":1619003806825,"user_tz":-330,"elapsed":519439,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"6b2ce8be-ac1a-4df9-f77b-cd43af872c04"},"source":["STEPS_PER_EPOCH = len(train_files)//BATCH_SIZE\n","VAL_STEPS = len(val_files)//BATCH_SIZE\n","\n","history = model.fit( batch_generator(train_files, BATCH_SIZE),\n"," epochs = NUM_EPOCHS,\n"," steps_per_epoch = STEPS_PER_EPOCH,\n"," class_weight = cl_weight, # Check if providing class weight is useful\n"," validation_data = batch_generator(val_files, BATCH_SIZE),\n"," validation_steps = VAL_STEPS,\n"," callbacks = callbacks_list\n"," ) \n","# consult: https://www.pyimagesearch.com/2018/12/24/how-to-use-keras-fit-and-fit_generator-a-hands-on-tutorial/"],"execution_count":125,"outputs":[{"output_type":"stream","text":["Epoch 1/50\n","43/43 [==============================] - 10s 216ms/step - loss: 3.0600 - categorical_accuracy: 0.1558 - val_loss: 2.8672 - val_categorical_accuracy: 0.1406\n","Epoch 2/50\n","43/43 [==============================] - 9s 216ms/step - loss: 2.7975 - categorical_accuracy: 0.1897 - val_loss: 2.7652 - val_categorical_accuracy: 0.2031\n","Epoch 3/50\n","43/43 [==============================] - 9s 217ms/step - loss: 2.6956 - categorical_accuracy: 0.2111 - val_loss: 2.7045 - val_categorical_accuracy: 0.2344\n","Epoch 4/50\n","43/43 [==============================] - 9s 209ms/step - loss: 2.5934 - categorical_accuracy: 0.2522 - val_loss: 2.6364 - val_categorical_accuracy: 0.2344\n","Epoch 5/50\n","43/43 [==============================] - 9s 209ms/step - loss: 2.4800 - categorical_accuracy: 0.2910 - val_loss: 2.5808 - val_categorical_accuracy: 0.2500\n","Epoch 6/50\n","43/43 [==============================] - 9s 208ms/step - loss: 2.3940 - categorical_accuracy: 0.3396 - val_loss: 2.5376 - val_categorical_accuracy: 0.2812\n","Epoch 7/50\n","43/43 [==============================] - 9s 206ms/step - loss: 2.3510 - categorical_accuracy: 0.3656 - val_loss: 2.4848 - val_categorical_accuracy: 0.2812\n","Epoch 8/50\n","43/43 [==============================] - 9s 209ms/step - loss: 2.3113 - categorical_accuracy: 0.3358 - val_loss: 2.4369 - val_categorical_accuracy: 0.3281\n","Epoch 9/50\n","43/43 [==============================] - 9s 213ms/step - loss: 2.3074 - categorical_accuracy: 0.3622 - val_loss: 2.4045 - val_categorical_accuracy: 0.3281\n","Epoch 10/50\n","43/43 [==============================] - 9s 210ms/step - loss: 2.1706 - categorical_accuracy: 0.4339 - val_loss: 2.3665 - val_categorical_accuracy: 0.3281\n","Epoch 11/50\n","43/43 [==============================] - 9s 208ms/step - loss: 2.1483 - categorical_accuracy: 0.4207 - val_loss: 2.3420 - val_categorical_accuracy: 0.3438\n","Epoch 12/50\n","43/43 [==============================] - 9s 210ms/step - loss: 2.1064 - categorical_accuracy: 0.4335 - val_loss: 2.3004 - val_categorical_accuracy: 0.3750\n","Epoch 13/50\n","43/43 [==============================] - 9s 220ms/step - loss: 2.0182 - categorical_accuracy: 0.4618 - val_loss: 2.2716 - val_categorical_accuracy: 0.3750\n","Epoch 14/50\n","43/43 [==============================] - 9s 207ms/step - loss: 2.0217 - categorical_accuracy: 0.4745 - val_loss: 2.2451 - val_categorical_accuracy: 0.3594\n","Epoch 15/50\n","43/43 [==============================] - 9s 208ms/step - loss: 1.9366 - categorical_accuracy: 0.4786 - val_loss: 2.2091 - val_categorical_accuracy: 0.3906\n","Epoch 16/50\n","43/43 [==============================] - 16s 379ms/step - loss: 1.9039 - categorical_accuracy: 0.4969 - val_loss: 2.1872 - val_categorical_accuracy: 0.3594\n","Epoch 17/50\n","43/43 [==============================] - 9s 213ms/step - loss: 1.8835 - categorical_accuracy: 0.4983 - val_loss: 2.1695 - val_categorical_accuracy: 0.3906\n","Epoch 18/50\n","43/43 [==============================] - 9s 209ms/step - loss: 1.8493 - categorical_accuracy: 0.5153 - val_loss: 2.1419 - val_categorical_accuracy: 0.4062\n","Epoch 19/50\n","43/43 [==============================] - 9s 210ms/step - loss: 1.8405 - categorical_accuracy: 0.5362 - val_loss: 2.1249 - val_categorical_accuracy: 0.4219\n","Epoch 20/50\n","43/43 [==============================] - 9s 208ms/step - loss: 1.8080 - categorical_accuracy: 0.5182 - val_loss: 2.1109 - val_categorical_accuracy: 0.4375\n","Epoch 21/50\n","43/43 [==============================] - 9s 211ms/step - loss: 1.7507 - categorical_accuracy: 0.5263 - val_loss: 2.0985 - val_categorical_accuracy: 0.4062\n","Epoch 22/50\n","43/43 [==============================] - 9s 209ms/step - loss: 1.6980 - categorical_accuracy: 0.5520 - val_loss: 2.0835 - val_categorical_accuracy: 0.4219\n","Epoch 23/50\n","43/43 [==============================] - 9s 208ms/step - loss: 1.6708 - categorical_accuracy: 0.5737 - val_loss: 2.0776 - val_categorical_accuracy: 0.3906\n","Epoch 24/50\n","43/43 [==============================] - 9s 210ms/step - loss: 1.6146 - categorical_accuracy: 0.5838 - val_loss: 2.0595 - val_categorical_accuracy: 0.3906\n","Epoch 25/50\n","43/43 [==============================] - 9s 210ms/step - loss: 1.5781 - categorical_accuracy: 0.5983 - val_loss: 2.0466 - val_categorical_accuracy: 0.4531\n","Epoch 26/50\n","43/43 [==============================] - 9s 208ms/step - loss: 1.5668 - categorical_accuracy: 0.5991 - val_loss: 2.0432 - val_categorical_accuracy: 0.4531\n","Epoch 27/50\n","43/43 [==============================] - 9s 208ms/step - loss: 1.5584 - categorical_accuracy: 0.5873 - val_loss: 2.0386 - val_categorical_accuracy: 0.4531\n","Epoch 28/50\n","43/43 [==============================] - 9s 208ms/step - loss: 1.5143 - categorical_accuracy: 0.6220 - val_loss: 2.0359 - val_categorical_accuracy: 0.4531\n","Epoch 29/50\n","43/43 [==============================] - 34s 800ms/step - loss: 1.4814 - categorical_accuracy: 0.6050 - val_loss: 2.0248 - val_categorical_accuracy: 0.4531\n","Epoch 30/50\n","43/43 [==============================] - 9s 211ms/step - loss: 1.4585 - categorical_accuracy: 0.6252 - val_loss: 2.0275 - val_categorical_accuracy: 0.4531\n","Epoch 31/50\n","43/43 [==============================] - 9s 210ms/step - loss: 1.4581 - categorical_accuracy: 0.6274 - val_loss: 2.0139 - val_categorical_accuracy: 0.4062\n","Epoch 32/50\n","43/43 [==============================] - 9s 210ms/step - loss: 1.4275 - categorical_accuracy: 0.6335 - val_loss: 2.0072 - val_categorical_accuracy: 0.4062\n","Epoch 33/50\n","43/43 [==============================] - 9s 210ms/step - loss: 1.4217 - categorical_accuracy: 0.6210 - val_loss: 1.9992 - val_categorical_accuracy: 0.4062\n","Epoch 34/50\n","43/43 [==============================] - 9s 209ms/step - loss: 1.3882 - categorical_accuracy: 0.6429 - val_loss: 2.0016 - val_categorical_accuracy: 0.4062\n","Epoch 35/50\n","43/43 [==============================] - 9s 209ms/step - loss: 1.4043 - categorical_accuracy: 0.6461 - val_loss: 1.9957 - val_categorical_accuracy: 0.4219\n","Epoch 36/50\n","43/43 [==============================] - 9s 209ms/step - loss: 1.3571 - categorical_accuracy: 0.6716 - val_loss: 1.9909 - val_categorical_accuracy: 0.3906\n","Epoch 37/50\n","43/43 [==============================] - 9s 210ms/step - loss: 1.3511 - categorical_accuracy: 0.6634 - val_loss: 1.9923 - val_categorical_accuracy: 0.3906\n","Epoch 38/50\n","43/43 [==============================] - 9s 223ms/step - loss: 1.3186 - categorical_accuracy: 0.6622 - val_loss: 1.9851 - val_categorical_accuracy: 0.4062\n","Epoch 39/50\n","43/43 [==============================] - 9s 213ms/step - loss: 1.3084 - categorical_accuracy: 0.6750 - val_loss: 1.9758 - val_categorical_accuracy: 0.3906\n","Epoch 40/50\n","43/43 [==============================] - 9s 209ms/step - loss: 1.2742 - categorical_accuracy: 0.7100 - val_loss: 1.9715 - val_categorical_accuracy: 0.4062\n","Epoch 41/50\n","43/43 [==============================] - 9s 211ms/step - loss: 1.2901 - categorical_accuracy: 0.6927 - val_loss: 1.9641 - val_categorical_accuracy: 0.3906\n","Epoch 42/50\n","43/43 [==============================] - 9s 220ms/step - loss: 1.2521 - categorical_accuracy: 0.7089 - val_loss: 1.9587 - val_categorical_accuracy: 0.3906\n","Epoch 43/50\n","43/43 [==============================] - 9s 210ms/step - loss: 1.2468 - categorical_accuracy: 0.7039 - val_loss: 1.9560 - val_categorical_accuracy: 0.3594\n","Epoch 44/50\n","43/43 [==============================] - 9s 208ms/step - loss: 1.2255 - categorical_accuracy: 0.7101 - val_loss: 1.9557 - val_categorical_accuracy: 0.3594\n","Epoch 45/50\n","43/43 [==============================] - 9s 210ms/step - loss: 1.2233 - categorical_accuracy: 0.7123 - val_loss: 1.9538 - val_categorical_accuracy: 0.3438\n","Epoch 46/50\n","43/43 [==============================] - 9s 215ms/step - loss: 1.1780 - categorical_accuracy: 0.7412 - val_loss: 1.9453 - val_categorical_accuracy: 0.3438\n","Epoch 47/50\n","43/43 [==============================] - 9s 210ms/step - loss: 1.1795 - categorical_accuracy: 0.7372 - val_loss: 1.9431 - val_categorical_accuracy: 0.3594\n","Epoch 48/50\n","43/43 [==============================] - 9s 210ms/step - loss: 1.1721 - categorical_accuracy: 0.7284 - val_loss: 1.9331 - val_categorical_accuracy: 0.3750\n","Epoch 49/50\n","43/43 [==============================] - 9s 208ms/step - loss: 1.1545 - categorical_accuracy: 0.7458 - val_loss: 1.9300 - val_categorical_accuracy: 0.3750\n","Epoch 50/50\n","43/43 [==============================] - 9s 208ms/step - loss: 1.1416 - categorical_accuracy: 0.7656 - val_loss: 1.9242 - val_categorical_accuracy: 0.3906\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"mzSYWmN0WvuE","executionInfo":{"status":"ok","timestamp":1619003806826,"user_tz":-330,"elapsed":519432,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"65ce5a3c-da6f-4be5-94b0-f052a547ca76"},"source":["history.history"],"execution_count":126,"outputs":[{"output_type":"execute_result","data":{"text/plain":["{'categorical_accuracy': [0.16206395626068115,\n"," 0.19079436361789703,\n"," 0.23162584006786346,\n"," 0.27542686462402344,\n"," 0.30215293169021606,\n"," 0.3377876877784729,\n"," 0.36822569370269775,\n"," 0.3570898175239563,\n"," 0.3711952567100525,\n"," 0.4261321425437927,\n"," 0.4142538905143738,\n"," 0.4261321425437927,\n"," 0.451373428106308,\n"," 0.47587230801582336,\n"," 0.4847809970378876,\n"," 0.497401624917984,\n"," 0.49146249890327454,\n"," 0.5144765973091125,\n"," 0.5152190327644348,\n"," 0.5137342214584351,\n"," 0.5300667881965637,\n"," 0.5463994145393372,\n"," 0.5553081035614014,\n"," 0.5634744167327881,\n"," 0.583518922328949,\n"," 0.5857461094856262,\n"," 0.5894580483436584,\n"," 0.6095026135444641,\n"," 0.6043058633804321,\n"," 0.6302895545959473,\n"," 0.62806236743927,\n"," 0.6421678066253662,\n"," 0.6391982436180115,\n"," 0.645137369632721,\n"," 0.6540459990501404,\n"," 0.6763177514076233,\n"," 0.6711210012435913,\n"," 0.6874536275863647,\n"," 0.6956198811531067,\n"," 0.7008166313171387,\n"," 0.7008166313171387,\n"," 0.7297698855400085,\n"," 0.7134372591972351,\n"," 0.7208611965179443,\n"," 0.71875,\n"," 0.737193763256073,\n"," 0.7312546372413635,\n"," 0.7245731353759766,\n"," 0.7490720152854919,\n"," 0.7639198303222656],\n"," 'loss': [2.9934074878692627,\n"," 2.7980880737304688,\n"," 2.6956357955932617,\n"," 2.5935347080230713,\n"," 2.5022389888763428,\n"," 2.3983519077301025,\n"," 2.329322099685669,\n"," 2.279635190963745,\n"," 2.2445244789123535,\n"," 2.150444984436035,\n"," 2.116250514984131,\n"," 2.069765329360962,\n"," 2.0048346519470215,\n"," 1.9646493196487427,\n"," 1.9081768989562988,\n"," 1.874862790107727,\n"," 1.8507322072982788,\n"," 1.786367654800415,\n"," 1.7817045450210571,\n"," 1.7662354707717896,\n"," 1.7130250930786133,\n"," 1.68729567527771,\n"," 1.6690152883529663,\n"," 1.625566005706787,\n"," 1.5893473625183105,\n"," 1.5718954801559448,\n"," 1.550523281097412,\n"," 1.528987169265747,\n"," 1.4985791444778442,\n"," 1.4684410095214844,\n"," 1.4501618146896362,\n"," 1.4256163835525513,\n"," 1.4237602949142456,\n"," 1.3983983993530273,\n"," 1.3830175399780273,\n"," 1.355799674987793,\n"," 1.3508551120758057,\n"," 1.3162963390350342,\n"," 1.310271143913269,\n"," 1.2855035066604614,\n"," 1.2850888967514038,\n"," 1.2575727701187134,\n"," 1.2598531246185303,\n"," 1.230595588684082,\n"," 1.2258819341659546,\n"," 1.1956331729888916,\n"," 1.1897358894348145,\n"," 1.1856739521026611,\n"," 1.167765498161316,\n"," 1.141431450843811],\n"," 'val_categorical_accuracy': [0.140625,\n"," 0.203125,\n"," 0.234375,\n"," 0.234375,\n"," 0.25,\n"," 0.28125,\n"," 0.28125,\n"," 0.328125,\n"," 0.328125,\n"," 0.328125,\n"," 0.34375,\n"," 0.375,\n"," 0.375,\n"," 0.359375,\n"," 0.390625,\n"," 0.359375,\n"," 0.390625,\n"," 0.40625,\n"," 0.421875,\n"," 0.4375,\n"," 0.40625,\n"," 0.421875,\n"," 0.390625,\n"," 0.390625,\n"," 0.453125,\n"," 0.453125,\n"," 0.453125,\n"," 0.453125,\n"," 0.453125,\n"," 0.453125,\n"," 0.40625,\n"," 0.40625,\n"," 0.40625,\n"," 0.40625,\n"," 0.421875,\n"," 0.390625,\n"," 0.390625,\n"," 0.40625,\n"," 0.390625,\n"," 0.40625,\n"," 0.390625,\n"," 0.390625,\n"," 0.359375,\n"," 0.359375,\n"," 0.34375,\n"," 0.34375,\n"," 0.359375,\n"," 0.375,\n"," 0.375,\n"," 0.390625],\n"," 'val_loss': [2.867180347442627,\n"," 2.7651617527008057,\n"," 2.704465389251709,\n"," 2.6363584995269775,\n"," 2.5808067321777344,\n"," 2.5375850200653076,\n"," 2.48478364944458,\n"," 2.436896800994873,\n"," 2.404493808746338,\n"," 2.366516351699829,\n"," 2.3419575691223145,\n"," 2.30043888092041,\n"," 2.2715868949890137,\n"," 2.245105504989624,\n"," 2.209064245223999,\n"," 2.1871886253356934,\n"," 2.1694600582122803,\n"," 2.141918182373047,\n"," 2.1249053478240967,\n"," 2.1109225749969482,\n"," 2.098477840423584,\n"," 2.083467960357666,\n"," 2.0775716304779053,\n"," 2.0595345497131348,\n"," 2.0465989112854004,\n"," 2.043229103088379,\n"," 2.038628101348877,\n"," 2.035907506942749,\n"," 2.0248324871063232,\n"," 2.027529239654541,\n"," 2.0139410495758057,\n"," 2.0072264671325684,\n"," 1.9991931915283203,\n"," 2.0015664100646973,\n"," 1.9956549406051636,\n"," 1.990851879119873,\n"," 1.9922558069229126,\n"," 1.9850592613220215,\n"," 1.975831151008606,\n"," 1.9715254306793213,\n"," 1.964097261428833,\n"," 1.9586801528930664,\n"," 1.9559797048568726,\n"," 1.955731987953186,\n"," 1.9538378715515137,\n"," 1.9453284740447998,\n"," 1.9431241750717163,\n"," 1.9330694675445557,\n"," 1.930006504058838,\n"," 1.9242000579833984]}"]},"metadata":{"tags":[]},"execution_count":126}]},{"cell_type":"code","metadata":{"collapsed":true,"id":"3EoXdrIBtWEw","executionInfo":{"status":"ok","timestamp":1619003806827,"user_tz":-330,"elapsed":519423,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":126,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"Uc291kuKtWEx","executionInfo":{"status":"ok","timestamp":1619003808175,"user_tz":-330,"elapsed":520766,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["# Save scores on train and validation sets\n","with open('/content/drive/MyDrive/IIT_Guwahati/IITG_DigitalSignalProcessorsLab/Music_classification_by_Genre/pickle_files/transfer_learning_vgg16_history.pkl', 'wb') as f:\n"," pickle.dump(history.history, f)"],"execution_count":127,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"SFFm8sYdtWEx","executionInfo":{"status":"ok","timestamp":1619003808176,"user_tz":-330,"elapsed":520761,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":127,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"Jcz362hAtWEy"},"source":["#### Determine the best performing model"]},{"cell_type":"code","metadata":{"collapsed":true,"id":"ylEtMNU2tWEz","colab":{"base_uri":"https://localhost:8080/"},"executionInfo":{"status":"ok","timestamp":1619003808178,"user_tz":-330,"elapsed":520757,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"9059af6f-7be9-497c-f53f-4689249cde0b"},"source":["# Load scores\n","with open('/content/drive/MyDrive/IIT_Guwahati/IITG_DigitalSignalProcessorsLab/Music_classification_by_Genre/pickle_files/transfer_learning_vgg16_history.pkl', 'rb') as f:\n"," scores = pickle.load(f)\n","print(scores.keys())\n","scores = pd.DataFrame(scores, index=range(1,NUM_EPOCHS+1))"],"execution_count":128,"outputs":[{"output_type":"stream","text":["dict_keys(['loss', 'categorical_accuracy', 'val_loss', 'val_categorical_accuracy'])\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"id":"Ej6nlQ4otWE0","colab":{"base_uri":"https://localhost:8080/","height":299},"executionInfo":{"status":"ok","timestamp":1619003808181,"user_tz":-330,"elapsed":520751,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"604b299e-e126-44c8-8414-82091b04648a"},"source":["plt.xticks(range(1,NUM_EPOCHS+1))\n","plt.plot(scores['loss'], marker='o', label='training_loss')\n","plt.plot(scores['val_loss'], marker='d', label='validation_loss')\n","plt.ylabel('Loss', fontsize=12)\n","plt.xlabel('Training Epochs', fontsize=12)\n","plt.grid()\n","plt.legend()"],"execution_count":129,"outputs":[{"output_type":"execute_result","data":{"text/plain":[""]},"metadata":{"tags":[]},"execution_count":129},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"id":"vM_UZIyptWE1","colab":{"base_uri":"https://localhost:8080/","height":299},"executionInfo":{"status":"ok","timestamp":1619003809763,"user_tz":-330,"elapsed":522321,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"a7aa28a1-5837-4525-f253-56b290c363e2"},"source":["plt.xticks(range(1,NUM_EPOCHS+1))\n","plt.plot(scores['categorical_accuracy'], marker='o', label='training_accuracy')\n","plt.plot(scores['val_categorical_accuracy'], marker='d', label='validation_accuracy')\n","plt.ylabel('Accuracy', fontsize=12)\n","plt.xlabel('Training Epochs', fontsize=12)\n","plt.grid()\n","plt.legend()"],"execution_count":130,"outputs":[{"output_type":"execute_result","data":{"text/plain":[""]},"metadata":{"tags":[]},"execution_count":130},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"to8uW-2QtWE5"},"source":["### Evaluation of the best performing model"]},{"cell_type":"markdown","metadata":{"id":"dG8q5Y0ctWE2"},"source":["Choose the model that does well on the validation set (in terms of minimum loss and maximum accuracy)."]},{"cell_type":"code","metadata":{"collapsed":true,"id":"Fc3l-kUFtWE3","executionInfo":{"status":"ok","timestamp":1619011545228,"user_tz":-330,"elapsed":1823,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["model = models.load_model(filepath=BASE_DIR+'saved_models/transfer_learning_epoch_50_0.7639.h5') # this is the best model found"],"execution_count":173,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"XUlgs-gztWE6","executionInfo":{"status":"ok","timestamp":1619011548180,"user_tz":-330,"elapsed":1818,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["# to plot confusion matrix\n","\n","from sklearn.metrics import confusion_matrix, f1_score, accuracy_score\n","import itertools\n","from itertools import cycle\n","def plot_confusion_matrix(cm, classes,\n"," normalize=False,\n"," title='Confusion matrix',\n"," cmap=plt.cm.Blues):\n"," \"\"\"\n"," This function prints and plots the confusion matrix.\n"," Normalization can be applied by setting `normalize=True`.\n"," \"\"\"\n"," plt.imshow(cm, interpolation='nearest', cmap=cmap)\n"," plt.title(title)\n"," plt.colorbar()\n"," tick_marks = np.arange(len(classes))\n"," plt.xticks(tick_marks, classes, rotation=45)\n"," plt.yticks(tick_marks, classes)\n","\n"," if normalize:\n"," cm = cm.astype('float') / cm.sum(axis=1)[:, np.newaxis]\n"," print(\"Normalized confusion matrix\")\n"," else:\n"," print('Confusion matrix, without normalization')\n","\n"," print(cm)\n","\n"," thresh = cm.max() / 2.\n"," for i, j in itertools.product(range(cm.shape[0]), range(cm.shape[1])):\n"," plt.text(j, i, cm[i, j],\n"," horizontalalignment=\"center\",\n"," color=\"white\" if cm[i, j] > thresh else \"black\")\n","\n"," plt.tight_layout()\n"," plt.ylabel('True label')\n"," plt.xlabel('Predicted label')\n"," "],"execution_count":174,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"P1D72JkGMbKd"},"source":["EVALUATION ON TEST SET:"]},{"cell_type":"code","metadata":{"collapsed":true,"id":"FYEN8HLKtWE3","executionInfo":{"status":"ok","timestamp":1619011550818,"user_tz":-330,"elapsed":3956,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["# Make Prediction on Test set\n","TEST_STEPS =1\n","pred_probs = model.predict( batch_generator(test_files, len(test_files)), steps=TEST_STEPS)\n","pred = np.argmax(pred_probs, axis=-1)"],"execution_count":175,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"UGc2dj1ktWE4","colab":{"base_uri":"https://localhost:8080/"},"executionInfo":{"status":"ok","timestamp":1619011550838,"user_tz":-330,"elapsed":3907,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"b4a6d00c-ee12-4c34-c726-29b2e3f0226a"},"source":["print('Predicted Labels:')\n","print(list(pred))\n","print()\n","print('True Labels')\n","print(test_labels)"],"execution_count":176,"outputs":[{"output_type":"stream","text":["Predicted Labels:\n","[3, 6, 6, 5, 1, 2, 3, 2, 3, 6, 3, 3, 5, 3, 1, 6, 1, 0, 3, 5, 0, 0, 3, 0, 1, 2, 4, 0, 6, 0, 0, 1, 2, 6, 6, 5, 6, 3, 3, 5, 5, 3, 6, 1, 6, 5, 0, 5, 0, 1, 6, 6, 6, 0, 3, 6, 0, 2, 1, 6, 1, 3, 6, 2, 1, 1, 3, 0, 6, 6, 1, 6, 3, 3, 6, 1, 5]\n","\n","True Labels\n","[6, 6, 6, 0, 3, 2, 1, 3, 1, 6, 3, 3, 5, 1, 6, 1, 6, 4, 3, 1, 3, 1, 1, 0, 1, 2, 6, 2, 6, 1, 0, 1, 2, 6, 6, 6, 6, 3, 5, 5, 3, 1, 6, 3, 6, 5, 3, 0, 1, 5, 6, 6, 5, 6, 6, 6, 3, 0, 3, 6, 4, 3, 2, 1, 1, 3, 1, 6, 6, 6, 0, 6, 2, 3, 6, 6, 1]\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"id":"SNMZORg6tWE7","colab":{"base_uri":"https://localhost:8080/","height":464},"executionInfo":{"status":"ok","timestamp":1619011550870,"user_tz":-330,"elapsed":3862,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"6aada27e-fa0e-40b1-f14a-6b29204ef9a7"},"source":["print('FOR TEST SET:')\n","plot_confusion_matrix(confusion_matrix(y_true=test_labels[:len(pred)], y_pred=pred), \n"," classes=label_dict.keys())"],"execution_count":177,"outputs":[{"output_type":"stream","text":["FOR TEST SET:\n","Confusion matrix, without normalization\n","[[ 2 1 1 0 0 2 0]\n"," [ 3 3 1 6 0 2 1]\n"," [ 1 0 3 1 0 0 1]\n"," [ 3 4 1 6 0 1 0]\n"," [ 1 1 0 0 0 0 0]\n"," [ 0 1 0 1 0 3 1]\n"," [ 2 3 0 2 1 1 17]]\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"id":"pGdgWypTtWE8","colab":{"base_uri":"https://localhost:8080/"},"executionInfo":{"status":"ok","timestamp":1619011550873,"user_tz":-330,"elapsed":3787,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"61a6430a-11e8-40f6-c5b2-129dd57744fe"},"source":["print('Test Set Accuracy = {0:.2f}'.format(accuracy_score(y_true=test_labels[:len(pred)], y_pred=pred)))\n","x=f1_score(y_true=test_labels[:len(pred)], y_pred=pred, average='weighted')\n","print(f'Test Set F1-score = {x}')"],"execution_count":178,"outputs":[{"output_type":"stream","text":["Test Set Accuracy = 0.44\n","Test Set F1-score = 0.45542235166455314\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"yfhvKkv9M4ls"},"source":["EVALUATION ON TRAIN SET:"]},{"cell_type":"code","metadata":{"collapsed":true,"id":"8gfubgPdkUN4","executionInfo":{"status":"ok","timestamp":1619011558981,"user_tz":-330,"elapsed":11755,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["# Make Prediction on Train set\n","\n","TRAIN_STEPS = len(train_files)//BATCH_SIZE\n","train_pred_probs = model.predict( batch_generator(train_files, BATCH_SIZE), steps=TRAIN_STEPS)\n","train_pred = np.argmax(train_pred_probs, axis=-1)\n"],"execution_count":179,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"55hvbCnVkYjA","executionInfo":{"status":"ok","timestamp":1619011558982,"user_tz":-330,"elapsed":11697,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"6d2d7000-7813-4299-f344-f12a96b9c872"},"source":["print('Predicted Labels:')\n","print(list(train_pred))\n","print()\n","print('True Labels')\n","print(train_labels)"],"execution_count":180,"outputs":[{"output_type":"stream","text":["Predicted Labels:\n","[3, 3, 6, 0, 1, 1, 6, 1, 4, 5, 3, 3, 5, 1, 2, 3, 4, 1, 1, 0, 5, 1, 6, 6, 6, 0, 1, 6, 2, 5, 6, 6, 6, 1, 1, 1, 2, 3, 1, 5, 0, 1, 3, 3, 1, 3, 3, 5, 0, 3, 3, 3, 0, 4, 2, 6, 5, 3, 6, 3, 2, 4, 6, 3, 0, 0, 1, 1, 3, 6, 1, 6, 6, 6, 0, 6, 3, 1, 1, 5, 5, 1, 5, 3, 4, 6, 3, 3, 1, 6, 0, 3, 1, 1, 3, 3, 2, 2, 6, 0, 6, 6, 6, 3, 6, 1, 0, 6, 1, 6, 6, 5, 3, 6, 3, 3, 0, 3, 6, 3, 1, 1, 0, 3, 5, 0, 1, 3, 1, 6, 3, 5, 2, 6, 0, 6, 1, 1, 0, 1, 1, 3, 6, 6, 6, 4, 1, 0, 6, 0, 1, 5, 0, 5, 1, 3, 3, 3, 6, 3, 2, 0, 1, 6, 6, 3, 6, 1, 0, 5, 6, 2, 6, 3, 6, 3, 3, 0, 3, 1, 3, 6, 6, 0, 1, 5, 3, 6, 1, 3, 5, 6, 0, 6, 6, 6, 0, 0, 2, 6, 6, 3, 5, 6, 3, 3, 6, 1, 1, 6, 5, 1, 3, 4, 0, 6, 1, 0, 3, 1, 0, 2, 5, 3, 3, 5, 1, 2, 1, 6, 6, 3, 6, 6, 3, 1, 1, 5, 6, 5, 1, 3, 6, 6, 1, 5, 3, 1, 4, 2, 3, 0, 6, 4, 5, 6, 6, 4, 2, 3, 0, 3, 0, 5, 0, 5, 6, 6, 3, 2, 1, 1, 6, 6, 3, 3, 6, 6, 6, 5, 3, 5, 1, 1, 0, 5, 5, 3, 0, 1, 1, 6, 5, 6, 3, 2, 1, 1, 0, 1, 1, 3, 6, 3, 6, 6, 3, 6, 6, 1, 3, 3, 6, 0, 1, 2, 6, 4, 2, 0, 5, 2, 5, 6, 6, 6, 6, 6, 6, 1, 3, 6, 6, 4, 3, 3, 6, 0, 1, 5, 4, 5, 6, 1, 1, 6, 5, 6, 5, 5, 5, 1, 1, 6, 6, 6, 6, 1, 4, 6, 6, 5, 5, 2, 1, 1, 3, 0, 5, 6, 0, 6, 5, 0, 5, 0, 5, 1, 1, 6, 6, 6, 1, 5, 6, 1, 1, 5, 0, 1, 6, 2, 1, 3, 6, 5, 4, 1, 4, 1, 6, 5, 3, 1, 3, 3, 6, 6, 1, 6, 3, 3, 0, 1, 3, 6, 6, 6, 6, 3, 6, 6, 1, 3, 5, 1, 3, 1, 1, 3, 5, 3, 5, 3, 2, 0, 2, 2, 3, 1, 1, 1, 5, 1, 3, 6, 0, 3, 4, 4, 3, 6, 1, 1, 3, 1, 6, 6, 1, 3, 3, 6, 5, 0, 5, 3, 5, 1, 1, 5, 1, 6, 6, 6, 2, 1, 3, 6, 3, 1, 6, 6, 6, 2, 3, 1, 1, 1, 3, 0, 5, 6, 5, 3, 5, 0, 6, 6, 3, 5, 5, 3, 6, 1, 3, 5, 0, 3, 1, 6, 0, 3, 3, 6, 3, 1, 0, 3, 5, 6, 1, 6, 6, 0, 3, 3, 1, 0, 3, 1, 3, 0, 1, 3, 5, 3, 3, 6, 3, 6, 1, 3, 6, 6, 1, 6, 1, 6, 5, 1, 6, 6, 6, 3, 0, 3, 1, 4, 6, 3, 6, 6, 6, 6, 4, 1, 0, 0, 1, 3, 3, 1, 1, 6, 0, 6, 6, 1, 5, 3, 5, 0, 6, 3, 3, 6, 5, 1, 5, 3, 4, 3, 6, 6, 5, 6, 6, 6, 1, 4, 4, 3, 6, 0, 2, 0, 1, 1, 1, 5, 1, 4, 1, 6, 5, 1, 0, 2, 5, 0, 6, 3, 5, 6, 3, 1, 0, 1, 5, 1, 2, 0, 3, 6, 3, 6, 0, 1, 6, 5, 3, 5, 6, 1, 6, 3, 5, 3, 3, 6, 6, 6, 6, 5, 4, 1, 1, 6, 2, 1, 6, 3, 6, 5, 2, 6, 1, 6, 6, 6, 5, 0, 1, 4, 5, 3, 1, 4, 6, 1, 3, 0, 6, 3, 5, 6, 3, 6, 0, 5, 5, 1, 6, 5, 3, 1, 1, 6, 1, 0, 6, 6, 0, 5, 3, 3, 6, 1, 6, 3, 1, 2, 3, 1, 5, 6, 6, 1, 5, 5, 3, 2, 5, 6, 1, 5, 3, 2, 3, 5, 5, 6, 1, 0, 4, 1, 5, 1, 6, 1, 2, 5, 3, 3, 6, 3, 5, 3, 0, 5, 5, 6, 6, 3, 6, 3, 6, 1, 5, 6, 1, 6, 1, 3, 0, 2, 3, 3, 0, 0, 3, 0, 2, 3, 0, 5, 2, 1, 0, 3, 0, 1, 3, 3, 1, 3, 6, 5, 0, 1, 1, 0, 0, 2, 6, 3, 6, 1, 6, 3, 5, 5, 5, 1, 1, 1, 5, 6, 6, 5, 3, 1, 1, 1, 6, 6, 6, 6, 1, 5, 6, 3, 6, 0, 1, 5, 4, 3, 1, 6, 1, 6, 5, 1, 5, 2, 0, 1, 3, 1, 6, 5, 0, 1, 0, 0, 2, 6, 3, 2, 3, 3, 0, 5, 3, 5, 4, 3, 3, 2, 6, 5, 5, 0, 1, 6, 3, 0, 0, 2, 5, 6, 2, 3, 0, 6, 6, 2, 5, 2, 5, 2, 3, 5, 6, 0, 5, 5, 1, 5, 5, 2, 5, 1, 1, 6, 1, 5, 4, 6, 6, 3, 3, 5, 1, 3, 0, 0, 6, 6, 6, 6, 3, 5, 1, 0, 1, 6, 0, 1, 0, 6, 5, 4, 6, 5, 0, 5, 3, 0, 5, 1, 6, 6, 3, 1, 3, 3, 3, 1, 0, 1, 3, 4, 1, 5, 3, 6, 3, 5, 6, 1, 1, 5, 1, 5, 6, 5, 5, 0, 2, 0, 5, 1, 3, 3, 5, 1, 1, 1, 1, 3, 1, 6, 5, 6, 3, 3, 6, 1, 6, 6, 5, 3, 1, 2, 1, 3, 5, 0, 6, 2, 3, 5, 3, 2, 0, 1, 5, 5, 6, 1, 3, 0, 1, 3, 6, 5, 3, 3, 5, 1, 6, 6, 0, 6, 4, 0, 3, 6, 3, 3, 3, 4, 5, 6, 6, 0, 6, 6, 6, 1, 3, 1, 5, 3, 6, 0, 3, 6, 5, 3, 3, 0, 3, 6, 2, 6, 0, 5, 5, 3, 3, 6, 3, 2, 5, 6, 0, 3, 6, 2, 0, 3, 5, 3, 3, 2, 1, 6, 1, 6, 2, 2, 5, 3, 5, 3, 3, 6, 6, 3, 1, 0, 5, 5, 6, 0, 1, 2, 3, 3, 1, 5, 6, 2, 3, 6, 3, 6, 1, 1, 3, 6, 3, 0, 3, 6, 6, 2, 2, 1, 6, 4, 6, 6, 0, 2, 6, 0, 2, 6, 1, 5, 3, 5, 3, 0, 3, 6, 5, 2, 5, 6, 3, 5, 6, 5, 6, 1, 5, 3, 1, 3, 1, 6, 6, 1, 1, 1, 6, 6, 3, 1, 3, 6, 6, 1, 5, 6, 6, 2, 3, 6, 6, 2, 3, 0, 3, 1, 4, 1, 4, 6, 6, 0, 1, 5, 3, 5, 0, 1, 0, 2, 6, 3, 5, 5, 1, 4, 2, 3, 4, 3, 1, 5, 1, 3, 4, 3, 3, 3, 3, 1, 1, 6, 3, 5, 6, 1, 1, 3, 6, 6, 5, 3, 3, 5, 2, 3, 0, 0, 2, 6, 0, 5, 5, 2, 4, 3, 1, 1, 3, 2, 5, 3, 3, 0, 3, 0, 5, 1, 0, 1, 6, 6, 2, 6, 3, 3, 3, 6, 1, 5, 3, 0, 3, 1, 3, 6, 1, 5, 3, 6, 1, 6, 0, 3, 3, 3, 6, 6, 6, 4, 2, 6, 6, 3, 6, 3, 6, 6, 1, 6, 5, 6, 5, 5, 3, 3, 0, 0, 1, 5, 6, 6, 1, 1, 5, 5, 3, 2, 6, 5, 6, 3, 6, 1, 6, 3, 3, 6, 3, 0, 0, 0, 0, 6, 6, 0, 0, 0, 1, 3, 3, 3, 5, 6, 6, 1, 6, 2, 3, 1, 0, 1, 6, 2, 1, 0, 1, 1, 0, 6, 2, 6, 6, 6, 1, 1, 6, 3, 6, 1, 2, 5, 0, 2, 5, 1, 5, 6, 1, 1, 3, 3, 0, 5, 2, 6, 6, 1, 6, 6, 3, 0]\n","\n","True Labels\n","[3, 3, 6, 0, 1, 1, 6, 1, 4, 3, 3, 3, 5, 1, 2, 1, 1, 1, 1, 0, 5, 1, 6, 6, 6, 0, 1, 6, 2, 5, 6, 6, 1, 1, 1, 1, 2, 3, 1, 5, 0, 3, 3, 3, 1, 3, 3, 3, 1, 3, 3, 1, 6, 4, 2, 6, 5, 3, 1, 6, 2, 3, 6, 3, 0, 0, 1, 1, 3, 6, 1, 6, 6, 3, 0, 6, 1, 1, 1, 5, 5, 1, 5, 3, 1, 6, 3, 3, 1, 6, 6, 3, 6, 1, 1, 3, 2, 2, 6, 0, 6, 3, 6, 3, 6, 1, 6, 6, 1, 6, 6, 6, 3, 6, 3, 3, 0, 3, 3, 3, 3, 1, 0, 3, 6, 0, 1, 3, 1, 6, 3, 5, 2, 1, 0, 6, 1, 1, 3, 1, 1, 3, 1, 6, 6, 1, 1, 0, 6, 6, 1, 5, 0, 5, 1, 3, 3, 3, 6, 3, 2, 0, 1, 6, 6, 3, 6, 1, 0, 5, 6, 2, 6, 3, 6, 3, 1, 0, 3, 1, 3, 6, 6, 0, 1, 6, 3, 6, 1, 3, 5, 6, 0, 6, 6, 3, 0, 0, 2, 1, 3, 3, 5, 6, 3, 3, 6, 1, 1, 6, 3, 1, 3, 4, 0, 6, 1, 0, 3, 6, 0, 2, 5, 3, 3, 5, 1, 2, 3, 6, 6, 3, 6, 6, 1, 1, 1, 3, 6, 5, 1, 3, 6, 6, 1, 5, 6, 1, 4, 2, 3, 0, 6, 1, 5, 1, 6, 3, 2, 3, 0, 6, 1, 3, 1, 5, 6, 6, 3, 2, 1, 1, 6, 6, 3, 3, 6, 6, 6, 5, 3, 5, 6, 1, 1, 5, 1, 3, 0, 1, 1, 6, 5, 6, 3, 2, 1, 1, 3, 1, 1, 3, 6, 1, 6, 6, 3, 6, 1, 1, 3, 3, 6, 0, 1, 2, 6, 1, 2, 0, 3, 2, 5, 6, 6, 6, 6, 1, 2, 1, 6, 3, 6, 4, 3, 3, 6, 1, 1, 5, 4, 5, 6, 1, 1, 6, 5, 6, 5, 3, 5, 1, 1, 3, 6, 6, 6, 1, 3, 3, 6, 5, 5, 1, 1, 1, 3, 0, 5, 6, 0, 6, 6, 0, 5, 0, 5, 1, 1, 6, 6, 6, 1, 5, 6, 1, 1, 6, 0, 1, 6, 2, 1, 3, 6, 5, 4, 6, 3, 1, 6, 5, 3, 1, 1, 3, 6, 6, 1, 6, 3, 1, 0, 1, 3, 6, 6, 6, 3, 1, 6, 6, 1, 3, 5, 2, 1, 1, 1, 3, 1, 3, 3, 3, 2, 1, 2, 2, 3, 1, 1, 1, 5, 3, 3, 6, 6, 1, 4, 4, 1, 6, 1, 1, 3, 1, 6, 6, 1, 3, 3, 1, 3, 0, 5, 3, 1, 1, 1, 1, 5, 6, 1, 6, 2, 0, 3, 1, 3, 1, 6, 6, 6, 2, 3, 1, 1, 1, 3, 6, 5, 6, 3, 3, 5, 0, 6, 6, 3, 5, 5, 3, 6, 1, 3, 1, 3, 3, 6, 6, 1, 3, 1, 6, 3, 1, 0, 1, 3, 6, 1, 6, 6, 1, 3, 6, 1, 0, 5, 1, 3, 6, 1, 3, 5, 3, 3, 6, 3, 6, 1, 3, 6, 6, 1, 6, 1, 6, 3, 1, 6, 6, 6, 3, 1, 3, 1, 4, 6, 3, 6, 6, 6, 6, 4, 1, 0, 1, 1, 3, 6, 1, 1, 6, 0, 6, 6, 1, 1, 3, 1, 0, 1, 3, 3, 6, 5, 1, 5, 3, 4, 1, 6, 6, 5, 6, 6, 1, 1, 4, 4, 3, 6, 0, 2, 3, 6, 1, 1, 5, 1, 4, 1, 6, 5, 6, 3, 3, 3, 1, 6, 6, 1, 6, 3, 6, 1, 6, 5, 1, 2, 6, 3, 6, 3, 6, 3, 1, 6, 5, 3, 1, 6, 1, 3, 3, 5, 3, 6, 6, 6, 3, 6, 5, 1, 1, 1, 6, 2, 1, 6, 3, 6, 5, 2, 1, 1, 6, 6, 6, 1, 0, 1, 3, 3, 3, 1, 1, 1, 1, 1, 0, 6, 3, 1, 3, 3, 6, 0, 6, 1, 1, 6, 5, 3, 1, 1, 6, 1, 0, 6, 6, 0, 5, 3, 3, 6, 1, 6, 1, 1, 2, 3, 1, 5, 6, 6, 1, 5, 5, 3, 2, 5, 6, 1, 5, 1, 2, 3, 5, 5, 6, 1, 0, 4, 1, 5, 1, 6, 1, 3, 5, 3, 3, 6, 3, 1, 6, 0, 5, 5, 6, 6, 3, 6, 3, 6, 1, 5, 6, 1, 6, 3, 3, 6, 2, 3, 1, 0, 6, 3, 1, 3, 6, 0, 3, 2, 1, 0, 1, 6, 1, 3, 3, 1, 3, 6, 5, 1, 1, 1, 0, 0, 2, 6, 3, 6, 6, 6, 3, 5, 5, 3, 1, 1, 1, 5, 6, 6, 5, 3, 6, 1, 1, 6, 1, 6, 6, 3, 5, 6, 6, 6, 0, 1, 5, 1, 3, 1, 6, 1, 6, 5, 1, 5, 2, 1, 1, 3, 1, 6, 5, 0, 1, 0, 0, 2, 6, 3, 3, 3, 3, 0, 1, 3, 1, 4, 3, 3, 2, 6, 6, 5, 0, 1, 6, 3, 0, 0, 2, 5, 6, 2, 3, 1, 6, 3, 2, 1, 2, 5, 2, 3, 3, 6, 0, 5, 5, 1, 5, 5, 2, 5, 1, 1, 6, 1, 5, 3, 6, 3, 3, 3, 5, 3, 3, 3, 0, 6, 6, 6, 6, 3, 5, 1, 0, 1, 6, 1, 1, 0, 6, 1, 3, 5, 5, 0, 5, 3, 0, 1, 1, 6, 6, 3, 1, 3, 3, 3, 1, 3, 1, 3, 4, 5, 5, 3, 6, 3, 1, 6, 1, 1, 5, 1, 5, 6, 5, 1, 3, 2, 0, 5, 1, 3, 6, 5, 1, 1, 1, 6, 3, 1, 6, 3, 3, 3, 3, 6, 1, 6, 3, 5, 1, 1, 2, 1, 3, 6, 0, 6, 1, 3, 5, 1, 2, 0, 1, 5, 5, 6, 3, 3, 1, 1, 3, 6, 1, 3, 6, 5, 1, 6, 6, 0, 6, 4, 0, 1, 6, 3, 1, 1, 4, 3, 6, 6, 3, 1, 6, 6, 1, 3, 1, 5, 3, 1, 1, 3, 6, 5, 3, 3, 0, 3, 3, 2, 6, 3, 6, 5, 3, 3, 6, 3, 1, 3, 6, 6, 3, 6, 2, 0, 6, 6, 3, 3, 2, 1, 6, 1, 6, 2, 2, 1, 6, 5, 3, 3, 6, 6, 3, 1, 0, 5, 5, 1, 6, 1, 2, 3, 5, 1, 5, 6, 1, 3, 6, 3, 6, 1, 1, 3, 6, 3, 0, 3, 6, 6, 6, 2, 1, 6, 4, 6, 6, 0, 2, 6, 3, 2, 6, 1, 5, 3, 1, 3, 0, 3, 6, 5, 2, 1, 6, 3, 5, 6, 5, 6, 1, 5, 3, 1, 3, 1, 1, 6, 1, 1, 6, 6, 6, 3, 1, 3, 6, 6, 1, 5, 1, 6, 2, 3, 6, 6, 2, 3, 0, 3, 1, 4, 1, 4, 6, 6, 0, 1, 5, 3, 5, 3, 1, 1, 2, 6, 3, 1, 5, 3, 1, 2, 5, 1, 3, 1, 5, 1, 3, 4, 3, 3, 3, 3, 1, 1, 6, 3, 1, 1, 1, 1, 3, 6, 6, 5, 3, 5, 5, 2, 1, 0, 0, 5, 6, 0, 5, 5, 2, 4, 3, 1, 3, 3, 2, 5, 3, 3, 0, 3, 0, 3, 3, 1, 1, 1, 6, 2, 1, 3, 3, 3, 1, 1, 6, 3, 0, 3, 1, 3, 6, 1, 5, 1, 3, 1, 6, 0, 3, 3, 3, 6, 6, 6, 4, 2, 6, 6, 3, 6, 3, 6, 6, 1, 0, 5, 6, 1, 5, 3, 3, 0, 1, 1, 5, 6, 3, 1, 1, 5, 5, 3, 2, 6, 5, 6, 3, 6, 1, 6, 3, 3, 6, 3, 0, 3, 0, 0, 6, 6, 0, 1, 1, 1, 6, 3, 3, 5, 6, 6, 1, 6, 2, 3, 1, 0, 1, 6, 2, 1, 1, 1, 1, 3, 6, 2, 6, 6, 6, 1, 1, 3, 1, 6, 1, 2, 5, 0, 2, 5, 1, 6, 6, 1, 1, 3, 1, 3, 5, 2, 6, 6, 1, 6, 6, 6, 0, 2, 6, 6]\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":464},"id":"H1ZWHdOelDZS","executionInfo":{"status":"ok","timestamp":1619011558984,"user_tz":-330,"elapsed":11628,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"2118a42f-75e0-40e4-f6cf-b6826758d868"},"source":["print('FOR TRAINING SET')\n","plot_confusion_matrix(confusion_matrix(y_true=train_labels[:len(train_pred)], y_pred=train_pred), \n"," classes=label_dict.keys())"],"execution_count":181,"outputs":[{"output_type":"stream","text":["FOR TRAINING SET\n","Confusion matrix, without normalization\n","[[ 97 1 0 0 0 0 1]\n"," [ 25 238 4 30 10 27 25]\n"," [ 0 1 74 0 0 0 1]\n"," [ 16 11 4 239 7 21 20]\n"," [ 0 0 0 0 26 0 0]\n"," [ 0 2 1 4 0 137 1]\n"," [ 13 13 1 17 0 12 297]]\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"X-YMgSJZqAg4","executionInfo":{"status":"ok","timestamp":1619011558985,"user_tz":-330,"elapsed":11546,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"6a2a030f-d312-4ffd-f8d6-4839a5f6b46e"},"source":["print('Train Set Accuracy = {0:.2f}'.format(accuracy_score(y_true=train_labels[:len(train_pred)], y_pred=train_pred)))\n","print('Train Set F1-score = {0:.2f}'.format(f1_score(y_true=train_labels[:len(train_pred)], y_pred=train_pred, average='weighted')))"],"execution_count":182,"outputs":[{"output_type":"stream","text":["Train Set Accuracy = 0.81\n","Train Set F1-score = 0.80\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"id":"NvCKSpu7LZUc","executionInfo":{"status":"ok","timestamp":1619011558986,"user_tz":-330,"elapsed":11472,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":182,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"odvcmX4kNWhO"},"source":["EVALUATION ON VALIDATION SET:"]},{"cell_type":"code","metadata":{"collapsed":true,"id":"LeJzgIm0NWhc","executionInfo":{"status":"ok","timestamp":1619011559983,"user_tz":-330,"elapsed":12332,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["# Make Prediction on Validation set\n","\n","VAL_STEPS = len(val_files)//BATCH_SIZE\n","val_pred_probs = model.predict( batch_generator(val_files, BATCH_SIZE), steps=VAL_STEPS)\n","\n","val_pred = np.argmax(val_pred_probs, axis=-1)"],"execution_count":183,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"SgG7eRDZNWhg","executionInfo":{"status":"ok","timestamp":1619011559984,"user_tz":-330,"elapsed":12255,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"c23275d8-b0e1-42b2-9b29-29d89d9e352d"},"source":["print('Predicted Labels:')\n","print(list(val_pred))\n","print()\n","print('True Labels')\n","print(val_labels)"],"execution_count":184,"outputs":[{"output_type":"stream","text":["Predicted Labels:\n","[3, 5, 5, 3, 6, 6, 6, 6, 3, 0, 6, 6, 6, 1, 3, 6, 3, 5, 6, 6, 3, 1, 6, 3, 3, 5, 6, 6, 0, 5, 6, 6, 6, 0, 1, 5, 6, 0, 1, 1, 6, 2, 5, 6, 1, 3, 6, 1, 4, 6, 0, 6, 3, 3, 1, 0, 2, 6, 6, 6, 0, 6, 3, 2]\n","\n","True Labels\n","[6, 5, 6, 3, 2, 6, 6, 6, 5, 3, 6, 6, 1, 1, 3, 6, 2, 5, 6, 1, 5, 0, 6, 1, 0, 3, 6, 0, 3, 5, 6, 6, 5, 1, 2, 3, 3, 1, 3, 2, 6, 2, 1, 1, 1, 1, 3, 6, 0, 6, 2, 0, 3, 1, 3, 0, 5, 6, 1, 6, 3, 1, 6, 4, 1, 3, 5, 1, 1, 0, 3, 6, 6, 3, 5, 1, 5]\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":464},"id":"csASIogNNWhl","executionInfo":{"status":"ok","timestamp":1619011559986,"user_tz":-330,"elapsed":12189,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"1a941827-79a7-418d-c078-c93c9fe61015"},"source":["print('FOR VALIDATION SET')\n","plot_confusion_matrix(confusion_matrix(y_true=val_labels[:len(val_pred)], y_pred=val_pred), \n"," classes=label_dict.keys())"],"execution_count":185,"outputs":[{"output_type":"stream","text":["FOR VALIDATION SET\n","Confusion matrix, without normalization\n","[[ 1 1 0 1 1 0 2]\n"," [ 2 2 0 3 0 1 5]\n"," [ 1 2 1 1 0 0 1]\n"," [ 3 2 0 3 0 2 2]\n"," [ 0 0 1 0 0 0 0]\n"," [ 0 0 1 2 0 3 1]\n"," [ 0 1 0 2 0 1 15]]\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"hQIdI7y8NWhn","executionInfo":{"status":"ok","timestamp":1619011559987,"user_tz":-330,"elapsed":12120,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"65f60125-7f1e-468f-ba59-5a881faf9d50"},"source":["print('Validationi Set Accuracy = {0:.2f}'.format(accuracy_score(y_true=val_labels[:len(val_pred)], y_pred=val_pred)))\n","print('Validation Set F1-score = {0:.2f}'.format(f1_score(y_true=train_labels[:len(val_pred)], y_pred=val_pred, average='weighted')))"],"execution_count":186,"outputs":[{"output_type":"stream","text":["Validationi Set Accuracy = 0.39\n","Validation Set F1-score = 0.22\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"id":"Ig1PBRULOUao","executionInfo":{"status":"ok","timestamp":1619011559988,"user_tz":-330,"elapsed":12058,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["\n"],"execution_count":186,"outputs":[]}]} \ No newline at end of file diff --git a/Project/204102311_SatyakiGhosh/feature_based_classifiers.ipynb b/Project/204102311_SatyakiGhosh/feature_based_classifiers.ipynb new file mode 100644 index 0000000..9518691 --- /dev/null +++ b/Project/204102311_SatyakiGhosh/feature_based_classifiers.ipynb @@ -0,0 +1 @@ +{"nbformat":4,"nbformat_minor":0,"metadata":{"kernelspec":{"display_name":"Python 3","language":"python","name":"python3"},"language_info":{"codemirror_mode":{"name":"ipython","version":3},"file_extension":".py","mimetype":"text/x-python","name":"python","nbconvert_exporter":"python","pygments_lexer":"ipython3","version":"3.6.1"},"colab":{"name":"5_model_building.ipynb","provenance":[],"toc_visible":true}},"cells":[{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"CrZnOlyDpz45","executionInfo":{"status":"ok","timestamp":1619025843465,"user_tz":-330,"elapsed":24906,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"b4ae1a62-2ba5-4455-db3a-a05eb7d4cf26"},"source":["from google.colab import drive\n","drive.mount('/content/drive')"],"execution_count":1,"outputs":[{"output_type":"stream","text":["Mounted at /content/drive\n"],"name":"stdout"}]},{"cell_type":"code","metadata":{"collapsed":true,"id":"QzqLF1_5jwsU","executionInfo":{"status":"ok","timestamp":1619025875046,"user_tz":-330,"elapsed":2124,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["import pandas as pd\n","import numpy as np\n","import xgboost as xgb\n","import itertools\n","import pickle\n","import matplotlib\n","from matplotlib import pyplot as plt\n","from sklearn.linear_model import LogisticRegression\n","from sklearn.svm import SVC\n","from sklearn.ensemble import RandomForestClassifier\n","from sklearn.model_selection import train_test_split\n","from sklearn.preprocessing import MinMaxScaler, OneHotEncoder\n","from sklearn.feature_selection import SelectFromModel\n","from sklearn.utils.class_weight import compute_class_weight\n","from sklearn.decomposition import PCA\n","from sklearn.manifold import TSNE\n","from sklearn.metrics import confusion_matrix, f1_score, accuracy_score\n","from scipy import interp\n","from itertools import cycle\n","\n","# matplotlib.rcParams.update({'font.size': 14})\n","%matplotlib inline"],"execution_count":2,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":241},"id":"blUVHXFPjwsv","executionInfo":{"status":"ok","timestamp":1619025945585,"user_tz":-330,"elapsed":1908,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"33ccbf42-0968-4da8-dccf-446797e994bc"},"source":["BASE_DIR='/content/drive/MyDrive/IIT_Guwahati/IITG_DigitalSignalProcessorsLab/Music_classification_by_Genre/'\n","df = pd.read_csv(BASE_DIR+'df_features.csv')\n","print(df.shape)\n","df.head()"],"execution_count":4,"outputs":[{"output_type":"stream","text":["(1533, 63)\n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/html":["
\n","\n","\n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n","
file_namesignal_meansignal_stdsignal_skewsignal_kurtosiszcr_meanzcr_stdrmse_meanrmse_stdspectral_contrast_1_meanspectral_contrast_2_meanspectral_contrast_3_meanspectral_contrast_4_meanspectral_contrast_5_meanspectral_contrast_6_meanspectral_contrast_7_meanspectral_contrast_1_stdspectral_contrast_2_stdspectral_contrast_3_stdspectral_contrast_4_stdspectral_contrast_5_stdspectral_contrast_6_stdspectral_contrast_7_stdmfccs_1_meanmfccs_2_meanmfccs_3_meanmfccs_4_meanmfccs_5_meanmfccs_6_meanmfccs_7_meanmfccs_8_meanmfccs_9_meanmfccs_10_meanmfccs_11_meanmfccs_12_meanmfccs_13_meanmfccs_14_meanmfccs_15_meanmfccs_16_meanmfccs_17_meanmfccs_18_meanmfccs_19_meanmfccs_20_meanmfccs_1_stdmfccs_2_stdmfccs_3_stdmfccs_4_stdmfccs_5_stdmfccs_6_stdmfccs_7_stdmfccs_8_stdmfccs_9_stdmfccs_10_stdmfccs_11_stdmfccs_12_stdmfccs_13_stdmfccs_14_stdmfccs_15_stdmfccs_16_stdmfccs_17_stdmfccs_18_stdmfccs_19_stdmfccs_20_std
0609_Pop_music.wav0.0360.0571.8603.5000.1750.1620.0450.03416.60613.29615.92515.53716.27516.26128.0486.0574.4674.5733.8933.8083.6917.546-260.69243.313-7.0399.1803.8650.702-5.2526.5780.1073.057-0.9163.2500.3715.7660.2083.2380.0604.172-1.2372.582199.12754.17820.65318.08515.6369.47310.9008.7678.0448.5808.4948.4547.9107.2288.8338.0117.0529.4646.6826.181
1610_Rock_music.wav0.0590.0741.0410.1050.1410.0350.0730.01319.71513.20117.13315.88517.26916.68235.0894.4293.6534.4092.8643.0882.9782.583-103.56977.074-6.25419.480-19.92810.341-19.4619.033-9.488-5.314-4.464-2.109-11.907-5.584-8.315-2.183-3.870-0.628-7.1846.06323.63812.3439.5818.1019.5765.4737.7585.9695.6516.0227.2536.1385.3085.4065.3915.1565.4225.9787.1967.166
2611_Rhythm_blues.wav0.0360.0471.5381.1230.1220.0310.0450.01017.73814.09716.98118.71120.51520.31733.0975.0303.2683.6913.2723.1702.6632.631-181.09992.825-6.66144.721-0.9326.7674.6139.766-3.5926.806-0.240-5.858-10.3142.635-6.5590.850-4.8571.550-0.0560.91431.40611.13710.03911.9306.5976.35111.8528.1315.6838.6367.5887.8737.6818.0947.4236.2265.5025.4606.8416.302
3614_Pop_music.wav0.1680.2181.2630.5580.1110.0990.2100.05821.87616.33018.42017.17117.40917.15630.9134.8724.7244.9044.0673.1782.4922.479-65.32482.5799.97518.6668.6419.9305.0086.612-2.833-0.958-1.7782.432-4.8153.302-3.9402.342-1.1822.545-3.0505.49058.32232.82025.74516.54814.97912.62710.37111.4449.0418.8967.9158.1696.9068.6317.9437.3917.0936.5667.7647.615
4616_Techno.wav0.1400.1781.1320.2610.1960.1090.1750.03022.88813.87716.91617.06917.33119.07433.0934.8153.3263.8272.9972.3282.7882.720-18.13466.334-2.12913.704-7.247-1.933-11.554-1.294-7.8393.380-7.956-4.404-8.134-7.968-13.381-3.025-9.868-6.779-9.8541.05832.88340.25019.73315.5958.4437.2936.0967.4135.7756.1996.6335.6304.9815.8846.3295.6144.8057.1995.4395.961
\n","
"],"text/plain":[" file_name signal_mean ... mfccs_19_std mfccs_20_std\n","0 609_Pop_music.wav 0.036 ... 6.682 6.181\n","1 610_Rock_music.wav 0.059 ... 7.196 7.166\n","2 611_Rhythm_blues.wav 0.036 ... 6.841 6.302\n","3 614_Pop_music.wav 0.168 ... 7.764 7.615\n","4 616_Techno.wav 0.140 ... 5.439 5.961\n","\n","[5 rows x 63 columns]"]},"metadata":{"tags":[]},"execution_count":4}]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":224},"id":"SuaNoWk5jwsz","executionInfo":{"status":"ok","timestamp":1619025946310,"user_tz":-330,"elapsed":2587,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"14f4fca3-9b17-435c-8a8f-dd886c812dbc"},"source":["label_dict = {'Hip':0,\n"," 'Pop':1,\n"," 'Vocal':2,\n"," 'Rhythm':3,\n"," 'Reggae':4,\n"," 'Rock':5,\n"," 'Techno':6,\n"," }\n","\n","# Normalization of the features\n","scalar = MinMaxScaler()\n","df[df.columns[1:]] = scalar.fit_transform(df[df.columns[1:]])\n","df['label'] = df['file_name'].apply(lambda x: label_dict[x[:-4].split('_')[1]])\n","df.head()"],"execution_count":5,"outputs":[{"output_type":"execute_result","data":{"text/html":["
\n","\n","\n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n"," \n","
file_namesignal_meansignal_stdsignal_skewsignal_kurtosiszcr_meanzcr_stdrmse_meanrmse_stdspectral_contrast_1_meanspectral_contrast_2_meanspectral_contrast_3_meanspectral_contrast_4_meanspectral_contrast_5_meanspectral_contrast_6_meanspectral_contrast_7_meanspectral_contrast_1_stdspectral_contrast_2_stdspectral_contrast_3_stdspectral_contrast_4_stdspectral_contrast_5_stdspectral_contrast_6_stdspectral_contrast_7_stdmfccs_1_meanmfccs_2_meanmfccs_3_meanmfccs_4_meanmfccs_5_meanmfccs_6_meanmfccs_7_meanmfccs_8_meanmfccs_9_meanmfccs_10_meanmfccs_11_meanmfccs_12_meanmfccs_13_meanmfccs_14_meanmfccs_15_meanmfccs_16_meanmfccs_17_meanmfccs_18_meanmfccs_19_meanmfccs_20_meanmfccs_1_stdmfccs_2_stdmfccs_3_stdmfccs_4_stdmfccs_5_stdmfccs_6_stdmfccs_7_stdmfccs_8_stdmfccs_9_stdmfccs_10_stdmfccs_11_stdmfccs_12_stdmfccs_13_stdmfccs_14_stdmfccs_15_stdmfccs_16_stdmfccs_17_stdmfccs_18_stdmfccs_19_stdmfccs_20_stdlabel
0609_Pop_music.wav0.0341790.0530300.4533980.0758720.4201470.6516390.0410370.1295550.2712480.1931060.1841670.1635210.1524180.0971330.1871930.5611870.3139670.2604370.2456660.2194740.2253990.4530950.4382220.2308900.5842300.3246890.5276070.4565430.5113860.5678250.6140750.5187040.5994330.6533140.6480990.6729980.5604690.6264570.6830520.4504670.4675800.5081450.7779220.5812580.2643000.4150230.4172290.2420050.3394850.2879220.2539810.3442760.3432320.3702950.2345810.1310460.2015760.2380720.1689410.2282790.1569280.1670681
1610_Rock_music.wav0.0595370.0714290.3773670.0282770.3366090.1311480.0712740.0445340.4117990.1884420.2330090.1784840.1956430.1089790.3395130.2897630.1520100.2357200.1084290.1440100.1560680.0946780.6555620.3607820.5879890.4011140.3525380.5420990.3311260.5986320.5156400.3974270.5209140.5642410.4035810.4355700.3719280.5046840.5950800.3388450.3192130.5977300.0604250.0752770.0921530.1133640.2018620.0728000.1900300.1433150.1360120.1837180.2695250.2126470.1181180.0746670.0880760.1108830.1075010.1126300.1765200.2145205
2611_Rhythm_blues.wav0.0341790.0422080.4235050.0425480.2899260.1147540.0410370.0323890.3224230.2324350.2268630.2999960.3367980.2112610.2964200.3899630.0754080.1275060.1628430.1526050.1254380.0981440.5483190.4213820.5860400.5884010.4923110.5103760.6365370.6078300.5761270.5730180.6143940.5019280.4353060.6075010.4107730.5728150.5729860.3894940.4970440.4652190.0921850.0606910.0992740.2290540.0959910.1099410.3847690.2550520.1375890.3477910.2894220.3307470.2243310.1578430.1550810.1585510.1105160.0954450.1629880.1728973
3614_Pop_music.wav0.1797130.2272730.3979760.0346270.2628990.3934430.2192220.2267210.5094940.3420730.2850440.2337790.2017310.1223160.2491730.3636210.3651010.3103240.2688720.1534430.1088100.0871670.7084640.3819620.6657010.3950750.5627490.5384510.6415480.5682520.5839140.4605350.5803570.6397180.5448190.6214540.4687090.6063300.6552500.4126320.4223490.5829840.2022320.3229400.3434710.3685830.3938800.3754230.3143220.4262750.3031300.3641100.3088440.3508950.1896430.1744590.1722280.2104510.1704860.1321370.1981700.2361501
4616_Techno.wav0.1488420.1839830.3858150.0304640.4717440.4344260.1814250.1133600.5552440.2216330.2242350.2293930.1983390.1762850.2963330.3541180.0869480.1480030.1261670.0643540.1375920.1045710.7737390.3194610.6077410.3582570.4458450.4331550.4314370.4690430.5325570.5233830.4436330.5260950.4787210.3857000.2598610.4857690.4608150.1958050.2526010.4689250.0982240.4128030.2499960.3397890.1615960.1497880.1109740.2179440.1421250.1948280.2327020.1780680.1034820.0894580.1190070.1312870.0842440.1531370.1095480.1564706
\n","
"],"text/plain":[" file_name signal_mean ... mfccs_20_std label\n","0 609_Pop_music.wav 0.034179 ... 0.167068 1\n","1 610_Rock_music.wav 0.059537 ... 0.214520 5\n","2 611_Rhythm_blues.wav 0.034179 ... 0.172897 3\n","3 614_Pop_music.wav 0.179713 ... 0.236150 1\n","4 616_Techno.wav 0.148842 ... 0.156470 6\n","\n","[5 rows x 64 columns]"]},"metadata":{"tags":[]},"execution_count":5}]},{"cell_type":"code","metadata":{"id":"9kbXXj45jws1","executionInfo":{"status":"ok","timestamp":1619025948499,"user_tz":-330,"elapsed":4761,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["# Train-test split of files # Same split as used for VGG model\n","with open(BASE_DIR+'pred_probas/testset_filenames.txt', 'r') as f:\n"," test_files = f.readlines()\n","test_files = [t.strip() for t in test_files]\n","\n","indices = []\n","for t in test_files:\n"," indices.append(df[df.file_name==t].index[0])\n"," \n","df_test = df.iloc[indices,:]\n","df.drop(labels=indices, inplace=True)\n","\n","cl_weight = dict(pd.Series(df['label']).value_counts(normalize=True))\n","\n","x_train = df[df.columns[1:-1]]\n","x_test = df_test[df.columns[1:-1]]\n","y_train = df['label']\n","y_test = df_test['label']"],"execution_count":6,"outputs":[]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/"},"id":"6e9Pgl-OPLJb","executionInfo":{"status":"ok","timestamp":1619025948504,"user_tz":-330,"elapsed":4727,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"9031676f-5a21-4f11-a1b1-729d13e2d6fa"},"source":["print(f'Total number of test files = {len(test_files)}')\n","print()\n","print('List of test files:')\n","test_files"],"execution_count":7,"outputs":[{"output_type":"stream","text":["Total number of test files = 77\n","\n","List of test files:\n"],"name":"stdout"},{"output_type":"execute_result","data":{"text/plain":["['1565_Techno.wav',\n"," '1370_Techno.wav',\n"," '244_Techno.wav',\n"," '339_Hip_hop_music.wav',\n"," '794_Rhythm_blues.wav',\n"," '720_Vocal.wav',\n"," '342_Pop_music.wav',\n"," '304_Rhythm_blues.wav',\n"," '1345_Pop_music.wav',\n"," '1078_Techno.wav',\n"," '997_Rhythm_blues.wav',\n"," '1734_Rhythm_blues.wav',\n"," '1434_Rock_music.wav',\n"," '1442_Pop_music.wav',\n"," '655_Techno.wav',\n"," '14_Pop_music.wav',\n"," '1689_Techno.wav',\n"," '406_Reggae.wav',\n"," '261_Rhythm_blues.wav',\n"," '1378_Pop_music.wav',\n"," '1268_Rhythm_blues.wav',\n"," '489_Pop_music.wav',\n"," '1847_Pop_music.wav',\n"," '849_Hip_hop_music.wav',\n"," '537_Pop_music.wav',\n"," '1474_Vocal.wav',\n"," '187_Techno.wav',\n"," '419_Vocal.wav',\n"," '657_Techno.wav',\n"," '592_Pop_music.wav',\n"," '551_Hip_hop_music.wav',\n"," '1596_Pop_music.wav',\n"," '569_Vocal.wav',\n"," '1238_Techno.wav',\n"," '1178_Techno.wav',\n"," '1391_Techno.wav',\n"," '1018_Techno.wav',\n"," '1004_Rhythm_blues.wav',\n"," '1155_Rock_music.wav',\n"," '1381_Rock_music.wav',\n"," '1574_Rhythm_blues.wav',\n"," '411_Pop_music.wav',\n"," '1555_Techno.wav',\n"," '29_Rhythm_blues.wav',\n"," '122_Techno.wav',\n"," '1422_Rock_music.wav',\n"," '1025_Rhythm_blues.wav',\n"," '1459_Hip_hop_music.wav',\n"," '353_Pop_music.wav',\n"," '1313_Rock_music.wav',\n"," '1645_Techno.wav',\n"," '319_Techno.wav',\n"," '49_Rock_music.wav',\n"," '1448_Techno.wav',\n"," '1184_Techno.wav',\n"," '294_Techno.wav',\n"," '1451_Rhythm_blues.wav',\n"," '217_Hip_hop_music.wav',\n"," '473_Rhythm_blues.wav',\n"," '498_Techno.wav',\n"," '1237_Reggae.wav',\n"," '728_Rhythm_blues.wav',\n"," '1342_Vocal.wav',\n"," '405_Pop_music.wav',\n"," '1836_Pop_music.wav',\n"," '1638_Rhythm_blues.wav',\n"," '1795_Pop_music.wav',\n"," '627_Techno.wav',\n"," '1420_Techno.wav',\n"," '168_Techno.wav',\n"," '1192_Hip_hop_music.wav',\n"," '948_Techno.wav',\n"," '384_Vocal.wav',\n"," '205_Rhythm_blues.wav',\n"," '1686_Techno.wav',\n"," '1684_Techno.wav',\n"," '829_Pop_music.wav']"]},"metadata":{"tags":[]},"execution_count":7}]},{"cell_type":"code","metadata":{"id":"u-iZ90Z9jws3","executionInfo":{"status":"ok","timestamp":1619026379785,"user_tz":-330,"elapsed":1172,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":["def plot_confusion_matrix(cm, classes,\n"," normalize=False,\n"," title='Confusion matrix',\n"," cmap=plt.cm.Blues):\n"," \"\"\"\n"," This function prints and plots the confusion matrix.\n"," Normalization can be applied by setting `normalize=True`.\n"," \"\"\"\n"," # plt.figure(figsize=(8,8))\n"," plt.imshow(cm, interpolation='nearest', cmap=cmap)\n"," plt.title(title)\n"," plt.colorbar()\n"," tick_marks = np.arange(len(classes))\n"," plt.xticks(tick_marks, classes, rotation=45)\n"," plt.yticks(tick_marks, classes)\n","\n"," if normalize:\n"," cm = cm.astype('float') / cm.sum(axis=1)[:, np.newaxis]\n"," print(\"Normalized confusion matrix\")\n"," else:\n"," print('Confusion matrix, without normalization')\n","\n"," print(cm)\n","\n"," thresh = cm.max() / 2.\n"," for i, j in itertools.product(range(cm.shape[0]), range(cm.shape[1])):\n"," plt.text(j, i, cm[i, j],\n"," horizontalalignment=\"center\",\n"," color=\"white\" if cm[i, j] > thresh else \"black\")\n","\n"," plt.tight_layout()\n"," plt.ylabel('True label')\n"," plt.xlabel('Predicted label')\n"," \n","def one_hot_encoder(true_labels, num_records, num_classes):\n"," temp = np.array(true_labels[:num_records])\n"," true_labels = np.zeros((num_records, num_classes))\n"," true_labels[np.arange(num_records), temp] = 1\n"," return true_labels\n","\n","def display_results(y_test, pred_probs, cm = True):\n"," pred = np.argmax(pred_probs, axis=-1)\n"," one_hot_true = one_hot_encoder(y_test, len(pred), len(label_dict))\n"," print('Test Set Accuracy = {0:.2f}'.format(accuracy_score(y_test, pred)))\n"," print('Test Set F-score = {0:.2f}'.format(f1_score(y_test, pred, average='macro')))\n"," if cm:\n"," plot_confusion_matrix(confusion_matrix(y_test, pred), classes=label_dict.keys())"],"execution_count":15,"outputs":[]},{"cell_type":"code","metadata":{"id":"TseORisWjws6","executionInfo":{"status":"ok","timestamp":1619027199054,"user_tz":-330,"elapsed":1164,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":18,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"JbJWLKY9jwtL"},"source":["### Random Forest"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":481},"id":"Jc4MQy1mjwtP","executionInfo":{"status":"ok","timestamp":1619027205580,"user_tz":-330,"elapsed":7642,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"11211aee-e6f9-427f-c4a5-95f733f91913"},"source":["# Train\n","rf_classifier = RandomForestClassifier(n_estimators=500, min_samples_split=5)\n","rf_classifier.fit(x_train, y_train)\n","\n","# Predict\n","pred_probs = rf_classifier.predict_proba(x_test)\n","\n","# Results\n","display_results(y_test, pred_probs)\n"],"execution_count":19,"outputs":[{"output_type":"stream","text":["Test Set Accuracy = 0.38\n","Test Set F-score = 0.23\n","Confusion matrix, without normalization\n","[[ 0 2 0 2 0 0 2]\n"," [ 0 4 1 5 0 1 5]\n"," [ 0 1 0 3 0 0 2]\n"," [ 0 8 0 6 0 0 1]\n"," [ 0 1 0 1 0 0 0]\n"," [ 0 1 0 3 0 2 0]\n"," [ 1 7 0 1 0 0 17]]\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"collapsed":true,"id":"PEZUkPsIjwtR","executionInfo":{"status":"ok","timestamp":1619027205583,"user_tz":-330,"elapsed":7583,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":19,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"h3-R68IfjwtS"},"source":["### Gradient Boosting "]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":481},"id":"ZIRtBkp_jwtX","executionInfo":{"status":"ok","timestamp":1619027232674,"user_tz":-330,"elapsed":34626,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"4b8d137b-b699-4d01-cf5e-2d8e964b2798"},"source":["# Train\n","xgb_classifier = xgb.XGBClassifier(max_depth=5, learning_rate=0.1, objective='multi:softprob', \n"," n_estimators=500, sub_sample = 0.8, num_class = len(label_dict))\n","xgb_classifier.fit(x_train, y_train)\n","\n","# Predict\n","pred_probs = xgb_classifier.predict_proba(x_test)\n","\n","# Results\n","display_results(y_test, pred_probs)\n","\n"],"execution_count":20,"outputs":[{"output_type":"stream","text":["Test Set Accuracy = 0.44\n","Test Set F-score = 0.34\n","Confusion matrix, without normalization\n","[[ 1 2 0 3 0 0 0]\n"," [ 0 5 1 4 0 1 5]\n"," [ 0 0 2 1 1 0 2]\n"," [ 1 5 0 6 0 0 3]\n"," [ 0 1 0 1 0 0 0]\n"," [ 0 1 0 3 0 2 0]\n"," [ 1 4 0 3 0 0 18]]\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"collapsed":true,"id":"V_cU5mMcjwtZ","executionInfo":{"status":"ok","timestamp":1619027232677,"user_tz":-330,"elapsed":34585,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":20,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"gMsGafJQjwtZ"},"source":["### Support Vector Machines"]},{"cell_type":"code","metadata":{"colab":{"base_uri":"https://localhost:8080/","height":481},"id":"d_i4dsFTjwta","executionInfo":{"status":"ok","timestamp":1619027380237,"user_tz":-330,"elapsed":182102,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"dfd9c10e-8403-41ee-94a9-b32b465f37a0"},"source":["# Train\n","svm_classifier = SVC(C=10000.0, probability = True, class_weight=cl_weight, kernel='linear')\n","svm_classifier.fit(x_train, y_train)\n","\n","# Predict\n","pred_probs = svm_classifier.predict_proba(x_test)\n","\n","# Results\n","display_results(y_test, pred_probs)"],"execution_count":21,"outputs":[{"output_type":"stream","text":["Test Set Accuracy = 0.35\n","Test Set F-score = 0.20\n","Confusion matrix, without normalization\n","[[ 0 4 0 1 0 0 1]\n"," [ 0 4 0 5 0 0 7]\n"," [ 0 4 0 0 0 0 2]\n"," [ 0 8 0 6 0 0 1]\n"," [ 0 1 0 1 0 0 0]\n"," [ 0 2 0 2 0 1 1]\n"," [ 0 8 0 2 0 0 16]]\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"id":"IEVahII0jwtc","executionInfo":{"status":"ok","timestamp":1619027380239,"user_tz":-330,"elapsed":182073,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":21,"outputs":[]}]} \ No newline at end of file diff --git a/Project/204102311_SatyakiGhosh/make_features.ipynb b/Project/204102311_SatyakiGhosh/make_features.ipynb new file mode 100644 index 0000000..5aa8428 --- /dev/null +++ b/Project/204102311_SatyakiGhosh/make_features.ipynb @@ -0,0 +1 @@ +{"nbformat":4,"nbformat_minor":0,"metadata":{"kernelspec":{"display_name":"Python 3","language":"python","name":"python3"},"language_info":{"codemirror_mode":{"name":"ipython","version":3},"file_extension":".py","mimetype":"text/x-python","name":"python","nbconvert_exporter":"python","pygments_lexer":"ipython3","version":"3.6.1"},"colab":{"name":"4_feature_extraction.ipynb","provenance":[],"toc_visible":true}},"cells":[{"cell_type":"code","metadata":{"id":"9YQnY27DWYeD"},"source":["from google.colab import drive\n","drive.mount('/content/drive')"],"execution_count":null,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"jpzuqcO6avu0"},"source":["from IPython.display import Audio\n","import librosa\n","from librosa import display\n","import numpy as np\n","import scipy\n","from matplotlib import pyplot as plt\n","plt.style.use('ggplot')\n","%matplotlib inline"],"execution_count":null,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"bneVrJLxavu9"},"source":["BASE_DIR='/content/drive/MyDrive/IIT_Guwahati/IITG_DigitalSignalProcessorsLab/Music_classification_by_Genre/'\n","\n","y, sr = librosa.load(BASE_DIR+'wav_files/1899_Rhythm_blues.wav', sr = 22050) # Use the default sampling rate of 22,050 Hz"],"execution_count":null,"outputs":[]},{"cell_type":"code","metadata":{"id":"imNi5vC7avu_","colab":{"base_uri":"https://localhost:8080/","height":75},"executionInfo":{"status":"ok","timestamp":1619023581122,"user_tz":-330,"elapsed":17810,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"906807bd-4214-4f8e-9a1b-d86208bc6b53"},"source":["Audio(y, rate = sr)"],"execution_count":null,"outputs":[{"output_type":"execute_result","data":{"text/html":["\n"," \n"," "],"text/plain":[""]},"metadata":{"tags":[]},"execution_count":5}]},{"cell_type":"code","metadata":{"id":"IyYX8hItavvD","colab":{"base_uri":"https://localhost:8080/","height":191},"executionInfo":{"status":"ok","timestamp":1619023581126,"user_tz":-330,"elapsed":17796,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"166fd093-025e-4998-9835-e6f5e0a1ac97"},"source":["plt.figure(figsize=(15,2))\n","librosa.display.waveplot(y = y, #Plot the amplitude envelope of a waveform\n"," sr = sr, \n"," max_sr = 1000, \n"," alpha = 0.25, \n"," color = 'red')"],"execution_count":null,"outputs":[{"output_type":"execute_result","data":{"text/plain":[""]},"metadata":{"tags":[]},"execution_count":6},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"cbR6MfjkavvF"},"source":["### Part I: Time Domain Features"]},{"cell_type":"markdown","metadata":{"id":"6umBD-g1avvF"},"source":["1) Statistical moments calculated on the raw audio"]},{"cell_type":"code","metadata":{"id":"MHkLuv4VavvK","colab":{"base_uri":"https://localhost:8080/"},"executionInfo":{"status":"ok","timestamp":1619023581129,"user_tz":-330,"elapsed":17784,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"8363c320-760a-4e32-c1db-3e4df01b5f1f"},"source":["print('Signal mean = {:.2f}'.format(np.mean(abs(y))))\n","print('Signal std dev = {:.2f}'.format(np.std(y)))\n","print('Signal skewness = {:.2f}'.format(scipy.stats.skew(abs(y))))\n","print('Signal kurtosis = {:.2f}'.format(scipy.stats.kurtosis(y)))"],"execution_count":null,"outputs":[{"output_type":"stream","text":["Signal mean = 0.11\n","Signal std dev = 0.14\n","Signal skewness = 0.98\n","Signal kurtosis = 0.14\n"],"name":"stdout"}]},{"cell_type":"markdown","metadata":{"id":"RJRE73h0avvL"},"source":["2) Zero crossing rate"]},{"cell_type":"code","metadata":{"id":"SwzFbHF8avvM","colab":{"base_uri":"https://localhost:8080/","height":191},"executionInfo":{"status":"ok","timestamp":1619023583625,"user_tz":-330,"elapsed":20263,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"360f119c-9d71-47cb-c242-a40a7ff3e061"},"source":["# Add a small constant before computing the zero crossing rate to disregard unwanted silence 'noise'\n","zcr = librosa.feature.zero_crossing_rate(y + 0.0001, frame_length=2048, hop_length=512)[0]\n","plt.figure(figsize=(15,2))\n","plt.plot(zcr)\n","plt.ylabel('Zero Crossing Rate')\n","print('ZCR mean = {:.2f}'.format(np.mean(zcr)))\n","print('ZCR std dev = {:.2f}'.format(np.std(zcr)))"],"execution_count":null,"outputs":[{"output_type":"stream","text":["ZCR mean = 0.12\n","ZCR std dev = 0.04\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"zVbO-rWOavvW"},"source":["3) Root Mean Squared Value"]},{"cell_type":"code","metadata":{"id":"5OH9fROkavvX","colab":{"base_uri":"https://localhost:8080/","height":188},"executionInfo":{"status":"ok","timestamp":1619023583629,"user_tz":-330,"elapsed":20251,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"f982e9a1-be18-4f9b-bb8d-90eff2d66d87"},"source":["rmse = librosa.feature.rms(y + 0.0001)[0]\n","plt.figure(figsize=(15,2))\n","plt.plot(rmse)\n","plt.ylabel('RMSE')\n","print('RMS mean = {:.2f}'.format(np.mean(rmse)))\n","print('RMS std dev = {:.2f}'.format(np.std(rmse)))"],"execution_count":null,"outputs":[{"output_type":"stream","text":["RMS mean = 0.14\n","RMS std dev = 0.02\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"collapsed":true,"id":"WwbA3ddSavvY"},"source":[""],"execution_count":null,"outputs":[]},{"cell_type":"markdown","metadata":{"id":"TijpgthRavvY"},"source":["### Part II: Spectral Features"]},{"cell_type":"markdown","metadata":{"id":"pI2nP_VZavvd"},"source":["1) Spectral Contrast: considers the spectral peak, the spectral valley, and their difference in each frequency subband. Usually, 6 sub-bands"]},{"cell_type":"code","metadata":{"id":"ni3TfWx3avvd","colab":{"base_uri":"https://localhost:8080/","height":225},"executionInfo":{"status":"ok","timestamp":1619023583635,"user_tz":-330,"elapsed":20237,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"b178bd8a-b92c-4416-cad9-d8ca98a3e49d"},"source":["# Frequency cutoff for the first bin `[0, fmin]`\n","# Subsequent bins will cover `[fmin, 2*fmin]`, `[2*fmin, 4*fmin]\n","# Bins : log scale\n","spectral_contrast = librosa.feature.spectral_contrast(y, sr=sr, n_bands = 6, fmin = 200.0)\n","plt.figure(figsize=(15,2))\n","plt.imshow(spectral_contrast, aspect='auto', origin='lower', cmap='jet')\n","plt.colorbar()\n","print('Means', np.mean(spectral_contrast, axis=1)) # Values are on dB scale\n","print('Std devs', np.std(spectral_contrast, axis=1)) "],"execution_count":null,"outputs":[{"output_type":"stream","text":["Means [14.95131351 13.38882927 15.54323631 15.67537086 16.51617064 16.09752107\n"," 33.22424009]\n","Std devs [4.42676134 4.18455889 3.83673452 2.86484591 2.48356838 2.10011131\n"," 2.54622711]\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"markdown","metadata":{"id":"bZIJNzlUavvg"},"source":["2) Mel-Frequency Cepstral Coefficients"]},{"cell_type":"code","metadata":{"id":"lOIjvzqAavvo","colab":{"base_uri":"https://localhost:8080/","height":310},"executionInfo":{"status":"ok","timestamp":1619023669957,"user_tz":-330,"elapsed":1839,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"e79a7b93-a88a-4694-8c68-285d9ed68b3a"},"source":["mfccs = librosa.feature.mfcc(y, sr=sr, n_mfcc=20)\n","plt.figure(figsize=(15,2))\n","plt.imshow(mfccs, aspect='auto', origin='lower', cmap='jet')\n","plt.colorbar()\n","print('Means', np.mean(mfccs, axis=1)) \n","print('Std devs', np.std(mfccs, axis=1)) "],"execution_count":null,"outputs":[{"output_type":"stream","text":["Means [-4.2894039e+01 7.4994934e+01 -3.8213277e+00 1.6049553e+01\n"," 2.0995734e+00 1.5122087e+01 1.7934833e+00 8.4396133e+00\n"," 4.7932614e-02 1.1443457e+01 2.1242609e+00 7.6861477e+00\n"," -2.0458958e+00 4.0540056e+00 -2.4683912e+00 4.2300682e+00\n"," -2.1644845e+00 2.9762745e+00 6.2736076e-01 1.7877488e+00]\n","Std devs [35.061306 13.2099905 14.5546255 10.944777 5.9707193 7.7776074\n"," 6.207355 6.3251615 5.7192545 6.846171 6.2784142 5.912835\n"," 4.7761226 4.922223 4.4748416 5.3892074 5.0557365 4.5763736\n"," 6.244865 6.587725 ]\n"],"name":"stdout"},{"output_type":"display_data","data":{"image/png":"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\n","text/plain":["
"]},"metadata":{"tags":[],"needs_background":"light"}}]},{"cell_type":"code","metadata":{"collapsed":true,"id":"1KH6B4Maavvz"},"source":[""],"execution_count":null,"outputs":[]},{"cell_type":"code","metadata":{"collapsed":true,"id":"AoHSlyQPavwE"},"source":["import os\n","import numpy as np\n","import pandas as pd\n","import scipy\n","import librosa\n","from tqdm import tqdm"],"execution_count":null,"outputs":[]},{"cell_type":"code","metadata":{"id":"GE3pQkAoavwF"},"source":["WAV_DIR = '/content/drive/MyDrive/IIT_Guwahati/IITG_DigitalSignalProcessorsLab/Music_classification_by_Genre/wav_files/'\n","wav_files = os.listdir(WAV_DIR)#[:10]"],"execution_count":null,"outputs":[]},{"cell_type":"code","metadata":{"id":"uCX7wny3avwF"},"source":["col_names = ['file_name', 'signal_mean', 'signal_std', 'signal_skew', 'signal_kurtosis', \n"," 'zcr_mean', 'zcr_std', 'rmse_mean', 'rmse_std'] + \\\n"," ['spectral_contrast_' + str(i+1) + '_mean' for i in range(7)] + \\\n"," ['spectral_contrast_' + str(i+1) + '_std' for i in range(7)] + \\\n"," ['mfccs_' + str(i+1) + '_mean' for i in range(20)] + \\\n"," ['mfccs_' + str(i+1) + '_std' for i in range(20)]"],"execution_count":null,"outputs":[]},{"cell_type":"code","metadata":{"id":"4pRFyDi_avwG","colab":{"base_uri":"https://localhost:8080/"},"executionInfo":{"status":"ok","timestamp":1619024913833,"user_tz":-330,"elapsed":830065,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}},"outputId":"6b1d0e4d-ddfc-4d3b-bf3d-1583f836d4a0"},"source":["df = pd.DataFrame(columns=col_names)\n","for f in tqdm(wav_files):\n"," try:\n"," # Read wav-file\n"," y, sr = librosa.load(WAV_DIR+f, sr = 22050)\n"," feature_list = [f]\n","\n"," feature_list.append(np.mean(abs(y)))\n"," feature_list.append(np.std(y))\n"," feature_list.append(scipy.stats.skew(abs(y)))\n"," feature_list.append(scipy.stats.kurtosis(y))\n"," \n"," zcr = librosa.feature.zero_crossing_rate(y + 0.0001, frame_length=2048, hop_length=512)[0]\n"," feature_list.append(np.mean(zcr))\n"," feature_list.append(np.std(zcr))\n","\n"," rmse = librosa.feature.rms(y + 0.0001)[0]\n"," feature_list.append(np.mean(rmse))\n"," feature_list.append(np.std(rmse))\n","\n"," spectral_contrast = librosa.feature.spectral_contrast(y, sr=sr, n_bands = 6, fmin = 200.0)\n"," feature_list.extend(np.mean(spectral_contrast, axis=1))\n"," feature_list.extend(np.std(spectral_contrast, axis=1))\n","\n"," mfccs = librosa.feature.mfcc(y, sr=sr, n_mfcc=20)\n"," feature_list.extend(np.mean(mfccs, axis=1))\n"," feature_list.extend(np.std(mfccs, axis=1))\n","\n"," feature_list[1:] = np.round(feature_list[1:], decimals=3)\n"," \n"," except:\n"," pass\n"," x=pd.DataFrame([feature_list], columns=col_names)\n"," df = df.append(x, ignore_index=True)\n","\n","# Save file\n","df.to_csv(BASE_DIR+'df_features.csv', index=False)"],"execution_count":15,"outputs":[{"output_type":"stream","text":["100%|██████████| 1533/1533 [18:48<00:00, 1.36it/s]\n"],"name":"stderr"}]},{"cell_type":"code","metadata":{"id":"XhYTsaXl7dp3","executionInfo":{"status":"ok","timestamp":1619024914541,"user_tz":-330,"elapsed":29,"user":{"displayName":"SATYAKI GHOSH","photoUrl":"","userId":"07282789876929127021"}}},"source":[""],"execution_count":19,"outputs":[]}]} \ No newline at end of file