From dc499e42b80f6bbe38716235854676481aaac60a Mon Sep 17 00:00:00 2001 From: stnolting <22944758+stnolting@users.noreply.github.com> Date: Mon, 30 Oct 2023 21:07:40 +0100 Subject: [PATCH] [rtl] minor cleanups --- rtl/core/neorv32_cpu_alu.vhd | 6 +++--- rtl/core/neorv32_package.vhd | 2 +- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/rtl/core/neorv32_cpu_alu.vhd b/rtl/core/neorv32_cpu_alu.vhd index 460c6ec55..d55f8244e 100644 --- a/rtl/core/neorv32_cpu_alu.vhd +++ b/rtl/core/neorv32_cpu_alu.vhd @@ -143,9 +143,9 @@ begin when alu_op_slt_c => res_o(XLEN-1 downto 1) <= (others => '0'); res_o(0) <= addsub_res(addsub_res'left); -- carry/borrow when alu_op_movb_c => res_o <= opb; - when alu_op_xor_c => res_o <= rs1_i xor opb; -- only rs1 is required for logic ops (opa would also contain pc) - when alu_op_or_c => res_o <= rs1_i or opb; - when alu_op_and_c => res_o <= rs1_i and opb; + when alu_op_xor_c => res_o <= opb xor rs1_i; + when alu_op_or_c => res_o <= opb or rs1_i; + when alu_op_and_c => res_o <= opb and rs1_i; when others => res_o <= addsub_res(XLEN-1 downto 0); -- don't care end case; end process alu_core; diff --git a/rtl/core/neorv32_package.vhd b/rtl/core/neorv32_package.vhd index 089766c6f..5760db5fc 100644 --- a/rtl/core/neorv32_package.vhd +++ b/rtl/core/neorv32_package.vhd @@ -59,7 +59,7 @@ package neorv32_package is -- Architecture Constants ----------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- - constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01090004"; -- hardware version + constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01090005"; -- hardware version constant archid_c : natural := 19; -- official RISC-V architecture ID constant XLEN : natural := 32; -- native data path width, do not change!