From c03bcb03f25e6f73247719025899352de3caced2 Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:24:18 +0100 Subject: [PATCH 01/19] [sysinfo] add new TWD module --- docs/datasheet/soc_sysinfo.adoc | 2 +- rtl/core/neorv32_sysinfo.vhd | 3 ++- sw/lib/include/neorv32_sysinfo.h | 2 +- sw/lib/source/neorv32_rte.c | 1 + 4 files changed, 5 insertions(+), 3 deletions(-) diff --git a/docs/datasheet/soc_sysinfo.adoc b/docs/datasheet/soc_sysinfo.adoc index e87d45b64..0c25a271a 100644 --- a/docs/datasheet/soc_sysinfo.adoc +++ b/docs/datasheet/soc_sysinfo.adoc @@ -86,7 +86,7 @@ Bit fields in this register are set to all-zero if the according memory system i | `10` | `SYSINFO_SOC_XIP_CACHE` | set if XIP cache is implemented (via top's `XIP_CACHE_EN` generic) | `11` | `SYSINFO_SOC_OCD_AUTH` | set if on-chip debugger authentication is implemented (via top's `OCD_AUTHENTICATION` generic) | `12` | `SYSINFO_SOC_IMEM_ROM` | set if processor-internal IMEM is implemented as pre-initialized ROM (via top's `BOOT_MODE_SELECT` generic; see <<_boot_configuration>>) -| `13` | - | _reserved_, read as zero +| `13` | `SYSINFO_SOC_IO_TWD` | set if TWD is implemented (via top's `IO_TWD_EN` generic) | `14` | `SYSINFO_SOC_IO_DMA` | set if direct memory access controller is implemented (via top's `IO_DMA_EN` generic) | `15` | `SYSINFO_SOC_IO_GPIO` | set if GPIO is implemented (via top's `IO_GPIO_EN` generic) | `16` | `SYSINFO_SOC_IO_MTIME` | set if MTIME is implemented (via top's `IO_MTIME_EN` generic) diff --git a/rtl/core/neorv32_sysinfo.vhd b/rtl/core/neorv32_sysinfo.vhd index 06010acc9..0272d4c8d 100644 --- a/rtl/core/neorv32_sysinfo.vhd +++ b/rtl/core/neorv32_sysinfo.vhd @@ -49,6 +49,7 @@ entity neorv32_sysinfo is IO_SPI_EN : boolean; -- implement serial peripheral interface (SPI)? IO_SDI_EN : boolean; -- implement serial data interface (SDI)? IO_TWI_EN : boolean; -- implement two-wire interface (TWI)? + IO_TWD_EN : boolean; -- implement two-wire device (TWD)? IO_PWM_EN : boolean; -- implement pulse-width modulation controller (PWM)? IO_WDT_EN : boolean; -- implement watch dog timer (WDT)? IO_TRNG_EN : boolean; -- implement true random number generator (TRNG)? @@ -123,7 +124,7 @@ begin sysinfo(2)(10) <= '1' when xip_cache_en_c else '0'; -- execute in-place cache implemented? sysinfo(2)(11) <= '1' when ocd_auth_en_c else '0'; -- on-chip debugger authentication implemented? sysinfo(2)(12) <= '1' when int_imem_rom_c else '0'; -- processor-internal instruction memory implemented as pre-initialized ROM? - sysinfo(2)(13) <= '0'; -- reserved + sysinfo(2)(13) <= '1' when IO_TWD_EN else '0'; -- two-wire device (TWD) implemented? sysinfo(2)(14) <= '1' when IO_DMA_EN else '0'; -- direct memory access controller (DMA) implemented? sysinfo(2)(15) <= '1' when IO_GPIO_EN else '0'; -- general purpose input/output port unit (GPIO) implemented? sysinfo(2)(16) <= '1' when IO_MTIME_EN else '0'; -- machine system timer (MTIME) implemented? diff --git a/sw/lib/include/neorv32_sysinfo.h b/sw/lib/include/neorv32_sysinfo.h index d8ca05d7a..ae7093b5b 100644 --- a/sw/lib/include/neorv32_sysinfo.h +++ b/sw/lib/include/neorv32_sysinfo.h @@ -57,7 +57,7 @@ enum NEORV32_SYSINFO_SOC_enum { SYSINFO_SOC_XIP_CACHE = 10, /**< SYSINFO_SOC (10) (r/-): Execute in-place cache implemented when 1 (via XIP_CACHE_EN generic) */ SYSINFO_SOC_OCD_AUTH = 11, /**< SYSINFO_SOC (11) (r/-): On-chip debugger authentication implemented when 1 (via OCD_AUTHENTICATION generic) */ SYSINFO_SOC_IMEM_ROM = 12, /**< SYSINFO_SOC (12) (r/-): Processor-internal instruction memory implemented as pre-initialized ROM when 1 (via BOOT_MODE_SELECT generic) */ - + SYSINFO_SOC_IO_TWD = 13, /**< SYSINFO_SOC (13) (r/-): Two-wire device implemented when 1 (via IO_TWD_EN generic) */ SYSINFO_SOC_IO_DMA = 14, /**< SYSINFO_SOC (14) (r/-): Direct memory access controller implemented when 1 (via IO_DMA_EN generic) */ SYSINFO_SOC_IO_GPIO = 15, /**< SYSINFO_SOC (15) (r/-): General purpose input/output port unit implemented when 1 (via IO_GPIO_EN generic) */ SYSINFO_SOC_IO_MTIME = 16, /**< SYSINFO_SOC (16) (r/-): Machine system timer implemented when 1 (via IO_MTIME_EN generic) */ diff --git a/sw/lib/source/neorv32_rte.c b/sw/lib/source/neorv32_rte.c index edcf69e9d..ec5b535ad 100644 --- a/sw/lib/source/neorv32_rte.c +++ b/sw/lib/source/neorv32_rte.c @@ -650,6 +650,7 @@ void neorv32_rte_print_hw_config(void) { if (tmp & (1 << SYSINFO_SOC_IO_SPI)) { neorv32_uart0_printf("SPI "); } neorv32_uart0_printf("SYSINFO "); // always enabled if (tmp & (1 << SYSINFO_SOC_IO_TRNG)) { neorv32_uart0_printf("TRNG "); } + if (tmp & (1 << SYSINFO_SOC_IO_TWD)) { neorv32_uart0_printf("TWD "); } if (tmp & (1 << SYSINFO_SOC_IO_TWI)) { neorv32_uart0_printf("TWI "); } if (tmp & (1 << SYSINFO_SOC_IO_UART0)) { neorv32_uart0_printf("UART0 "); } if (tmp & (1 << SYSINFO_SOC_IO_UART1)) { neorv32_uart0_printf("UART1 "); } From 87927608d478f00f23682cf1be2c4567e8e40b9d Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:25:10 +0100 Subject: [PATCH 02/19] [sw/lib] allocate base address and IRQ fpr TWD --- sw/lib/include/neorv32.h | 13 +++++++------ 1 file changed, 7 insertions(+), 6 deletions(-) diff --git a/sw/lib/include/neorv32.h b/sw/lib/include/neorv32.h index 4cdc8f8c1..007153ac7 100644 --- a/sw/lib/include/neorv32.h +++ b/sw/lib/include/neorv32.h @@ -54,7 +54,7 @@ extern "C" { //#define NEORV32_???_BASE (0xFFFFE700U) /**< reserved */ //#define NEORV32_???_BASE (0xFFFFE800U) /**< reserved */ //#define NEORV32_???_BASE (0xFFFFE900U) /**< reserved */ -//#define NEORV32_???_BASE (0xFFFFEA00U) /**< reserved */ +#define NEORV32_TWD_BASE (0xFFFFEA00U) /**< Two-Wire Device (TWD) */ #define NEORV32_CFS_BASE (0xFFFFEB00U) /**< Custom Functions Subsystem (CFS) */ #define NEORV32_SLINK_BASE (0xFFFFEC00U) /**< Stream Link Interface (SLINK) */ #define NEORV32_DMA_BASE (0xFFFFED00U) /**< Direct Memory Access Controller (DMA) */ @@ -83,12 +83,12 @@ extern "C" { * @name Fast Interrupt Requests (FIRQ) device aliases **************************************************************************/ /**@{*/ -/** @name Reserved */ +/** @name Two-Wire Device (TWD) */ /**@{*/ -//#define RESERVED_FIRQ_ENABLE CSR_MIE_FIRQ0E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ -//#define RESERVED_FIRQ_PENDING CSR_MIP_FIRQ0P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ -//#define RESERVED_RTE_ID RTE_TRAP_FIRQ_0 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ -//#define RESERVED_TRAP_CODE TRAP_CODE_FIRQ_0 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +#define TWD_FIRQ_ENABLE CSR_MIE_FIRQ0E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define TWD_FIRQ_PENDING CSR_MIP_FIRQ0P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define TWD_RTE_ID RTE_TRAP_FIRQ_0 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define TWD_TRAP_CODE TRAP_CODE_FIRQ_0 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ /**@}*/ /** @name Custom Functions Subsystem (CFS) */ /**@{*/ @@ -281,6 +281,7 @@ typedef union { #include "neorv32_spi.h" #include "neorv32_sysinfo.h" #include "neorv32_trng.h" +#include "neorv32_twd.h" #include "neorv32_twi.h" #include "neorv32_uart.h" #include "neorv32_wdt.h" From 9b56ffd49e422a20f21b1b5630a53bf5ef97597d Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:26:12 +0100 Subject: [PATCH 03/19] =?UTF-8?q?=E2=9C=A8=20[rtl]=20add=20new=20TWD=20mod?= =?UTF-8?q?ule?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit - i2c-compatible device-mode controller --- rtl/core/neorv32_twd.vhd | 441 +++++++++++++++++++++++++++++++++++++++ rtl/file_list_soc.f | 1 + 2 files changed, 442 insertions(+) create mode 100644 rtl/core/neorv32_twd.vhd diff --git a/rtl/core/neorv32_twd.vhd b/rtl/core/neorv32_twd.vhd new file mode 100644 index 000000000..d3cac8458 --- /dev/null +++ b/rtl/core/neorv32_twd.vhd @@ -0,0 +1,441 @@ +-- ================================================================================ -- +-- NEORV32 SoC - Two-Wire Device (TWD) -- +-- -------------------------------------------------------------------------------- -- +-- The NEORV32 RISC-V Processor - https://github.com/stnolting/neorv32 -- +-- Copyright (c) NEORV32 contributors. -- +-- Copyright (c) 2020 - 2024 Stephan Nolting. All rights reserved. -- +-- Licensed under the BSD-3-Clause license, see LICENSE for details. -- +-- SPDX-License-Identifier: BSD-3-Clause -- +-- ================================================================================ -- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_twd is + generic ( + TWD_FIFO : natural range 1 to 2**15 -- RTX FIFO depth, has to be a power of two, min 1 + ); + port ( + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset line, low-active, async + bus_req_i : in bus_req_t; -- bus request + bus_rsp_o : out bus_rsp_t; -- bus response + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(7 downto 0); + twd_sda_i : in std_ulogic; -- serial data line input + twd_sda_o : out std_ulogic; -- serial data line output + twd_scl_i : in std_ulogic; -- serial clock line input + twd_scl_o : out std_ulogic; -- serial clock line output + irq_o : out std_ulogic -- interrupt + ); +end neorv32_twd; + +architecture neorv32_twd_rtl of neorv32_twd is + + -- control register -- + constant ctrl_en_c : natural := 0; -- r/w: module enable (reset when zero) + constant ctrl_clr_rx_c : natural := 1; -- -/w: clear RX FIFO (flag auto-clears) + constant ctrl_clr_tx_c : natural := 2; -- -/w: clear TX FIFO (flag auto-clears) + constant ctrl_fsel_c : natural := 3; -- r/w: input filter / sample clock select + constant ctrl_dev_addr0_c : natural := 4; -- r/w: device address, bit 0 (LSB) + constant ctrl_dev_addr6_c : natural := 10; -- r/w: device address, bit 6 (MSB) + constant ctrl_irq_rx_avail_c : natural := 11; -- r/w: IRQ if RX FIFO data available + constant ctrl_irq_rx_full_c : natural := 12; -- r/w: IRQ if RX FIFO full + constant ctrl_irq_tx_empty_c : natural := 13; -- r/w: IRQ if TX FIFO empty + -- + constant ctrl_fifo_size0_c : natural := 15; -- r/-: log2(FIFO size), bit 0 (LSB) + constant ctrl_fifo_size3_c : natural := 18; -- r/-: log2(FIFO size), bit 3 (MSB) + -- + constant ctrl_rx_avail_c : natural := 25; -- r/-: RX FIFO data available + constant ctrl_rx_full_c : natural := 26; -- r/-: RX FIFO full + constant ctrl_tx_empty_c : natural := 27; -- r/-: TX FIFO empty + constant ctrl_tx_full_c : natural := 28; -- r/-: TX FIFO full + constant ctrl_sense_scl_c : natural := 29; -- r/-: current state of the SCL bus line + constant ctrl_sense_sda_c : natural := 30; -- r/-: current state of the SDA bus line + constant ctrl_busy_c : natural := 31; -- r/-: bus engine is busy (transaction in progress) + + -- control register -- + type ctrl_t is record + enable : std_ulogic; + clr_rx : std_ulogic; + clr_tx : std_ulogic; + fsel : std_ulogic; + device_addr : std_ulogic_vector(6 downto 0); + irq_rx_avail : std_ulogic; + irq_rx_full : std_ulogic; + irq_tx_empty : std_ulogic; + end record; + signal ctrl : ctrl_t; + + -- bus sample logic -- + type smp_t is record + clk_en : std_ulogic; -- sample clock + valid : std_ulogic; -- valid sample + sda_sreg : std_ulogic_vector(2 downto 0); -- synchronizer + scl_sreg : std_ulogic_vector(2 downto 0); -- synchronizer + sda : std_ulogic; -- current SDA state + scl : std_ulogic; -- current SCL state + scl_rise : std_ulogic; -- SCL rising edge + scl_fall : std_ulogic; -- SCL falling edge + start : std_ulogic; -- start condition + stop : std_ulogic; -- stop condition + end record; + signal smp : smp_t; + + -- FIFO interface -- + type fifo_t is record + clr : std_ulogic; -- sync reset, high-active + we : std_ulogic; -- write enable + re : std_ulogic; -- read enable + wdata : std_ulogic_vector(7 downto 0); -- write data + rdata : std_ulogic_vector(7 downto 0); -- read data + avail : std_ulogic; -- data available? + free : std_ulogic; -- free entry available? + end record; + signal rx_fifo, tx_fifo : fifo_t; + + -- bus engine -- + type state_t is (S_IDLE, S_INIT, S_ADDR, S_RESP, S_RTX, S_ACK); + type engine_t is record + state : state_t; -- FSM state + cnt : unsigned(3 downto 0); -- bit counter + sreg : std_ulogic_vector(7 downto 0); -- shift register + cmd : std_ulogic; -- 0 = write, 1 = read + rdata : std_ulogic_vector(7 downto 0); -- read-access data + dout : std_ulogic; -- output bit + busy : std_ulogic; -- bus operation in progress + wr_we : std_ulogic; -- write write-enable + rd_re : std_ulogic; -- read read-enable + end record; + signal engine : engine_t; + +begin + + -- Bus Access ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + bus_access: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + bus_rsp_o <= rsp_terminate_c; + ctrl.enable <= '0'; + ctrl.clr_rx <= '0'; + ctrl.clr_tx <= '0'; + ctrl.fsel <= '0'; + ctrl.device_addr <= (others => '0'); + ctrl.irq_rx_avail <= '0'; + ctrl.irq_rx_full <= '0'; + ctrl.irq_tx_empty <= '0'; + elsif rising_edge(clk_i) then + -- bus handshake defaults -- + bus_rsp_o.ack <= bus_req_i.stb; + bus_rsp_o.err <= '0'; + bus_rsp_o.data <= (others => '0'); + -- read/write access -- + ctrl.clr_rx <= '0'; -- auto-clear + ctrl.clr_tx <= '0'; -- auto-clear + if (bus_req_i.stb = '1') then + if (bus_req_i.rw = '1') then -- write access + if (bus_req_i.addr(2) = '0') then -- control register + ctrl.enable <= bus_req_i.data(ctrl_en_c); + ctrl.clr_rx <= bus_req_i.data(ctrl_clr_rx_c); + ctrl.clr_tx <= bus_req_i.data(ctrl_clr_tx_c); + ctrl.fsel <= bus_req_i.data(ctrl_fsel_c); + ctrl.device_addr <= bus_req_i.data(ctrl_dev_addr6_c downto ctrl_dev_addr0_c); + ctrl.irq_rx_avail <= bus_req_i.data(ctrl_irq_rx_avail_c); + ctrl.irq_rx_full <= bus_req_i.data(ctrl_irq_rx_full_c); + ctrl.irq_tx_empty <= bus_req_i.data(ctrl_irq_tx_empty_c); + end if; + else -- read access + if (bus_req_i.addr(2) = '0') then -- control register + bus_rsp_o.data(ctrl_en_c) <= ctrl.enable; + bus_rsp_o.data(ctrl_fsel_c) <= ctrl.fsel; + bus_rsp_o.data(ctrl_dev_addr6_c downto ctrl_dev_addr0_c) <= ctrl.device_addr; + bus_rsp_o.data(ctrl_irq_rx_avail_c) <= ctrl.irq_rx_avail; + bus_rsp_o.data(ctrl_irq_rx_full_c) <= ctrl.irq_rx_full; + bus_rsp_o.data(ctrl_irq_tx_empty_c) <= ctrl.irq_tx_empty; + -- + bus_rsp_o.data(ctrl_fifo_size3_c downto ctrl_fifo_size0_c) <= std_ulogic_vector(to_unsigned(index_size_f(TWD_FIFO), 4)); + bus_rsp_o.data(ctrl_rx_avail_c) <= rx_fifo.avail; + bus_rsp_o.data(ctrl_rx_full_c) <= not rx_fifo.free; + bus_rsp_o.data(ctrl_tx_empty_c) <= not tx_fifo.avail; + bus_rsp_o.data(ctrl_tx_full_c) <= not tx_fifo.free; + bus_rsp_o.data(ctrl_sense_scl_c) <= smp.scl; + bus_rsp_o.data(ctrl_sense_sda_c) <= smp.sda; + bus_rsp_o.data(ctrl_busy_c) <= engine.busy; + else -- RX FIFO + bus_rsp_o.data(7 downto 0) <= rx_fifo.rdata; + end if; + end if; + end if; + end if; + end process bus_access; + + -- enable SoC clock generator -- + clkgen_en_o <= ctrl.enable; + + + -- Data FIFO ("Ring Buffer") -------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + -- TX FIFO -- + tx_fifo_inst: entity neorv32.neorv32_fifo + generic map ( + FIFO_DEPTH => TWD_FIFO, + FIFO_WIDTH => 8, + FIFO_RSYNC => true, + FIFO_SAFE => true, + FULL_RESET => false + ) + port map ( + -- control -- + clk_i => clk_i, + rstn_i => rstn_i, + clear_i => tx_fifo.clr, + half_o => open, + -- write port -- + wdata_i => tx_fifo.wdata, + we_i => tx_fifo.we, + free_o => tx_fifo.free, + -- read port -- + re_i => tx_fifo.re, + rdata_o => tx_fifo.rdata, + avail_o => tx_fifo.avail + ); + + tx_fifo.clr <= '1' when (ctrl.enable = '0') or (ctrl.clr_tx = '1') else '0'; + tx_fifo.we <= '1' when (bus_req_i.stb = '1') and (bus_req_i.rw = '1') and (bus_req_i.addr(2) = '1') else '0'; + tx_fifo.wdata <= bus_req_i.data(7 downto 0); + tx_fifo.re <= engine.rd_re; + engine.rdata <= tx_fifo.rdata when (tx_fifo.avail = '1') else (others => '1'); -- read ones when TX FIFO is drained + + + -- RX FIFO -- + rx_fifo_inst: entity neorv32.neorv32_fifo + generic map ( + FIFO_DEPTH => TWD_FIFO, + FIFO_WIDTH => 8, + FIFO_RSYNC => true, + FIFO_SAFE => true, + FULL_RESET => false + ) + port map ( + -- control -- + clk_i => clk_i, + rstn_i => rstn_i, + clear_i => rx_fifo.clr, + half_o => open, + -- write port -- + wdata_i => rx_fifo.wdata, + we_i => rx_fifo.we, + free_o => rx_fifo.free, + -- read port -- + re_i => rx_fifo.re, + rdata_o => rx_fifo.rdata, + avail_o => rx_fifo.avail + ); + + rx_fifo.clr <= '1' when (ctrl.enable = '0') or (ctrl.clr_rx = '1') else '0'; + rx_fifo.wdata <= engine.sreg; + rx_fifo.we <= engine.wr_we; + rx_fifo.re <= '1' when (bus_req_i.stb = '1') and (bus_req_i.rw = '0') and (bus_req_i.addr(2) = '1') else '0'; + + + -- Interrupt Generator -- + irq_trigger: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + irq_o <= '0'; + elsif rising_edge(clk_i) then + irq_o <= ctrl.enable and ( + (ctrl.irq_rx_avail and rx_fifo.avail) or -- RX FIFO data available + (ctrl.irq_rx_full and (not rx_fifo.free)) or -- RX FIFO full + (ctrl.irq_tx_empty and (not tx_fifo.avail))); -- TX FIFO empty + end if; + end process irq_trigger; + + + -- Bus Sample Logic ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + synchronizer: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + smp.sda_sreg <= (others => '0'); + smp.scl_sreg <= (others => '0'); + smp.valid <= '0'; + elsif rising_edge(clk_i) then + -- input register -- + smp.sda_sreg(0) <= to_stdulogic(to_bit(twd_sda_i)); -- "to_bit" to avoid hardware-vs-simulation mismatch + smp.scl_sreg(0) <= to_stdulogic(to_bit(twd_scl_i)); + -- sample register -- + smp.valid <= '0'; + if (ctrl.enable = '1') then + if (smp.clk_en = '1') then + smp.valid <= '1'; -- valid sample + smp.sda_sreg(2 downto 1) <= smp.sda_sreg(1 downto 0); + smp.scl_sreg(2 downto 1) <= smp.scl_sreg(1 downto 0); + end if; + else + smp.sda_sreg(2 downto 1) <= (others => '1'); + smp.scl_sreg(2 downto 1) <= (others => '1'); + end if; + end if; + end process synchronizer; + + -- sample clock for input "filtering" -- + smp.clk_en <= clkgen_i(clk_div64_c) when (ctrl.fsel = '1') else clkgen_i(clk_div4_c); + + -- bus event detector (event signals are "single-shot") -- + bus_event: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + smp.sda <= '0'; + smp.scl <= '0'; + smp.scl_rise <= '0'; + smp.scl_fall <= '0'; + smp.start <= '0'; + smp.stop <= '0'; + elsif rising_edge(clk_i) then + smp.sda <= smp.sda_sreg(2) or smp.sda_sreg(1); + smp.scl <= smp.sda_sreg(2) or smp.sda_sreg(1); + smp.scl_rise <= smp.valid and (not smp.scl_sreg(2)) and ( smp.scl_sreg(1)); -- rising edge + smp.scl_fall <= smp.valid and ( smp.scl_sreg(2)) and (not smp.scl_sreg(1)); -- falling edge + smp.start <= smp.valid and smp.scl_sreg(2) and smp.scl_sreg(1) and ( smp.sda_sreg(2)) and (not smp.sda_sreg(1)); + smp.stop <= smp.valid and smp.scl_sreg(2) and smp.scl_sreg(1) and (not smp.sda_sreg(2)) and ( smp.sda_sreg(1)); + end if; + end process bus_event; + + + -- Bus Engine ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + bus_engine: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + twd_sda_o <= '1'; + twd_scl_o <= '1'; + engine.state <= S_IDLE; + engine.cnt <= (others => '0'); + engine.sreg <= (others => '1'); + engine.cmd <= '0'; + engine.dout <= '0'; + engine.wr_we <= '0'; + engine.rd_re <= '0'; + elsif rising_edge(clk_i) then + -- keep bus idle by default -- + twd_sda_o <= '1'; + twd_scl_o <= '1'; + + -- defaults -- + engine.wr_we <= '0'; + engine.rd_re <= '0'; + + -- fsm -- + case engine.state is + + when S_IDLE => -- idle, wait for start condition + -- ------------------------------------------------------------ + if (ctrl.enable = '1') and (smp.start = '1') then + engine.state <= S_INIT; + end if; + + when S_INIT => -- (re-)initialize new transaction + -- ------------------------------------------------------------ + engine.cnt <= (others => '0'); + engine.sreg <= (others => '0'); + if (ctrl.enable = '0') or (smp.stop = '1') then -- disabled or stop-condition received? + engine.state <= S_IDLE; + else + engine.state <= S_ADDR; + end if; + + when S_ADDR => -- sample address and R/W bit and check if address match + -- ------------------------------------------------------------ + if (ctrl.enable = '0') or (smp.stop = '1') then -- disabled or stop-condition received? + engine.state <= S_IDLE; + elsif (smp.start = '1') then -- start-condition received? + engine.state <= S_INIT; + elsif (engine.cnt(3) = '1') and (smp.scl_fall = '1') then -- 8 bits received? + if (ctrl.device_addr = engine.sreg(7 downto 1)) then -- address match? + engine.state <= S_RESP; -- access device + else + engine.state <= S_IDLE; -- no match, go back to idle + end if; + end if; + -- sample bus on rising edge -- + if (smp.scl_rise = '1') then + engine.sreg <= engine.sreg(6 downto 0) & smp.sda; + engine.cnt <= engine.cnt + 1; + end if; + + when S_RESP => -- send device address match ACK + -- ------------------------------------------------------------ + twd_sda_o <= '0'; -- ACK + engine.cnt <= (others => '0'); + engine.cmd <= engine.sreg(0); + if (ctrl.enable = '0') then -- disabled? + engine.state <= S_IDLE; + elsif (smp.scl_fall = '1') then + engine.state <= S_RTX; + end if; + -- get FIFO TX data (required for read access only) -- + if (smp.scl_fall = '1') then + engine.sreg <= engine.rdata; -- FIFO TX data + engine.dout <= engine.rdata(7); -- FIFO TX data (first bit) + end if; + + when S_RTX => -- receive/transmit 8 data bits + -- ------------------------------------------------------------ + if (ctrl.enable = '0') or (smp.stop = '1') then -- disabled or stop-condition + engine.state <= S_IDLE; + elsif (smp.start = '1') then -- start-condition + engine.state <= S_INIT; -- restart transaction + elsif (engine.cnt(3) = '1') and (smp.scl_fall = '1') then -- 8 bits received? + engine.wr_we <= '1'; -- write byte to RX FIFO + engine.state <= S_ACK; + end if; + -- sample bus on rising edge -- + if (smp.scl_rise = '1') then + engine.sreg <= engine.sreg(6 downto 0) & smp.sda; + engine.cnt <= engine.cnt + 1; + end if; + -- update bus on falling edge -- + twd_sda_o <= engine.dout; + if (smp.scl_fall = '1') and (engine.cmd = '1') then + engine.dout <= engine.sreg(7); + end if; + + when S_ACK => -- receive/transmit ACK/NACK + -- ------------------------------------------------------------ + engine.cnt <= (others => '0'); + engine.sreg <= engine.rdata; -- FIFO TX data + engine.dout <= engine.rdata(7); -- FIFO TX data (first bit) + if (ctrl.enable = '0') then -- disabled? + engine.state <= S_IDLE; + elsif (smp.scl_fall = '1') then + engine.state <= S_RTX; + end if; + -- [READ] advance to next data byte if ACK is send by host -- + if (engine.cmd = '1') and (smp.scl_rise = '1') and (smp.sda = '0') then + engine.rd_re <= '1'; -- get next TX data byte + end if; + -- [WRITE] transmit ACK -- + if (engine.cmd = '0') then + twd_sda_o <= '0'; + end if; + + when others => -- undefined + -- ------------------------------------------------------------ + engine.state <= S_IDLE; + + end case; + end if; + end process bus_engine; + + -- transaction in progress -- + engine.busy <= '0' when (engine.state = S_IDLE) else '1'; + + +end neorv32_twd_rtl; diff --git a/rtl/file_list_soc.f b/rtl/file_list_soc.f index e10289f20..b0f1390b1 100644 --- a/rtl/file_list_soc.f +++ b/rtl/file_list_soc.f @@ -34,6 +34,7 @@ NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_uart.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_spi.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_twi.vhd +NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_twd.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_pwm.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_trng.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_neoled.vhd From eff4b4f4e5ec224f760c596eaaa7e094d90286a6 Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:28:18 +0100 Subject: [PATCH 04/19] [top] integrate TWD module --- rtl/core/neorv32_package.vhd | 11 +++- rtl/core/neorv32_top.vhd | 105 ++++++++++++++++++++++++----------- 2 files changed, 83 insertions(+), 33 deletions(-) diff --git a/rtl/core/neorv32_package.vhd b/rtl/core/neorv32_package.vhd index 2f6916757..970a16211 100644 --- a/rtl/core/neorv32_package.vhd +++ b/rtl/core/neorv32_package.vhd @@ -29,7 +29,7 @@ package neorv32_package is -- Architecture Constants ----------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- - constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01100703"; -- hardware version + constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01100704"; -- hardware version constant archid_c : natural := 19; -- official RISC-V architecture ID constant XLEN : natural := 32; -- native data path width @@ -72,7 +72,7 @@ package neorv32_package is --constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe700"; -- reserved --constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe800"; -- reserved --constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe900"; -- reserved ---constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffea00"; -- reserved + constant base_io_twd_c : std_ulogic_vector(31 downto 0) := x"ffffea00"; constant base_io_cfs_c : std_ulogic_vector(31 downto 0) := x"ffffeb00"; constant base_io_slink_c : std_ulogic_vector(31 downto 0) := x"ffffec00"; constant base_io_dma_c : std_ulogic_vector(31 downto 0) := x"ffffed00"; @@ -806,6 +806,8 @@ package neorv32_package is IO_SDI_FIFO : natural range 1 to 2**15 := 1; IO_TWI_EN : boolean := false; IO_TWI_FIFO : natural range 1 to 2**15 := 1; + IO_TWD_EN : boolean := false; + IO_TWD_FIFO : natural range 1 to 2**15 := 1; IO_PWM_NUM_CH : natural range 0 to 16 := 0; IO_WDT_EN : boolean := false; IO_TRNG_EN : boolean := false; @@ -889,6 +891,11 @@ package neorv32_package is twi_sda_o : out std_ulogic; twi_scl_i : in std_ulogic := 'H'; twi_scl_o : out std_ulogic; + -- TWD (available if IO_TWD_EN = true) -- + twd_sda_i : in std_ulogic := 'H'; + twd_sda_o : out std_ulogic; + twd_scl_i : in std_ulogic := 'H'; + twd_scl_o : out std_ulogic; -- 1-Wire Interface (available if IO_ONEWIRE_EN = true) -- onewire_i : in std_ulogic := 'H'; onewire_o : out std_ulogic; diff --git a/rtl/core/neorv32_top.vhd b/rtl/core/neorv32_top.vhd index d0d70ce43..25a06091e 100644 --- a/rtl/core/neorv32_top.vhd +++ b/rtl/core/neorv32_top.vhd @@ -116,21 +116,23 @@ entity neorv32_top is IO_GPIO_NUM : natural range 0 to 64 := 0; -- number of GPIO input/output pairs (0..64) IO_MTIME_EN : boolean := false; -- implement machine system timer (MTIME)? IO_UART0_EN : boolean := false; -- implement primary universal asynchronous receiver/transmitter (UART0)? - IO_UART0_RX_FIFO : natural range 1 to 2**15 := 1; -- RX fifo depth, has to be a power of two, min 1 - IO_UART0_TX_FIFO : natural range 1 to 2**15 := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_UART0_RX_FIFO : natural range 1 to 2**15 := 1; -- RX FIFO depth, has to be a power of two, min 1 + IO_UART0_TX_FIFO : natural range 1 to 2**15 := 1; -- TX FIFO depth, has to be a power of two, min 1 IO_UART1_EN : boolean := false; -- implement secondary universal asynchronous receiver/transmitter (UART1)? - IO_UART1_RX_FIFO : natural range 1 to 2**15 := 1; -- RX fifo depth, has to be a power of two, min 1 - IO_UART1_TX_FIFO : natural range 1 to 2**15 := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_UART1_RX_FIFO : natural range 1 to 2**15 := 1; -- RX FIFO depth, has to be a power of two, min 1 + IO_UART1_TX_FIFO : natural range 1 to 2**15 := 1; -- TX FIFO depth, has to be a power of two, min 1 IO_SPI_EN : boolean := false; -- implement serial peripheral interface (SPI)? - IO_SPI_FIFO : natural range 1 to 2**15 := 1; -- RTX fifo depth, has to be a power of two, min 1 + IO_SPI_FIFO : natural range 1 to 2**15 := 1; -- RTX FIFO depth, has to be a power of two, min 1 IO_SDI_EN : boolean := false; -- implement serial data interface (SDI)? - IO_SDI_FIFO : natural range 1 to 2**15 := 1; -- RTX fifo depth, has to be zero or a power of two, min 1 + IO_SDI_FIFO : natural range 1 to 2**15 := 1; -- RTX FIFO depth, has to be zero or a power of two, min 1 IO_TWI_EN : boolean := false; -- implement two-wire interface (TWI)? - IO_TWI_FIFO : natural range 1 to 2**15 := 1; -- RTX fifo depth, has to be zero or a power of two, min 1 + IO_TWI_FIFO : natural range 1 to 2**15 := 1; -- RTX FIFO depth, has to be zero or a power of two, min 1 + IO_TWD_EN : boolean := false; -- implement two-wire device (TWD)? + IO_TWD_FIFO : natural range 1 to 2**15 := 1; -- RTX FIFO depth, has to be zero or a power of two, min 1 IO_PWM_NUM_CH : natural range 0 to 16 := 0; -- number of PWM channels to implement (0..16) IO_WDT_EN : boolean := false; -- implement watch dog timer (WDT)? IO_TRNG_EN : boolean := false; -- implement true random number generator (TRNG)? - IO_TRNG_FIFO : natural range 1 to 2**15 := 1; -- data fifo depth, has to be a power of two, min 1 + IO_TRNG_FIFO : natural range 1 to 2**15 := 1; -- data FIFO depth, has to be a power of two, min 1 IO_CFS_EN : boolean := false; -- implement custom functions subsystem (CFS)? IO_CFS_CONFIG : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic IO_CFS_IN_SIZE : natural := 32; -- size of CFS input conduit in bits @@ -139,11 +141,11 @@ entity neorv32_top is IO_NEOLED_TX_FIFO : natural range 1 to 2**15 := 1; -- NEOLED FIFO depth, has to be a power of two, min 1 IO_GPTMR_EN : boolean := false; -- implement general purpose timer (GPTMR)? IO_ONEWIRE_EN : boolean := false; -- implement 1-wire interface (ONEWIRE)? - IO_ONEWIRE_FIFO : natural range 1 to 2**15 := 1; -- RTX fifo depth, has to be zero or a power of two, min 1 + IO_ONEWIRE_FIFO : natural range 1 to 2**15 := 1; -- RTX FIFO depth, has to be zero or a power of two, min 1 IO_DMA_EN : boolean := false; -- implement direct memory access controller (DMA)? IO_SLINK_EN : boolean := false; -- implement stream link interface (SLINK)? - IO_SLINK_RX_FIFO : natural range 1 to 2**15 := 1; -- RX fifo depth, has to be a power of two, min 1 - IO_SLINK_TX_FIFO : natural range 1 to 2**15 := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_SLINK_RX_FIFO : natural range 1 to 2**15 := 1; -- RX FIFO depth, has to be a power of two, min 1 + IO_SLINK_TX_FIFO : natural range 1 to 2**15 := 1; -- TX FIFO depth, has to be a power of two, min 1 IO_CRC_EN : boolean := false -- implement cyclic redundancy check unit (CRC)? ); port ( @@ -221,6 +223,12 @@ entity neorv32_top is twi_scl_i : in std_ulogic := 'H'; -- serial clock line sense input twi_scl_o : out std_ulogic; -- serial clock line output (pull low only) + -- TWD (available if IO_TWD_EN = true) -- + twd_sda_i : in std_ulogic := 'H'; -- serial data line sense input + twd_sda_o : out std_ulogic; -- serial data line output (pull low only) + twd_scl_i : in std_ulogic := 'H'; -- serial clock line sense input + twd_scl_o : out std_ulogic; -- serial clock line output (pull low only) + -- 1-Wire Interface (available if IO_ONEWIRE_EN = true) -- onewire_i : in std_ulogic := 'H'; -- 1-wire bus sense input onewire_o : out std_ulogic; -- 1-wire bus output (pull low only) @@ -286,11 +294,11 @@ architecture neorv32_top_rtl of neorv32_top is signal clk_gen : std_ulogic_vector(7 downto 0); -- scaled clock-enables -- type clk_gen_en_enum_t is ( - CG_CFS, CG_UART0, CG_UART1, CG_SPI, CG_TWI, CG_PWM, CG_WDT, CG_NEOLED, CG_GPTMR, CG_XIP, CG_ONEWIRE + CG_CFS, CG_UART0, CG_UART1, CG_SPI, CG_TWI, CG_TWD, CG_PWM, CG_WDT, CG_NEOLED, CG_GPTMR, CG_XIP, CG_ONEWIRE ); type clk_gen_en_t is array (clk_gen_en_enum_t) of std_ulogic; signal clk_gen_en : clk_gen_en_t; - signal clk_gen_en2 : std_ulogic_vector(10 downto 0); + signal clk_gen_en2 : std_ulogic_vector(11 downto 0); -- CPU status -- signal cpu_debug, cpu_sleep : std_ulogic; @@ -314,7 +322,7 @@ architecture neorv32_top_rtl of neorv32_top is type io_devices_enum_t is ( IODEV_OCD, IODEV_SYSINFO, IODEV_NEOLED, IODEV_GPIO, IODEV_WDT, IODEV_TRNG, IODEV_TWI, IODEV_SPI, IODEV_SDI, IODEV_UART1, IODEV_UART0, IODEV_MTIME, IODEV_XIRQ, IODEV_ONEWIRE, - IODEV_GPTMR, IODEV_PWM, IODEV_XIP, IODEV_CRC, IODEV_DMA, IODEV_SLINK, IODEV_CFS + IODEV_GPTMR, IODEV_PWM, IODEV_XIP, IODEV_CRC, IODEV_DMA, IODEV_SLINK, IODEV_CFS, IODEV_TWD ); type iodev_req_t is array (io_devices_enum_t) of bus_req_t; type iodev_rsp_t is array (io_devices_enum_t) of bus_rsp_t; @@ -323,7 +331,7 @@ architecture neorv32_top_rtl of neorv32_top is -- IRQs -- type firq_enum_t is ( - FIRQ_reserved, FIRQ_UART0_RX, FIRQ_UART0_TX, FIRQ_UART1_RX, FIRQ_UART1_TX, FIRQ_SPI, FIRQ_SDI, FIRQ_TWI, + FIRQ_TWD, FIRQ_UART0_RX, FIRQ_UART0_TX, FIRQ_UART1_RX, FIRQ_UART1_TX, FIRQ_SPI, FIRQ_SDI, FIRQ_TWI, FIRQ_CFS, FIRQ_NEOLED, FIRQ_XIRQ, FIRQ_GPTMR, FIRQ_ONEWIRE, FIRQ_DMA, FIRQ_SLINK_RX, FIRQ_SLINK_TX ); type firq_t is array (firq_enum_t) of std_ulogic; @@ -364,6 +372,7 @@ begin cond_sel_string_f(IO_SPI_EN, "SPI ", "") & cond_sel_string_f(IO_SDI_EN, "SDI ", "") & cond_sel_string_f(IO_TWI_EN, "TWI ", "") & + cond_sel_string_f(IO_TWD_EN, "TWD ", "") & cond_sel_string_f(io_pwm_en_c, "PWM ", "") & cond_sel_string_f(IO_WDT_EN, "WDT ", "") & cond_sel_string_f(IO_TRNG_EN, "TRNG ", "") & @@ -431,7 +440,7 @@ begin -- ------------------------------------------------------------------------------------------- neorv32_sys_clock_inst: entity neorv32.neorv32_sys_clock generic map ( - NUM_EN => 11 + NUM_EN => clk_gen_en2'length ) port map ( clk_i => clk_i, @@ -441,9 +450,9 @@ begin ); -- fresh clocks anyone? -- - clk_gen_en2 <= clk_gen_en(CG_WDT) & clk_gen_en(CG_UART0) & clk_gen_en(CG_UART1) & clk_gen_en(CG_SPI) & - clk_gen_en(CG_TWI) & clk_gen_en(CG_PWM) & clk_gen_en(CG_WDT) & clk_gen_en(CG_NEOLED) & - clk_gen_en(CG_GPTMR) & clk_gen_en(CG_XIP) & clk_gen_en(CG_ONEWIRE); + clk_gen_en2 <= clk_gen_en(CG_WDT) & clk_gen_en(CG_UART0) & clk_gen_en(CG_UART1) & clk_gen_en(CG_SPI) & + clk_gen_en(CG_TWI) & clk_gen_en(CG_TWD) & clk_gen_en(CG_PWM) & clk_gen_en(CG_WDT) & + clk_gen_en(CG_NEOLED) & clk_gen_en(CG_GPTMR) & clk_gen_en(CG_XIP) & clk_gen_en(CG_ONEWIRE); end generate; -- /generators @@ -544,7 +553,7 @@ begin ); -- fast interrupt requests (FIRQs) -- - cpu_firq(0) <= '0'; -- reserved + cpu_firq(0) <= firq(FIRQ_TWD); cpu_firq(1) <= firq(FIRQ_CFS); cpu_firq(2) <= firq(FIRQ_UART0_RX); cpu_firq(3) <= firq(FIRQ_UART0_TX); @@ -1023,17 +1032,17 @@ begin DEV_18_EN => IO_DMA_EN, DEV_18_BASE => base_io_dma_c, DEV_19_EN => IO_SLINK_EN, DEV_19_BASE => base_io_slink_c, DEV_20_EN => IO_CFS_EN, DEV_20_BASE => base_io_cfs_c, - DEV_21_EN => false, DEV_31_BASE => (others => '0'), -- reserved - DEV_22_EN => false, DEV_30_BASE => (others => '0'), -- reserved - DEV_23_EN => false, DEV_29_BASE => (others => '0'), -- reserved - DEV_24_EN => false, DEV_28_BASE => (others => '0'), -- reserved - DEV_25_EN => false, DEV_27_BASE => (others => '0'), -- reserved + DEV_21_EN => IO_TWD_EN, DEV_21_BASE => base_io_twd_c, + DEV_22_EN => false, DEV_22_BASE => (others => '0'), -- reserved + DEV_23_EN => false, DEV_23_BASE => (others => '0'), -- reserved + DEV_24_EN => false, DEV_24_BASE => (others => '0'), -- reserved + DEV_25_EN => false, DEV_25_BASE => (others => '0'), -- reserved DEV_26_EN => false, DEV_26_BASE => (others => '0'), -- reserved - DEV_27_EN => false, DEV_25_BASE => (others => '0'), -- reserved - DEV_28_EN => false, DEV_24_BASE => (others => '0'), -- reserved - DEV_29_EN => false, DEV_23_BASE => (others => '0'), -- reserved - DEV_30_EN => false, DEV_22_BASE => (others => '0'), -- reserved - DEV_31_EN => false, DEV_21_BASE => (others => '0') -- reserved + DEV_27_EN => false, DEV_27_BASE => (others => '0'), -- reserved + DEV_28_EN => false, DEV_28_BASE => (others => '0'), -- reserved + DEV_29_EN => false, DEV_29_BASE => (others => '0'), -- reserved + DEV_30_EN => false, DEV_30_BASE => (others => '0'), -- reserved + DEV_31_EN => false, DEV_31_BASE => (others => '0') -- reserved ) port map ( clk_i => clk_i, @@ -1061,7 +1070,7 @@ begin dev_18_req_o => iodev_req(IODEV_DMA), dev_18_rsp_i => iodev_rsp(IODEV_DMA), dev_19_req_o => iodev_req(IODEV_SLINK), dev_19_rsp_i => iodev_rsp(IODEV_SLINK), dev_20_req_o => iodev_req(IODEV_CFS), dev_20_rsp_i => iodev_rsp(IODEV_CFS), - dev_21_req_o => open, dev_21_rsp_i => rsp_terminate_c, -- reserved + dev_21_req_o => iodev_req(IODEV_TWD), dev_21_rsp_i => iodev_rsp(IODEV_TWD), dev_22_req_o => open, dev_22_rsp_i => rsp_terminate_c, -- reserved dev_23_req_o => open, dev_23_rsp_i => rsp_terminate_c, -- reserved dev_24_req_o => open, dev_24_rsp_i => rsp_terminate_c, -- reserved @@ -1355,6 +1364,39 @@ begin end generate; + -- Two-Wire Device (TWD) ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + neorv32_twd_inst_true: + if IO_TWD_EN generate + neorv32_twd_inst: entity neorv32.neorv32_twd + generic map ( + TWD_FIFO => IO_TWD_FIFO + ) + port map ( + clk_i => clk_i, + rstn_i => rstn_sys, + bus_req_i => iodev_req(IODEV_TWD), + bus_rsp_o => iodev_rsp(IODEV_TWD), + clkgen_en_o => clk_gen_en(CG_TWD), + clkgen_i => clk_gen, + twd_sda_i => twd_sda_i, + twd_sda_o => twd_sda_o, + twd_scl_i => twd_scl_i, + twd_scl_o => twd_scl_o, + irq_o => firq(FIRQ_TWD) + ); + end generate; + + neorv32_twd_inst_false: + if not IO_TWD_EN generate + iodev_rsp(IODEV_TWD) <= rsp_terminate_c; + twd_sda_o <= '1'; + twd_scl_o <= '1'; + clk_gen_en(CG_TWD) <= '0'; + firq(FIRQ_TWD) <= '0'; + end generate; + + -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------ -- ------------------------------------------------------------------------------------------- neorv32_pwm_inst_true: @@ -1611,6 +1653,7 @@ begin IO_SPI_EN => IO_SPI_EN, IO_SDI_EN => IO_SDI_EN, IO_TWI_EN => IO_TWI_EN, + IO_TWD_EN => IO_TWD_EN, IO_PWM_EN => io_pwm_en_c, IO_WDT_EN => IO_WDT_EN, IO_TRNG_EN => IO_TRNG_EN, From 53871c62f199c989d97030aad8954ae172d140da Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:29:12 +0100 Subject: [PATCH 05/19] [testbench] add TWD module --- sim/neorv32_tb.vhd | 45 +++++++++++++++++++++++++++++---------------- 1 file changed, 29 insertions(+), 16 deletions(-) diff --git a/sim/neorv32_tb.vhd b/sim/neorv32_tb.vhd index 667a2978a..c51053b4e 100644 --- a/sim/neorv32_tb.vhd +++ b/sim/neorv32_tb.vhd @@ -78,8 +78,9 @@ architecture neorv32_tb_rtl of neorv32_tb is -- IO connection -- signal uart0_txd, uart0_cts, uart1_txd, uart1_cts : std_ulogic; signal gpio : std_ulogic_vector(63 downto 0); - signal twi_scl, twi_sda : std_logic; + signal i2c_scl, i2c_sda : std_logic; signal twi_scl_i, twi_scl_o, twi_sda_i, twi_sda_o : std_ulogic; + signal twd_scl_i, twd_scl_o, twd_sda_i, twd_sda_o : std_ulogic; signal onewire : std_logic; signal onewire_i, onewire_o : std_ulogic; signal spi_csn : std_ulogic_vector(7 downto 0); @@ -196,6 +197,8 @@ begin IO_SDI_FIFO => 4, IO_TWI_EN => true, IO_TWI_FIFO => 4, + IO_TWD_EN => true, + IO_TWD_FIFO => 4, IO_PWM_NUM_CH => 8, IO_WDT_EN => true, IO_TRNG_EN => true, @@ -279,6 +282,11 @@ begin twi_sda_o => twi_sda_o, twi_scl_i => twi_scl_i, twi_scl_o => twi_scl_o, + -- TWD -- + twd_sda_i => twd_sda_i, + twd_sda_o => twd_sda_o, + twd_scl_i => twd_scl_i, + twd_scl_o => twd_scl_o, -- 1-Wire Interface -- onewire_i => onewire_i, onewire_o => onewire_o, @@ -300,28 +308,33 @@ begin ); - -- Two-Wire Bus --------------------------------------------------------------------------- + -- Two-Wire Bus - Tri-State Drivers (modules can only actively pull the signals low) ------ -- ------------------------------------------------------------------------------------------- - twi_sda <= '0' when (twi_sda_o = '0') else 'Z'; -- tristate driver: module can only pull the line low actively - twi_scl <= '0' when (twi_scl_o = '0') else 'Z'; -- tristate driver: module can only pull the line low actively - twi_sda_i <= std_ulogic(twi_sda); -- sense input - twi_scl_i <= std_ulogic(twi_scl); -- sense input + i2c_sda <= '0' when (twi_sda_o = '0') else 'Z'; + i2c_scl <= '0' when (twi_scl_o = '0') else 'Z'; + twi_sda_i <= std_ulogic(i2c_sda); -- sense input + twi_scl_i <= std_ulogic(i2c_scl); -- sense input - -- TWI bus termination -- - twi_scl <= 'H'; -- weak pull-up "resistor" - twi_sda <= 'H'; -- weak pull-up "resistor" + i2c_sda <= '0' when (twd_sda_o = '0') else 'Z'; + i2c_scl <= '0' when (twd_scl_o = '0') else 'Z'; + twd_sda_i <= std_ulogic(i2c_sda); -- sense input + twd_scl_i <= std_ulogic(i2c_scl); -- sense input + -- I2C bus termination with weak pull-ups -- + i2c_scl <= 'H'; + i2c_sda <= 'H'; - -- One-Wire Bus --------------------------------------------------------------------------- + + -- One-Wire Bus - Tri-State Driver (module can only actively pull the signals low) -------- -- ------------------------------------------------------------------------------------------- - onewire <= '0' when (onewire_o = '0') else 'Z'; -- tristate driver: module can only pull the line low actively + onewire <= '0' when (onewire_o = '0') else 'Z'; onewire_i <= std_ulogic(onewire); -- sense input - -- 1-Wire bus termination -- - onewire <= 'H'; -- weak pull-up "resistor" + -- 1-Wire bus termination with weak pull-up -- + onewire <= 'H'; - -- SP/SDI --------------------------------------------------------------------------- + -- SPI/SDI -------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- sdi_clk <= spi_clk after 40 ns; -- echo with propagation delay sdi_csn <= spi_csn(7) after 40 ns; @@ -455,7 +468,7 @@ begin end generate; - -- XBUS: Memory-Mapped IO ----------------------------------------------------------------- + -- XBUS: External Memory-Mapped IO -------------------------------------------------------- -- ------------------------------------------------------------------------------------------- xbus_mmio: entity work.xbus_memory generic map ( @@ -471,7 +484,7 @@ begin ); - -- XBUS: IRQ Triggers --------------------------------------------------------------------- + -- XBUS: External IRQ Trigger ------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- xbus_irq_trigger: process(rst_gen, clk_gen) begin From 207d51c1ad1df6df4152e9cdf9f30d79f44e9fd2 Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:36:41 +0100 Subject: [PATCH 06/19] [sw] add TWD HAL/drivers --- sw/lib/include/neorv32_twd.h | 92 +++++++++++++ sw/lib/source/neorv32_twd.c | 244 +++++++++++++++++++++++++++++++++++ sw/svd/neorv32.svd | 127 ++++++++++++++++++ 3 files changed, 463 insertions(+) create mode 100644 sw/lib/include/neorv32_twd.h create mode 100644 sw/lib/source/neorv32_twd.c diff --git a/sw/lib/include/neorv32_twd.h b/sw/lib/include/neorv32_twd.h new file mode 100644 index 000000000..00f8802be --- /dev/null +++ b/sw/lib/include/neorv32_twd.h @@ -0,0 +1,92 @@ +// ================================================================================ // +// The NEORV32 RISC-V Processor - https://github.com/stnolting/neorv32 // +// Copyright (c) NEORV32 contributors. // +// Copyright (c) 2020 - 2024 Stephan Nolting. All rights reserved. // +// Licensed under the BSD-3-Clause license, see LICENSE for details. // +// SPDX-License-Identifier: BSD-3-Clause // +// ================================================================================ // + +/** + * @file neorv32_twd.h + * @brief Two-Wire Device Controller (TWD) HW driver header file. + * + * @note These functions should only be used if the TWD unit was synthesized (IO_TWD_EN = true). + * + * @see https://stnolting.github.io/neorv32/sw/files.html + */ + +#ifndef neorv32_twd_h +#define neorv32_twd_h + +#include + + +/**********************************************************************//** + * @name IO Device: Two-Wire Device Controller (TWD) + **************************************************************************/ +/**@{*/ +/** TWD module prototype */ +typedef volatile struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register (#NEORV32_TWD_CTRL_enum) */ + uint32_t DATA; /**< offset 4: data register (#NEORV32_TWD_DATA_enum) */ +} neorv32_twd_t; + +/** TWD module hardware access (#neorv32_twd_t) */ +#define NEORV32_TWD ((neorv32_twd_t*) (NEORV32_TWD_BASE)) + +/** TWD control register bits */ +enum NEORV32_TWD_CTRL_enum { + TWD_CTRL_EN = 0, /**< TWD control register(0) (r/w): TWD enable */ + TWD_CTRL_CLR_RX = 1, /**< TWD control register(1) (-/w): Clear RX FIFO, flag auto-clears */ + TWD_CTRL_CLR_TX = 2, /**< TWD control register(2) (-/w): Clear TX FIFO, flag auto-clears */ + TWD_CTRL_FESL = 3, /**< TWD control register(3) (r/w): Bus sample clock / filter select */ + TWD_CTRL_DEV_ADDR0 = 4, /**< TWD control register(4) (r/w): Device address (7-bit), LSB */ + TWD_CTRL_DEV_ADDR6 = 10, /**< TWD control register(10) (r/w): Device address (7-bit), MSB */ + TWD_CTRL_IRQ_RX_AVAIL = 11, /**< TWD control register(11) (r/w): IRQ if RX FIFO data available */ + TWD_CTRL_IRQ_RX_FULL = 12, /**< TWD control register(12) (r/w): IRQ if RX FIFO full */ + TWD_CTRL_IRQ_TX_EMPTY = 13, /**< TWD control register(13) (r/w): IRQ if TX FIFO empty */ + + TWD_CTRL_FIFO_LSB = 15, /**< TWD control register(15) (r/-): log2(FIFO size), LSB */ + TWD_CTRL_FIFO_MSB = 18, /**< TWD control register(18) (r/-): log2(FIFO size), MSB */ + + TWD_CTRL_RX_AVAIL = 25, /**< TWD control register(25) (r/-): RX FIFO data available */ + TWD_CTRL_RX_FULL = 26, /**< TWD control register(26) (r/-): RX FIFO full */ + TWD_CTRL_TX_EMPTY = 27, /**< TWD control register(27) (r/-): TX FIFO empty */ + TWD_CTRL_TX_FULL = 28, /**< TWD control register(28) (r/-): TX FIFO full */ + TWD_CTRL_SENSE_SCL = 29, /**< TWD control register(29) (r/-): current state of the SCL bus line */ + TWD_CTRL_SENSE_SDA = 30, /**< TWD control register(30) (r/-): current state of the SDA bus line */ + TWD_CTRL_BUSY = 31 /**< TWD control register(31) (r/-): bus engine is busy (transaction in progress) */ +}; + +/** TWD data register bits */ +enum NEORV32_TWD_DATA_enum { + TWD_DATA_LSB = 0, /**< TWD data register(0) (r/w): Receive/transmit data (8-bit) LSB */ + TWD_DATA_MSB = 7 /**< TWD data register(7) (r/w): Receive/transmit data (8-bit) MSB */ +}; +/**@}*/ + + +/**********************************************************************//** + * @name Prototypes + **************************************************************************/ +/**@{*/ +int neorv32_twd_available(void); +void neorv32_twd_setup(int device_addr, int fsel, int irq_rx_avail, int irq_rx_full, int irq_tx_empty); +int neorv32_twd_get_fifo_depth(void); +void neorv32_twd_disable(void); +void neorv32_twd_enable(void); +void neorv32_twd_clear_rx(void); +void neorv32_twd_clear_tx(void); +int neorv32_twd_sense_scl(void); +int neorv32_twd_sense_sda(void); +int neorv32_twd_busy(void); +int neorv32_twd_rx_available(void); +int neorv32_twd_rx_full(void); +int neorv32_twd_tx_empty(void); +int neorv32_twd_tx_full(void); +void neorv32_twd_put(uint8_t data); +uint8_t neorv32_twd_get(void); +/**@}*/ + + +#endif // neorv32_twd_h diff --git a/sw/lib/source/neorv32_twd.c b/sw/lib/source/neorv32_twd.c new file mode 100644 index 000000000..54b02bf76 --- /dev/null +++ b/sw/lib/source/neorv32_twd.c @@ -0,0 +1,244 @@ +// ================================================================================ // +// The NEORV32 RISC-V Processor - https://github.com/stnolting/neorv32 // +// Copyright (c) NEORV32 contributors. // +// Copyright (c) 2020 - 2024 Stephan Nolting. All rights reserved. // +// Licensed under the BSD-3-Clause license, see LICENSE for details. // +// SPDX-License-Identifier: BSD-3-Clause // +// ================================================================================ // + +/** + * @file neorv32_twd.c + * @brief Two-Wire Device Controller (TWD) HW driver source file. + * + * @note These functions should only be used if the TWD unit was synthesized (IO_TWD_EN = true). + * + * @see https://stnolting.github.io/neorv32/sw/files.html + */ + +#include + + +/**********************************************************************//** + * Check if TWD unit was synthesized. + * + * @return 0 if TWD was not synthesized, 1 if TWD is available. + **************************************************************************/ +int neorv32_twd_available(void) { + + if (NEORV32_SYSINFO->SOC & (1 << SYSINFO_SOC_IO_TWD)) { + return 1; + } + else { + return 0; + } +} + + +/**********************************************************************//** + * Enable and configure TWD controller. The TWD control register bits are listed in #NEORV32_TWD_CTRL_enum. + * + * @param[in] device_addr 7-bit device address. + * @param[in] fsel Bus sample clock / filter select. + * @param[in] irq_rx_avail IRQ if RX FIFO data available. + * @param[in] irq_rx_full IRQ if RX FIFO full. + * @param[in] irq_tx_empty IRQ if TX FIFO empty. + **************************************************************************/ +void neorv32_twd_setup(int device_addr, int fsel, int irq_rx_avail, int irq_rx_full, int irq_tx_empty) { + + NEORV32_TWD->CTRL = 0; // reset + + uint32_t ctrl = 0; + ctrl |= ((uint32_t)( 0x01) << TWD_CTRL_EN); + ctrl |= ((uint32_t)(device_addr & 0x7f) << TWD_CTRL_DEV_ADDR0); + ctrl |= ((uint32_t)(fsel & 0x01) << TWD_CTRL_FESL); + ctrl |= ((uint32_t)(irq_rx_avail & 0x01) << TWD_CTRL_IRQ_RX_AVAIL); + ctrl |= ((uint32_t)(irq_rx_full & 0x01) << TWD_CTRL_IRQ_RX_FULL); + ctrl |= ((uint32_t)(irq_tx_empty & 0x01) << TWD_CTRL_IRQ_TX_EMPTY); + NEORV32_TWD->CTRL = ctrl; +} + + +/**********************************************************************//** + * Get TWD FIFO depth. + * + * @return FIFO depth (number of entries), zero if no FIFO implemented + **************************************************************************/ +int neorv32_twd_get_fifo_depth(void) { + + uint32_t tmp = (NEORV32_TWD->CTRL >> TWD_CTRL_FIFO_LSB) & 0xf; + return (int)(1 << tmp); +} + + +/**********************************************************************//** + * Disable TWD controller. + **************************************************************************/ +void neorv32_twd_disable(void) { + + NEORV32_TWD->CTRL &= ~((uint32_t)(1 << TWD_CTRL_EN)); +} + + +/**********************************************************************//** + * Enable TWD controller. + **************************************************************************/ +void neorv32_twd_enable(void) { + + NEORV32_TWD->CTRL |= (uint32_t)(1 << TWD_CTRL_EN); +} + + +/**********************************************************************//** + * Clear TWD RX FIFO. + **************************************************************************/ +void neorv32_twd_clear_rx(void) { + + NEORV32_TWD->CTRL |= (uint32_t)(1 << TWD_CTRL_CLR_RX); +} + + +/**********************************************************************//** + * Clear TWD TX FIFO. + **************************************************************************/ +void neorv32_twd_clear_tx(void) { + + NEORV32_TWD->CTRL |= (uint32_t)(1 << TWD_CTRL_CLR_TX); +} + + +/**********************************************************************//** + * Get current state of SCL bus line. + * + * @return 1 if SCL is high, 0 if SCL is low. + **************************************************************************/ +int neorv32_twd_sense_scl(void) { + + if (NEORV32_TWD->CTRL & (1 << TWD_CTRL_SENSE_SCL)) { + return 1; + } + else { + return 0; + } +} + + +/**********************************************************************//** + * Get current state of SDA bus line. + * + * @return 1 if SDA is high, 0 if SDA is low. + **************************************************************************/ +int neorv32_twd_sense_sda(void) { + + if (NEORV32_TWD->CTRL & (1 << TWD_CTRL_SENSE_SDA)) { + return 1; + } + else { + return 0; + } +} + + +/**********************************************************************//** + * Check if there is a TWD bus operation in progress. + * + * @return 0 if idle, 1 if busy. + **************************************************************************/ +int neorv32_twd_busy(void) { + + if (NEORV32_TWD->CTRL & (1 << TWD_CTRL_BUSY)) { + return 1; + } + else { + return 0; + } +} + + +/**********************************************************************//** + * Check if RX data available. + * + * @return 0 if no data available, 1 if data is available. + **************************************************************************/ +int neorv32_twd_rx_available(void) { + + if (NEORV32_TWD->CTRL & (1 << TWD_CTRL_RX_AVAIL)) { + return 1; + } + else { + return 0; + } +} + + +/**********************************************************************//** + * Check if RX FIFO is full. + * + * @return 0 if no RX FIFO is not full, 1 if RX FIFO is full. + **************************************************************************/ +int neorv32_twd_rx_full(void) { + + if (NEORV32_TWD->CTRL & (1 << TWD_CTRL_RX_FULL)) { + return 1; + } + else { + return 0; + } +} + + +/**********************************************************************//** + * Check if TX FIFO is empty. + * + * @return 0 if no TX FIFO is not empty, 1 if TX FIFO is empty. + **************************************************************************/ +int neorv32_twd_tx_empty(void) { + + if (NEORV32_TWD->CTRL & (1 << TWD_CTRL_TX_EMPTY)) { + return 1; + } + else { + return 0; + } +} + + +/**********************************************************************//** + * Check if TX FIFO is full. + * + * @return 0 if no TX FIFO is not full, 1 if TX FIFO is full. + **************************************************************************/ +int neorv32_twd_tx_full(void) { + + if (NEORV32_TWD->CTRL & (1 << TWD_CTRL_TX_FULL)) { + return 1; + } + else { + return 0; + } +} + + +/**********************************************************************//** + * Put data byte into TX FIFO. + * + * @warning This function is non-blocking. Check FIFO status before. + * + * @param[in] data Data byte to be stored in TX FIFO. + **************************************************************************/ +void neorv32_twd_put(uint8_t data) { + + NEORV32_TWD->DATA = data; +} + + +/**********************************************************************//** + * Get data byte from RX FIFO. + * + * @warning This function is non-blocking. Check FIFO status before. + * + * @return Data byte read from RX FIFO. + **************************************************************************/ +uint8_t neorv32_twd_get(void) { + + return NEORV32_TWD->DATA; +} diff --git a/sw/svd/neorv32.svd b/sw/svd/neorv32.svd index 9fca3aed9..78daf52d1 100644 --- a/sw/svd/neorv32.svd +++ b/sw/svd/neorv32.svd @@ -1315,6 +1315,132 @@ + + + + TWD + Two-wire device controller + 0xFFFFEA00 + + TWD_FIRQ0 + + + 0 + 0x08 + registers + + + + + CTRL + Control register + 0x00 + + + TWD_CTRL_EN + [0:0] + TWD enable flag + + + TWD_CTRL_CLR_RX + [1:1] + Clear RX FIFO, flag auto-clears + + + TWD_CTRL_CLR_TX + [2:2] + Clear TX FIFO, flag auto-clears + + + TWD_CTRL_FESL + [3:3] + Bus sample clock / filter select + + + TWD_CTRL_DEV_ADDR + [10:4] + Device address (7-bit) + + + TWD_CTRL_IRQ_RX_AVAIL + [11:11] + IRQ if RX FIFO data available + + + TWD_CTRL_IRQ_RX_FULL + [12:12] + IRQ if RX FIFO full + + + TWD_CTRL_IRQ_TX_EMPTY + [13:13] + IRQ if TX FIFO empty + + + TWD_CTRL_FIFO + [18:15] + read-only + log2(TWD FIFO size) + + + TWD_CTRL_RX_AVAIL + [25:25] + read-only + RX FIFO data available + + + TWD_CTRL_RX_FULL + [26:26] + read-only + RX FIFO full + + + TWD_CTRL_TX_EMPTY + [27:27] + read-only + TX FIFO empty + + + TWD_CTRL_TX_FULL + [28:28] + read-only + TX FIFO full + + + TWD_CTRL_SENSE_SCL + [29:29] + read-only + current state of the SCL bus line + + + TWD_CTRL_SENSE_SDA + [30:30] + read-only + current state of the SDA bus line + + + TWD_CTRL_BUSY + [31:31] + read-only + bus engine is busy (transaction in progress) + + + + + DATA + RX/TX data register + 0x04 + + + TWI_DATA + [7:0] + RX/TX data FIFO access + + + + + + @@ -1643,6 +1769,7 @@ SYSINFO_SOC_XIP_CACHE[10:10]Execute in place cache implemented SYSINFO_SOC_OCD_AUTH[11:11]On-chip debugger authentication implemented SYSINFO_SOC_IMEM_ROM[12:12]Processor-internal instruction memory implemented as pre-initialized ROM + SYSINFO_SOC_IO_TWD[13:13]Two-wire device implemented SYSINFO_SOC_IO_DMA[14:14]Direct memory access controller implemented SYSINFO_SOC_IO_GPIO[15:15]General purpose input/output port unit implemented SYSINFO_SOC_IO_MTIME[16:16]Machine system timer implemented From 96f42a796012b9a4e47adb6667f9e638101e03d2 Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:46:26 +0100 Subject: [PATCH 07/19] [processor_check] add TWI+TWD test cases --- sw/example/processor_check/main.c | 63 ++++++++++++++++++++++++++----- 1 file changed, 53 insertions(+), 10 deletions(-) diff --git a/sw/example/processor_check/main.c b/sw/example/processor_check/main.c index 0e28d5e51..3b0dcf11d 100644 --- a/sw/example/processor_check/main.c +++ b/sw/example/processor_check/main.c @@ -1004,8 +1004,42 @@ int main() { // Fast interrupt channel 0 // ---------------------------------------------------------- neorv32_cpu_csr_write(CSR_MCAUSE, mcause_never_c); - PRINT_STANDARD("[%i] FIRQ0 (reserved) ", cnt_test); - PRINT_STANDARD("[n.a.]\n"); + PRINT_STANDARD("[%i] FIRQ0 (TWD) ", cnt_test); + + if (NEORV32_SYSINFO->SOC & (1 << SYSINFO_SOC_IO_TWD)) { + cnt_test++; + + // configure TWD and enable RX-available interrupt + neorv32_twd_setup(0b1101001, 0, 1, 0, 0); + + // configure TWI with second-fastest clock, no clock stretching + neorv32_twi_setup(CLK_PRSC_4, 0, 0); + + // enable fast interrupt + neorv32_cpu_csr_write(CSR_MIE, 1 << TWD_FIRQ_ENABLE); + + // program sequence: write data via TWI + neorv32_twi_generate_start_nonblocking(); + neorv32_twi_send_nonblocking(0b11010010, 0); // write-address + neorv32_twi_send_nonblocking(0x47, 0); + neorv32_twi_generate_stop_nonblocking(); + + // sleep until interrupt + neorv32_cpu_sleep(); + + neorv32_cpu_csr_write(CSR_MIE, 0); + + if ((neorv32_cpu_csr_read(CSR_MCAUSE) == TWD_TRAP_CODE) && // interrupt triggered + (neorv32_twd_get() == 0x47)) { // correct data written + test_ok(); + } + else { + test_fail(); + } + } + else { + PRINT_STANDARD("[n.a.]\n"); + } // ---------------------------------------------------------- @@ -1251,13 +1285,17 @@ int main() { if (NEORV32_SYSINFO->SOC & (1 << SYSINFO_SOC_IO_TWI)) { cnt_test++; - // configure TWI with fastest clock, no clock stretching - neorv32_twi_setup(CLK_PRSC_2, 0, 0); + // configure TWI with second-fastest clock, no clock stretching + neorv32_twi_setup(CLK_PRSC_4, 0, 0); - // issue some TWI operations, after they are done the interrupt will be fired + // configure TWD, no interrupts + neorv32_twd_setup(0b0010110, 0, 0, 0, 0); + neorv32_twd_put(0x8e); + + // program sequence: read data via TWI neorv32_twi_generate_start_nonblocking(); - neorv32_twi_send_nonblocking(0xA5, 0); - neorv32_twi_send_nonblocking(0x12, 0); + neorv32_twi_send_nonblocking(0b00101101, 0); // read-address + neorv32_twi_send_nonblocking(0xff, 1); neorv32_twi_generate_stop_nonblocking(); // enable TWI FIRQ @@ -1268,10 +1306,15 @@ int main() { neorv32_cpu_csr_write(CSR_MIE, 0); - tmp_a = NEORV32_TWI->CTRL; + // get TWI response + uint8_t twi_data_y; + int twi_ack_x = neorv32_twi_get(&twi_data_y); + neorv32_twi_get(&twi_data_y); + + if ((neorv32_cpu_csr_read(CSR_MCAUSE) == TWI_TRAP_CODE) && // interrupt triggered - (tmp_a & (1< Date: Sat, 14 Dec 2024 21:46:57 +0100 Subject: [PATCH 08/19] [changelog] add v1.10.7.4 --- CHANGELOG.md | 1 + 1 file changed, 1 insertion(+) diff --git a/CHANGELOG.md b/CHANGELOG.md index 38ea1ded6..850960ed2 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -29,6 +29,7 @@ mimpid = 0x01040312 -> Version 01.04.03.12 -> v1.4.3.12 | Date | Version | Comment | Ticket | |:----:|:-------:|:--------|:------:| +| 14.12.2024 | 1.10.7.4 | :sparkles: add new module: I2C-compatible **Two-Wire Device Controller (TWD)** | [#1121](https://github.com/stnolting/neorv32/pull/1121) | | 14.12.2024 | 1.10.7.3 | :warning: rework TRNG (change HAL; remove interrupt) | [#1120](https://github.com/stnolting/neorv32/pull/1120) | | 12.12.2024 | 1.10.7.2 | add external memory configuration/initialization options to testbench | [#1119](https://github.com/stnolting/neorv32/pull/1119) | | 11.12.2024 | 1.10.7.1 | :test_tube: shrink bootloader's minimal ISA (`rv32e`) and RAM (256 bytes) requirements | [#1118](https://github.com/stnolting/neorv32/pull/1118) | From 7af51a9b80c1e5ecfac8711b4d21877c963a4ece Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:47:33 +0100 Subject: [PATCH 09/19] [docs] add TWD generics and ports --- docs/datasheet/soc.adoc | 16 +++++++++++++--- 1 file changed, 13 insertions(+), 3 deletions(-) diff --git a/docs/datasheet/soc.adoc b/docs/datasheet/soc.adoc index abe61f9d2..ae63d6d3b 100644 --- a/docs/datasheet/soc.adoc +++ b/docs/datasheet/soc.adoc @@ -28,7 +28,8 @@ image::neorv32_processor.png[align=center] <<_secondary_universal_asynchronous_receiver_and_transmitter_uart1,**UART1**>>) with optional hardware flow control (RTS/CTS) * _optional_ serial peripheral interface host controller (<<_serial_peripheral_interface_controller_spi,**SPI**>>) with 8 dedicated CS lines * _optional_ 8-bit serial data device interface (<<_serial_data_interface_controller_spi,**SDI**>>) -* _optional_ two wire serial interface controller (<<_two_wire_serial_interface_controller_twi,**TWI**>>), compatible to the I²C standard +* _optional_ two-wire serial interface controller (<<_two_wire_serial_interface_controller_twi,**TWI**>>), compatible to the I²C standard +* _optional_ two-wire serial device controller (<<_two_wire_serial_device_controller_twd,**TWD**>>), compatible to the I²C standard * _optional_ general purpose parallel IO port (<<_general_purpose_input_and_output_port_gpio,**GPIO**>>), 64xOut, 64xIn * _optional_ 32-bit external bus interface, Wishbone b4 / AXI4-Lite compatible (<<_processor_external_bus_interface_xbus,**XBUS**>>) * _optional_ watchdog timer (<<_watchdog_timer_wdt,**WDT**>>) @@ -70,7 +71,7 @@ bits/channels are hardwired to zero. .Tri-State Interfaces [NOTE] -Some interfaces (like the TWI and the 1-Wire bus) require explicit tri-state drivers in the final top module. +Some interfaces (like the TWI, the TWD and the 1-Wire bus) require explicit tri-state drivers in the final top module. .Input/Output Registers [NOTE] @@ -146,6 +147,11 @@ to all inputs and output so the synthesis tool can insert an explicit IO (bounda | `twi_sda_o` | 1 | out | - | serial data line output (pull low only) | `twi_scl_i` | 1 | in | `'H'` | serial clock line sense input | `twi_scl_o` | 1 | out | - | serial clock line output (pull low only) +5+^| **<<_two_wire_serial_device_controller_twd>>** +| `twd_sda_i` | 1 | in | `'H'` | serial data line sense input +| `twd_sda_o` | 1 | out | - | serial data line output (pull low only) +| `twd_scl_i` | 1 | in | `'H'` | serial clock line sense input +| `twd_scl_o` | 1 | out | - | serial clock line output (pull low only) 5+^| **<<_one_wire_serial_interface_controller_onewire>>** | `onewire_i` | 1 | in | `'H'` | 1-wire bus sense input | `onewire_o` | 1 | out | - | 1-wire bus output (pull low only) @@ -293,6 +299,8 @@ The generic type "`suv(x:y)`" is an abbreviation for "`std_ulogic_vector(x downt | `IO_SDI_FIFO` | natural | 1 | Depth of the <<_serial_data_interface_controller_sdi>> FIFO. Has to be a power of two, min 1, max 32768. | `IO_TWI_EN` | boolean | false | Implement the <<_two_wire_serial_interface_controller_twi>>. | `IO_TWI_FIFO` | natural | 1 | Depth of the <<_two_wire_serial_interface_controller_twi>> FIFO. Has to be a power of two, min 1, max 32768. +| `IO_TWD_EN` | boolean | false | Implement the <<_two_wire_serial_device_controller_twd>>. +| `IO_TWD_FIFO` | natural | 1 | Depth of the <<_two_wire_serial_device_controller_twd>> FIFO. Has to be a power of two, min 1, max 32768. | `IO_PWM_NUM_CH` | natural | 0 | Number of channels of the <<_pulse_width_modulation_controller_pwm>> to implement (0..16). | `IO_WDT_EN` | boolean | false | Implement the <<_watchdog_timer_wdt>>. | `IO_TRNG_EN` | boolean | false | Implement the <<_true_random_number_generator_trng>>. @@ -449,7 +457,7 @@ table (the channel number also corresponds to the according FIRQ priority: 0 = h [options="header",grid="rows"] |======================= | Channel | Source | Description -| 0 | _reserved_ | _hardwired to zero_ +| 0 | <<_two_wire_serial_device_controller_twd,TWD>> | TWD FIFO level interrupt | 1 | <<_custom_functions_subsystem_cfs,CFS>> | Custom functions subsystem (CFS) interrupt (user-defined) | 2 | <<_primary_universal_asynchronous_receiver_and_transmitter_uart0,UART0>> | UART0 RX FIFO level interrupt | 3 | <<_primary_universal_asynchronous_receiver_and_transmitter_uart0,UART0>> | UART0 TX FIFO level interrupt @@ -810,6 +818,8 @@ include::soc_sdi.adoc[] include::soc_twi.adoc[] +include::soc_twd.adoc[] + include::soc_onewire.adoc[] include::soc_pwm.adoc[] From 74aff3597c0fd6213bbe7c01daef994d15ee3097 Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:47:54 +0100 Subject: [PATCH 10/19] [vivado_ip] add TWD module --- rtl/system_integration/neorv32_vivado_ip.tcl | 9 ++++++++- rtl/system_integration/neorv32_vivado_ip.vhd | 20 +++++++++++++++++++- 2 files changed, 27 insertions(+), 2 deletions(-) diff --git a/rtl/system_integration/neorv32_vivado_ip.tcl b/rtl/system_integration/neorv32_vivado_ip.tcl index 8aa7a96a9..a8238cb69 100644 --- a/rtl/system_integration/neorv32_vivado_ip.tcl +++ b/rtl/system_integration/neorv32_vivado_ip.tcl @@ -129,6 +129,7 @@ proc setup_ip_gui {} { set_property enablement_dependency {$IO_SPI_EN} [ipx::get_ports spi_* -of_objects [ipx::current_core]] set_property enablement_dependency {$IO_SDI_EN} [ipx::get_ports sdi_* -of_objects [ipx::current_core]] set_property enablement_dependency {$IO_TWI_EN} [ipx::get_ports twi_* -of_objects [ipx::current_core]] + set_property enablement_dependency {$IO_TWD_EN} [ipx::get_ports twd_* -of_objects [ipx::current_core]] set_property enablement_dependency {$IO_ONEWIRE_EN} [ipx::get_ports onewire_* -of_objects [ipx::current_core]] set_property enablement_dependency {$IO_PWM_EN} [ipx::get_ports pwm_o -of_objects [ipx::current_core]] set_property enablement_dependency {$IO_CFS_EN} [ipx::get_ports cfs_* -of_objects [ipx::current_core]] @@ -341,12 +342,18 @@ proc setup_ip_gui {} { { IO_SDI_FIFO {FIFO Depth} {Number of entries (use a power of two)} {$IO_SDI_EN} } } - set group [add_group $page {Two-Wire/I2C Interface (TWI)}] + set group [add_group $page {Two-Wire/I2C Host (TWI)}] add_params $group { { IO_TWI_EN {Enable TWI} } { IO_TWI_FIFO {FIFO Depth} {Number of entries (use a power of two)} {$IO_TWI_EN} } } + set group [add_group $page {Two-Wire/I2C Device (TWD)}] + add_params $group { + { IO_TWD_EN {Enable TWD} } + { IO_TWD_FIFO {FIFO Depth} {Number of entries (use a power of two)} {$IO_TWD_EN} } + } + set group [add_group $page {Pulse-Width Modulation Controller (PWM)}] add_params $group { { IO_PWM_EN {Enable PWM} } diff --git a/rtl/system_integration/neorv32_vivado_ip.vhd b/rtl/system_integration/neorv32_vivado_ip.vhd index 9e868f5e9..c06228390 100644 --- a/rtl/system_integration/neorv32_vivado_ip.vhd +++ b/rtl/system_integration/neorv32_vivado_ip.vhd @@ -117,6 +117,8 @@ entity neorv32_vivado_ip is IO_SDI_FIFO : natural range 1 to 2**15 := 1; IO_TWI_EN : boolean := false; IO_TWI_FIFO : natural range 1 to 2**15 := 1; + IO_TWD_EN : boolean := false; + IO_TWD_FIFO : natural range 1 to 2**15 := 1; IO_PWM_EN : boolean := false; IO_PWM_NUM_CH : natural range 1 to 16 := 1; -- variable-sized ports must be at least 0 downto 0; #974 IO_WDT_EN : boolean := false; @@ -232,6 +234,11 @@ entity neorv32_vivado_ip is twi_sda_o : out std_logic; twi_scl_i : in std_logic := '0'; twi_scl_o : out std_logic; + -- TWD (available if IO_TWD_EN = true) -- + twd_sda_i : in std_logic := '0'; + twd_sda_o : out std_logic; + twd_scl_i : in std_logic := '0'; + twd_scl_o : out std_logic; -- 1-Wire Interface (available if IO_ONEWIRE_EN = true) -- onewire_i : in std_logic := '0'; onewire_o : out std_logic; @@ -263,7 +270,7 @@ architecture neorv32_vivado_ip_rtl of neorv32_vivado_ip is -- AXI4-Lite bridge -- component xbus2axi4lite_bridge port ( - -- Global control + -- Global control clk : in std_logic; resetn : in std_logic; -- XBUS device interface -- @@ -315,6 +322,7 @@ architecture neorv32_vivado_ip_rtl of neorv32_vivado_ip is signal spi_csn_aux : std_ulogic_vector(7 downto 0); signal sdi_do_aux : std_ulogic; signal twi_sda_o_aux, twi_scl_o_aux : std_ulogic; + signal twd_sda_o_aux, twd_scl_o_aux : std_ulogic; signal onewire_o_aux : std_ulogic; signal cfs_out_aux : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); signal neoled_aux : std_ulogic; @@ -435,6 +443,8 @@ begin IO_SDI_FIFO => IO_SDI_FIFO, IO_TWI_EN => IO_TWI_EN, IO_TWI_FIFO => IO_TWI_FIFO, + IO_TWD_EN => IO_TWD_EN, + IO_TWD_FIFO => IO_TWD_FIFO, IO_PWM_NUM_CH => num_pwm_c, IO_WDT_EN => IO_WDT_EN, IO_TRNG_EN => IO_TRNG_EN, @@ -517,6 +527,11 @@ begin twi_sda_o => twi_sda_o_aux, twi_scl_i => std_ulogic(twi_scl_i), twi_scl_o => twi_scl_o_aux, + -- TWD (available if IO_TWD_EN = true) -- + twd_sda_i => std_ulogic(twd_sda_i), + twd_sda_o => twd_sda_o_aux, + twd_scl_i => std_ulogic(twd_scl_i), + twd_scl_o => twd_scl_o_aux, -- 1-Wire Interface (available if IO_ONEWIRE_EN = true) -- onewire_i => std_ulogic(onewire_i), onewire_o => onewire_o_aux, @@ -566,6 +581,9 @@ begin twi_sda_o <= std_logic(twi_sda_o_aux); twi_scl_o <= std_logic(twi_scl_o_aux); + twd_sda_o <= std_logic(twd_sda_o_aux); + twd_scl_o <= std_logic(twd_scl_o_aux); + onewire_o <= std_logic(onewire_o_aux); cfs_out_o <= std_logic_vector(cfs_out_aux); From 59de13242750592687e7911a35fafc78ad5fc145 Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:48:17 +0100 Subject: [PATCH 11/19] [docs] TWI: add link to TWD --- docs/datasheet/soc_twi.adoc | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/docs/datasheet/soc_twi.adoc b/docs/datasheet/soc_twi.adoc index 579825b77..be818318c 100644 --- a/docs/datasheet/soc_twi.adoc +++ b/docs/datasheet/soc_twi.adoc @@ -24,6 +24,11 @@ The NEORV32 TWI implements an I2C-compatible host controller to communicate with arbitrary I2C-devices. Note that peripheral-mode (controller acts as a device) and multi-controller mode are not supported yet. +.Host-Mode Only +[NOTE] +The NEORV32 TWI controller only supports **host mode**. Transmission are initiated by the processor's TWI controller +and not by an external TWI module. If you are looking for a _device-mode_ module (transactions +initiated by an external host) check out the <<_two_wire_serial_device_controller_twd>>. Key features: From 708f17aaeb644dd3774063819ca2c0d31c9d9125 Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:48:27 +0100 Subject: [PATCH 12/19] [readme] add TWD module --- README.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/README.md b/README.md index fec5536f2..ad032bfb4 100644 --- a/README.md +++ b/README.md @@ -162,7 +162,8 @@ allows booting application code via UART or from external SPI flash ([UART](https://stnolting.github.io/neorv32/#_primary_universal_asynchronous_receiver_and_transmitter_uart0), [SPI](https://stnolting.github.io/neorv32/#_serial_peripheral_interface_controller_spi) (SPI host), [SDI](https://stnolting.github.io/neorv32/#_serial_data_interface_controller_sdi) (SPI device), -[TWI/I²C](https://stnolting.github.io/neorv32/#_two_wire_serial_interface_controller_twi), +[TWI](https://stnolting.github.io/neorv32/#_two_wire_serial_interface_controller_twi) (I²C host), +[TWD](https://stnolting.github.io/neorv32/#_two_wire_serial_device_controller_twd) (I²C device), [ONEWIRE/1-Wire](https://stnolting.github.io/neorv32/#_one_wire_serial_interface_controller_onewire)) * general purpose IOs ([GPIO](https://stnolting.github.io/neorv32/#_general_purpose_input_and_output_port_gpio)) and [PWM](https://stnolting.github.io/neorv32/#_pulse_width_modulation_controller_pwm) From fca9fb8a98cd16d6dc79373e507f2d393dd2accc Mon Sep 17 00:00:00 2001 From: stnolting Date: Sat, 14 Dec 2024 21:56:13 +0100 Subject: [PATCH 13/19] [figures] add TWD module to SoC diagram --- docs/figures/neorv32_processor.png | Bin 359085 -> 363724 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/docs/figures/neorv32_processor.png b/docs/figures/neorv32_processor.png index f5f1cc0106da7a53da741ebc64af5ebf06e3c170..62cb96477b941e7936cc401bb13cf8d8579ffa49 100644 GIT binary patch literal 363724 zcmd@5g(s!Y!>FZ9HjT*w{I^h%q0wcQeyESc@_1KT+jT zg-hGmJ1F?M+i3f#=~($WS_xY-ONis+Jo6O+M{u_Bw50WQc5?9$@fD;0H?RnJ{P$~a zdhuuO*0v&AGIIaB0z4C=xA*jfi*R%M`1o-7@N>Dk+i~*>3k!4e@Nx6;ae`NHdc1P+ zwDje4@nHDh05UcnR_+dPPX|{Q+P?!@zHs&O6r=a@aZoz4(NSY2l>O%Te&sCFG`7!iV1KIaj`b7&%AbG~<`)&68y$PKDRzj0 z{v7)MhktiZBOcIjmEP@rjruwmbSoYd8vqHR{r7jYKwBvRMPL0kxw<7)uFO1xD8@y3 zCy9+U+i2&H^F7F|}GFv3kP3L%!pz5oW^5}?CF5+R632v?wlTXmT9_Tn~p19MnTY%HRbC200ep3SIQXml&s-61xM#ace8!d!6TJ&$d7BQs^TW$lBfK zkY!0H-YMnHs=Bu!-2IugZ|GK>VVS=bGPr&6yLVUD1+PS%u|j?q=DcGNX4n^6eT0Io zjhzkrcC$C$O8pZwrN`u&%yy$zUHd3i?Fofwul48h6)q_S^b}VN#(YY;HO6zXhq_!@X+AQiaa~BM z5mLA^8fGJVRbwvVp}TW+a4SdpIYtlz-A;1{_<%t`aAfcy1RNIy7@epVZrPAyV^a#e z{FSM&(*@9W6r7eDiEjG}?5%wuw}_yCFfPj#JH=ezEaI+J(;vRy-iEJXDWF>b9mM#? zU$FBm+YcPtk~?Kdn;-4lm#fH&p_!m3{x2&E8*!PX+9$ z2lj655=S0l5B$u})S=4p;IWl?zC|A!uKbiMw^67m^*{Baz0sZPBzq1eaL)0uNh27+ z>*edOABC#$<0*RIF$77jDpr0$>EUBQr#d|oq(c;QHQ^Tvg!1w`bX&r01>1RS5uu@o zs>)JCs~6+W3q2-T*)?8R=5Xd+#&{3@%$c>V-@}Aied9klu3Zg?2yxc$7@h(tH61c z%C8 zD0VMEgc^HVZSKW6(MDrJ0D@>XyOF8OS7yEY`XvXl|8rt@RsYlv5Q=)Ip9a{`(gO1J z+c8X!p3+x}0uW}s;XuyVZA$XVAd9pvu2-vRP4SuI+;prG%&v)|7NeSc-_20y#tbYl zbtZZ;4XrY-%F`%br5YxkXWF==!LT4nJ+0W26_Ia0#HSnnsa(HhRK#N_3X)MlNLkUxEX>3f@?@ecz zxcy8L5tXy75DaI_E6$j72>`~P_bM{;5GXQ5$y`*yIkPD%Ehqc%Zx|F&OJ;SSXnifX zs(9Krx}a@_N(G}i#nWMc+oQMjO1Jg0F{0W(dY9}Dg!NM;LdflsTki|%W^C6E(|{Gr zfua#k_O>v?fky*hFxiAHus-zB((=*mXfIwTY^OHR{Lg$ZltpGK;h_4V92at?k)WhD z(Y-=D6e<{v4xp1k#j1OvDq?**k=Z%Joju3@%CO~6aNchE7pjJXc7OJt3!Xx4-xPcE z>2;7olPDS*iWvOGjpWjY4L1C0Cf!a0jJxS$&y3^!{Ef?aQ6d6wi;> zW#Ta}N(&QQk4n5tLJ>CAKKCeKNkut21((CYVl3kE?aGG#s;49%vs6NvQPeVu7pkZ0;ipK? zUVNRzFj+xYSB{*(^p3nB({tQlp5Ymy5!!g6^j$xb1cQ!<{AsoQ=cINQQ!5Q?^WRrw zW_3b860+qW0IlL96?VQ>r|r0+sb;rJjN&l{|_;?U5g6B1zw0Ep3;fG0+iw zQH9pp-Mis0Ebj3z0T536&YtbkP1zj^0UFs418nZOE&lGW#r#DZ#V)(tv9Jr?L7kD` z`lcy!2_`s+eti=NQ3$JX%WG*@chBAub&f#G5sEm-%D?ddDuUSCdsY*>R!NCtQBr^^ zFkCp*`z;;RX)mkNO)jDYX}xOZvyd)e>r{2wr+^6ma#;a*ezgdHOb^m9xpYDlb%y ztOxm<+yE0&iBT%?^z%SNO{`sn_Cnc?`_z67i82Ksx1bG2t*2(%ntyZ6g7ojhX8zp1 z8&6@c$xjydij`T{q>OT=F^j~2@2i4ye#rV`x6Rd*@dFDvQb{kl`fQ`$S*Pp=j>x&x zs)d4a>L*p!5jF7d>dKFQ-~nRsAD+Y-kMT#rS}ih1ra5!FU|ypC*=?Z>eLom>Eg;M( zA>zFh`~a%$b|Q&>{tXS8dFK4t%s1UN$?|5#u%Aq%*@$p0j4}$t&N>Th;H|l!KV9P= zAI2dRz(NHJMS|)4sPC-OSzCZ|oR!{VF2}*BvR80##RSMEds;+5!szg=g z3*~+TOYbqqBg%N~ubHx+jfb4KT2mK{aMF4nvwlzf(4Yhe%{i7)4Svh56C~q60em9W zs!vqQX*mjPl?A{e(TogZj5ZYChQ)hnFt`yb<`7}})Re4LSoor$0rvyS{`8)8t$ zKy-xgepS}FMMwUwcURaAn-yhNZ)8%=tqotr z4evao7hXz3e7{5+?8r6eX*S*QZoS2=o7W9m$5ESmky-V1sNqbVP1KAYZ~2s#g8esU zytsr{_JX8bK}i^bG&l{W{DV#$oOT}?fi__DwiD*at)LSS=W!>_WE80T?KvhL3rqJ%qYb* zd5`>Y0h%2XVD@7>tU4PLHxMJ4{n{Ug0N4_<_ryZ{SsQhEyz42EK3^+L1>@bt-Ne(G z7Eq+eP&KBm-;=2%h(G+;8` z5cAQ0Ei@MRy>qUr?x$oI#hWj_#ah6HK+|HEjw`E=b`MFN6}`6PQv)=+fwQURZVXf% z=KJi2FJ9Ifd@}P7V3uN`Lnne`62W6u{X&+0tRr_%JiXqH9iRAqK4NCF(Rma(mSL~pt zJqZoGo zhjnM5U=ll!v)keidqa_!EMq0GVv%N<&;3}`99@mA(oO-nmfUey?4q`aCE38L`z!|z zMQxFVquBUHYE*i3Y;i-rOOC#s;>7r8(qU=Qh-liIRVYt%cFsiQaZz3zMWVG2nY`&n z)YLTANU(xjomq?TZ>)sVf%F6Ucojx;9BayslM3z`W)?qdEZy~W{ z*R)|F!9MEFsgeLe9=Q@RnNbG6jCZ2;7dXdi{}}Aj$DMMp-qevJlsl=(AOvI0BF7~0 z2UEPUrvd$g{-qg8Rbzn!G#T^R9r8%2ymZE&Je-os#Zh|3x;cs`S__A<#x7gc_5uq$ z`^%hOP-vn}UhJ?>N%JiyPyCIHn}O7GMAZ^?%QWl}l&A@}Uk+FyRw~U_VL)fAOti*F z?nyfRv;`zx?$6HIU(S1_QJ^#y73g5taeU0UL$liW8m0sk)^qsFF;%sdZxDR2s%zt` zWy$AcKWJ1fMv0^FcBUG@8yj`bF(hPTwMZjCc&aUNx%O;j+kEnGv60=Q$P;Q*VgJ+M zAX8>!>npw)=Qj4@)Z>}{IzD-T*$4i8PtX(|6y^0M9ANCfdGZh-F6P?U~Xi4VVw1uk5^K1z(?e@j^7R`R)_~K`VnE~ zYX^sUk;l9bV@FLK^__06*33F@qFKWk3&aY&@xq~~wiIQGO}Eo2h+JK*G2uG8p>*0Y zW5yQ60aXIj3DcnJQ_aHgn)qlACl5Ve%j(LM2_Hr&fqoTq7QyU-gmRY$N=uSXQMj=H z@oR-!QDJ9f_MUHZ6D4~2ZS!Y&Rj5+0a0RTtp{S11);EN(#u#$MZSg=+*aVq6o;Ge_ zC#}fFp&isq|9<(Zl;1y6XDK%G>N2MhM~VdQf|3&o=cDM4WJYywm59XpA?HsJRvgL5>C_eD@?-O)8u~r!XBdWv);Vpz9T`qj&HoTM zH>&*n=qbVgc!5GD*sNX7lxZlvKkkB_lf%d5a-5L~ z8amQl<7Q7e8sSI#pHyz$2?U_@q5P9$>n}d$cjPnedD4b!VCNY7t1yUs7w9|ZE$g<_ z-1iyMBKoI27mY+H51(J4(Wg}cz}jz_c02NsBMp-p9VHy?1sWl#%98hVIxgy!6I@+T zsRa~rsxsZ^dD6JXkM|4N$S79A_RSUvwj!YD&EyaOcro7=N83k9BjF^v^#-Zi`ULog z4rUG=DvDW_a3Ow{QJ!V{EGL8cSE9q(E#(ES+xVkM)`Dy*qpbBZQsF19s%?>vt6LQ) z7grLWppL97zd!N0109Q6YROuPlS9Tv(wO&$?aVB>D^y8XAKeJhBat9j@3`3S&8@cR zZN9TSDlF}hd~%A3IffDm=Bic!)pFk5$oaDs!czbHBw?|5^7K@5xMTaxofTIE>^4?Q zIN4()4;kqJAIn!+Y#_uc#L2LE!)YwC_7h*(ZmH2_=(c~FJNr^(uV>EdxH6`)B*bta zrom>iE{aTXvCY@^gVW_(j2uHB=!-#lj-?HNL$t3RHx2#L_yyX|uK5!wP z;lN7LKoA<>3pxT^L5|#0mERArSDzBne_AR>ORK!MyPeo>d27ylZ3kSeMg~pSnTwyu z8f>5|?Pr!|kYFH^e_y@XMt0O$rwm)9wPt3JYc)GveLPhzf5UDpa#EC^_G2bP81`TO zu$TVN?-0^a0fHR)XYXv}BvRH5P;~imluD8UuH#3J499saT14UKVH!~SCx##jl9EEk zGTV~U(MHo@pJh-GJSE^TqaQNz7;KtJ%(e~#kk;ySZ{>yQz3@oa60O7D_?b1l^6*UD z3=w;iI|~E#X4;VHUYwT$3($)A1s{5ZnL7K5oDW6Ph$_Ax`$6bnZ9xja7FuEArq6*Y6!TG=q?|EX72f>-h-<4HgK)fNjM} zt%1=kKeZ`7wvjrms`YtlII5vr|9h#gE(YSeYg7AcdnyTAC*Xc&r?n9rX#>p12F*Bh zL_G$Ly=2q#ufI3YFJPxy^`er58K7BJV+&FAeGu~tgHl-BR5FQ1u^OMP44*E4yY~^{om<)lzAU8?qCRORgw9W|UHx{8_9D?WzCp zIR5v1MX%R)8!ToaEJ(0ZR)<$hcGcPKpPZAKwZ>od5VXP@>$@>a3ZQ3G8e`D#84+dt zWDkc@HcdPlkX03EvDYjYQC-8kD&pZ(G2h1gXd@PaA|SdY1&LJuk|-zBOL}yOHNTC* zIr~S4Syiv}DKVKC2T^mQPK6Q_{~cj`D2qtDt4xuMQaLh5HhOR4M~qijZlX2TsQoR! z8BrUZSG5khQ=L>L@U6)_K#*=L!(=i-vx}pN8gRO?P$SNHn_!m-pcj;&0qdIyr|_fx zpVzCS0IaQH`*GHw@Yi2I;yFJ>{38BE7I#NqXYps|m=VnQ$Y`y7IkXwlpna5$r7W+8 z2_9*|Z4pG3qSmLan0d`@gFT|<0_k(BJVi8_a=zs-$EZ{f&B}Fh_TaibUijY4W3)pN zPOt3uEc0j`XhN~yJ)_;FYHXJ`+YS$ER#qeg34)6Ob*}ddQA89ul-bjD8MpaUULmwM z$HXKgxukfQn;4gdGl^pY|w6rsEx)LF;#V!S;z~a7l_g7&FcCTDoNMDfjKRi+EbouA- zgH0EkqW9YnLrrMn!e5`#*SkNQiWLT|j5kDW9)kg`L!;Sgy;$VqP zkSjlvZvnSD>YfG*ixD|&@k_vY@>%q-GsIpbVbDVeOUU(IMPwWd`Y-te!Fy! z3;0mz5Dt=Vwu(<9N!Rxp$FOW(2b&G57^32#eS4#o^#lA z;{8^6QJzZ7!?D!wpf=KE^Du8!#PzGd`DHt^{pNwl_w^fr{-6HDsXaWw_Td=v5uPut zchelT&Iz9eiI)h@C8bk4{Ml0A427Y@MAGZ@Gx>APpE$j|Hjjm(va!<2G>iVAUCGhs zQx00@=_ji-7hKB%ZHBrBPf6;CC)<1oq|~&cM71F1J-zG7*0>^foNo2w$`@2YYokBt*dIfo2Dap3 zP_opN)i9;|VH%;cH{t;{elbKt-^)Wz6tF2{rU5U>?ZL337}zQG%q{(zVvZ|U0naF5O|pU z!{z)-14lQ1z?G-n&YjWE^J{rK8yn{z&CUy^mAiM{gi@GUW!Phv>6N>Gf3yM6s;aB$ z6tx}nx?-xh>LJ&^-HoAlxc=l*I+G@?Xf92Upo@g2fOW(mC4_Y#ceS% z#S&mJ4;paHTP-3T>|6~*PQFYz8}$i0o}tNBj4C=o44%10c~s6d*M~Z>slP`Dh%kT= zJZ%KC$Td2`TAiekh7n!03Zk81YaLrTQ>Sdo!QT;YcqKCM)~n3yo)PaPcU{ccK-@9M zVav`~<$fxUXcpVQtN;@@Vr#JTyF>K78(MHO5kJvpcqBg2(3jwT^hnV=1d4s;^jySx z?p1KD(xJCdTm2_BJiB+q6&p~lr+J1zO3AdM;`Hx8^yl`|AKcdX%2czbtwu9=zf4cI zZRYulsAmh-3|<_sWe4!^*bJvkPnN0fYJw`j>Sfw6F)_jQkV(Z}P@02;u0+`jtgx~W z@0gmK2fdb-?pJ#;b0_i;P?_B86E@c{H4RV_c(gzF?c0%;z@zXY7PP9WDx??x4E8?@0{uB4sL>6IM4D$2F#0`s{{jqTy17j|OJ1rkX*%^&a0%n-LNOfHgY1 zkr?lG8@W9ZBHQ(!zJ*_8vQdg@Qf)R>&yt;S2HxU8iAuwo(F!d_FT%fPU4m6Q#VMTs z%d@`ms`|nn*UeMSSnU5F3RRbf{b~{9 zs}oe5TO=;gT7(EK~kZSPtpyk8Q9uSfq;u<&-0?f^UVZ)*zjDA@!2S^-1<$!uSU|60|s4? z?`A~4B>+LW;)Dgo`08%DdJt#RV(%93N^SA;%O^SSseufmznCmt>O?xbY9N0*Yh!kVAhbTMN52_C?+iyG3 zBAG9K-OSH>>1eg{+Rh$+!7=X&+#V&f%pc)rR1C=Ho#eV0j%(U&cixUovlS&mr^`z{ z;vRd&i0~ni&16+Xe&va4ihEZWEV;rwD{V%guj`do#KJt>5N9u7MDj*XSxH%$CX8C+ zv%5&HHa6XiaITs#6+tNPS)nEQQ`JnqUu50Ohd*eYeA=>%Ms1 z6$>67uyAtTLV%c9Fk7~>yYKAixY@SgnYGrdnZ2TEY;0^#OHWsaV1}N5JXm{}G*who zL?NDESKr*M#~kK~i;ilXeNf2Sy}TT%btSmj!B9HYD_r*?P8U_Ep{q-L=j8GL170(^ z?YY}VFw)TV&FeN|D4Dq~!TBDB2+TPthbd-NRJ}Bw6!6(<3v>VzgUv4;OCwojr_T2F z7uV}U$@)Fw8qu{$g_DvtvVSYPoGp5(rc0IFaxqzcgFw7Xc$KfYZ{4j6j?-x&M-ag{+`Q_1_RrYLNzKsh%PmU33= zZ^4GIwQrlq10qEBKh(|j6tD;}|JKtBoJ@NIxd^d$GKhD9Ys8#&d73FehWLxl;8c@+ zKHY)_r~uX5-1R7%>Yluy%#gh&9_sxedgr166Q_P}ZU?B$V(js=&yCTQv--2G&2P>E zjkZ~_F3x;;b){^e^tn0n1i;l9^;|G?KG|dPu7>9!o_A-mxn9Xkh=spZCjmAf%;-hq zw%DHVp8YWzaG`#-+h4maZCE$p)jif$dd_Wjev8HcnN`ebRFbmhu?^N@?8l>cRk@F1 zu~sE)Jj40QqU_}X)yItE$EkaoDmfm|Z^bCIGL+38A*NAe`KeSERLms@xWMn-m;OX- zKFzqRL7fMMC5y zL=~H(wi%tIVC`aRY8q{0xSdt@B@fID#W!;*s;<2C^rqjW*>F}?S7%#i*&2eU6iC?O z10c=DYf`|uzN$)JL+LP1u6P;nP4HM+`sIFFl=7HBG-CyoC&FVX7TUmi#xDZKh#XLl zpF$ze4vu}>^z`&e-DXai^>n!5S&T=Q2vPJF zXh}fN900|`#04c{oK^Pgser(1Y>VoJ{l{F2L=ZZ5Epu(K=cD_yeMu5GZeq)DzS&SaZZ&346~>V?D~eXgYrb_1S~ zHb$;N`#`)qd8D=we1%n$Ke_zH;S8J9zfP4vSAj=Rx-Q_#29@B<%e@`s)mqwLPZ$)( z2fIm*L+G3KF!no4pE9;wyTVl9yH8B?by&G>MAu&MjwX{yJh zJ+vBUS7e}aFZ>pHxZi{_@VtxZgRBVCP69 zb>L%ZHMt3q4o6Q##UWa$&;BV|@GlnT~s~Cy%uns&DSOZk1!AnR@Yk;yQ84i;ezRe zk0%fL>u_kp2oD~7Y;7ifZE|9QZ+K)R$YrK>5p@8y2rU!`5#-nkZyZ!NmCPy%TxSpwVZlC*H@&6|eN z(NX^My}8C{sJjVA_OJL^jm*1uCzGa{DFTYfg59gz!0vmv)MwKld3jxPMU6QpUTHQc zk~6ajV`}Q^^56>7!El5%(x5gWfS;l@BJjlnEx0EsEV1-s-fe6@fv4*6<(plOUuQK6v^DE;~suAH14_lH+eGyk66R5{`R< z6&J|fU2ycJBSduRGbPVeQwK`1`B(5DbDdzTjd4j|rSVSNu=zSYXPY*q8Iz7?1E1xF z4ZNf9CS*MLCZsQDgM^qXHR^@~z_Me46ehy3$Y-}`(tflEUm6hT%!j8ss-oSe<(`$s zg$u)us;id%>=zT@1})|Zl6%)nF}VPVjFNR$OpG0$3TeW!J5!yuaiYI*_u(1^&;+)h z{)q?|ZV6j{`Go#`{i#amF=JII)kFNbci53~1v3p07?Nel=vK*yX$?X@Y&pl^Rv<5o z~gE?`+PDyV0L5osBc>RL>+$AJ$o;Yx6V6;N2{kCNyIA8Fm}IA@h!B3G`N`!QbY^jeoKh) z7Ely9P7d>YhF;5R5ckMIoE#(4T-VH1BPkO92uelaIQW&~9b!Cv^PD<$`Sx?W$ohjv z+4=e!zP4aWR4In(W$aKjKY2W}|9zy+D5Yal`f8#B#b@b9O+o#2Pw>+=KWaC-?8g|z z@(VS%d;sJ}|PWdY|9M|pf?xV1dknwcz{u7kcDa+&S{O2&lk^d(+ z<@1X}s8qaXt4Wj${XH8iYbM3DTbYfaMO?sNoX5O*)7RD}0w1e8y}ljc=jX4cB9Qk3 zRrC*-shA6GKII+gA@}EjLbmEdQRUvc(mc?502j%Pk}Kv`&3h)yd;Mth^+PK>z#TyF zo;`@{M^W0|%XVoSLQqc`x{wd-X|Vip4+scQNc&|{J}LbD@SOQz85b8fH!N|cp`l@{ zwx(vWpIj7-GJA1#%QRA-qu*Ib(~E{e-EEunD!0ofg52Ome#UPQ$ z_O)FL*JURZH>uBM21g9IXTl$Xc`+4{WrKGCDgCRG0*?YC|WgD4dO$Frp-+BHA zLj@^$X1cmIhH1KZK(M4_3x{&FT=7cIA^V9M7$Ecs|5ED=QDXb^`CiM5#?K+`>M=H% zC9{sxcw@q2r`IW0;tjRsmdPnD6y2*a|)q%yXObwuUNa=YdB=-{JspCefG zs5D2k)Qm8dIIA(kSa)f2Y%vk(&Z$bem0f?Fm#EE~9_tG|(U#ZJ$@oY(*Av>&Uh;z0 zEy*v+^6eeJ`?J*jK5m!#RPb$+JJf6I3%o&8ppUM%h(;dVv35N$^rB)?KB9;S;HGY` zVaf_6aBux=@kKFy{D&&pPg;5HnCkxT6ko)fM0K1DL~bc~tUY0K++27f2C@E*Chh9m;*^~3w(;~42NYlGu^4#x*W z4UY7M?mo7%y}fVr%>z31=6sPj=Ki4KPoE9S2UU5x+pAwjHA2H!n+_`S} zNyR-BZ&uLZapUDU`I6CJ$>NE5+EmbR*xTC8Jif^nj?r(`m@U|PKy(6Fo6R99e%0T{ z=Md?dG^~?j&<9W_ZTUSG9Dyl`Gp3xaj3Qv7mgM-x^m0O){uNG_d*_^De>#eM0ja$; zMt5~XcX2$!%LJIHSz3i3W}_!U<8usU+4zyl+=SuD+Vx*;U`H%Q2T{MHW6C)R#K*@k zNa3oisoCFmZ#8MFJuFD6wT-J`3RA&}?3V|*xuxy%>q(UL?=v%@P-M< z>Tg4>_PeYyP|#5rPhSM5rT@eyx00`}!Wl4VY#b$&3XJR2TLA6(;l$)*LInudH_oru zHp}vBcWJ{qB4!g=lpJOvA|fDGPP#Cn?OpG7#*v1=6q{GLdyiPqo~VxCNA`bDnIP@% z20=g|=fCI!NJT3n1vC`HVUDypPej2^I2pEy4RXJJHd)os&NpZcd#I~2%OBkAUK67L z2>9b97#3^}TXBL`kzmhNk2=1rvE;3>h-2jA@9KPCjwr)ECt8!S==KVuHR6G#*hair zYSrr|A**ao(yqYUhRn6(^Hh5L$EaUq0d9=7lDRoq@5ptZey?d4`84YmFDSfE&MoL< z=IQ3QMTtz(w9j+i{$FGZTCo$Ep3`?I(k*sE1Ki#Z7%`M<`U~c=U?srod9Y$XqB5G{ zaOU>?oF%Mns`bq4Zda64NL!EkNvqL8oK@}?ECoaZ?^{0+Kpgmlk3s~{)fD3cY++bH zUfTS6&yfI|@FNNTl{0cADcEik@Se~)Lp2Ir(K{4@;6=-6G$4H#3KM~Z%mnz zOK}kks2A~Wubh$K9?GIFpoJY9{v3TTVdedHJJa_EHUO5dg!N}A57xuBkbBLJnQ&ZW z$uN=bGvr>PrG#*k#BL5ugzOzhI^$W%Gb$Y|Y906Y=@pOIt#=Wyc8jgkI(hca<5MwfDvr~m+`geMrUZIxL5^|L|tZ9{>GEm?I zV>Ppv?!iRZWt!&-a}Dozku6vNYVF7S>3zCaAJgYSGEwfGq5f5v6rG0BS|-Wj6II93 z-K$zj+WJ)9zZqH&`GSU)mb(oIKuJD*`lO8-+;a{Tu*5t>fZ9nU<+MSnh;)g;P{>=$ zc{uiJ*+8Roh(|=~^9EdKRCse=cr&4G(PfyN9UC3>^e+!}cz%ubaRE%Dlpxz*gt4sN zs-^uBOJ-6P`LTI&JGkxnSXWc?*a=LKj?aI1s5b`3q*wi02NDJuP&6#D zB;yQ3l_0Hx&4$k`79<33T~*(zf}9d}f?RQ;%_U>^vU+Sx3=EH- z#jz?xW-$nK;9|L4x59?4c>^bxi zSmo(z;HZm}p(iY#oO1ygP~Cr+$Hqp?(9G=4ffg#{Hc_I8;nLz?>5w%l@FhC#-6;}= z|00f>njrG4PpgUL*yV6sTwI>6u5KOgfLVFU(tMR}HC?;U;T=5`LS?R_Gc~w&{1z`< zN*4@h)aB}~9n&DbaT>d1DxchT6QZi9t-V7+-CuA(;psEGopm4#LZP!}-@NfnANj7Y ztE;20Pxer%3}8`KR^HV!H-9kFpa-m^Xr+3nsZ2ie0m)v@C5De;M?GjYJ3BkgV3ctm zTNcE#5~Evp8M~Y@G&Gdg)6sbitT+HO>+9<|t#)7YQo*T&qV(*0yMpYS@G5*Z4nq^u z#?EeYf39(}8f2xdZUgTV!DW4ARFtx@`Be!r#Ytccm1Q+8X2Ho(V`gJ#-%L+SGsC%l zoda@Z;#IY^OXPT%9##_U4wGeXf@W$>zow_8m>BBlc&3c}GNQ_UxA_VP%~7*^Agifi zYR?0g9djiw(kX*p0;X0k4 z7_kd;%*~o1eCaa38bBfby6e5|$c#8Unst3PdDlZ6>)g}dK2+&cJnN>2r>81TDMZzl6YzP500Ld{@&rxkMa~k4* z&|3ZpaQ<;~c~bhsak>MfmLfpPb#JNDKM>ps5Qo#SDo!Y?l{Y%xoO+oCi4sNsc;>Y; zj)W~L)KX5Z=&*|`0mm|4$ZdB?0XH6f}c8Hg|=6fzZ0!9TF? z-QGPeP?KA`D~}my7?_Pyd#>{%hy!#_L|LdzrNn zFGKGYjG+FUFCNgQJ>$hm6g`EG3~2+S*04VDFP;JNbemV&nwpx)qi@;|yB0RxTQNV{ zE?l;L_#mVyZ?*n8DLzlkzs-ApKGiqZIy^jl?8gri=l%JnLSc^$ndBLK8gUbmo1^{& z+Av=VE6{H69oKp>fDeaV*Bx=Ztz~6Q@7{dfl!g&S3u;$1$^IPxOdN|TtkN8^V%I)7 zg4em^53UL4Xzc*?RzE*RSFbIAdupDYn|o_5EBi?qa4AT6EY13cl!PQ8lWK+f$x9tS zAu5-Qr>$smaAtZQIY(<~nXqls453#26)jLa$+o z$-3#<{F={QW7>Y^3xGlLo(ec<-OP(SUK^y*fg6G8wdCIp$p0EsZWKW$)XSB=8)dLM z%|t94T)XRkm+chy^XE@_qZSvj7v~3y4?%KdYU&hZK7yFOkjfJOCwTPLgh-Fa8pJkf zRaO3+YULiJ2uiIMVe+s<7f?8wAeHF#3B2-7MJ57lw;Z~-4NR!rb+UhXq z6R*YKnEiZ0shpr+PN%c2Qz%n}Qr=K0JxwKv!jwP(u(nTKxsUPu!fO6ni4ANJ3<1YZ zhHdMOT{32sHEHUSgh4aPum=ooVom;gA?AV_DkaGp4)U)+KMyoohD~_Wl~C$28yA;Z zTH6~?QXKRa^pGGP2KjhTYdbqKLa76I`=Sd_QC*F;`5I&MhEnRY5wJjEWvNsdmG!^9 z5ElTPg*GpNcvu+TAHPl_I&@HyqzDj5RK?hh^{RB}Cq2mU1id)f{`+pDJTd+6f`$J4 zYleLuMK0ai4^>YN)Vt^D<+n`oZa^HlPc86@CeifIYy7l{r?%Ow6vz?xNuC-GjQe} zMdK{7GW7jS>UlS6FTs9$0@J@Mi~PW(N>q2qu;@W7zHX^@7| z&%>k!mZ8imo&V1oW~M5&(|6heV{3Ph{5sJVnw>@Yn!r7eWa5R%LkToJHpg_ET^8H; z#Kbz~y}VA(OG``TQK6IQxc@093JJJJe=c8dPESuCpd^w((5ti;{;rFVf(fiT&bN8( zzIvQcF8`Nb#ilo)|F`O+L0L%Vw{PDpz+giK=$F=#fp1bWp#HsqKFp-FiVbweV8EV8 z(3<)0F_0iC5Un=V?$RhB(Z?=H=oieP1OfjfOxwMjkG z1WMZoum(PfC!cDo-tqrF&D|bO% zdLIS46{--SO)+W$EWG~NhdAMaCI5GL5R-uRln_&d@K_T4Z+Ko8H7LPzkgQz-yCT{_ zHBZ9~?G3KneL#F)k~}ckK^D5|>GJy^>>*7Q3k^H?|v%1nd4BLC~vFn4&13MKTvY^-~mhG zq7)Pqn>xC>olppRt-@cQQuwbS2dZOYas#)u-QY}3P0a_B!iQQjG>|igL;jUQ{;1?L zR3L-OqO#_%z*Zl`1BuwQgh!Z;4~t$?QFr(SzHAJAXFpyPB$PWw4`z``yqJ>$y{*3U zNBXjmV2+W36nbKu{VA`29Yy9`0p_N~;NRD`+_tv1W_lo+^5!9b#{Ew!1pkDt;pTR1 z1tx7zb#!!YM9J~;kZplMvzwiF1PM1(N!YTTscoPu2mNb~32^~J*LjhSrp4BKX9w-? z6db_yS$Ueu3sI?=a^8G$$ih^e)X>#E-5O%ff9Qro&RNYw4UZ-vHL{Qv?HX^-(D zSq6}@9Gse&iM5FS_ErHFGCyx*ZEG8E@ZNs>V(Ju2v4j)5k527hV~QL~zS0jQn&laL zALn07IKm?Pg-`;H{Dmcs{1+1>?mmD;C++@K9uDPaaH!D$Iq1~TtPQy?*OS#hPW6Nw zF?;uz(}&PfUCokpwg>;_*L01x00UW&%X+s#n8Z`mg1q30KlXQC33rv%#!{@EOk!e- z58udX>tpwsd74Ik-PESAx>qn|217{XUo+2#Xl?;N(`*dr`?bM#ofd_#P=0_7g9_`N zf()$po`lC`hCleV^-fiQkoL^!R6cejkJMcY;Wv8$9Hnj6oRj0%dX`fHw_h;cvcp>WLh`%YUgN_QO4^nUN~2}o!9GWIZ|I3REDjoyYfa>IVz zeiW5EzE4*?QBTF*6Xbf?-TWG$X2of*VQ;>lx>fpUmVP7t1MBE9RP?LMOV>4Jzk96u z?ZEL_|5I45vHqUeQ|8mx1NYVQ{F-~o4BiAeSVvu{CC!}Fv9vG-#3zKhzTiq4R&()v zsSUaNsT`Hhmtf9DGZw68m1KOY>O%)AEo!%J9vfft>rl5WvTS#%mPzQ)kuXMEi#akS zR%^ounBsl1?x zLCXp)GL8S?dH;+QcBD}-(6^IMf8kagzo<(}O!0XralEE8=0=SGnvX8P)3f4l-U zO0RxCl9ruk9B%Q|1+A&DY%^I4wM8x|_c3l8ITfUQR96+d`EcT0@kE$*>DIJac>lN* zZ48ml_lyghNED^uF=yS9SB%#~^|S@y?I{<7(sZ-B&Z`F$3&*4W-&x-ndH27{^xa#E zmwZ#9d0d`O7pkABz0F(ATvn^p%{pcc_} zmhtITxTLNwAjv|kG$rrWzAx_W?99nA5;C|JT;@G>WnM&?10-B3 zdIk)~{}ngD`o>V8_+BW@lp%+^)3X#SAroY}5{3|5o4th25T-=`ykeB%Z;1$nL+`dAwk{2rB89 zn;pcEekYD%gosr>%EOkhr^|JH_}a~d;-iv4Nh@Pq>oZE9<*6!%Z(&4mfceb@c0QLQ zf`f3BVv&@g)&XNG3!&ea!|Y?#$IxzJ^aY*or%>WSYW<(g1N#L%6wf>ev4LiUyjk_f zHCNpizXl*$GJfWT7|CvdxwoH)S&p~CNiYL&r09Fo>i*;Py>|v_q)c$? z*Uch*bLJv1ya!+FdXjOg>o>|3cG9-Shk}bJjaCmg?SRvFv}i4jy3@{a76Z7yd=INd zoEH8cs?Iv9>g|j6hXz4fItA$l>5`NZ5fG4W>F(}^E8Qib)SxBD(8FdJ$vo7=KRdX;)Rs9D)NZ%zX-x0tFip5E_BOk$IQ4>^~BJCwc+bx_WgW& z&nlY0UrQHJPRH46MGhRc+X=I_MYy(@o>xvnEJSsLPLzyx!hCgbG^w(Ga}xY1Lz-;Y zB{g^-Z1F#v&O*1dXD%FY1Im^{2l!FrVdKiog4&a7J&?kwVJ9|tk9x@~Tx*1IdL(J{ zS8E6}4*a8rGkjK|0dW68>hmVwM`b;`>RaIV?6iL!^2~pmuYYb$oEgOTj`ZqDzPINJ zWlk*H#^>X~O_Vw&2VX(IVT#Uaq(BDTkN7q-qQT}b2D8R(R|Et$x8I~tDEfjqNe?VA zXZlKb9EP_cTn5KUeRIJEAND3|nO7^A-b-`dhawfC9QYg`*K-=3$U3|NxBHj9A{8VY zwjaiXK;gOB7I_W}4o0*c>EH{Ymf4y7jT0?&rH+e>OF@F(wQ8#eMl#pU1T|nW*v?zk zb*!JZ?>esCAGh^^xx2!AiXpH|-*Ime1>D9QEUh;NV2y(Y9ylX_=B%(?74v+$-JYqn zBBquRgX*-fSTM&k&{2)xxKU2m9el7@x`-u;p)+c~qs4mPxx6Zh-aulCI(-RKI# zzFYt_Il0(2Xc6#OlL+5#;5Z)4U?~b+;;N`V4u{``gJ$sI_VHS+R`<{GwTBjq+Wbw= zY$a2xVPCZF)^J+gvCZ%0neH&0ro8<8KV#ot?R0Vxiahe(p?bSNn3WP4D(#nvYoGUa~L?Q}PrFpN6cVDZO@Qb%=}pf%uie!c)3a zBtBHgmOzUPrRELIS#XlRTE15+SHj9R&-qwmse#K}9F{=6-FS`2nuy%t`r%*Mj=9^0 zlj^T_6F0-j*I$h%tWr-6%%ruPKNNUHlfrA(67Bf(Aga_(x<&AnB=|$Kc7vTu)A(w) z=-|=XOO@+Z$9S%MwCZ%y!$KZNVNzC>kX-a0sG7c{9Y`jim_(UHq(O%&mSf@!F8A2( zbSfyvOu7+LT#aNo70-=fg}VQ9F(dSzHPgKcxo}xMr)_$VI2qW+5~R2N3C@sw4&|S` zZj&Mpl64Cg@e-E+Rip;VBg?$+dy&IuMo&=XV3TS3k{HF1B{d>69PT?Cgd(#Q)sXld zRSs7q1p66rO6Vh2wn?uptK|gVwAk15j#-x}_siV=i`xPs68Sd)v5=iP20@Z-?Xx_pa@`uqFa%e1eYu4hfN zB(1GkkSS*xofwar&p$mpoR88&4LnwZ@c-6Ws7bty@^c4=N>?`~g0++-45_B3Cd{il;9apAw0F^EIZDXmiu&KX!J(n< zYO{&IKxL?-Q z|AR_NSs9MH1)UN;wLl-JLZENP0`p%m00p^;+4zzT^Fd!OfR3nDlzm0eB=- zUQW(~8~2r;!w8{a0GP(cC!O08ilMFF_NSq`|Be=$_Qi8Bvp7vZt@BKLIJti$gA{gp z3r_|z4Q_(BEl1|M;@3Fo6p{*Nd}X@)H(4$JwJ$dD1bWb?KTKI2to-Yl1pL#bzs!DN6YM{T<(;Ii8(B zuBC%*qkdN>S?RpOd2-DRJJ74f+&GtJXk+q}7vgBo|7K&3o)VEP*LRqJ_3XQLSznHE z3FvK;08V1N;9{=B@b;?y?w1VqKeJbUOIb8?0D7qMqe41jJ8Kc+V#KbS-(;N zwB}Qp*O7VAV%E}cigo`4FwEBo2Oh~<7LIzKr4If7FsZuG)NdK!0faaLrR0v4c zy|L-&qVI@h$_f--eE%7?0EYV4&3{`6=%xjGoR!ep-tbW=R;P`I{|v#L$fWF6@g_rrsw zdG*1nCg?$Ku)NCPxUD1LM+%L;tqA@R`4pbe`FnvXCh<;@qq)dF!|5R`e517=ze)WV zP)}slYxo13$QQzTip770BbE8&mpGz`w5B5I23tNWnBlIFur_N(h3J-szV>-=IzG)| zx3b%RUFy3RZ|ErJdi>KoopURxJ4V*}`|IcA8JFIt&yZI=zj+=5C6}k*D%vk%@f)c) zm3xN@uVzvLCZDVcos7|`Ey$-Mmg)6?^j&Vy^J>9$JqqGGZ{75|kK9L)+h!>esIx2J zvI2eR%Z2;5RFg*#T#Cs;9^70CU7VwW0nN>VT0W1L>Wz-{jV{MPm^Psh5+Y6Gw(884 zjIagDXs&jXGew|YcPN&6gZ(?m3MdhMKrcld;cLCyV{Cr9+ph=NFFVk7Qju8SX#<-B zBsao&mOXKbiq?AYbtRgd4>6;pR>2cm&T@ci1sjMdc(?O&XSvNM&KI-?Y-n%*!*~xQ zp;sD-c8gBa`X?(LptC0TJb}^iID8Kg1tRNdH;y8tB1e=g1}RuyblT~AYVdci)L$RQ z$1!txq0xRB*A>9hFS3ElU!5e^zaM*%1dTvx^u&pY5nE zk{wC-KOwJexx^rD`8Y{VRyNa?mt?u1u<*V`=a?C|5-x5nOFnY3)eOwchZ+A$H8Eu7 zHh$XjJ}B{$JS97n!G*pae}3-bd!{5oZyV%;D&q#R^(pE&xrtgg%C3=|M(qgI)sr|@zSNZnuTeUI`Z#x7vpV)YAPKBT zg*56-6}#PRYade3zCnMqbc4(EEH%iIBqk@AMI>+gFG7**IC#otLw~NHTOoK)@KNWz zxigWk1lvPi^@hUfR$+~(!y_(jhxGC^EdX?FPi4%)xK5uMh^!mva4d9&yE?(ERi7T7 zo>6I^d?}~O%fnx;m+TwW%yk|RTDKKAyte!ETbL{Gbp!lrjZL&xMmDab3>-wF0 z#PV;0-UcnKWGLj`DphLbMhBa-H>;PP58GkZH`j)XCtVh9uKa&t4l9j$aScSgthdab z4#uobX9pW@j%JV4FHMA51S@v=JXUUnu6}MzzD8J`mfgdxGdfMhED)?6WFfG$#oZvE zu?nn#t_D=JLnp@0E;mJ0|9x}aj^IysTvwc_XE(<WrDc;{{yw1HIzQ%mxuDYX!BzHw`w0bZxVRt#Z8%8d4(nqK^!w@cw8V)>fo2oFBzBpPW z?h1b0m7u1B0s&avOoIchQNwN(vn)OEiEw7A0jew-Lnf3>_v-BI%=Z4U?x$+;*Uz{J zx?Y#yHSAwbeRVbNYHWndu9j)4-dZA-Nmd0IY3fhj_=Evh7i0r$9;v0ItEVL#r`x#haP5z4ppz7z(4>UXLx z66xnWF2_sS*sp>HoV2BZ>m?3c(zwCF!DHy}-?uSEMHyTQnk(1$9{JWDzt|L%!zGV= zvf{kI$HI8Bxt(qB03!wPE7azvjSv~Bo{F<|syZG4uJ>!byEJJ z(30;<1}loCMi|^ISJ~YXhRM-DC~)=Jepc8$FjG2f2aXq}3hxl{38G#nIf@oD&5lrn zbL4qYYk8S*Ckif-I`mdY89N_5{z~MuHa%A-Ar&aP*`9Gep?3O`m>~JNu5aNvlGp!) z*kgN;Kaf$reXaj^`eciSl z^elFN_y|Q?g7)0+-Dfekkfr(TIgG%ZfYkat4NS<1-9WR{nToCvXX-!-W@amB4tXSd z{h~E+(%>MiH*2U1F3VnF@*y9oVFxQI202=9w}>h5jDSh+n!95`hb!jf^d7jEuYn4G zz!q!E8|nRcm*Zq{e}6uqD1xvph*f@jP&EpH^pU!dfZ>_IB9*V7Kn`Bj-rn98+@n8p zbKff6)U>ve6=r$6=RWRD6|aI>1c*+}7vpj-0u;MJH*)jY0Hb=7GqdpXgF_@CC*oid zYskc|ynDVBdI+3cB9jcsAh?^+WMTp55CNV6jLSyG#vR+|@A;rM&8LV*jr%N~&yUyY zHRf-~+%~X=G6ZLfITXBjz%rxPc8G(*PM*YvFb0>+YyL(3*xcrMAleL zuYobV7vu>$2=0B-P(A|dYTm~F9UTJ$fA9XKjs{REI{3)HeEY^(E%>{( z_9|y+NFLv}jn>BHa!SntykH}E2c95ZKnosK^V>If4Sjw6s%5PgT1^-HRt>y4{~12d zh2!fOFuF{GN1a*GpFdgc$_I?+pnu28#LGK?59q+BRm}7p1|3tHV0fm8PwU3@J?j|P z;gne^#O;- zM?vmi(CJx|>J<~6$8x|S{(41wzm8mqU))*%G*$RR%bGm-9my`@z;FQqwgS3JO1+aS z-rP2qb08R(LF1b1tki8{i|!#p;@lSsdswNQd4}cj{H-?9A=nj11X@;A4sLq^FQHE~ zzvgn*-yath_Wo^ujF;GIg!$s|9pvq>s z3YrLB?o9_iJOMqUv~ZvM{x&A~k601KFk>4d?=vHn!>?X6+N(QpcNvEnZ1Nd3`(McBKXQ}9#Me-67;O(*|Mqkj6b^6|YUf2>0N z+L~NF48z(`zk#c3SX!)t73{qDKC-Fu^s((h>9z2!k;rU>H410ud;q!OWu;Nril65E z%&aR`aF++~>3Ey@--;T4Yf=@ohpP13KbylT@QG&qroK_zrWQ4Tyr1LJfdD&2cX?Sd@;cI_~y-7Qub5 z090^w{Zwf@XuB3hYp^wx5*C^MGD8fqwk?=)M*=I2v~B9}F#l5_PO!c_U@S%Q%DB)B zEdlz))SFDopjc@#oN97JmI|E9*_ZR=A(+VnGCpO~T!-n^)zxkHo~^W?h_;f7czedl zhl8PoG&3%)ikgbbuxxstl+42C_vm)o`l&0~ditn>bxf~;q5$9aHi&YD2$GFP0%C>` z#3OGDi;A}MYefjs9jrC*c(-@U_nSQhK_HG?d7cz)gf9%q{fkdbEVY}VE^^q?C@6yW zj{E-(_rVj;HtQU378Pa2B_=xO_pQ6J1A;qkGUx3JzSOmP!vba&;ktRR%!yq=TJW#j zq0{%F)B9epM03k}ffgxnxjV5fBAj*H1HM=Bdj)|$P)oN?T{8#%{Lui=Hd4=gVnRX< zz^)Pi6~|s+CYHGQ70fZ5;7yx=>OTB^vGI~g*352A^hu)DX89BE_E*oy@~+kTc+9im zXMS7kaE{1ZP3O46Oh4MI_FuIJ#8UxzzbDbTsaZdn`^Xw*^+VNon9+DHW~%W7_W$yc zXmB82TQ%E_y^gL)yTpqb&H1l)dL&kMX}~&T8;)p|D}&v+ugf*#E*`i&d{x%GOGBxc_C+yd! znG2d)8=lwQRas^(s{W&D+*~rae1~+bo`;iL0%3VUDg58WAlCL=fyBD)hk3RZj>~=| zA8ts~-KvpBED8TZ{%0MoH*r;vF=5mdeZIC;Y=2c&SVdk)w%dWy#bLX~w~N$F;$w|i zMwSmZ-`0BddlsscoHdj#2;TblmU&G3U>s zR5P~@xK-Occ(-JrCxQ;``YS7b6nAe#)2Nsy-^t~t|Nd~)h1Jwr$f6W1z%nYO)7NXt zxK6%^fM-066qSxU&m+ZlzS+CpyxNS0#ybu-Iq$b&txbX){XIxw-f5DXEq-C<)!|$b zvyaPzh&#BDU)poM)y^P@FBX-Q9*E_>m{BG^z~o+!SN`;Y0#g*6Iv5BT`#YQ6&TWCZ z4jKm7N+#NH5bXpbe%fLZFr-RKBtU5}n60;?0@Jr4+KXU;nbzp~C-&XRt>?|%KGbi< zHvQq@p#_#E)Hu1DQ)sOt(&&%4&f4=QDP*u?gublp$$i1L>oxD$$9oN9L1blJ-Q|ts z!GQswHYn-ZVD!l@T|cGU^%B;D{Q|%Zz+aiOfFeL9r>1hibHrB1P>}k*6d|x_pew~R zGpy;T5f#V_5HKbTJX$j%cj}6x>MkOMV2;z{Ia#L@QT_pUo^Tqkoq;|D=1kMT2FyzO zGuUW;KQuQtrvQiuHK2+vfq!m;=E7_3{Pym5QRB%`)A1EdJJ3Q8f5x}2Ub;7_si-iO z{Qk{HOM-q4;zdIFjg5E1GYDQ#zmbY{a`+Vn?dh?)!-GdlI1H6hL?=xS>VF_ECV0E~o4M_IXQeE;&wII@Myo3})e=Yta#-}k(DOo1z!Ld<+)p=EUy!xcT)@!$$ zH_UtANNzVWoMt=1oM!tkRH6Q%Z1~r2!z(uYI77n&l(%{=*p0O@2&~`4{K_f_vDcix zCOZ#DnSfq;B}oD6p_<;`MdVlStjmLCp>^Ma=Lebvz6z!owyytRdHCNM%X>ap3GKNM zD!_7}#`@DO^8ez!vrWoS!Y;%r(ZTP?yRS1`dz@#lbVWtf{*t%@`53=a(xJ29BCSa= zC+|z05@#^qi~Mw{Ffli*mXXr>oDEN@-t^n7u!2HS;6&GeQzeDEfKG~$klaf-M%S6U zRcc8ey`IxxmK#KY^Gs=xj#^t2NDq`zT4i2^7nmo|8y*FsSIGYkxKD3=bR%8z`b~1& z{Iy|ub8(vC^k_f9^*ZR)g7D7@%o_1`whiCV8H&QS;TVqg#;sfrZ-vy$v$1VjG{O*o z)7k;+p;4dx%BGOTMs>B6hq2m!^6-<_x+H z(OqRyM_krpuu8s>cBYbdh8b@bVGg+L{H=Vm;G>z=?Qv(KfIQk}>;vWcaKMyy`n-_B zJ96NNlFw6P&F{!C^!H~I4vvjkRFk!(F@{g%XyTQKGq4#9E_TL%9|18$Bj&dJXv9&S zmy-jto$i31>ApuVpU#VQIA4cka4DMUx`qPU0EulO+*g!DQQQI&-N3y&T4<;TyZUej zfzlBM{$y7r6B-d0G9W?WAf8}S0oq5{tZB5s5pVtCQA_YTMWQjbPYd`^x6FN(#d;Nl z4HI2m&GlIp=Qst^|5FD4OJM+3+wQKjb{ZI->gJ<>j#>4G9q1xcFLTJZ8gqnh4t2ni z>G-8?Iqr@lKt5||r1X=pE8vWMcWj$?0j^~wlOYf+Kg!98o0!mGqO#?;g6%U;saLIM zPyD!7J*RbZx`3Y~UvcCQ0o?i_T1Xcy66m{uo8Dl*ypf7O1coc=ZAZ>ciXbzrn^wS=mzPHk z(C9NQfaaI;DW`St(WVweB~kwYU^->s5GkL1-cR6&R00fr_~QOCK)H_ZbNrV$On*R{ zA%V3Jx+DGlbWVVs1cCJj%vV9t81S&f`p-Hem$#maph7sO7Pw7ClL{;$mE*K)rl0Ry zSXdN;QiBLIR9gJ-#}o&2v5z7kRl|+8ejJ`_S;8%$IulOw{wQ*Euheur5~Tz3$X%3v~ZvNzLg-&yG~*p`1w5 zrDxAyg3p|o$VQChRgh-fw}X!mXOnQ&{C*4VU#EfvOR>@QHUjpeIw22o+ELGPp-`JUtFV0*W|M@eUWu~R8eN^+O>s5-qmUd%}OxAg7)dY-miEBQ^ zL$AA&-AW*gH5%VS&j;8chrh2wjI`Tvxk@eBruAM%w=x5<^RI$QGmaNTnv0 z{iHjm!T-1h3?iGFUfq;{gHPrY>(u4Xq~XkWA0*CwO#8?@n0lj0BY-RK?-2ds-$(H* zeRNz>R+0rbGN=P9w!F4~-X*P^_K_Kd5&@z>(goWa8?&m9OBl00JaIjS{IYBwiWAAbhxmFk-*B? z2eZo0hP32m1vv4n4`f#}9!8r3RMAskKO*CD9z-Yi5LtqhIK-6iDN*% zHx$W1+KM!bcy3OQ7|q%Ve)$51OE}*X`uFK?HBfqM6qnICzst0=Hj^c1C7KZd+C{Rt z4!`_jv5L1+&mzHD2VEOVR;lFJ`1r=j97W759|tb(YlLqa(M@9(0*mZ%KBMf#!a`Hm z>%5i|YVaK6sB(E&3VN`@+3saK{phK_(V8I6)%LY}DIUV4S6F0Aw7*4j5vt~7k1W@9 zc}?}4X*I2N7XYBOnF>RsXpyHI3GTjqXj?Rh@wNmzeT)qRp?)Uyatf@!6oC{10s=~C zs!F)FBRE~^yxXp4e`vv>{4XOTDl!rcIDIc;Ix&xRH_!`T3{C39-HE~%qb^vVf+)%W zxP=sf*_D;pFAl)lAISC&4nLBTrY+myE7nQa^uW&Df&#=*k!L}0mN+;#UcN1`G*S{&<7gFi zww#*|J=>%rG5rC6XbyPan$c}y5TnWjl46@=O<^03SUW)zU>ztgFE0UgI^6)0Ns9nm zQUf`t-&0P zLZ=CTM@g<)@NQod1lvLN2{`2^JbfL6-w{Q90*Q_^$V$&mD`*~M4mhh^E>_ykb%3|Q z1E!>qg)d-`C^gpjvGv6qix|3Z-|$&Y?b?uwNIQ%F&Fb+d(-Yn0S0j1Edq_G=(!W=rTU~I16SDTeRcjn6M2o$wL!41E6Na*V-TOgmjI`hQhXgxpk6wq^te9R7m)Zsr$6w>Q> z{A=x(H+`2KBzvhdYTI;ezpwFsU&}F$9bN15hMzI+5ofA-xvi${{@e`TOt)EFW#Xm4 z_5XJY#VE2{RB8IFyMWpey)(Jk@Vpkh&|2iNs9^J#5xvqxyvR2GCrdY`%qvGMSimmA zK^6AlHNvNC&3d1Xc;eKKsXVlAP&J$REq(r56Bo;^(5L+5uUorY?>)qteE!YwFv7^I z(LC8+T2nxM^#|Mau&m(2x6Ka7oEVp1aRW~SMH@?f9K-CGehMgevOGTE{0$WlC8|nc$r=O zYHC()o0*B!b8|~fzKa^t+$Np#=Y|fkwhz_|&Od~PU?3)~U!pIIi4K;K?hP$dB0MORjG zP$b^!r_vx|kVX2zA;Cgkg!~s{&tYq*<7bR;xTa0Z8xU9POk~#dox#l72x3PRLk70I z`^AnQpmPB8=Ly7ci0^ugNNdk3ZzzJ_2;VkIwZ$}gC<3c>>#fQE$OmCB{ucnSM7s$O zVmqa(mIHXZzfLWGJQNZi9K?`8E@M9#ggO3##XH@63+4K7Wlcx?@>#;9F+x`ay^(|g zpouWp97yoUm{xIaui3rZnk)TQ^XkQDEKtmf7Nr1zCsE{%F=_He12!4Vz_!HY4Mqpx zO=uJqu>cV20Jw*@Zw(K;Wn%vULx2FtgtzJE9_RuG<>x#$OAnvf2uS#Ro;=NlQ{Ugl zQiBwcu}tjJyTIx?rhR!yrCN}6vgK{2$3zYU9nC)I(2G5Vbqhf+Z3>ZR0SZ6`==|SP zZkQ-cgga^1K`vN8LOfi7*93tRVvjruDPo@~sa)H>pzDx!S^rql_5 zP9^!>S@D;ploLXq3oD-bidbkHYz2=c z85_FWg|yUdU-5c=uNY*Y^bHV&a@*Z0q@CeRi%m1S5SHr}rR=ce>P@q?G=cTYdh4O!OeXoNpCvM* ziu3d*p!JRN?<)8mwb}7yQmVxKC2n#JgX9) zDj(Q9>0&rXzYeijEl!2VzpFRgyOLN5hPeJ)hEW4T>5K^%p4*j7ed%{pGVet)VXnP# zrlB*J?@SVmI39EgTwgaj~V|+3?aa47|Q*^86{3A>b>gc)7Y6(v+>A3Kp^bN3*ct=_|UbROONkiOu> zm%{5O3K4lU@(GUpT@((y6%r6HqyhmX;10`^qd`W6@0mak2Y~DUS-=-#(mRVyJei(n zGC*H7fg=ddZD&K1PvJly_j$0}GY5m1;LS<^XxH$A(MiL>(O=mshDzz{Q=)aXzD$FCK&5TNjiCW+GO2+0;UXz1$-1?%p=FXv!@CVKC%(oSUT}WCLObUaU~8P& zcaR^SuXqFx%nKF|AR6d$fzFIIAu*9V<;led6nGmDh4ZA-@zMrW`7IV(uRBm#pl#

fcO8EUIVeLY5ln4_}U`jbG)Yo zNj~F|TW~uy5eNSup_?7y^8p$3p%(Btzv`YF0T=Q^-?K-%M9xf&vUH3bcXs1atKad$ zSHHe@`j;_R%ll8TG{(@4T#LGRUm1?9K$&ZszWBmxYHzO7R1*2jze|~4c`u9dlvIw{ z{~oS!sPyvlT2&c8+p8sze0w9 zqG*|%6;T~I!=5LnUd0foEM?hQme<~2A~0t#sZe?_GP!h}FnliSdffH@m{R^a)r!?i z!G3d8#fEnV7||1MRWNZFrcS-Pg@tfAGea_`g`20A8N5gxiNja7(Y-pRI~6+3LUk4f zyb}JhpEay=_a%*gg?asB;b(sh6`C|YuIe`NSW1F)w6sp>(W#y&ot(=kPmd-6$$Ze;sDEFmpLzzkthG zzRjnI_^B!n#zojj*8(?1Z?#cMQgujWHUnJ=t=}6E;1V9hV8XE(5Wr3!wdiiaz5)tNvtv8ukCJlu$f@v@n ztjq8Q>oOjVH8T78)W8tJ&J6+r42mq>P*=eWGvhRA;!LETLuxXGbeQj&y%$Y(*F>zJqj$ywsDa0$>3p0d`!h+h zv6BOpCFt?vPY@DF(L0$t4(u>NVB_No6mpt?Z8{;0;T)-$9D(eKJp`Qe`SZw>gD8~8 znu(VHL;8+glqKk9ui2|kzx}{9(tsh#OlgEdxiQ?>*vLZ;k_ZLLfe#%$9FG5hX>t_* zMdIy`L&%ev#=nJ0!n`L_7#x#jy~Iz;(Q7jbE=7+B^4vqCl1YiMGz;kR5BDN9yv9a` zdbwWF{^UA;B)?hojBtc4e`kdMm}KYj=j+0=r=jmAc^3zpjrjpeh2k8e-r3X82=BQ{ z*5c_%yq&2YV?o=DYxr?f&HJN9=68btvIt#_`pmUZLIH%FtFq-3FL zguliR{bCZ9Mq{#QHah(h+AN^y$kM{Jh@isjWA~>BXjQpYjNeu1 zoAxFbPa6?*^Y;1rIOk^d-^mnCzDE~ zU-q-$j?_Z2>Ryj_rpLlzBzy8UaefjpBPXN@eN=FLSE4cH`(gSanV{9#ksQC(wUU_H z9HYsMTaTlG>dG?GU?@NUGrF9nrw&8KvwIv|n2PWr&)y)?m^_98IXP{!u$?-i@rNh$gs=8VMg{(&GGoCK~sk zcF=kUtNYT_Fdt|TXrc*HucwPE;R49#C7AaQ##pf%q)7`W8q_{ZO<&SD$;FPMZj%5- zYNaBF9k4RMKyECf0^$A|s7fFv_&~Opw}TI)gfE?Urg+Zhw_Q?+#(-X=;I3gaL`yCB zFu_F-><6}`fi%E=X`R7KrP;}i0EDYipx9M8SXDzrM09mWS}pMsn;*4VRx5rMYkrdU zbnUePR&zrc=r#5$?r86UPp%39duo}p_#8~m-9nxfAH3W4Kl4Td>{rQbzP8jpyAS-B zh#K{lw8zZz8C%9azawIqzAD2$bi<`)xBKU<=Kz2i)dGCM0AzF7m_Qn~!^y(R;|MS84S@_}ex&4jU!pEy)TABHZ1R72Ln)abDq@_z%{CTgRa+ z8KhzEG-K|l7{Y4NO$Le-Frtabi9*w)UhaM7?gt>xm8;%F6WOX}8Tf{ncDytooP2A0 zc4Cvp1#kQvE@G|zzrm{pC2CmJci%&&7zsVx4uXXn#G#m$vqgqi53jU9<`wbE0p^oI zoBpeVIqV)c;H=oh6$lEQf5%wLnt#LQcgBFzr_+RGta*?oBIergK$oj zO#6mzmB4y+oZ+^E&p5Rf=M}!-xsKu39B0hc%I+W1vBnH4EUVkSeSR(5ZIgpf1HW#M z!mJ6n`n^^%*j7i2Q+!b%TCQS5I$5)Xu;$IYT5nA?zbBSK3~YabA_Do(qSH8)FQ zHoRnP=cD7p{i9;T$UlDB=Q*F%=eM>@7Hw%r{{ zUtWDAAjl@r`x);j%YcZC-KWIxmVy!)cKnNfkRByPb{?0kiG{2(bCO)%0}TK1 z^uAkI9q0Lh;Q3J1=($^K1!V+M)8_RQ;tX#o)AgdoA1fAXJdHNWv=G?s1(&2Se$~kC zKnvc4wbitml=KlQ!P19vt|&4Lq^R~}neAPH+b=HvN&Nac;>)Vn!MgS5-~4|5TSv1u zUT&viw6^SB(xqQRc-^_{bUnfdm{qYaK7MhOg5qPd6}&Q$>hIw%28s>t_%c>Ue^XX&_XMc*ZMf ze(e2B?5h)~&D=-h_aYv)GIOn3@CV)p=qX72WCqd{Jv?d@$wmeDbjug4v2X@Ub%t^i zHx(z04qb7OjQ$8H>3T#>k^wEV2TvwV6qP9L--crp6VIQKDbQxjo=FTjMt_+&Vyfit z)P81g;S)KM7y0#{-|EGxKWX#Bi3sDnA43zuY#w}#h;G`(a#BP8>c z!;^mNqrh18@DWiXqXEkK zX5-F$9n@;3TtDj}L;dWzJWa(qIN;c z<%1&_ZKmhzi3^*9P8uY_n}`{Z6yZ#F4*!^?{){1p!Bx|#0;U4!N5j>k3r_=?<$q&n zJ36==4y;=COBi%%qm)L*Sd*6x{UkntVRFi+0-Fmzv%5xxTvF_fr%}G-#Ai0Tq@HPx z+}Ic5EL9JV1db{bKKyYL)<{fLWZGS3kqUzvoWsE)!8Of%@bsQ>`}-%e>ytCNbLIJe zaoT(X15p~X_qx_ftFd8`V<*GX#%GIzyG9rymLn!p!6jM|1x3cM2daLcnvWKLW;^gc zD#GfG{P51<@%BNI6;-W}bM;)M_3=GplKPpb6OJ-cl8RPpSuR7uGfhB2hUvd_qw?<* z^L@oo+84Wb>s|@?3eYL-yTg-!c>4))*1x%@J z4*pesl5I+?l?N@ZMDME^P_c`<@8d?#N8%lFO6Y=eCSDz6>iUI$a3THh+i>`fNow@d z)^rAUC8}-DUvv1Qwu$cjQbX6HQ`^mj^tUmpYZJHhjwpF+Z{Tk>*DD28SVP{0O0}z ztKa`1+JAx=sHs2+qXNbK5O(OV*4nI@MuXY(@$2{>-I|Z|NaLZp1>s_U=DF>&g#`Un z#-<&f5pupI|H2hx3m!=5WuQl!>XeY&Tpo>jGW(*W)ByAF(?5*vKdbYK`*Iv821CL> z9A$|(fkY&~FstN`dwdwQBW@?RM&S}4sk`@C51C$3+^<4$Sd2etsblhJNI`K>tJOQ0 z{M5E4W$>FY`1qVUx8#0km`=Bofj_y8zdFC!U?loa4u3+wM)o#K?L$AE zS@h*w`oe{Shry0jWor^^!m1B>2F=k;3`8ib1*+Yz>d>(3wKzP`k>)tRh;MS-Jsi5d zOMYiN_~6$6C%TP9>*M*_`Jj3i^-<`w@*_H2V(vG|&_9L^d1vH(6lc@A;d);PDt-`o z-{vtTFcQQ+{ltkEF2Ulp8yL=D#)#Drtxd32$W7*Gp4>#hq3lEZo$c}@+wb<8ukT)Y zW*IuY#j{;g7N5SnXc;UNBhr}ki)Ejvfm8VCo!O^_%@Bxt_5r*V`KT;|2 zl}ndFS$az@&jNi_FTmpHNqLQ=Ym$&$& z58my|r%`oi97uCdZ;)Xe%+sC+oUepn&aIn7+SJ8FF>P<2TXAU;G1YhKje7j4y9(j4 z<*(^ciME$R-@&&AEJEv7HWc=WC6GhKYuosuMVE$FE-i&Om!I0O;M?U#QT5NE-!0p<`ozxQH|mSJK4-pcZQ0Y3vI9G0DZ&a)3OqJtoGIVaaX z4y-u=N{c#^IujnXOyf~9Q5~E=MfTWtu^s+Um?>Be@P&6;B=`SN^&Ze%_wOGtvPbromA&`gGn*9IBczgKlWf_0XGTaeqC)n{ zULnaQE1QI@=la~g|MQ&lbWZ2)+==h^^L>x&daVmVYmr|wj=$*m9K%xE-={QU(9!Z3 zlU%8*7NNi-GHgP^;k)mRxE*S!W7U$6_gYj-#-1&uT&yjIO~vtJ0l zZE`P})Q)Cej#^>cqRU9rb1y0P^;VEp2vXs{9!4I@^Z)OmAkW2qWW$}uyer-y5!8@SkUm;6fb(w91MD0uF* zLKI!ya-1}1ynCu+MjgSdTm!c7Iln_4;2P8+EO2A0h8=LBMR1$m<4H?!geO>}m3{z% z@G(d)`dRyQ7-)zo2&|CGxt^oj9gKl@Alm>`-5&71tG=FcdGYJ(j!~5SLlEd`^2NQB zE933k^KPVq`hS0=DiNs?=M5wO6tT2(8&~`STnxd+?hj>4HUh8>%FZy4&F|z~5IvbN z{E5Z!1~JQeQu1-#=AveW2Wz)WNStt{_Bp&J=v%pr-rqXTR{%8M z|M#mNznX`Xf&x@qUh@IA+BpIUkD%uq||rrEno-7qR6Pwoxv zwQGO$sbFm5pgxU=NISUu)!^!gM=&gIW@hG*N1vD`Atg>(MFricOf+5JMug_WJ)R8h z;t?Ooc#w7d`fV$esl>Hefy%4A~HP5U1r5Mq>?~uU@S1#HQ!ZIXYk@mb0=CsY7Oo7v?aOm zPs*n%7HvNm0>X)I$(Hvg`kSrvC0@Je-WT63P(vwv+jz#6j$JJ6b){p~r1&r_L{UW= zb{q^A^oCj=iDv<}CqtX837E%Np*kTvDr6hL`QS8DN1WWN0^q67`s;AWDCnskIGzvCd-RCw zXmgTXPL4hhY|e<`lbD`fw@@t>_C(=m4?MP0Sk{uBTU3C%++<=xRU{Iii4}34p(>lu zbafR18|MSqrLcbA-6;*WtnA)BHf-^Kp_$GXt6Op()Ep23Oh_l^2VdTIaXdc^855+q zJzpLS(*rA`7J^A|OIO#SEg0iYg?T3YFz`AlwMXlgEJN~BBjCyKfxRT4RfCreGlraI zzobIyFxnotQH4M%0O~6^(Q2j!z=)9n%Nhk7>V?YT*Wa7eyrh@)RZ!rehODJ(p5?>S z1eVB)vtv?f>c}oz1dgYZ^-Te{>MKII6UIMVkc^=X(NR@XPUG+Ha{t--Xv$mJcz_G$ z?qX1ff=fLfw1f)rb)m0}?!k>0{}1ndfh*oYJPw->5nC{!Zmvt>D-##@e^*jyM3m)B zpWo0o`nymh^@(evmE_%I&$gSYY~tl*i>%(qw70pV|HTkhK+Aiq3Hq+~jpiBL&4cqN z7Hx7%sgnNfs=h`{FE(&T9;%RNt_!L>PWf`+zfI?HLwbj;DSy{3ERt8+8u!R-+b zyH=K92Kd=YX+GP>MXBh0WRv%!CX_r;ISW?;ISMH?WVe^x4~j54CYTxZc}%sOIGdQ= z>ks~P(RmbQd%CerCGT#Ub0%RIMQ>DneaK(*VrY7IB~YM{@<+pTTV;cjox|B7Ly4=& zN1C7pZW`~maVEs7bQm9Bo3y9>UMv~Rq?584K3oi-q~!JK z1Z~>Ux$eAe1>@faM01&zr!lKWxd4b~?h#KXnN*VN8-=V=;5a~G;RCrHpjRhAvGUu; zxTzL{VtsnB!otF`2ipKS*C10*HHwT$R8(|QwB`Ij3ocQF(tv}t)k$m_=F0fYKW#o` zgLCoz@9|PUAgob3J3AAyv+)%mEkQ$5v)%Rsk|vhMXQBD&(S(G{0*Ym2`re*PGki02 z#nxS8%&V#@0so65Vyd7(%s*bd}g!=NFhV>m5g#X}4I=ls{4Ql5;1JA zPmy!p4h3Z*lJ5d1_Tk!a2_zE2{v#nJMMTGM@0L*@vZxi;725v0|5a#ub9oQQ$y3b& z15^YOQOi0jW<7nI0$v^kaF7zG1}CC>?~l5$HCY5_P!aq%~OSReP9;RmRHo z6*pZfO)?p4e!!+0fiQ&6+?ad}=w*1{Kh3ID9_t;RS*qs>SLV91y2re@8TTMBrYFFP zB2xDGcH_TG;_o|qD`UtxEo-Q)#@c7N!A?1Jgt1n610o_5POJG_iqtFL!S0 z310L^ue+nEHN7f6en-^0nfgKUd?uhIQgye>usW3Q*zJ?%`A4zj&&qMGYbL+ zTrLyvqF|%(nX&nf4n41=DAemNu;x|~IhDs@Gb&4kJc?jAvC#xPe&5+?x$*~PXD58X z-jGR0E#gQ-A0G~8`Va6sAyreCJJK4i*i;DB0oAEaz3U3ZmD7Vp0K%|df*JhZ-)$|( zoj^g{Zq!ol9GD?NP#%#mFzA6sdG`Mge{@nJLROenC zTUh#Tu)_K=0VxE^hYue_!NM5++ znq^p%(9;inE7GEdFc9=y3lO)BZn%S%Au&_}hWTBaQ~3hxebfqCU;D%M zk~QxqGd{VF=V*H3{`et^@mE}f*tqXDOM30Sf4$z*qM&9=ywR{dGkHl=)HnIY@C;5s zb&I1Hs1d<|)60t`d6S1--%jzJtU`&OriQ-P^4Ol)%y*tv9)Is>t*}_ShV! zK*9BMd?}uxNi$zE|6Kf9SP3)#ggx0QpkQB~Trx9Pa9K!yDsmjnc-+h%KiU7qN__wH ze5&!MNO1hiPOC@C8m+t?_bmvko#W#seOr_!-g%*D4g{7d5-BJwREDTiiQCY4r8V|^ z8RoJP!`8G)L6_+f+44<^ZPSgiz}4rx0OaOs|<3D&EAW4vN- z>vR&UfrLj;dE&Ri(&f1Q1{-1oK+PfSFhB((L0+mAEVJd`lh}Km=jv9muG@ zLQa*NMgQiY?A57s8}xKYcnw1Gw?gex2O&1lP7Y*=$3I*CN=!}NJ5%q5M`riG{yZM( zcxHlUT-sFhDPoVNZ!9T&iO-4V&L^1UkXzuwAZ2^znwN9Hf7!J;Sm?8xvy`xSjo7b1 z;S=TGg6R5m>M=Q`Bo-KVI>&7;2t5`IA}TSq?C|#_Ju|+enq)tMoh`Y^bh&X&VqZ4i8cEYo{I?f2F8P#9S8oxg0SRiHF>ok6Rk!-E!XzWL*fdCF7*`ryi3S z$8bc2hJ^|G^tsFBisUxo^%)jLX>535CZ3rwwC^0$TpZ#?pdJ@L{&zQtziZcVYLlZZ zpnr9|HdTOHwd2^+9C@xip0La6Q$(ko$XqDJdXd#TYLiAd*sJ-DS~$Ma#(k*By0Efg>2KI$Bt!FUSYa-y7E99wL2hp?8pNPQ zSc4MS7QO~{mhgiiIvxtV$CDLBRxSRa;o*nij!uL!1>yppHOy*fiN!+HNj5FaA=i6%vQE!B)9;0y`%% zHr5`tx=@B~et7Y{47n&;@-}fkloS-WHsq7vl3aG! zX7I%P7^_J8+JHjJv&9sbn^Gp_ozt5R!$iN!`(LUZ@zK3y{eH9s8Tw0od>q6P1%kO{ z_+aYczc;bbZv6cGYk^um{JOLPf3V`OlKHWH9Gj2+_0%ABgNU6q>8nSY-~|b094Wo% zr-OWk;gIXBm@kcfZD~+u{eAv$z5;_SITB8nRrt!NL5Jm~u4s`L`;s5OQUOar@JDmu zCtk^{)6c>`>Z3f0uZ%x9^qkREypcHX+o?8lxYd1+&*Ajsev5Lc*3AU}p^o9{nU7D7 zND>o?!6mhFR$*-DBt{FJvCP$$;XP)p{jOIj3VViKVfOoky)zca{!rWMK%?f_AUiKw z@$tvDCs-ukf`@vFsP_JC>ghtEz@<^0<^YV_1@ zH@}Hfm4CpYbcZOX71{z|wkS8vQUzJov_wRDFjd7hau7-ODL1P{Ix(nAk|08F45Gtg)NVG@p?T}uCD==T9AVd2 z*PTqWjxsYK8{Is5y&N169UXnm8sve0eosV0W`|+5od)70XtRd#O{BE6&|=<%QXJ}N z0pCB4P`ZP;vF_A15Zyea1eZT z6j>#C1ZO`@Y_Y=rONEB0f*!ENF*4>t@3Xk?O@v1M0^3RC*8t6%f`Ws2rT=Olya+UE zRLb~o&wjRtWLWYRxNe)X?s|l^3i@2-CNFrnUg+T1MJhJ>IZ(ys)7>Yklvlo`i{6Sy zuDMZ{vC_SpM$UjkEacS3!q3bsBl4loH2dBfql zB^|Z|?573NBQMcRJd5Nh;=ivF+PzBW-cUxNmrtZvd2T*%fboyTZtB9@E+UdMX|wrq zrvZy|T@vQyiI@@)CnlQLt$(qr+6WoP&$R8b#mzRis%W;JrWoG=?5@uC!y9{4`V`MU z{cnoTBKY6&{XO4|py)DER5~z4g_+>F72wx_QoKwHn z0KE*|p$li@vr6DPHbG{b!hPI@qu#n1BH{{k9NxF`<^;Y?^dW8f)=+yU+-G_YXeyXY z1^_;gfYKQ=1Qn30iAPN<6fy8hss!x!pLkgmdi)dxXiRCtE1Hb69T1dZSRQDt%7n5i z+S>SPg@W$qfT0Y+03s^rc>#=I<>ZWn5b1>@U$;*iU>1pph+u?zfY37wq?MD2aG(@u(f4|cqSHB)@Q@A#|w41o9rfOaF(L9 zI@H+IP7|vVx6BV)c+Fy-fo(`R2{Ym>3l@RXJ!A5{`O6%MduW@dk0jZvsF= z8Q-m($gB$QqSf}p9)#t&!O5S{s)9P~44@~X<_<*e2`F?p!)gzvHK$BQ0SH z?*aGR#%WN14rWJIZtiGY zaxVR1ZE6%GLR8=Q+Zt?FqPZ58j73m zl~%(L5|bhP;5rD!6p4^|VJH&M|MFbJ#J2{Z#%aDe2TpTgc+;2Q#2h)QK!;KtGGb5x zKY~Fj5cTNUkb^A1zEtjOdtfAc8JZ27*Y(w&lyuq z>E3KEB-=@!N9h-kO1RA_rz^5^fisBr#8)hX>N0G<2$1~AwR%|q2 zU!Z6lsjz5Bz;Od(<~mesj#xZ(_gaX-aNA~$z-8{cb4VyHLZv{n(FN{@UO?uYdzjfd z(i$Phw|-|Eg7dDY0`jnChGI?`Z2M5a`#|#DXPKU|-8=&x{0g^cWE2!gd$Iws{t*0D zXjr1_ce;|EF}P~p4OA7}Z)%W#@o=)@2K+5v(Q>0Hlx_feK+;FZ^&3*i8M5|n7}`Mi z{DBGRhD?5F=`f<$j6;nUY>VT61|7TYb=E{JM37XSv@)@an%#KQt`+d%S-OQrtzQ`t z+y2;hr#-VT|E9q6a~lz0q5Zgyo@)n2I2GRSZrP1*9RzsM)VP6iaSkzjs*vD zjpHb@!$5{&i~ogEn?aVy!(gMb6`+aEPnP3(%s$6JnSTf@ijZ|H3N#9(fCa+4#;}I9 z{_*L2u2H$^Xr+}r(xeVL0A2WU$rlQq{x~y$si3nZp`dul(@!Vui4QCxRBUAv$h+RD zTfUA0H&`cpFsA_KPc^@gMj@aPv4)Z!=q>=^3pkLkgC~R83O58bB(N2#$FHvC1$=c9 z16v<8I1<;VU`A$1>jQEBb8qO*qxx5r$W%cmA5O^4xd(d{>WF@J*D|;v#OuNwG(f^q zOF$Fk#z`->{h?;}ZS>8O*=()jgEbsV?(g>Bo}F;|Hha-%lMp*ZzEHRDt6_@%WcH+< z@&RU|B=^|VetI$~1jBHbu60`pZOHrGO^VQb1~{zvGAD$PZAp5cu9013m8 z&gvNX!|X2$Oy3H4F!e0<_I4ih`ibzbd_&v6ieSV(@soql+W(ifgS>d?(_aGYl>@Ak zu;0m^5-)Z|+Je#=(4KY>i4C7FR(iiGaAViQLkMWa5cA}wnI9HV%$1Y<(CH{2gBeQK z)YSAX+3Hs}3+yVJ+Hb>RJJo9!e_jE#2LC=BYKCX$C(o|a%k;d_NWKM0jjRq2KbgXH zEbO^EH;6EZ{^t^aNf2aqSrHU)AXqZ&SqZ3P9bXfHPjV0B`p9?i-2UnDqJqjYGs4!i zFKy%lJVxT0q^X+zinI9qY3w_K;eE-3CZ!e| zNf-(IMAv?8iwVkHC8UnZM%&;gyOtX zHLn*fXlP*rBPJ~1Y{LN%b%D}Dzt(wHfBwK*=2nmsi+Vgg#scK`u9nx%EkSsAp;8zw z!WhUL^xPaTGbV&-c_exv6!vg}0l*G7M1>r;#_fvb9;U|CkKcS>*jLH~qLCy@ZArZ~8*(~;kBKnr_r-9v6`Z8pR8 z+OLZ)`X3z)V4C1SzG8?s%!8;uo_k(u)gmYB`^WnGdy^Cn0|-1Cgd4%9Ygo5z!u9gp z2hMU#g;*L<*REH3~>LbtvZMefH0u}aofaD_KFhjjnuYFIT)D|+S} zpCcA~Q{Z>%Yln%aCVjSHfk%O3!#{6m{%orlR<-3TRc6P*-=^yyt>oUnc<<_zXO=7U zEGqA!HmiEi!Mly!?SbUAjs}XA9%C;T_q+Bh>7qiC*&Bqp6P51VNj%OueYP=ul}s`79~+pw{xD3F1}YQKM6T{liD$dkotR%P5k!#s091 zKNBV9c{$Z+(VvCp&u6FVE+_S6Nr`vI`Z?{@B%9CP(~&Qw3Np^{jIM-n+&cbtFm)>} zKCa|x`aPAF_jQaKQTnbs@54WB3ZHHtJJE^dG5epSx_`G!&$|)ul~Z8C9WK(JN}QkFgZ#sX`in^aGRm_*FXv&2m^Z`*ECUzy$@VoY7tFKuLhs^coBapoBW_m#IU|R1XaF z*gXzcQ3G87d>tHj=dmMHmOD6TdptFrk~D%Fq)c$N(Y@fy4I~ zph6Jj`|Z7n@XyarqNki3fO-b_rVvC2(b0vs5}C<3Z?mwNXKJ&-6@|>cLWziuz6tps zWawfi=D}$UeCg4?N52JK78GFnb;8N(y_VzU4WVJmJ0kE?i{V|!0Q7uXJ^qVDVrZh$ ziXmk{#m>$yRE&7bQyO|Q_-6u8mmYa_=2qLEghBoUnoBECR^&~v1{ zid7;(yjD@>?+HLKT+%QQAtEZO05FKjR1+PL_5NKI%SJ;aL60(GnJJ=G>Kn8h=Nhrm z%}sQ(-3;Gk2Vp(th#nE0cIs)f&h|~bub%BRRmpSjl`PG5YB`#5(c~ZOaW2v5nQ{ev z>lzV;6tz-M7@ajg=l$hZ5nP|JWl0$)rRN(x?Ba;=wXa_O+vBn<68xBrs+NU`iHRkH zNT8&df;%i54i#XH_LsTSh8$Gh?UD_<6)NuR_8`XweN0>Kl? zmQ_m%sldoN9tir2Fi$ldHd5eU@x-!X0jQ3b-fa{f&a9QVF2A1|(>SW+!_Z=y*R?Be zx3}0%hVuK4*ugFRQ|n8>*Jt7EYqOVnq-rc7nmr!p4^%nnrb7Fjq(>V zFkWC`#lJhXj>i<0h3aIHnoCsv)&;$KePur}{IO>^tD4cMV|V(=%8qrW|Z@JrTq=mD;UA&;lAQ+mU?5TS@TX(4lBVa`$0_;x}0an8i}JLA0>1 z?^{@838|@f9ce63O2%LxI&kznG%})qUFI!AuVT=-b_h>CXwU)XSAjv1T8fVY6Ft#B z6R7v*v2nNufVl$K~OD#e@lQZc>Sjp(*N_}uxR{-TJf$^K- z?#qhEdjAjZx>G@0XsM6(>F33H6)P=DEQ`L38Xj}w4~5>#gKkB3>0`-O#z4h|G6Iu2 zSs}o$M>@f2l(UC9&=Ps@r&cDlk#yAv(F0IowgS7xWwl^<5t4a zXH|^1#@XVSSMdwFlLNQ%dq6@1Zx?AAl-@2f=VY%8vla`Lzd9!kgm%cF+0O@5SunL0 z_*1&;-A88JWDwQYz2X|CIp;)JiwCd}C>5?%+4oaGxfTKPwdMUifPWMjd#nYrdY*(0 zcAGu95Auj-0gm6U(EG1_XDxhAp^R;6F%#7jrKNrFjPj|r1eQWmgSPuqa)L}vhm!jb zWI?v-y7z`e9<`=tuXK(2$V7-*j6sJ1r(|0=F+|&Dno?GryzC&`}g^zw6^wZD7F_ixT6}HbD8X96&07@B`R&L!fpD zov{NXRZvh6t}!^q0Hb6BWfY`|LyrdVHk_a}2+>5y5C_@?At4fw$w35S2SmI_#>Evw zr-FQiO$R14?s{nr8ok_SBnA``K^%f^Vb<_zzw;l6jB^%IZ} z(`}01zg4Cjb0$M>5ZI|Jn8H&Q?#`e$`?QUy>hfMQpR!=QSD)VpHIqi!vwRGlP&HyL z9uha>V#C$2mRsJxQOd(}mC|0XMH{gE<-`nf|H#C`D*d%*s`oWMXQe3j0b%ieovZ)J zA{LU6o%`ai36j7M)Cw?(EPQ-B)eil}!D@v}5cKj3&G3u+hM)BFYM!h6{*x`2|G1G^ z<-DdJ^8xIJkQrI4k@0EN@D9aPuDcv&pLyVpf)f=X_@D}$f+-$wbpSaymrLr9uC0P| zW7pGoW@6}#x65;TQ#lIV3rr(bm&UuqDGT2&zUHm8tMZ%1wz6MnaqO$2S(#N5WDIYj zk3^ZvtT+sybp;ncEpwy@Fio3cNOL$oKX89cKbYB)O($0#!CfiJuT(Sip;7)lSsp$Y zan!OcZe2hsU$Oo18o5N(8|K*ET7|#7Ge!X+JpG_4HL5W0g_nimINvOL_uU;(;H1I_ zRRwhyd@5M2i0}nkltGwCrsV1AdFvL=m!Ih6%dh~DOdSYcK*~=t-gkd$;93Nb(g$)u z-&!_Fp&)1#L_0!k)w4+puYFK~CFPVyY;uWHfZzct4Up{Ef?^YKN5gc0bcnnJ?n4=R z0eEgbJ-3iD0!9V2L!dX(=0ojZ@bx;^B2{COH&5OO=l!HQ2Qu(B9t{54ZX#}=IJ1)Kby zP)J;4%Wl{jwz|IQ?f9;RN{i9+`K&%;gSEeygV8yD;MKO6+=YyeU;`mD3NgvDyyS4y zr>K(y-3F?i=dA_fFOv7YWtheuqa}9&iclzi@JXYqAC_>ITqc`^ENI{UZF-$h2P9Nl9UVL@MFlD+&XIOo4j zh4uMI%ANHfHe9j(ks(!1uXLP3MU}FKXAk?HV){1a{`zGHI~-df442#+03bN}m54%> zuDM^%dKXMQLUg=9gMsG5@YAEa*gQW%cGv(_>UI>V_S&^X!kyZz^Wj*CC^)H|G_Ds5 zRWOf-6u*%g$Tnm0N?j0CJkHC$Z#F{CLG|lz-Y-uo!wY|T$PHz9iic>MX#dl^);p^; z-cFei?l-6@AdWX)9jpA-BeiMZ8Dh_Rs4}_6jQZonkKTQ-8qv9P?MSeCj5qb8$F(YP z^s7&<-IP3h!m3;TzB8VIJwe~R_wT6vFHZmd%!lln9Wld6KC;%;^XH>3YR%MMS`n-$ zdNTXyFxJiiK(v?}(rG|@LOsw~_iQ=gTJ!v56kHQdV&QDA4g@Cw$_ggNa_PHS4;LPWMpG@`e{hpk~n#%U=%Dx_iMwS&{(;k%Ej- z)*=y6tWo)$Zf&dGBus(1dD94@1*%?i3Uo^0|M&TQaphAFE#BmPGT1@(m{E77Yme?^XAA>I;a+H3OylsbLW7 zEjijdXo`X5XE*#L#7#7MajcIIZ`}|UB#wG`JV{%9;-E}{GA!#vP`8Z!*-I2H$&!Uf zn|X$Le|;>maaKy|&g54Mv93NA^QEjxzHckDV<<9bfnle+-Q1IVYtwgHIDyXq82~Ih zMC*yf{0D;O8AMNAnH}v?$_mKN$D?daMc19gfbJq=^J5QU)ZbRI1MEcjfS=*U}zrWPFpka}z&Zy?FRXP4>wc zwQD!(-m12NAMJgytSM;CKxv7U4`(N+qZuHP6y)5FB9`eRuWI5kuXxdgzQ7TUAn$Q7 z5UDR1soc`|t>U_D>n|_M;gSS)CSc*`gH6OohDygLpMC6NOh8Jo$x$Ib)}r0v&tz@C zl=y*S?4Yx$?}1^w}I74~I3tpTbw1YD_hl(wubf1bvSd*MSF%;AJ zm#2{SdjEog;)Onq21-C0VT*AyD>@=12fG4AbQpAd&wlj~qri^`BgN#W=PO6PNQnWw z6AGP_dj!aPUQaxNzL1fJCkEadpgmh7wkv8RjpF|)*1wdilD5ppPdp;PF%2hV_zE&) zfKY7j-+y|`6TNa$3&=V6v{C*OOdm~J!N*QaPTmE#6f!v$1@6~&YXNgBGaA*nZLhu2 zf;$S4sCQ18xS!TWp<4I*W90=TV=mtI-(PgFC7WuN%o;r;-(P=CV-u?S=*Q_}3TdzD zsrr7f80=_m^oG>ggSRPs=@rijlQOYUl;g=x2|k5?&P#QYTe3S!QQ!7#!>O8Yn3+Zf zpk>P}E0zJ$+%u?_!~()kWMT~pC_WJ648~pp&_fT*L@Zp39 z4Dj_rABaa6f&UR_`Go8&;3MQ?|94A~N=~}^U$Jf`@C|cSh(Ea9$YYvw-6s8IW;)uG z>tA}0XL5sD^+{h8JhNN7cu1b=$UvfEIMwB-i37h*(N%tOOl}EJuI)|H#t{KJbD<9T zra<;8yvD1H=->PdZ)Su8ZofWN?&t+Cl@lH6XSX%mOF~4FrFWG~3W%r~>|G0;M)z|I1Cqw3U&jC&2PRBFf?4 z>8Ig+ua(0u6W_};E1=9T1UyA=`%HK_xx62Wp3DdsbdT4}C%Kw@jf1MnJHM;n3KNKh)XInd>f*@9yIN$noDAQV6J1 zRhisW1pmwW2-9^2IeZ0ZjGBCp3Sdbi1ucLRW6dv~59P_nTUHVt)n^OR!eP3eLx{`W zrtrELP4!jc+JE5)q2>PbU~ON11S*(OB^U4{dkl#NVo8KLhV^C z+bWcGUusM0owc$11n3G~PtW7R@lA{8)X{!+L*n9=q-O^7RELuWl!*GIWTY0O=hN=MP{zrFTk6fW%uUO1J#Nv@ZuVHef%<#R-QhYE{6MKT_;SL8duRZua){EWq$MWDq9I znkE8P1_mi3E~JWKf*!`|$KkQ3WvPLs%2J&qcW+~f$ zyPbkg|Ly_Cv^^Tf* zt4{AI6$5F=@z?(>6_K?D+m=^f=wUsNuaijsty)6-)<}0a#4FqeJDqWwkS0iYO*UJ` z%KK->I~?DE)mE=BM$9695-W5a4lHi_XMGW-sDIGRK0Cm~7Xyc(e=%IC+$*Ltnn@~} znz(C2oIzqCaQQ7QF9T(VNVnW-feAc6U9$pqEN;G--9^s=Z(Zf<3%}q?;g9eCIlyQE zHmg_GCTUOxfN}~()C?_lghOFY#%&Y>Kvwd}$%(#0C}>53I>O^ez=if*k8|+aQF|K} z*7YByGY3Qv+gs$h{pxfmnI#f*9Z0uo9zb{-Rw$^UTqA`GI0{A`y^A%ZrQ}`Xj!FAN zIv9S(xAMYN$ zR~H#@sW-=P!4xmz&5?ow+&?M2PuN;vU=k}FO?dUH4}{ebadB*r|4B9=Bq^B*#sSbl zX#sNq9-6|@RxRF!1C^DQ47p+wJ8wmP*U7^M4j*G-H3C`%-!IIj=!q;i;0FTz-&BJa zKL95n4+6``35SkROD__TYK z8fU!pNpGH~-0hI;)f=31Ef4f}2oDZHYhbeNd1jNNOPM3g=&TF&4&NqAa^K5qATSwT z>sWLl`*%s?qIcviV?rNaat_$N!Cw4*RM?+G;8uN<4EysD?9bQ({|Y$qH(j<{?jTnH zmAJXUXf&PlD$DC$t8;j~7!HwhaB^C*!bXm!4#gxC+ zMb2Ki(eM^q#xYb2m9y!fD25z;Cg4x|iUkhtm%kGvr8eUyH8Ca$O26OrVbiDzOnsJ( z{&@qJLOU2QC|^~HsAWEHI8>G$L-*1HjZ{vuE6WhWD4+qm+{kRbQzz+-e$`0+RAc`N zmw-oMwY9uTmRR|eKso;L{9_CTy7PYBuGR1iiHEqyoB-+l9S+QAYleqlK8ypnqtbCqXN<^pLud#1dwn6TEFq1ie7xahr-*MQ+=E zsux$lINrGT20wIM)F6}^*(|ym z8MLV~1$o^iQw3I@Pf?uX`me35Hg8qQD+-x;h8{kW_u^|u!37#~Zj~6|tXt)sUY{zY zZCFb!H2ow{RJryG6NfFB(fY$Z39zw30lET}>d6&hsn=cS>urK9ey0b}5zzt^v3o2K z@t@cB%s|~28uSEOz6?in2x|MFw^i~ynL{m}17l70Di5P=J4y|utW$aLs(mel;E=2z z`y*N}r3~3)_rToBqaw4(zhS24<^)i^YoED`k$#O`ovBTY;4Q`|?-_0}|21l?C-~%_ z`|t5)>AbG?Pei1o9q)%%4;M4MBoB{nbdpboSg}b@tAAksyT8<5x#u}=44$*0PcC05 z0Fwj3RFO%|cOE(#)#!BFw#9DeW75M;|2apK(8Um~_AuHJhWj6RtX^p*U$UP(Ho)Mz zBND9sb>*+&yHQJezXH*YWXzd_Goh@(Y}x1FWP042BCg}UNmYW4XB7GU#r3`AX7pxD zId+yRb3&R}%$h0fv9uh{r&GON!etz#HM}HG=4FOnT6kU$FxDW(o}Kiv%21gB!iIiY z@9MK4{%^yrBx~q5YQVi(PWZv)9;fNY$d_bKTa~nPbj>A&ur-S-4YBA_e0TKNnuyhh zhdv~1ga|~gSxyaqPhPp#>`oD!Ul&tqu2eWmL``K(2wn%jf9G%cXeB`s#oy6oXRKC^D#U zSm2STki52bzKt^Lj#hNPm9?&(9+*;EwzX*l3goy5PUQ) z07&%yW^?8E_?@{e=}^OPF;^E=a^{X}-znG<%cq}=Z@6R>51Q_O-E6AhUIvH;1Te+A zS-tP6^Y)fIzsZ=&k5K9`PhV9Tl+D`AIhlkK&hZ6V6QznZ{``$zbR&ma?&lx*A>+*& ziGM3M$oPw!SlY2Q-z|Aw#0jr8xKqSNmF$O5KxT6em+{Md7R>2qBO3je>_q54>ffT- z{A+EnAvwKYo6}&%uyl#VupBEl%SmE^&Qx`HVWm!l4&|;^T7~s$j9$if`8d8OXtsuy z&VkmpAMPO&jzL3;4?_KXt#rN=uDid#d?iXX%gX2e2^~kKjE@kYQP4{mf+x)n=5+n< z14rb?kRe0drb4o(HR7C4vQ_24dUGXe^G+KJe{i5)+LXsgF9dU_dGhy3%SLeuiUn`K zA+M^pd)4S4u`AwC>N+V4jW+YyO4%b#R3eq2Xv(pvhC?r!@87GI3UNa>uI;dMH2e#X ztM{(%&ZCoiyt)JIVrI-qrOyonG|CGKoWlm6_ zpjjMm_1Vr4==OR`d`=Ca86i*;zHsDpWCyo?7USqHy;JJRc&_%OsQy95t`TK z&}w?l6SYouuKMYgwpD`mq``xTC|<21P4a8eWipg!w86BQ&~`4KZ^)nKdl}667Tt69 zl8Wvu!82SJIuI#}epug7O{_swVcANAJ|mZ@Bfb6R!P$wc_Cp#`o>mV%?uC8DwKvHF zCYib!2Ay_|L-!P~MA2FC^q|)=O^xBXaYHZjn{U@6j(nT@sR)$tlTh+&7(Q?D-aY#V zXIu=MEhLJqX{m8v^SUB(KQzvYUf+`Zx|VHTVhyOPhK>##a1MyU1B^uA-#_4eb@%cG z`fL@*nlyo-1lkK1nRvJ1zDm;f|1%7o-wckV!;av<@jF$P!fP2`IH?c5HhlqRuD40~ zB^||$#br$zrjYB#%fULt^MvES&dgk1@jYG8Q@b(L}+j7B8zFKwz8L0|ecf&IX;-L*t)vyMkA$b{rJtYl%M>Qz{$> zu5^F>lENSb5k(X^H=l3Ku^QW%gdW*_Oh=f9U48bDGb10--BKZf-Z(q|62T? z1@dO||5+f>iOFhwI~5dEQiF-FwK*I*SYR__EoEn*ahg;rDaExjNb3F@`+l=duHf^R)?^qbST8UThYH8A#WrvNcGFH9Q)enxgBn+6O ztPk?g;27pAS-3-_#3)gtTW5z`=<0!r?UOdHl! zhR8z_uJ-t1vq}ZEE*sE!qj39O!BupyTU^EY9)LETUko(@i9rIabFCYseBXrdBz41FqE-Aj zo2ol#V;T}kC9B?!V_P3GS?vy8wh%En$}aJDN-166%FiOBq&f8!Zal{$R%vB{$eDyq zttx_8=8Xrlphc{AIA%z_Vo0Qjyj|oTv|pI8&zfL)(auS^W>`5Zx-);PPEtT_6PG$K zWCjH<8T180pg3C{&eKy;QsVQ9F@uZ-B|Kk~w7Ujtn8{=9h>Kvn+|2kBq=KBr8~o6V z`QK|N*0$?n_dlkWejz8(_j7x0^Yh%5_)WCqyQI{U;z3fM2BL~ohKR?QpIKMtcs#eE*-7hZ1*2H$E?3O6&NI*Ghcaz1}a|j2^mu_&~N%>K_%i z|FZ=n)hcuB)~37YUz_iyJ>R4dki)8v437M-2LQ4fNOzDnK=D>^ukM)_uzL^%4iK$poDcIgnSCIYr`?k^9L1L)nU@Il1_eFSUve;G6Q7B!48#@w^v29*C@wy4-I zb{VUA3MU?crL+H8@qt%*zsJ;PFjT(Y5*Ak;hd*EkD-7Y^G7jd-n8}4^>rvoIV}Ljn zKD2)PE}%L}`{pQu5lcrT@qP2i7q+h-$;vAO0C9n+rG7n}qgx`&$+=-Z=jN7_k#Wy5 z>CMkzM({)4ss~&~D#h#G`9cU`^#OgQ>!yJtJLu}_eUPKq;8&|6yT|mos*3qtDKGEL zrDt6!H%c43FT05`f(|KfpE&KTWuH98qLt@XFaK?m{+?xkq{XS{S0ugqht8Cu#hZlp zKbhWfo23Iv{PW<~S62QjGo|vUzD_cF^7K9rZ3@YIKK9FuUhw-*mo4tA>5(v4q1m0j zBW*l4gYE}x1OEtG+|Kv-V7yYkPR+X3hN0@72AbZHOyBSM8lPG(!QYek%9X^Ia}&xd ztBfeYt&48-YQv4K4B^@5mnM>r<{o|#X?Dc;CUh|~=`3F&6g67*9IG!?Yr!;$;er<4 z172_GKxS=3$64(M8wbhX-*LHrpl!0@vH_C_RQVo&^vMYctsstW1#}y!*`ITu^QeL> zLv`a@5fb>K97hi>|15IlpNQQ$o zV&FthPanq-1xYd>dC}EO`t|qJkG+JQl;NUTl&Bp~ow>B>C` zFD_W+kM_Rw1E>mlM<2I;B)iNzf981?yzn=KCBgpKaIwBAWkXDbQkZ0Mh$3KV?W$2=$2N`_?MF=Da@u{sCHyd>X)ij{1+^uHaF+i zvdFb08Vi{1mk2cJu*y2O!Z<%NJbM*8*6%uBxR`LGhpOQ6%lyxERlA0GDSiet>d$_b z^liM{!$VyAUq=eHPc*x~4a*n#ow@>!cQo4*1W`3BuA|L{{D0zZI9c7s7^QV=$(~VZ zL(JOHPzb?b3-BLi$Op)QCgYF2A&Fj|Tn?gNLx>H?dq>%+U!w>4tTsp(dfGd-J%#Oh zh>$E0>=^Q(FliKsZ|4F~pOst7q(fCrO$h$B8D^8xeOg&X#Kkb>9Pw)do)&)D49W-u ztLtBZ#E~j6ghLQS)}}cMMCO2I&}ShC%M}L~#m2@4l+57}V^afOFffOK?-v#(q@2Kx zAz{@-P;WT$fN0D%^viqfTJ1UHHQJn&i_2+gu~!}VzWc3wvwitbG!8aobK!iu5HRrh1dRU z%4ejTHLE;&^r+b)KR`O^jotnI(@V>Nbo)$r4$T)k&oP@0#k!l{-r5kt9KrG1x5wqb z-q>2ub;y~OkkD^u!YU5*F(9`vA7t?KV@sIslc=CsJ)^Yvg81F#mAEGFiwWnjoiFeH zACj&E9P7OezhqPPNU}m!RuUyE6e6R9?2sh0VXur*2qBSKS&68S9hnJ9$Vf>lMOG-| zyZ`4q*LPjtIj7_GzVH9{d&d3T_x*_8IGoqlJ`s}^|L%S3!NAY#60GITXLfFzm|rnp z_N6?!Y-RH>JDA&wKjg`NCd)tdHyJd~nbir#h^!y?@OriL_RWE-N3^vcE}Hn~FL|7g zWDHFE^5x5BvsvJ?32%@CKWG@1|yU6x6U;aOYN_dH&z>)>?zax21C;Vnw z(6@u)g~SB*hZb@pMoXWuo)V&0lOoeSfd75L%)kf^{{`mX_*g;;3JPBy?}c?i-R6cr zhULV$1rr>i4zIt9=f1wVrw2iod;R+5qcX^Z7%$xgqz#KN;$~n8-1Mnfr!Av2zXHbB2;Ne5N;t2XLM1#o?o5^61 zd8?8Pkx>awJA^tNvHc7fIK-dB*ktmYEU^i2J68DZKAv$OL?~ZXsw@!g8TeGvQ>^{O zgj53Y=LBURbRZueMOdggfJsDB-dtNCv`lMoKO@-2&^s-_C?_E!BV($A(z~1@5kxrq z1feB1itIG&k~nS8p%2QggQfoSyhUEVutm`?lguM`Q)jXVGhzf0Q`AL<>y2;i*j^Z& zNXJ7!BphvD*gbVn2|u(_7x<5(!lF3u5O24vI#UN|UJ+C&&>07ukxR`e8~#OoQ~Qi? z^=O?ZBxXzV@00`&9PqPsarseVkhic(eDPl{rr){aQ_=450nBQ-^0Kn%m>v6P60YCw z*rW3)_BH|N@@j_Obmfy{Iwi@0T1AWXcUF>`-Q;CKXS(l8ekI?k>BB-gCv%)#07 zH67Q)Xn77e9^o?$AG~v?bB4oO8s>xp(-6J-s=l~@oh%U9v+!Eoyt@0B?jNogwGJ)D zZPF)-Ed^4PmSQwZ2Ed_aCLh`Zb2SJi%ZJjJdror$3LkO>g*jpE(#U18n3Cgw+EeC* zFLrFSsurtXOA&kd;Es5>UW&0T>x$&DFE4!=gV*e)Ui!wpWpvx;Fu5*bNq%Fo+f9r% zr1N-y>>j+&!0gM5l@4-c*(cf+m3h0_WBPqtzorWhZfY69?gD`0<8OC2kN-z^wd!B_ zdAa)Vgtvu9K%Exton>!mJNmNJx9|r1ZtSVFE_c%B4O}$BKdGZLS%C@=PIH8I97b>m zKC2LNAC^4|HBKvZ1B}sWR8U}GFcJ&Vs^`bRWx|9C1|vzg%*e;*d_+$cvVrrTXV(-7 zq6<$5DuTDAc87lqlpF{3hw#|JVSfk#3r0x11mT%o@$4-jTDYTWXpqCoglrtjQm`ba z{388oRUBv+2G7J{gXz&@gg6UQGY9A|mH&Rr1ras+%9w8y!IXmoA~EpfMPQ>DnZ}r9 zyONiXLfTfi{i4($;in+ahI<02mX9o}v$$Z1wCa#y{!F#F3)Fq;ePJQFL9dw?y zd98h)9e%n-FRyQ(7r4#l$-@VEV#|?)mSOAiek(6=g(BO_MuZO@9G<>h?LWn)N5cJh ze)jBHX$lpSR|&&cPkTQ#L^=D3V(LJUQc0KBr?t^=yp!RV$3|>rJmC2NZ>!^gP6+=i z_8Uwa&_kztYt=J^<=>&Tq5ltMhH;Q|DFx?Z7{3P;O>Z&|-O$c$_fMVHZi~12l&Q%? zA*6#I<UD1==hz+wo*Rh&Z)O=}RH$}d#apy#; zy4@U*t)t<&=ka6Ol8jD9%IKafRz<&euO6+6{Tw`JBB^UwrlX&crjf)!T`)AmB%HaP zcy+gBiZSa)ac7FM^1#MyCo0<<@!^A*8z z#IN;#^|E<$ORaS`em%j7Bw`!hUCLI2yNP;Y!3#lN=b<8OfAeNr)c^_KHFzM9v!YI^ zTm8C&M4SRtxGE&fzmGsNhgl=!1LTI!UCoIT4mSryD0%-a&z=RG^K-a@5wn-+RVvDd z1OXgYVvi33+k5m#5@c}nMbNJ8G*2!#T|wXu;JgsrClJ?e&!(bB0#gVNW+t6w!5B7; zGF%$VA~fU%d5O3mg3*FN58H(6Ks-QQln>YlmTUZ|uaU}2LG(Zd(t{B9@RMVhREwFH z31J|@bj{JY%F7X!iycsQ0*iVDj}uI}JTcMdg$HKO71SpfR1*KeQ2AMbqDi0eZRlO5yQW>J;SanHp53YCfKv(-jE`i ztdYH~7aNt^C%x{W7Of}$xErB2$ZkC-xD}pls6-+Vt~Ym@anaO`?DM%ft8Va-=Ntzv>SgO?2GwX$>e%2shzg`1WfVA2c-FoPAzGIi{$AE>cFr+)2 z+baVJ_Y4e4cR0nsvj4z6sm0Mzw`E68s{uG{9!+T8tN)?**(cwz9mc#o8$~m5U(C3q zU>$?r=Ic6F2(0vecSw|!2L8KD2oX>#;t7s}0xKjd8xP?q98q6^8~gb0(5NKr?VRP` z)?C`pD_)&4G*P4$$$3+--)8N`UG}X3f9$r;*DmjmmWjVq@6>zl-FM62WX(|9u+X65 zoO8n}k<=NRiCe3GHEW+!oI5GJ_=$y@aj;-;k)O1DVxOHn-LaruvEoVkt5%|JBJtc~ zJK?4MFoW85;kDPhf}~x83o$K1WsYevFLpAP`v>_Jp4=CDy5-j*>%V5}4;OtCV}G4y zKVWdH$?Gw`Z_va=2F_X(bEq?kTD_15N!Afx8lig+fhpU3Gwbm$Wg3;{FwwmHbCB7q z_YRP5XaHA-Wg7|J=||YL{cK21rG59KA8*@r}csPa1zhktXFzf|qgs`BrtnrD* zbi^pnV5ft*6Lb+5VR3eFrt&IOeCe2?M_C?s>dw%IxS5F3AX7|0+2}M<=?Qh={98Tg zh`-nQX$Wo)Y8d#KrcRv>g|`i|KcSMAzwqHUYPLl9q`)?c5Tb*IK~Rn%N$V*v*^OG| zKNH-(JVp@Uza+rHQU}&<;MUq>krEW|Z(m$G4`MUxk5kx8ak=^&jIN+XLP2Kt#B2~$ z4??(&Tmpgc90Cf`A-35GVFp0B{5*B;C5Qb36w2nce*2)=eF!gKOfdY zdc~Ezj#KMNO0Tmd9AD@dbayZX@ifHOWUikE;?m?)-o=Y`$<)5864!`!m5Z6Vw`X?Q zT^E1RZXAL(!8CbtBIF2DxE!1;&Q*1XqgE@32w)`a}Z zIeBhR$B%N+ z&)gbwlTzBhQ@!ESy!U}2?}Gb&O1_OvW4jFg@OqX_m$CwVD~rEFvz4)*=5X#wxw^II zrJl#6K{ThR*t5PQxx=>RRnh~1HLJF(XgvZ;**|P|6giPN3 zF?38*3#e@%G|Pdom$1$>PcAu1(*}W}Esj3ct|g*SW8S&53j-^tHAP5}=E1N+V!-=_ z&zvA$=nO6&y576{-3{R4=5;~yT4=*ZsLzlF@R&lo6$azBQf;w}2$}V&szhTzW>z0` z2>0#`{9_4$FUUQT15e5%qk^X9v3OmQJoL@%+wT{zqxjMKdD%bIo|f#qc#QTLn8H5# zYa<58?qsOA?{JRHBh&M_gM))54(4z8k`!&TVKTZ=cZNNz*Vz>vlRY_yAN@Y=E*+f& z)Aq7QC`(MulTYU?{tF1bBgxUZ0E69sa>~j9o|>A?_PM>4%?@&V;)TxXj-5H_cF1kR znPBnhB_t(jRbT#P_S|(l^kNfG6Wb%=0dnUE!6SePFbvS}gUpcf!;)l%#5?ibdJIvVacaccmpAcBLt`MHrwSt9K~_n1d$ z$c}AqRG=LL#tQ3k>fI+GcSE0te$uS?eUB`D8K^X9`<>Gm0BipkBZZDiTa;-NMo5=~JbiyY2q zFa$_Z{79rIlM5Uy57d2>WLuLY$tQh?y|HRm{E7Rkomkw^=zCsO0xUlmAwnkL1pXc& za3O4!A$pJCc#rxEqJKjeZi2@B1_>PIUM|kc@WFy11!nr~uU|97v{U@8B&?dA@OR4L zVIviw4Snos`JYW5toUlJkK0bM<@H@3a(yElMnjgO37QPEiktvV8&J}NspCGv09V!)`L zogaWTfYOy29<)W-(2fOQmn(@!H7Ff;Z#czP^7jGAK~9L~gx_7om><2st$vB!O8?p> zHJOaqsyx(Xd)g$vu}6Z6kA4f0ApQ_W+tuv3m zreXTwfnr*sbO8+xyH?Ne%<{kexFGs~pmJL$hCs}`{6hHM_PO6A&z@G^DJqXSu z0yBX$efOR{G2nK4z?GE{E5Rpj6@W8grT}9E0{q)|{ykulW9WnaD-K~Xa^+*-e$@An zM#1PDCa`feHz{$`|h2P+_9x_kn9N=$YRBA|ITn zIlo#ct0KsoYUev7PLx<5n*B8@AIAC|*7XPFL*+peIsKdga)Msx`v|xgiGaC`ExACw zCRx-0Cc(MZ9+?YAi)cg%@f%FQ3A+l+pSPlp$OXJe5WfLnnmjU-wGNom5=;-_Fb)qt@+p`^uX0Wv&`2_llD~9h)#ctFiG7!aZPZ;5+ z)!eN4AKka-|K4z%QkJ%x8|$#|Kn-%3j70nMVFo0Hj-AP#KL=$Y*mr*aXgjK;SHCW! zSRmwJs51!JB60LUyho(+{rRNT2PYKG1Q2vcb6^~;*htJHP_SW>fEXGh0uM%A1max> zfxe*x5d{qwKaatKz+#{~Ck68(<^(~>a6MP^%vV2?bd#^2O5@0OJ49c(7O*j?mAsbT zxaCU3oZplgRg7X+bSC?$&~h=}jBlQ|51iD$`K|cphCyoL>pUClFLCueW?d{Xv*j{5 zM~qll7WtbP&+knwRYc8rO;B8;X~)DHua&1a=!BOT0w0LRsk(-`*4rBiHhdGCO05^a zcQ|jPS?j#>st?7MgDti_H?mmolnW(^b-o=e>y8CM+01HhQ#CtC4Xi$ne`YSpEcPvE zn(qr(aglv(s?+|%o1T?zhDNfG1oN5D)(HUxg=CCJc0~&!ZB9VYr_QGJ;>xT6*rcdF z;U)PSAOu1Y2M9!BHg(Flr}t4?ViX1AB|^rBG8wfy6;P7NjwHgwd!Ng@1`JbR*#Wx? zu%7Qj76k?5J?KHRv49NE0clR}s64_N@rZy@MgzSxgb^e!)?4-r{&8=ZTEH%X!!rlr zH>s0ASE~vJA1FqIl^doMgm#~TBM2}Ffvga<3rg#PQzg8(BZQ*D<((*DG>@F)S!H?Z zr_^m$SzG-V@X{oqQT4qa)EW^|bmVu%iqo#nGKKMkUS%<{b2mFD{Y;p`zamWM^=)Sff;88-*8@+(7pV_Z@k;h_ypJ0BD4D}1I;mE?%l0HAgdP##|HIQLGn4Yl0oq!XePWP0VHWeJ8H*9dv3W z0!M@9oDihX`K$njGspJ`b0sIRqR}mR&5S6)n@A0MknaN}eAL@`_;>BPinmKR>%Q&l zLp1fdeH3q?IGC`T0m9q_-2w_0Z!Q>qJC&ybodJl)h6NVKu~dNg;AsjM z2%A;HixSK-$Ua^pOCDC4#jkfjttx5p^csjCgl7!gdH!=D zbimM*bi>wy*eijViya0~#KIC{R{{Y$!d30e6Ej#45g{6dUHIQ0ghLGw)9r`+h{pN> zP6fZFyit*Ykvd=~okTxDKiN&T}BKZE+Mlj!&wQyaj#$dsT5m(fy#Licd&* zNtpNmmc>+B1d))i2SfazQ0G5*Fm1rvKL&{fPGG%;g~S39!cVRYC>t0Hco4)e0|zq! zV89%J>4fCu7DRwl_`t)-k;dG*7)Fi=FkX-cA$AVoxS}SK>Jc2j3=~`jr7dAF4@8+v z)F?;Oc_FkxLzU~Fg!A^5uKgcT+YzR`v$e~-SE(7DevdcSAVHldJV8rtDgw<1Z2quy zg+K+6p&<2FA@oc*FbzZ0`w1Ue|+0@B$kNY2$i0*RA#mONwqKiAP zZelTgwm;qT+~Hruw7n!Fq4#96BgXJY024g+XQLp4!2l`(GNe)G?9csOfTlb<@eVB7 z$}9)IUks9>3FCRHNISA{K~8W~zNYN+hoyv9_DYHlZMUTHX6MuTUGA~@j2%wfcRsoE zCH}2|4#xIM!a8rMbUgJW$#`(IUl(8;Qxi6CDFS`#z8sciNf8kdr)Ah6eaLaB@s)*0 z|CVGYEt$GcKYp{wi_sZ%3{{T+TEFY^?86!CwO-wax8-SX|G69JB)!=$9o(|N^_?{{ zHXU7=tAzjz-csy70)ctcq?g?@3*TSQ2EZa{BU?_fbxJ^V>Vk$r|OlZmlw;^iv$&m1U1+LR69gPH*+<__fijwaAh05K@>~}CC$sirL0~U!V?!x zy!nS1VKs(9^mo9OP!XvyQ6Sbrkf(&-Gzo_;I>#OP@P)$X&c>@Ect>$8sOx1~*ZTD$ z*0jkQ)ea%y0AAh>=QoPCXD}e&hwV92_5!UAtmGTh#9EdKxNecgQRc2e)Q8U!uBJ?2 z(!}BwlmfmJG5g^tN+N{+fZJqKre6}~S=b7IJYXa0IE4eR@`e3ok2-2QTi)Qcf_Q>`BR_U*Wko$+O$`#ePfSwzbFX4!Zncb`fLPXi8Wur{RZ6$@Td!=V>9V&8eU+eRnE4`83C(R#S>gi{$ zvROmE!_+jVH_+)#o^NWMJUfG+U$JrKD4fhqWs&NHc`okP)6?4p*IC3BFla~(=*V&Ua1x%NRU)DGB~lW6lTgI{vDtNphU`>2CX8Ni zzr|vf<3vfH6UI|I_6VM1=t){#o+YHFw&U*zKX0U0MFI%R%S%j7j_jNy+}n0xboPH~ z6J>_aXIi{5HGnt&U+xrZ6TA+SRbtk~cxcfu`@IP0TZGjhCnqO&5e5&M2(iup3vxsw zf2hKW73gd>%xG{#3^J5;2&Y-tH(6GBK?&HoA%c=0?#V>02$L!dgdjDPlS@Ej0rw>0 z*nbTAw+8{u0l^o@s=R#czx5zuER7L1dVQayJA9CX!jyUY3vKk#04k3VFONbB$saWy z0bhxTP+`%uA`g-CQ3Tz(b<3l#SXlZ9ZhJC-$7GevlE)Z7(C!Z7x4c)OiHJ{(%o`2}W zJjUEA_}D2v3Gq|4n$&-kCgt$coZXU{ndoT#4>oiU4%~Y~jdpV7iFU5ee(snhNe(@e z#P)Y;2}16srthafgvfu$UU$fl*k+cb0n;BqT*R^t^iCvV*9|n5luqY~`Whxb;{>u|iDxQRZu?En+-& zR8*USFsCIJ?hvlYu=xvZ$XSHv+f>Z{v2o;121)_tVC(jmUZ(}NIK{6IU%0t)?E2oG zpS>Pn#DpBPX>7DuH&nJh^4(td;P#=Wt z8_e3@F0>t=`dHR}dF9C+A(*(Vy0lPY04V5`EBAf0>?4`m>T{jrX(_h#>wtdHq@Wb( zMYirC-z(iX=YVU=#H5e@8~Yc=F)k$h$`BM3+(u{!bLwW8t%Sk<2}>!maokDJJZ7Tp zne=lf3OUfsDq$8#+(U{Me>hbV#=;oJk#LVc6Xy^+GZ?sJ_%Ck!GJx|Gl7ubF0HPYi zMAI1i$$H!$c2B`jbRKR{vR7s-VV!uj%jpb;9H~h`~^f*k6l*1F>cO*j@SSm=$ua?9k^obA@XyvDpBLU;;xiY#qA;ZE!DW(U#k7 z;TMD6jd&B3^c+l_oJRrzl!?|751!)kh_{uhG=2~2*Ddf)0r8DbSoiigun_`Cm?G*J zSS#A1_?CC>A*FS3{3r)NjPoLgcMn+N=7099P|Y;r_Y$)XjmBO~sypxr2*y0>f$Z6# z=NC@HiU|MuGq4!~IEL6E;*q7BBQXbuAynl9$SSsE~fM;qjozyAHHshSKEupd8By!cty_&llUaw5&Kx+ z#EdVf%$Vk{+gS&PFJ9lIjytf} z6`Vwp^H7;E_GbwmJXqb}PygIbM%4O&ZW8i?K>4?tL{mVI_(%AhHcl_G>?ryZDGNUHiyw_7ycTE4TRRE)S?(2B`fb^io^ZW zOF+?+VLAnt=Fu$i{MnE5N25ve9|p@6N9D92&iip%*}>dcRBHRFhNL6OjWdf^k9@T( z6r8!Izx4a{=~myF;tA!^32Y}Z`ONPVSXF%RwFq;rb@)ZT6^GYU54P?M&3bgCTU5im z-E2>o0Y#iKW2(yU&h+a1cPa6|CLWOO_L=FLQ0|)$)gzxfIsg93L4i&=5WLVV$O*Y# z`Sl^mYqEp=g)@g1Gd>Dn6pAn(|5|HIakoWnInwZJkFZwzYx5c(PaH{@0i|@ZvuwXQ zq4Q>03btMUd6?3h8?WA$zgyKZhlELNR5Ud;{dRA4Khslw;!GoGW2NY1r>}6c*cd4-cux=cQw7ICGsea;Ai2>3$p6KciiEHU@ zph)FK==*v4!=HAb&3l(GGe|l^yn}RXJHu(!(M^Mqi&3^ z)Z1@JG2K3Rv^3`GnF1)6x0z*7SX~L*l-6MZtb{j6)`R>c!Sddn*j80R)UwimWgq&g znuy&2(c<50T(H_eRQ>)7LCJ&xl>1m2%27+{&yy;r%N(vtPfaPQ9)8ku8B2cb-Hnak z{=rC3)$5_MY1N_1t!FO~58?Z}@=G6|OEY)CD5E~asNKCIzZG2VvakhJ3XcbT6 z^&H90>;-Rwak>MlEBkd4G(LVey>bP70!(BH<|s{NA{2?=-L+_g`5!VUeTe1}Q}!0@ z^lB42c-Egob8LzWewkCJ8Af695C1+{>^j0@155>oK;nzwW#KP1CF7daGV=y+uYS3} z`hUxvJu);reCc;KNB34fh!6GHx>x1+WYnIHu&+&Ho@^3%)D<@E2Hr{Kv`Se9&7A#Z zegWG}W2Kuqo;)A~uthB?cE@JzQ{Had5~S)ASZ3cYXd|aWt3q{wKf>d=74uOw!@3F` zisBGe4~=+_>m{n9T^(^=1Is#_pU309xu1? zZCfL~*oYc}@9ZXX^a+ng6T=_R?2uzJO05rT0#&A-Y>V1;;>g(Cw5j0A*i>zIXS|7z z`0E6Lm0`2N%_+^~$y?uUFVDgg8)E5ExBAbXGCsBAPkc93r7`p#n4%0!d3kHg<1%!X z4&x1>E9)CQwO=J8`gg9m`L_StN#{~OE{o_5lJ^}fel^5lw&YMgr7c0GDb{Xb71e2S>@;pf9z#y@06BF!g z);BNPl+3p|eX9C!eyyI^8U^|APdK6LBoMZC0LF2aq3i2te@<^QpCh|h~PE@;-_lb96UJtO|-B+M)gX^pJZ1Sn%ZTl zuxMinb~cPg2Es&XjM5IqWa+l~ zTTUl~b}MztA*iE?whmR*Hq7t~O1mfDEF8}@6!ie9wg&xvd_54QGgw^44LWTLE|n}G zL(~d#<&VSCc`HyKkV1eeaHFDYLcLRZ@w@S{bj7)7%OoM+=f?|9Xdl=lctL19=Ae{F zX&3RXZIof4qoXUuxV7!$K`3NRE(4Qkd-G;PFEw7gh!ZVZGYW2*;EjNq^*_U;5Lj2* zEURxRV%rn*EHf#qR9;?G#plC~7RH^2C!*qV=Nfw4zrE87NzkaIi4Zl3`7I%Nt?Xay z5zWkli!wXTDUJ%x_-x2q2k$}soy0hHnR%2dy&;y*DkL`c!+x(yxnTM*iWSX~k6k3n z!{Z(|d~Da09tBjB3i`@>&b5j0sQgr4eoXaF&$NN>w#UGYvoZ(Q1;>IU_)PMwU*3z` z+4|7^XGmzQ*&P}|!DX*Q#5ec#^>%cIl(&XykdjGG*%az{CFIJ&TYF)}3=t9wYi+T7 z63=`NOOQVi?yGw$Mp%Y6y{1-e8e|xB(fi+%g5U(*(WU z0(4Ltr9F1i04I;dIO`11>5!iq?9ctKDJY4AYuaxQKRW=)i(Bil_?%V1?Y{ zEFXWK{)$uyr)V^~iJQ$IN@Jdl>wmno=YoBFY2 zf1b>>#Hj$qdy}o-^|C*zD_!zX?7SJ4Ey%H(&Ud>$Gt&XK0U=rQ(53IsjP_oC^~77H zX3>I!%3R8}Bh7bkE{$GStL=ey0nM*YLlW(>8I4-!=0KrXgG6@FE_PG1^Nm>#eh<@1 zXFRi3Yl&7CdL^5GN{`G`&n{5=g8ZX`hN^Uub!uXadNTb{^Mo50RI4=xM9Jt@RcOh$ zhPtNwqBN`CQ;?ITAH4GT*ug+xC-8EX6BOK)XA_J?vb!3e*2DGFgC`37D`F)vlNK`u zTCXrTQR|Z@_QzsIPK@yli~4=g2=(M0=fnCmxeEtblTszuF3?nuF==2k)wgSt9pEX? zp{&UUVJrT!a)9)}7Cb=$r$a=XgR!tZgq6&CtPwmWLVv2~f<6uI20gwR6X5u?v>VL3 zw6Ss9CVt;Z96dM5jh(fjT(TQyJa|&J^YLw3+Sz?lNb9N-lN z$k-!Hb{}$erds(n*t+yhmH;{hGuPql9qw;SOVn4CTMl?wm z4I8z15}ob?7D`IW72pU@U_k{2EarRT=#kYi6DK}V02Z?2LSu6ZBUdDue%}fp6k@f) zQ@2rWASxj+-KQWYjKT>9ET&9E6Zj2?1ffDBR&wN<F|eJfS^efUoH=kr(_G~O;+vLMHd|SeCs2I2$`BhXL-}dGjaKhR zQ|SSRyZ~_Bu`^p6L$Y1n!2pEY(1!t~jV9hYhv34d-VFckmPac!W_`NPqOwHlIv z^?a_A;Ee!bu4`I? zOvAQA!KM6d?x@9hr#ej|IA+p2#*>)B zkj+CMo+B9LY+3|_!pwZtj*HmDs|d$>3UxK$7rg+yt~F$z5GObW1QQ#b8HTnvC~B}a z9`~ve{Phv9hc!#Rpd4R&aCg+L6}(&YN5l-7CX9r&D zQUZTUw;e9exTE5DUX^zNj$Z`d0=M}ENJDvyqyz8=fb13OCMn0K`-9X=*=oq6KG{SHc2;fV6Hhw?Xn)4opM z$2nR(8Z_j*XeXrfa*c1*_1`1|?Wbdk)+PJpDxJ8faJa(w8>ZI-vmTfhsT-XT;^NgZ zisvu>wB1+d@^(9O1+Q&_X>F0znfQUSJ)LDpHq7NV6~jAI*Z<`%tZheAS-W|N$67_&YxaEZ`{%jjH zy6bG$KAciZReMY#C6mO(*%UcwC|$Q6%|4@*S+Q29OJ}R`UYrJd0-8Ec3ONW0cC=oP z)qlyPX4Sr0V}JB!HnW9v$FldHUgMPw>fLUEGxFO?&fomH=Us@6M$c}+?-_@EF8Qmmh$B<7ssPU$%aYKLhaOJ9f#V~K+DknkXGuPb8ByI_~?230^_27{&2wb z+9M(o|F(tK@A-6oTg4CpuDrg@$$vGQ4eHAKFVY107Lk3Z7_ee(6bh)7*Pp<;kw%b! zV=xV~xm3+J@i9UpTMh7}2f|?v@~C5Tqw{}t)P9xVo_|$-0J>WUPfy3>lgZX%bay8z z=>~dg{jZ?0nDF{%#M8RZ&fHX3$G8APLX)7Y&Wf>nd*rQBazyVkCENotzxsV%g8whC zZw6=1RL0BcjW2(t>03Qt^ZnZKtnRgM5%-N(^I5tN{0!K%u#z|haQr7gjKu*z3VC>1 z3xst1`fO#I**Q78JKNf5v>0MSK?WY)9x3+Ocf(`#(qw^GW4OR?AI*1_Q%o~{zt8=C zPutenS)F6>J(yjC1`vkq*)jW?+5l#+3i&9pSh9C)W4@}4o2~426H&8SPBGl4c6!zq z(lAzT&Uim6oH!Aw`#?kI9PQtcgG@JC3uWw&Zf)3;^HlNLeg9b7Z}};*_Je!dy1VP` z$v#=+m=+Pss2F&axk$t^L2NI3_BQoZFkP}EqysZ`SNKJ?E!uSyT{`^zQjC0LogeS% zKL?EpXefT4(RtmHm#zQpkl}MFoU1Q-!~)plWs&5Li!Wf+NB|8 z(xaNcf9@_NKLvTH3N%(nicP0ebRU$Zc26zz$?KE-#*0&9MjDr-YU&6E>p7Qaf9KTr z`DwxoDY8y2)_U^{9DiWk1#I_Ii!W36LUjl40~de^3#=?G)`+hV_iR8z(+u2tu+#n2 zcHkQCz_a0idPLtB1C6P@a&pPZAHUXP`{cdiewQPw0d)_;_-o!QtbLy!4#%mYATh|l z{c0V4DV4SF?EO!Vtq-XubvCo?qKMZoMsFPW?ll&-4-H_9fZlklmJ0@uFq#EyiS4RrTXrazz z`yAiSIrsTa%G?*$xX@L;7ONLyG!@o;e^dh*X$Q$%5_7_TGP1Hyj(d8_hBb~?kfKQ=Ab7j9Ilbk?9DE0evm0}# zjGXW+f1-I;C^}J?5zd6+l*oL}w6Lp6Sq5u09s%EyMMPoGM6?tG{_u4q7( zwI!sO)co`3Pj1kAQ&I*x8$B&|f&oVpb_>R-D-spu^^H#~iqjiy??B3>%o~@;RQB2H zW&1wnW6tF9f<6b97~ThbQoAbh%LsH$HS+s|x<)9)OzJZZb`5TuxYC2=afwLt25K_mM|W? z928{}{2|o$OwpOwJuZ&ak$&9n^)}bkO{4^sLe=w3xkXbANqt-cVibyrzgpv>lzsB6 z$!6V*wB!+Zk<`uE8Qcl=Jm_Tc@QgBM6Vq(W74>lmKHS;6|C`5O5F8MKaIFZ z;aX0WHqn^d*@v|+5d^6h`6t9Zcq*#bUT|$H^R$jWHZ3}TJx5fDC)S-SvH--;9jqEc z)uS6G+S)Az^ZzsxGcv4A*j}aK`Rtw?u#zZzMBnDgqeZg{nZw6Z7*;0X7=Yh5Zgz?%oW)g+j)y zA`TFMcIr^N4s6Zz0@=6Lg|(A0 z8TcerG1?0tzM;M6q!sA$&A4JAnaqnYmxj|9p+T0?xuogm_xHu`Af8rXk~4XOrqOMo z=+9yqQ*kMW{?1}n7~(ek{FgqPmM|!|%nj#A=9jCj(A5&qS2W;yK{MIC0 z%94R;{%}cZ8PyN9Kkw3ruxLD?bPkJq&he?X*jF)gp+2*>-nt;QEho5Jh@ob`%F_l; z_T6LxH}1{Wd575eZS!ZO{oN8>Movfdt>>o35ARDJXZP6I93@F zGt46@TKqEZt&L`a>dj9cOgTKd6Tz3P7`8rjqpA6OY^UE|%heJmFAC?vZXWT9`AN=)(Ugg$Y1*$pPt~jQGp(3(w%)Pz3T*PU-0{q!w)@v*zOo_L;+z3< z$z*@7NM9DKgM>VJZ(G!vKlo~oYq~E( z!6w|=+FFl;DNV9aX9{B1nmZF|$1R@J@ye~DI#oM&JG?n5brQ_(KE%tCMd#F&TNLwI zz7e_vDWxmgqEt{mt&GefB_bv&6TwK>3inhTRzFq^5lD$I1A{{kE{$pQ9?AOS@}@w2&1H=5MonNHi_o9x&hs+OhHy|8<761gJ^ zIbi}uDb<_NOHr@vePObz{A11s{wv23D9&C`7}iDUmNue{|$uCuXx zs@5gF&Zcq<-f_kS@5GXHk0wy$^Z-L>f*jD~|ApAfJ1SI!^_d?DBG+k+KdnnvO9R$W*P zkOsS_p0oBi=$(cU8nWiOz3&*0Ji1b4In-$Dp(GGqbgZFj41S{b9Avm2YExI-Qj>$%PtD^Jr$V zod3OffMILC{xQ*phvf<62^z^FhQ>^Kl$9OS6ftCX$>p_0gf2%Gdy5~|k9c17komFw ziS50=I{8le7xQHOVdPy8PLMd4Y4j{iN6~f>2FHemh8|io+_D?B=w)F(0g!__REyaPT&Fu|w zG{;KZKMzC;gDfpa!aP_gjo7jcMbR3fWG);1b$K~cCD6}q_58S^+xC!QoTPt+Z2F3^ zMXzLTVky!#2`Q<%w48hsh9-vo?-vvC(ouLKC8D?-c&P1R{6EidiD2Ng|0{s7)eD7p zqun(xZCbfXoKrf*yWUF9#oH0^WLKPa{a*cdi>O? z!Q{ZM6Q7PCi0-+l$P=W_q#_jlla1mNXT@->ss-)SA=fUk=mS5O|8+BKaGy}P#k=1v z{W+SSF&Grlk#1o=m2W8e<0Qws>yGA?qcaK4o((R~q;RjfQ`6E89^A9%7kl_jZAqi; zAVx7>Pl2%)zih4J#sTqndFB@~{LA`*aX|)5hCZ@tw1~4s&!VC+C$-{gj)FSnnb!3@ z20x0U@wye;pl^GWV zh+-@Vfq8kUj;V`Fp|F(H8wlpp4W_n{RLPtRjSFV_T%L)Fikf94Co4Q%^L@;bF*S=e zMcPVg-x>CvJ9c+kl3u;I#$iCAen(ozSP#^$5)7n&P$tT-X`~9-pNJJ&pHmZIh~FF7 z+)*Z&z$TYipWx-7@&34Mhvz=WqYj+j!{%lIRiO7ssz`=|1$yn}eeG!q9 zKMby6qwQ~RjkZ9%o|C$IV<2v0rZ>;>QQY!KFglG$v89s3MhW*xA)`F4>t-7xKudOE zq{%roIqCSp_4%jCfm8cV{-u15{8-fggBs-9f`CMqXBNdtCOyTw!Wjx)Yfb1FPc9iGc(0YjbW53H(q&_Yv&G4&-wfrc76VQGR|l_$BoHJ@T*=w zmMngNp26CB%phZ`Ix@wRXTYG~#Kw<>RWCC6gi$9IJzd=w`&Csp+0jx||1^5cP}Ki7 zqt}@SXL=S=(`b$C%KoVZh*(;9o(y%}H~zvmbIRA9^3PjD^$2Ko%Tn1ajcM zuszaOL_ok!8{e%FNFR zC9WdGD|d7s$obcf%_(X>pTfQ`bYRO0GLGN~@n5?#n2%LyzAhWa=J#_A7S$J`3n3vx`2S4dza9LOI zcEOZvp@<(tlZH{#pEJ!Qn=4gT%KuQ#|HICP=`-(7IU2QdoP48NFnf~!4nPrNGT?)y zW+W1XkDAyRDcE@S%!p6h6S_I*PR4`Z}krt(^+CT+!05WJf**s;hhuQ*U6ji3M4LT1I}kz0Pb}%#xib zBQB=!(`@m{=vHqFd{}N;WCfcK?g-Y4K%Xmy*TKT!BbK10prq+?Rfv<`vnN6{n)hmB z7HCHdO<9PRoC06eGp25!uwDcmmbR*@>MFLVj>Xp10sESQ06D|l&`^e~;S}PDDo)Nx zrmc{Np8m1)mmRA6ixw6ip!B`;z#wnOPp_&ExbCNY4&oHX_c{~HZN^$WN3Qg?A~V8T ze^1}rw>Nt`1#TP=Px`SXw|8)8cKPbVbND^i5`?b1JiBXD)Q|4|1(9s@Vkb;@#I)p* z)Y!Bzm-A81uusxupF%|Wt-Kl0?-Gc_{OEG^sIXt{7=^E#IXsn7|00D*Yi9;Sa_W?% zdkH9?22Fw0kk3=`f}gDGDd?B^&dcAW20@RRq^jT7zmI$Ch}-gaOkzw^^z{87gwZ({(Pc*NLkrJ2IeY(#sw#0aRE8-!4-BexX5!uV^MrmPj5%q ztx5g!$&SmY_gEFuigkpic;CnzqgQ7VnNyxkw(@#I;>Q?}O=144;v2MaN_QW!11WnC zR)WMGj|cbfGhnlx#h=|_?bLXvjCiO^7&HGnP&0xjzYk2pfg_r=An7rAZ6(-j=1K&lIzQ zyM1cbF3Meu^!jx}s5MPc?d!i)pXpql^!8|9iwFVIj{%B|Unw`U+)J1e?fY zpW_Zy`)^Mi+(yB43j>QoTk$g5{zw%O*b`ZdU5ho#G*fN(NGjhutj?!vLEMQW0OQz z(v4^Q?v!|v9y$ao6u83CpG7s=Aa04#MaZek%Cc4LUP#5Y-7j!|Z-`IERHuX3q@vXI zhu>XMHzo_6(?cn}1BaAxUU@T$%up%zG+@h2uPV!Xz8P_5wZOVI^^P7jJ7sA2JAuc< zbDNeCw-FmzRs|4r0I^x3x+g^0pm+&9gqwV}sQ-n8ib|axs&o+n2Ye0t&fT>})|mz| zG~n*T-5i{pB~Y?hg0m&U#>VEFAapKSG+ITY?FM(uSI?m=m=QxQ(BEjwq>|~*E8vhM z8toTp=ZeoeN>4{8f_PxDc*wl?z4Ve_pmbi}P|Vco&fe+5yB#Xh!KtNs2IvAt;dr$1 z6~-t#w@0?Wn_jwr^Iox?A@ZO2Lg;73#{g>%cqlbGz=Fjuu65zMXtckp!fD;b0~u59 z4@6zg^E34@X%s5mGeD^8I>V(s?^hcmz1!M@=d7p=ZAm23TWgoo!Ur^^U8HS7LP9ln zGc)TY@!6~9hpoko!rB2=248q)QJ$EXSmb#2Y`tUoPzEAJ5q`=9t<`2qM#ctGUIEor z0sYu1Plg6hk~V1xbj_V$yn3GeBx<9AO) zL_}Og8$E0SuSx8!NybOyBQcUL3~FFE(Y!o&Oy94|?vgh9AMZ!Z-;Esfy*K%oT{f{r z#hC3GYQVb2g#g}GPkOvqzIcq?OP0Y=7QZ;<*x7Azl|{>k@~sXg3+E|QP=qr%I8NCv zd@Xi1>5eGbn#Fs$$yDR(Rb05w$h|qU;s2_#z~stPw|*YBoqCscirf}%y@MLZ;dH>X$`J7 zU2H_v>_wH8zB9bPaOv~&gEQKFB3sXxdwo%VB&4h8_fx2Dcu6?pXK~Yyf+clw`^jVx z1Ozzltw0PYgN?T}(AX1``uT;0&ROmWs)!s>NHhuKB2fBUz+}9J9KXxpuFz6BgxGyn-GtR;!pFDI5=oNX_0?=tiW*D`E!z9trvfoC>8KC0!6H2pFT6WSK;gh?x%CI3;{%`ih+8P67Fj5+ zn^vf#dzxl^6FB{I5^MtM_05`2i545)^X>GHmK3B!Fk1W5tCy=&LCyjIA?DI1e2#71WQ=zqP}8 z_5_-S!y2nm7i1Pa%_4e?fX6_HIe&xu7=vKLsJOmzrV-Vd{;I|mTmH`@GoCn79`~R0 z%rKXZd+u1cI0ZFnw^CHMW4`$>jNumfs3a?RB^R9+ED}>yT~5U9c?q|t{LvhBhPXB)%;@KUSuzp3Q2+i&3;&$QY*S9&a;6PHZ{UHP6WO_wn~WyGQTTe( zlN+ECVT)tlnh~mNJ!at0tiz<$pmLpMQ|D$hc^npC3t5#$b#|y0Uz6&71P*w(UjwM& zo?gi^?ZwdFw;(05^7Hdk3r~YN%%Szn^e?bYzO;2?dv3;d?Hn2zQDaHDhurzq$P7FN zwXG%`RG-5tdJ z(1RJ{4-*es@5IKw?>HlWPG;M-WXApxC=>JN-QAO+%9^h)Kjyt{a{~T|4Kwb41h7&L zLTd3Lk}p~%d6A?en(x*&K4X9*3n(FUDRE(9e{4W8_acIYZ`d@ZcUs~&FK>u=Ww$x# z7QTrxs`bDuZN|npl9`pICQyD4x6w)YJ12u1o*}XXj^J@q=|NGC$omixE+K(;|HQCQ zwZ8kmNM`NY`4Mlw6Tejt8{TH#gddf1$|qS|{}_MBwKep#m2GnO#%C7mGqSRTVRz!r z6sc2qC-c;85$4@M6T^{8FvCRYC|R%^BnLGF9pTir^uyJA3fGgbrNHy z)iQoV^R#nfzB1v)4Y#*oE`lVBLm(U~+BJ^pn}Vk^*J&HC`S8S|-2=_&5|nRGrAzTu z=XAcGc#8brFq8Ty!J$hVDx!iYy_})H5HtN5B+x^OE}YE;hUlV0>H+mG3HOh*W+P`( zrf9ew?5KsHDZ>zJs{{Wxzog6-%tp^I{X2&@D}kdj5MN8z*c1bfp*R_xkq3rCA39G; z;*wjpdNN+EUc)TPL@{N07s%m$8cqgS>dOwV62}-KUhQ~gzrzj9LkJ^i%t4om2O)23 z(cc$`w&R{@lr^QWciJi@hfr(Y7}VJ@?tL)Q&J-i`^ZM*L znJYirkNVB?tAAo$J>R3i@j^pvQ@JS_Mh$po0tNu8wxa~}R#hT9) zKP4tFIRpv7f)TVb>@crEGfhRu(Bz_>>bVsOOdDVnZx5g-LO=zmV>20lF;Rq=7tewG zIbvUi^9Zna2kyv^yLutvy_b0F*6)3IhE~ZV$Ju2dlVUf`zEBr|)-#S$SjH9Ggu;Cp zCew?)twFV-XfDscW|{Nlp-HwS?q(lIUEk39wHgth@cvji?tpj)3ow7^WND0O7D7h6 zvpSqxFgttaE^5u5P<3_>&&xtN60>b+~nT?%K&cKdXyz| z)<vr5k(qn#W3x&aqeVYPQR`i$ol?Fs5JUFi->pI~6ra-Ij~!R5Wf43+!?w`n zNa}Ziq$*GM5X&)XTE$dzBAF5xc+RO{F3JeW!*SFcxcpF_U+pUsHIkDHo4 zOCDKlsO?76U3L=0HeqJ^z@V6rwtOSRQn2#MyxBbLj3C_4@PS(>cj3RSfz>wL!J2Mr zqT*l1X2YeMU!1Ubv8K7;Ifwh;!Ygb<>F~7lHm4FUP0Kd9UXvWNz6Yj~mrz1G;8%HE zJjiLd^3uN8-zj|)osC(=NYJK_ZR?~l=DCNHEgGF62X@_QspSvZ&cn-_BO0V7eFSii zxCvK9AMytor%IsgZb(WUSNNua%Wm*Hgr|}6U9QZSLX|fLAlf30380d z#F1@e%ma8^sR0N5m9&RHq?=W%WDRyvFkBm!^YrOE9p){O5fP*~!549B%4@IvHXA0c|Hnqw{KtjTv(|Y@n;c43h-pH@lk4@=t#vp!*+2g!14T>l|+U^bS$k9`Vc<42^D>wz- zT-#&h+@iP7;BPmbsis~!+X|b0Ec&`J_k;hS-geZXu|V2XvV-^DQXY;g&b4cqPH1}G zE$(N(ElShR?IyoptC=q~X5UJ>IT-x6_DSHMA$eQu=oEq(Cn6loX4mJR&+Xfp`HsG! zwKO&QU|^SE^l4uI>>at^%A<{y&_a)o6vQl&Mde`qyq}qN8)y9V75SVb&Ez0z<>}k_ zvF34+&!i4d8PtxK?aL_H)QL_j+G-KBT?a;Z2lVshT7*2LD)di3UfwRQPg^V7Syo=L zA%#kMfU$+#61g=~OeImaYRY@WRnuwAJE3#kA73-Qb*SR~zcwjm(NnndDwA5i<>PQK zf?n8VmoJTCe%~RU40gt=t#6X|bW1P?n#87yXdCYzGP zC){lx?ZI;O)qC8b%4|a~nhsMng@`o8Fpk&*%!$}{*YV@SaCe{!3@D**2vd$KMMY$t z^05@*fa|@_Tf{MSGk+DJKAgwgeGa5T*#+cszb&+uV zK@$zN!3}c8EW_gt<-OUazmP9P)5@Yiu8NItA5GniSI@Z#&NDQ)^`SEL0QLigs(6DxWh;NamSazSszjT_q5PDu5rYEyHJWI;N@25=PnR+Pbfv*!9nMT(@{St z=9yDI+T}AU@D5+2mVd52v!(j`SSg>W{^L70ZcGK&4m_-tH8>HTmc*sG9s*y9V8i8g zU+NtTx56bl5}QB(amk`eYvmD?DbSB8KezNR5~U7FeUlUSTRV}H%d?~97voaXoIbK6 zxSPk&MRbQ*v;}dPFh*GfNrgQDYHX%K;xtd|j6_=}tdyS!;?fZpP4~lLzk*;+1J6JD zTH2@2&d^3c|o!RZ9PtFx~nN6$6JnH!2h{EyoUn(9{xE*;=<{Uxwfg&GJ z_f>o~Q+AKT1PW(8N@A<=@JLilp`au9sR%Q9ww6eq!ZJto3@~^rzXebDMfdoc*R9K2 zvf8~Bw2g@%UJB*g(A3R3{+(QTlS@$%`W0B`qgf=3gF+vqvx+V4ch?avw-z2P13TgS z?4Rj7aT?jCcD*H@1ako+5h*7*Tf=8RM8tWnrc1_%k6vtVdbopIBEaZ(pYj@QV^l$m zvP}bi(xOI>eJr!&%fre5u>n-zDmTIKh=}R}>B+Uf5CZe8T{{S&7Yz@O=E^OZMN>|( zn!F6ljpdOHZSI}uz(ImppEjqmpD>P5&oCLl$W;xxq%e^7UUJ>S@w1D^w_=DcEt^%t zO~OK}G;V}_qdpfJ+q9lsy{ms9uXAPNNF`@#&G`NgJF>&SL`q#2#(4*;_FyoTZ4o#i zE4?wYbi~F&{{7o?-7i+7*8X?X;XrZy6lMnfM^5JMdqlKZ3I~oCw^UlT?R~3$1$Dog zvDxJl6Gs=03Rn0AYZ{-rXZpC~#@Sq*@6;t?7p7lkx4NcXisI-N+o3r@TO%qnZH77!9FyAH!9-0e7QLs*wt4bw|E?lt}E$ zaOV+CXAJ0-ZdRr|OFdK*HwN83lx^AyA#xFQ@x$S1Dwrbk?E*m=dhvF{ z_5(%Tmuc0HQG{4Tw^Gh*-L}n71Y2o#aw<(Uh%H_`djg|y&EG8I8yrx!Q9(fiy$2=x zGS&~zFZm-zV%Lwo1p!Ix%iFm7_wVm(e+IXftg+cY*_1%`(7_fPSua6#t^jt*bySs# zYX=r$Io<>0{|11yo7C`Ftgibb-pi5SXSg-35yi@h+D}12m6~dJVriGN?>c{{=;2?n z57J!!++tcStaL@`{PBkIV=h}ynE32M56ry-p{P;YA$cUkKCq79un-N4ZSFmn=7Ol< zyvhba9O%qbnX2O^XbOxV1*795Ej|7E$Hn*?Ll*BR-xT#ttaO{L!i2=qoN(Qw?cS;G zAB;}jI!x3pZxa034}E-?zj*U`3iZdM*1hd==;%inH3;1#r|d2G@UZw*eHGF2IrU~|s|_$s zylE>(7qn?Ml*ZyDMqRGHLsyy z6I@+cs$8jsHt^Jacwb#Z!wFD~V_Hr&pYwaAwdxqkZ&x8TjJixTBL* zbZY`kukbG&hb3%({Bgh9FwR;xo3neLIcGEc<@#&QsXs4RbEq}4w(#nG^;4%36a0^` z8MafmZhZwz^R_!38JNNM!tCqR+uajbT0)db3`A{IQd%rn`pX%_f!U&y$=-z1qKg(6 zIAgJw{*!6=R4I*d=)`8T!Scg1F{K$2{0zMAr@}K?t=vKT3*eP9vq5t@5L3&%r9=1; z&=~uBUK%}A(SN~}eXLX->^|lU6Yp1jwpXix>IgZgx=0VLtqMpJcf-$P)9CfVnV|D4 z75UOb|!@KPOVwc2YmyzIpSAXYz=YeLF6M zhdRclpvTQlsrak-^n#w|36h5^n#aTLAo3LdyeC72H14EPH#KHo5Td-DQ4wC0-5;wp zS^C^$NO9V&S^BEr`QXe^+%GYpjBz8qD?USaD=zM1j4i(*N_`s?UHcb6=Se$GYXTn| z-FdfNWpkfTcO2Umzg9ga?O{Zq2oHy5l2)HWgPU?v<`}#)CRP0Thkq$OvJ#IPl#?@5 zpci2cbPX9<+>zNM*x-rEKsVAdkf<$nk0x75&o_DugQ$N26(ez zbdd~T5$?s9E3sq4RFW{jA|+3TBgKz$2cbMBX9(7}Ei8Wcl|85crg(MztL4x{+s;O} zGoTCHW}jR+f(7yQs~wD8m==a^9+dod87R<{Q|nPDjM08r8DW`I4+_?HkMBl=2602# zZ)2)SHc%nnn0KU|D4SuA5>yJr$RQb<14`x>Z$~NriZn{u7^wob(Jy#jT4&H0Ml^c>r=tL+JnZBjcDE_cHi5OZ zdi(;WjL>{%#KRC`yF9J%EBY<>rZe6Om}6+%L$v-FroaH-Xi#aZF!?3cO@?WCKUYnP zR}V{{P9vC1_1JW&3l}AV@V24EfG=sv5xMfSXS>T8r=+(S8d+jde>^?L;XdAYPwv$F zE7NxRXm(seG~crK;Y0^`qnD!~mQ9}&1C`PFMTheq>^{GMk;THcG#Yyibyc0jLDLs( zqNn*Q@xLKnu2$pPFLFs0xSi1e{E5i{X&TBF-(L?;FC2wn^AYQ{9ScN{lEgLiKFE2$^Y)T&KMFbt-+sC_}7R!iRvw%T^|IfiNi7+zaQCGaaW@jV8 zSi-Rcu;>7wKM5zc8l61v(C~~0rNJRqcxphA6XAG4W|zs!1TGj_*nO4?7egn;YkR~T z7!19^UTpRl)(B9K0@|Fk{QOkO`^1%iCOe#ySC{X&sXkhNdtWdPI@`f|BlQWyC+m;< zPB9QRC#k1kj81arrL`A4!?)d(jTP6(F}s>Z1zJG>-rpL=#+)eTBS3v{~zsEX>e>f_dw|>&1^G5x(%gNzp>7Vu7)(2kaZXUQ$ zWxwN!Ll>*(_Rm5A9JCMGm~m2ur-_Y+Sm%Koeoduya=9&+Hug-U5BPuK48#UE$C1V&D@4C& zA%rRlV>sG?#<>CI^vyHK>echTbE7y}}yp9w*}*LjRl5ut(Qx9LIFe zB@CT)nl=e=XmGe3rt)xG#63p_p^r{})J2od6m~h@>U$vxzqM6<7(uH?Ce+=WS88VZ zb5}i_9O@l#OkF75W9QQiTN)UEtiz;WQSSu-#i+edC!wO=N`3a){H42uwa=&oM#VuU z*elWSlNs?dP#D0qMq?6A2v}WWf*Al;KAZ}0W%&vYvN`b6W>3to;9$crGyX$n;9&Yx z2iKFQm*!nylE58v(yTM*XsAM;FE{F54q72AyQG`HB3ivsd1>zFH5?tHN=oZmRc6@W zHNul@XmCg=3q5WKnO> zdV78k*Dt+3_vWqeCEV?bd1^B2_o?)v43ym2A01O44jt5BmosxzyZ6%(xUn7NtVi_) z@fR^dAYfc@{4r@`2OJpTxPYJvOx?iD;^fDp=d_=AZnG*50F>1hmITfaMMuak_+Ga1ciiTFS{NkA z(f~PwQIIMW8J~KNnW;yKlATtz{AWxN^q0DSUwr_1#r3JXoV@cSI}R@eWLET7tM&e_ z$}tld)$>D)^{MdW8ldf&fPU*b^0>neZlgG>9PHl}f+m955GHcT;+%r%L=@JE=&oJ7 zq^_B~=Mu5*#cVukG^_K<=hhPwGyJZNQc|k`sct|bK`;hq`YMFTDS$7NPYx6%_n`ta z&CXS^2#MUQM?C^L0)ZSo(N|&g;w3g<)fxHtYv;sXyVxP)t!tL4ypG>F01Pw2g#Qjb zSg5|aw|lKj#ex{rxqR@JiMs?p*wxtk6!1_m+o$XMHyRhN()n*>jw(-fVL^fW#V6VT zoHe$nf5RBh#)6NGtDnDj?_MOPGYOBDU(HViu*0i}IczY@;sqkac05Ft`JVHio}J>J z``JbKgEpw6k=qMrD0sdgBnIIa=ubSET#ZX46#urreEv%1FB*b{is1nGj~<|?%s`RlvQ-p-i3?&KC3oT$uP03G@yn%>8(|>i{iz>nQE>#f*)BR!!ak`Fy4?Q+lyOit$_mukl}%VgquCg+HQ9%bi~^YDgR5{HZQTDnlF!L#JhVZSN^Fw zprAbr1VGsHP;tOJL zHt-mTs<9Rc%m-ZPr}nS8pf!g*#+=0#vf|0lLHrq392qp2swF&uOU9|UWEYN;cj@}n z-~|G?LYYtCk#8O&J|1=rnoR(Dw2=)1wvr3?z6Nxlr!Z_F`TKWxIzV~e$alpS$h|1o z3vj^WKns!pWwDf>k52UHWKdtjq=I_3sTeK`;M}-@i44L1Iq^PSpCE#8c`(sUD zLg%ByWEF)r4E`5#c8*PfXiG=IIz5`1gHU$iXJIR0t)W!G2EWug9C>0_f)&nu3V8qC zsgO;J zYj5k$Rp_61>c8Sg)F;+pTIdPdNN({3iC#rnz_Dp$Y8pgd0JJ1@0TDhb97`Z>$ihh+ zCVkM~8IF~B&LLv6WgI_jK8@3W9<_L;v33@#xpA(_G{K%tDZ-fzIA1m%Gl-5lDCo&!5J;POYMh1mJR{Ff`H zcY!!k!7ziXRdq?40=pSgJ<;`R*M>^$k0VE80!lDgnCuj!LC1rowFp}ll_yz@&+7@m z_wPDMFrWwEJk+x9hF-tpm&p3aP3~)OlHa&E4ay5fQ&S|bU7R_$QH2?i)q%QyCy)u; zX7$0<3xsby*)JGNB+(N(thNlYGE=ZF0y~^vAuw)xd_;z#e&E1?V5p&|*Lp|Elugo# zG^}re_|sZEd@D4KililsqTMbB4TP3b46{3HuK@-ka$xV%QV5&K!}M+<(*`dJ8W%M1 zT*O>~7*rq5$&2k%KN3Skyvtg2!6WAhH4&k^~nK*}f24#}GUr&_eo z?hvTdfesci4?oo477wW%2mrPhL6As;J9=gzAW98Rn^ZhOo1k%#%Q12RrBMM@gxq?x`n1Yg71oo#rF zh^=7m6J`E)wXmunGWExDtMosWFj9!~2j-Yw2J*5FT>uFX(T5iOGuO=6?`L6#!-~uX zsU++-8r`EC4h>lRC6qNHh+zoXn*E8;fzrEx*MSr86%q(Ttt@d(Cc0Yv+3?2g!DzOr zdoT8PThPktRni8g7ZR{4@DWpRE)k#=nBSqg8@%ZeE)rcC_4#F^AvBlZhg=@|bL5FR zO!0UK zD-tb{TLJHfSbgKfjb@t;z?gCi#$(- z1TG}4h5Uj*mfS$OQk|L2sUQOZr3n)d`k2D6zbE=C-)jg{K0H3M2KE{h%9G=j8{oY3 zQ0}JY!WRksk|(c~ms9?(jB_aE!dfy2E{*t{NGHR?s>uC?iM$;XX{{p>Yz8$Be8<+T zJQQr6(VhJ=GNb)D(e;uTv!*@YV(Z)UFHiI_x1#ZB5QnrmEIve531RrH?B@~;cVN1n zYR`qre>KD^x~tVjsn^r!Hm?{jh8#PPJEYXpNF6e8fp`X!6{#;iV_VhvGN8TiCVCX3 zMDt$(4{NZVBwEB`5XkXu8^0nC`e z!HdK_|3l2K{0wIAEe69iM4*rvwY5qxh9eu!QqYIWPOo?!TZW6_|1;ajkc+0kWeC) zmrXS2_uc^how8C!wsl_KyVn~aaG_Zi#r8mCuz`(kin$@KT{!G8C?X*FP~^teM9?2; zP#Bn!M$LdDe@E(L#j3NmwxV#W3Buu0i}I@#9{}~nr+NY_!yyU1JUlVF!tm|aI z21Okf0PwX;w3zW181N~B{DdM}jgws9htU9-rjnNI}1GEK`b6D12k&KcIRm)flmmhA%matbtz!C>S z+c<$L8mFjEtT@sl-zX<-RZi zIBw562z_BUhp=!o;QSB3xd6HL6$~0STsuXYOu`=PdBvb}kKnqck5>pnQtmu_@;K0# zn3b)A#{p0TSKwb`2L*0z=Xj(Q7)T#AIXL$NIJx(ZVJZ&#r^W?tmg-y)XVS8Ya4|9uOk5_6{(oMOZN^OaiHCc6_1NHn5eRX4Fk|bDFM)fb!jcl7zPYB zNSQrRN1%eb$Vm~&Up19olA1VI$y-Iz&(Jtg3yDn@h3m#8QkWvCtBU}RLL40o6jZAi zo8x6FQ|YVxV_i#K5yFubO{8`h>-fz7ibRl`1~mlK0(BB$k-TESwaT$@;PvMF{|Rq6 zV>RK$9cYiEGao8a+3ZI&&eISB-V(?HP_|Gx=0UlNpbru4$0Q{1UmN3QV{AhiL=FyS zTn2OP2i0C-6UHPZg`+Td#Op2iheg4*{3NeI;a==bk7wsGJbVs%Q7+vG{JGUO5~-1t z8`a_J85Ls6h*X~GZ1;;-u!{kk>pDaW%y3>1fYk?T5+Q_toLl-U{BHNiOe*vb!f>Vh zr^1mXi}#_pW1Z#@Ps6}@rmGqsa*qk1)*Vu9L(ViJ36MbFJl~E132WZcISah4T$$W! zHe%s+XK%j#{meSgKO}RsZ|3B(A@SRB7o5M=;e5>6uh$>W7w>1DcUmvKw#)A^_B(c+ z|FHfirV`{{3lJTNIST5ljnYT(^vJ^%Q&STrXj+`R42@U4An>E8_yC_bKspX5BdIdV zl>g>p7A5O&J5oP3K}8NC!yvl4hERmC&=;_ZX($BhjJS;$uTrWYsFAw`0x_Ni5<`tx zadNSP-w~N^UuMnRTE-F>0OJhO#Bu)Hn`&sSNG-|xKp;ihOpt2PP|#j%i=|EiP5dzr zrzXNP5oTOt#9K(@uXq>`F`&psln*f4`6yK=A)uc0 zS}#lav*|q!S|rttzZeImnHy5KP^nds=>G&_eWKE;U@FpyS{tdR0N5Ter@)QDp*vEJnI0x^W{Nsbx`8@R~?aA?B&O8%zE7@pCU)fnHy=YNJ0u4~M;kKjs}j z{!R6=sxSb_q`ZU}OE{+e)32_=EQ8Fr?YA{KKCQpvB@9LK9hV;>oKdAlJHe7FeQ)4?-Y8_e0gI!?Ut^ zZVAF8el;$U04PSJ0t1@@QY0XKdpPxQ$+O~fbCM$%5*calsKfa}%6^n8gkXoh{o`;@ zKvQf8Ig#NQh1(p;w)rzBPO`HQCn3bG8uhTN3ZNmireElzAc)mHIaV*Zh=2{Vr${1i zBM{ItFbHZMrrnrT1Smsn$-NP8Vk3+@F`#)k5Z?}lOhCz8A@v`!OrYq8lh2GAA&QnH z{vzr(wgZOd;L$~7LN8p1_>S9semh}kfs>quQ1$z%@msf&7-~7}^p1a??S=}&@8tfW z@z%Exvxz7rU3zTal#S!!Ii?`EG&p{oMK++bIJQTblkxtLf3eAQRuX~HBC=U-O+1F6--~;3)9#%+}t>3`lK``ROizUj9cD#xNzhu zH5|2UQX_|L7$weuD9hNV>kg_+(I;P?voLaJM3-nZ(rt{oQF&N#*3n?%K@-MUwmTAC z8-y;3Y}vws1%ajoP27s99nKpoS|A71UY_p_#i^WHT+EL-BJvwtJC9wgb}4!QDeeZn?IGk~Uj)5GSzi_c}I4LXkoRM+*&N(7Ti1RW)@d z&!TYChReT=<(xl!f7JBDokOW{U`g07L^)GM<-=yq$?$-rn$F$fQ-k_jlNTyO#rR|n zN1~;b$_mX=7dkZBZxIOt7`>ZVdlP?6$SU~hrjoq4he`GfUH1wZxd{M)hfvjtLWoa* z;xt|g`8yYXU5C^F(=Ae(BV}olrNe!6HHthK*lf8MJg9tuq@nWNiUX`>9bvX| zR7L?uoU}&UGq_(H`46Fum|#J{6h@ zaomR52RQ*dE(%)aMt-(5+|tMsNarPK_r&i4Pzk#t^-Uq5Tcnw92+T7Ud>tbHf}|dT z`Y#&m^aP{txRhk?lMcoM^IP;(V_%-zQ?GWLK75n1JF;|lA zN)>-n<}O<|-3$|3Y&LQeZ#?_swx#j4G-hZK_`T?{7scLlELT@N?x~sI*7(tnlq&G<@Q(4aL&+8XxI^dHJxDx|zX_r6=JSfuX^-4%*c5@O3;HsMX-Ykx5jVU+O6aeUaw-w|c5Ioz=upO;ib5ug-<)+l8k z@j%n!;omgs+vCvU^k_CvZ%BF zO-M|%*xs`{0Db%+lt;jC0(JKQse+8aE|ZW!#ntvJ-_f*GI_%K$!P(OnSg30_Lxy$F zHdB}nS}=Jh&qES3J1c*un~|1At#u~V=(lmhR!K=NG@SN7w)ej=;(hM-3pj^ddGqFt zI$XvK^<#fvj0jovo&v9_ukSouc@C=md-e$O|4!gBb=UInq;qM0)5)2eRykgsY_94L zxMI$|@X!3&UdX*5E49j4=$qS}j7a zf%@K+))A?jp6H*_dUHzjq9#t*+JUgTMQB%`n7_aBery)U3l^fg8boO^G4L+oA!lp0 zxDS+^PyYQPA$t^fDsx-{UYzv^h^@Ka*?Q&ZgPJ(QG4-Ka_m1rU{g10F{nNz!5f1hm z8a-{}>j_$zGi{W%ES}lmw@IU`Ox0Jq+K-k#%R@sM~J`tCGBnp+UT{KnnyiAVne@Gn7)q}qe)(;?vG<$Re${hFr;}TmK(2J&(bNi~cKry1|~{;QNVFUAm`5!fTE{ia4TKe5Q74Z_R{=M1wYS zWs#hrt{p3EI~(0mk;fdtw_^_co4)=Ta#ck05J{g&IEq`Miqu2H(*Smd#SWz7x<{cL zT;40Sv>S&mnq`=XaxiO2KdkB0V!i^|6@^&bgCx$!kum_yNCTn-auWWof7CPU+_ttk z$t?_bUgn?rD=TQ;&5>j2Oj8*Ya73%7H&oW?lcLJ*g=>0j;g5%%zl2U+Xgho6cg4U- z!KfUbe`gL$^5R4x5@k6amBVKtt4tTGUnRLjU4AqCc9jeB9~mS(CRbLZxpuY;g=pBQesZC>Oegd^qR;qkuNAfVRa?uZH- zm%fD{34kzbVv=rneQGyK*{HXMna`n(?WMuWHCui#mUKlpoIKE+GIYCZaSez~o){85 zgn7~9NN{3WjY-P1|Ir5t7|2EeBlCtf1|v}Cfwta;K`W!;St@EX-F@C8_f8@aIJhtN zS)cRs<#dy5cIxe>-hCO`fM#g2f{&Y-ifrHkB--+J+rl%x$X)sHO@*KbOrN=9Uk?v%eXJ};O~ zfen{Rm_yfI%bdBB8742me^_~9_Ba~1&P3QNJC?4#`r;`L@j8KZPw5ps{|J6?o;}?1 zRBP{$g>-2zdr|l5=mt74VMgtB&yD=oEGgC<#H1o2A;HT?4A)SyM!1Rp9LU-kYYDIv z`l7{SRpT4Ygz!J%uQ@R03|y`q;c;l6dMu$Lt9nVECtvM#9W5=zShLrj*Y~X$4~!|d zAF5oRHlgr$W!jEq=n6<2fenLKjvY8Y=AjI=kLzX8_mf-|{*c_(y@A7`sq)bcs!`3u znz#;$Hy4F8MNgu~Z{UXRhHGIGEA##UH7o9d2~(ilU&(75oQkhRD<$W=SHTyBtHyH zrl|crpcQe&KmMsX`tpMR?2-#Jco4z$L*lWmjAU4tdS|$6m$LoLlIxyT5f=u0sNrIm z4{J{Uy{RBVXYt}LtBQen73tp^7lzRm>F~o({jCq(%d*-Df3JQ+`-|DG_qO>PVu0V+ zRLch7ceKCUxKz+R&T0J35+hXDw{P#3Qz&|JJ5sAF=$|^Xc6_~ZqM`L~&dK%L2Bo}( zv8xkHto`~>gwc9F_-JrXnb%S&&K$TpZHq>}yZ+B7c#wGc%N+hZ z4NLa&UA3}BtbzSZG3g!7kr?T@i4sHHje<+Rzu(Ye_Qw2L32ZTpGfXYIp))-hEz$X=J>XIp-Tzjc=R+M0XF zx#Yobs~`3F24+Aj&?FX`dZm~zKY-bmw}^l8dJ(8oL{G=>UKetT%B;CM#Fi~6CUo%Y z*Uvbh_hb4BX$|FN)h@$S())?|{pfrt zLd1aBu_NtN$xD-LgXyJzF9~V89ZfA8MTcxDXc|A3!Njb-?1)4 z|Kq5~*)r=1iM-CG{Jsep06WKj`~16%)tYt@Lb>6#1|7Cq4_ol0B zUONmTiWx^pzKS9uAu;Uoplt!r5jk*N4({2|T2yUgWb(M9<(cK#vhH!n-1&nyzn|nC z^xu2CbVx6t>CM;1?Mxs2{kSe(s9cR~6cf3eQZb+MejY?Dj=qb8Gl}89ww8PO89k4Y zy2kuL&*W?5YNg_s@v1!+=dZs>j#sO%9BydbA_9I8J3Jorxezjn=yQ?w97IYdP~S#) zAl+>CX~n(LRrYhIV@t#6iOR2$uRT+a7>f?*8(v}ODx3PnDF;8?@z22p>u;&m`~L&2 z4)vmZSGP@mi*B30B$x}ZY+_^%VeH(+ean2(g^x9SPw`UjZv35<=bi{O(o#|=P-scL zj`;gNl|DK=4`jM+Q8#H#B&JU2=@FJ&-=b!@f}v;9m&-F|+SBndbR_u+b}c8b{f&E+()zcB_v_!Xl7b9B2yQXWl%gWzp+2ZUSvt(n0rl}KOCMykiZcQ zV9IOx_SJ7snRS>okO8+nvDk@O33_A?4n010f7zQ0@CYD*1-FmZq4P(K?}@G5HYMUm zVHFW~17%uF<6?m?w_)~_29=bF_&z6s2E_1@Myio4hWxyfM~;ZtSGNUZjy?z!`9$+! z&|CFuh+SdV`iL|ytJw7Y2*l6G+EfKlhN9KInj!}k_r<0QBk@&^i;JsJfH$pf?Gi4j z4u+>)HnMUu($c;inBHT{yD5GR%z2nvUF6(*g=Z~@m0L)(JLFwaNe%A;dxPa%t6R@K zwp!n1DwD>Q^Ax9J;S7B2E!(aE7{d50+h(TF&yzh^K$ z65kyuQ~Bje?NVifWbP)$)p``cf)zDwk$n1t28vf_kC(j5ny7W^eWdiglKC1As zS95A{7BhNk1k#7FzpQODptgs2tbW2RsqJ#Spg?ncf2^{=?j!Ph$JZN=uQKev8L*^# zzb;;l_TL}1>yC!&1Fam&(gip*nYHxm@=s(|?@jC2pTA)aB1r@eni!;cDAZqMaf9_NkL7Wl{+%6sh0)G6UVDS zUqmB<|4;Y8*CZ&hh-a;nFJRy0IERD#V?9{Z z?=^irk6wlk;9#Un*Ok%rtB7iC3HDj%LfJzm=y91=a%#GStA=zq_d3X}FGT}{n@VeZ zORoic)L;o_qsMV--)$Wk+W`@B|8SAUL&0B|aXBi*Y2bp%vfU3DZUS)^#~S=Kg&Lj# z;)36zmlWCp&!b?pY+`L~UDD!Ms3mmTOjEPwzqwby^VW5yJE5=a2O=jRo+_gHCPKT% z`KaN_`pk>l_}MmKko}Ie-+lfS0iwdwyfdLTt_tuU6jSZ_rmk`7A?L&NJB#->Td(o@)0EJ{+e`!v!N@h=Jc__WtKLs5nE=mw>nALWz(Y#0t77D{E4<{W_ zPbZ<@KV9hW)=UJ82lu#BY~FUiZ1h!IGvdCtd8KC5MUR;h!f2^LZ+-cN{GZutGDkyf zf6KiXf4cEs%lU=TFlPUhubWo*m}#i2F?FZE+Hzi@qJkLVN% z{@~YW(<0jkz$KN$&VG+{u&Z|?SDOX z=Df4Hr1_e6``g>@IQ2f=S-RPgrg2k{TsW)mdKvxq3=}TWbd6^=HEvcLH5*y)&pFHT zZ{VU&WeV^~qkildn{9%3*}PA=Oc!uN!}HgsTF)EOb2pZsm7p=@35pf5O7?e6pOo4A zAgwBaze*-}N37{bOXn@>^ePe>^nv+N=e_xp)KAz^LV_6o4ByaPcQ+-2lEqv)xMOxs zjm?nL$xtpOrO7hxmhOJKH2RBf9Mw&ZpHEmF`TM0JOtd+6dOU(YKq6q%@1F77))+kgX7!7Ers)5&9??jB8EtVD)XEA(Y)#MvU(#5?&cQRyj5BIb(1cnZrF8c!Qvttb z`|o@k*#vMRopr{q64eCp231nBDJmjjcW(RW5412G0d(;%=1V8zqiH$vjHs5NMMU75 zYebwk6&0~2RhrLT71{8=jV{*WnyNo6??XC z(miT08H2C;5h-sBgmKnc%1;caLmWHz(kgc?`aefwI(u7W-!KMi+9HbV1!RZQ^T{G4 zY#EeY%Iyogtk=j0%b($g$!_mAT(IDbTH_Xmgq* zhsum?){!hM!Kb?%7Dryb2bpsmorGP6`^#LW`AF0nMvow^S-C z>07wRejsb6V7vAI8jkVy{jG%lyzeZ=!-6@|)@o+!oI9Lt`W&Gm^3qlA%GG^d$@iPw ztf00Y!d9uJ`0{9%1N3o7%h&^Aic(Na7K>;sHTNE6-OE^9k)J2{OsV575dR<-ca|qwbiqelTLNnFt!`SSmeCcjPy=yr&D?;vC zwvNsEy_)fLEVfdN8pGI@AJ`yKHnL81DI!5V_+&0OTmFzuFGe{;c}8)CT90}ee&P`2 z6GKXz&V!fQ878Tq!@2>z{;+TV24I>7(MRma%fllWFFtCh8MZezeNN7B{(5xuIa6Sv z-#8YQpUO9Xi+PYvsjXYfMZ&)_QkW$4AL%f^+`VmEt}bXF7lUHDrOtbXPqn(Y(BJ%S zu|JQ$AUVufDLh7kUBzAL_PMPR-gk_Qs-=Yg{*6x=F5$bS>0r8!J|K$y>>%$6Ri@!o zij(HYjjk&i>zepBP5#~A6hoVmxmPB3Y{$IBYmMC&TqW}YuiZEGRQ$aWOfOL>U@KL` zFUm}j;Fa8)HQ8Wi2Z(+fYDal*vi!=Z&*@gC0Q z-Me;q#_Y?mv_qEQZcn^B;A}p4Mcrmw>&!V3`+*$>*`@~oftecUw;DKmwYncJ!}FOf zj{64{b0Z;ftUb_8Wu}DyP7SBk#t0M5w)io}=e)=EInh#CzI2&}DSRmn;$FLKS$0cc9q#rsxmCp)&t1p1{t0)Wu9Cd-g6Bl_ zp9n=?oxi##-2WctXLRZds{WMa{9s8aBs||D-RN!#x9*;VimzfXR=$Rm+I<}N->UDX zzLGrUQj|Uqc7;SiK2rhYzEbRAopGtC(Vh%jW^XfjF zK6KhWE`u5hw&8}>nYGZS?!bJe7Duu#NIl1*1`R;y;?UmiiZ+`E{$C~c;>6HrKDAXp zR;%5EPjqNEYzaims!`4zlv{7;S=pDDcIuB~Qs(nC>K_)-C4fyW=3KWg$6Ik%2T8bk ziT~cOFZS%KmyPsc+Ek<$h;nr=4J z5%fKaJ;s76d3z_mToirj^W5A*N5hy0XzhyL3V}t7&6A^LCB;SYEKgUti-t1(Ik9t_ z&6iSbC;#&b&s@5sZxw9MOrp52^Vwtl^YaYdw!2DUyL`8*Oob_#hS++@otY`DDo>1# zJL{soG?!Don}|Wzt0R)Fsdw);StH_Nlx-$JYA&d);wPR?wS5Z z4lZtI`(o_KM3((@hcT+m{9KK@Q4)c4yF z@%Fr*=f1D|y3X@;K2aU^t7R@J7rv|RLvv%^t**Aq1s1Izrt?7i!&l5@!sN>md&Sd! z-n!I3e&@NAXU#Ru-C?QI-xcS^{7s(uOKw39^W2NpRCP(JJFFjk+)U?-ub)hnVmm}5 z1hFN{pCPWjN}<{^_?0F<{sZQASGtx~&OxK=QZq zYioTK;urOSp!{ZfpCdfui|!WY{wWO^D_IH%a5i~_{-(ob8A_=T9>dEt8n(M=GDIme zT6g5;ldY7jpx~KGR2Rz-KA;Qz*O18CX47@dT5e;|fT_*Ay{#Ow2jNi-X~ z%0;+gN~US479&0PdGJ(AB2}q+a#4WN;}~1R3)0__z0oW~dG<70qsXTygHu|0>LzZ9 z8ovF7t_vK}AvHlByy@?e+Dl)Dn*qP{9R4Qthd<11R-&&n|MoafFckY!=9y0hq@erP zgYLhhYJSfoHhK2zOCjrmBJciJ`x8WqWau#L28Ao)^k676Y-gAyV}5k*m~kM6pZeFV zd828+c&?SJnTJybnbhBrVcB_7iE5bZ=q9CwmB6OtT7V{o@Mk|ii*X#a&XiH6)H1Do zHyfaM?mL&_;o~+RJv=$NZoX z-cHFkqhDa-$KH%47g~PHUp85>Qr+Eifs#eb*j_MUP-0-4r<{Q6r#wmW;Oag8)Dm3< zP{C@RKfWPlv5s`oP%Xj8uRHJkbl?{(2|JfEALDEV3vt@$G!myBKjowko;Q} zhgn(7%pX0;4Vv3^_(Z}xw{1F;2h9A~pM0cbVP`he)AE~q@Oh$4;Zl|6>r`@@tu${Z zXQLAyba$7!wEZYu{Bg8a-REC>j~J}Rfj6)IvwvvsG4qJd`JMo>4OpkGAGmBT=%rJ? zm%+@Vyi5lMDL`BxHXDG#)_}0{1CC6vT=%hE7aV{Y#hCBN2(Jvx5ih-!d9Dk6$qn(*8*)pL2O;krUT6S3=* z>fmCS^OPR@zJctiw-#)!KFk+X7x$MbP4+HS_T4HQI}Z2o4VXx3&nso2Z4bTIo1|r1 zZDz{*nt}YV{6gDA2z!t**1y8=qC2|%bX!wG_mIbhqwF5!h^6|tZ%S5~0*(D^f9zkQl3}w}^{0OkzF7Apq{;!TXjlBMO!#QelqhSzu^zhb zP;KaPEc44-eNSnx!)XB37Jzj#7a(85F9@PS-Z|A0Qb9NhiTDil_R)Rp%rt5Q5DavM zAjs83_U+r(|6IKt|IY_$ST)8-9*{8h7?UQcw0%dcy%;uDZQdn}`Z_r~+vB^xNg9C= z71{?PE&2S95*FB|gE7Ev^7J*?5^j$QnaJTGKCrqt36{#ctKTR>j%+nLvx{3;u<5Fp zF5YHbu8~ArV{U$!*dMR>ykMVK>ulQ6+8>{3oKuH^fV<>9Y#az(V8Q(hbYcy3InVJ1 zt!`W!RGJ`P^Sh)5loCYHDTSw0dY~At5)u|BC#<1LZa*RkLq~wZrhi~Z=*n&N^!_pb z3O?Q@AvLw_XsNwPY9&O!ct0RJ0RSh4P&=c`vTygj?TV3w&pxXZ$Q>JII17POR_G&y(9Fy=v$J~DvAEppesTum` za-c0F6j$2G$*#ND8CM!NX0@laYl3HvkP1F?gW=*^zRge#4I?m^(cqs=DYgO*1xy+G z7z5Ue`>OhdR2Ipx>8&kIUz>Q}{Tf;=-K@^g=ck(b;;>A0o5rP`U1_TBj!Yj67&Fb>il~&6k%K{w8qRz=Az5uR7&U`0v^V zv&opRB_3n)@GC;pJ(Fih{JbNf3_C!kT0Z#gywZPR4e%}yO*r&nhhDU6)ZzDb)yGG& zji&t8mo=EV6p=!JhZS26{ul7AmS6>j`mgs|Pp7v2x)yRi++Jr?o{K*AuU_-ebjE>r zVM0cAAO$`C{kW}l6K`V(KYF5j>-$D0`7kHnGFrEeZ%*=em|0ljTOyH+qI;44*MiUI zk~dXK>Ku^d*r7ZGD!8h!2 z^)I~>7l(z0g@pxAwy6a>^x60`3>w!3L+3i2{WFY-XU4ei3{p9oloMmh-5&eGw! z1y{jCl0KW)7x&_(gtR2-lE}ARW^A*sq*FP?zHPa4Xh)IEy~&b5a%kbdeY3=#AauOy zBLv9S>+f3&Zd2<#xHv8+LI#XHy6b8I1fn+#dBz#GCJP)m(P0ym(Ol|e8$l_^|o>#ly6THN+9>VMuCG9PZ^SI``^?b=egLLiCKSXErQ@SqCyNuCcf9)$Ag3|b_!~;rK%++;iwFuKwJ$DH!j} zEhi^eI@gJJer_5nUwwSbnXw_%?m8>VXxyrOZL;Hk;9j}>pG^@jP$){6Qd`TwfEo*1 z2*j1}Qto5h^#e0w{U|otccW0ZZTZg)O6th7kXGMV8rs`>2~#+%IULtOQzGmaPyk|O zihzyf=lf*ol8Yl-A;;(}^L8h2XOJEQht3Fk^os}!>r6l?$P&dSNl>>)r18&BLa?U( zYU#$v0CIU~OJxzZi{*d^w-f>Az*Y5xQN~A|AMO)cI5itVo%GyTTeh|_#2rY8-ayak zD81w|H_^j+lXG|YLy5h63e;V%Q)dtgQuMNy`ZqTS725#e{$nsFI|sW|C9g)(5wxGI zE3f^xal^@g-UZZ1gw*ER`g|AI9ay^w!#Uw4A>`b*JN0rt5jr;Xr3g9X>Gm}LgN{FR zO(ZC1YeXMcs?l(T`w#c|#`0{=z2F7^A~0oDHR=zx@n9UEo6FPt52Dlg9_d9!c;8}2 zdKcaC4%d}<4oNX^%Rlny^S7^6ccl?RDFLwr)D-ag<6GfYi@bHq0N;0(p`HnVJZK#q zq3eS*ZVT~_!S6>@KP?TAX~PT>4)+$==ng=@prBBc6%Dn+bQq;Dv7JM2Eep{<7Fns6 z-!~<+CBgd*g0D=7GCg2Ll30jIT5v=&v$7_hs~w#7>Bun=AiyhXSN>K*8`Dv7)teXE z(8p;?XlSPUFL2|%3&*ob80_&xqE6I-fRFIj5XdNEuRtT#1G~c(rLhXmABP0s?gg2H znVmfhmc!vsP4M;*y80&EG=MBI63i8FtE#d!R<3D4X{h)p7Fr=9!v-`BIiD#z0;7T3 z8}B$ZArXe&vv6?mYN&5zklMc zM0XAMZvVAe210jBuxIe|eS<=nkh()+s$-TE;UK2!QdKMa-@@BZF0=#i4;?7rXSwB} z-^0im?kzb)|Hzzr%La+|@dE1_pfqG5V<)H?*pwUbKoW);IH|X5Zmubybi?VPa&zM< z!EC_ffE}`dV9<;R(5R3}@+J@OZY}0L14~!A+q7$n=p`&_WSMNbB(evnSg0?ty`(^ZiHhbXyeLst`Nt#y z^SlX)I-6m_TQO15m+yQ(Jb*2P2VGmGBAKhmW;nncs*oUh0i#C6_lFVcC1Q9a=STmw zN51h;Dao`v9`5zbf!odkDbSh>e5xE9MZ<9bn1T(7gj<&cM8tXJ-~ePK!b$_2Xe0>N z)*<@X?q0rBBn*3SX9+3a3h9|>#IkacJB37i9Y`6Q5Ti;W>4wv5qqKSszaH^yk)}|h zIf4K}*hz7m$&`36Qkuu{xe2#LSouMyV*?KQN5{l8fXPK{9VEhG>7EyhH=Qu)yb+Ru-sU-Z@-C$arjeaAP=U9a3eXOpdtl9s*{O#Zh3`0+#c#p*=tK41R{u7 z6JS(Db2#_mVWc3%ElWNy2zH1OnIO2=gyOfhp#M3}3Gb z+FLQ+Idj}|{Y43ex;-S@cw?c)4w}#r2j55F6D+(OtsIRbPlGYR;%Ww1P zuMLyP?ii1eHP8zgS|7ZB-Qeb>CPurnI^yR~$hW%FuWVmTIkGjTjpV4O=uN`f|FWvyQ-HfHv+F%t4#~LPHxkh z{Qdj4Bxz-MV>S2 zk~>>uKZyG-_DY7v38Oe`-=@P~A+~g~dUowz(#I3NnoP5H1QQX?D(qwoBm#H#U(S%U zv~+*<60vwfKhOzJfu#&bePbgz2`9hAbApgUKF1k`Fi+yD6G$vrFp&vFJM0lVfn^kX z9D(}r8XtZWV^bBt2jAYcN97{dDO+-ICIJwO6L&5)|MD}-N7F{3^rj(Pt6cI<2P9nT zu=as#lG0-5g*_k3IKW?Xf4f;h&cpn^5f2^{DKaKTAA6K3GUH&Epdkz|nL1%J`RbZm zv7ZAIh)n~Er?>h4)wTumo><-_PQI1_$II-Qr5xcdCNa-kJYvBP+ZEA2&{bV6f{$Yg zhvWhLrXOpyyZTf zW^4pQjL=9wfBu}{{uw1nklk)ce!3Gjl@L+G-#u@36I4i2HL#Cbk`1U2JfNSdo;(BJ ztsmrNR1ocxF3$CDQYoiJe2R~G#8~Liq4p~N+dt<=wq=wy<+FLt^mRJ%&e!C+qkKg) zgPX=kb)Ex0WMjv74Sc-gSmN=MnWlxBe#e_ml^OBXkm1gg+Mn(IeAiFC^O26zm_IzD zQ|IZYp;7nagR2&^Md!cEN)+F3O7*L6#dgjD7yG9)eYS8G!uP1Eai6mq(Qg za$8SJ!+L&Bh4+>YJjXd?!zVW4MaR}L8)-$|wFRjO(de?&Ym!e-N+ULDKeLXiv$M0A z&VkFfhWd_MygGp74;_*s=7)YpM(@2~chNa;!7!n5hnQGQvZ$nX!lP8|Xuf-MFexU?W&jitVK)kreyj1(C zO~pqyusOLR>?j;BO02P|X%S2AxBK`insRbxXVHRktw$om$ryuA`%L9MazzatBhWEZ z%84+k3Rs`Rze zE2Du_1K0|;Kfu$Cm@ivR2siiRV^Ctz}?qu0h#5=$b1#DY@`S@5G!c!?{=LjS>9 z9Et)7A2$P8qGJ?yLF^AHHil<$g>V#NTcjdSOaKc>Cf$XSJaNe=SxN}3gI%|!lgbgC zDyXKW*5x!$*htX;VnL}2H7vs6sz+xBL3Sqv;RWdCd?Gp~fT_GpSj%Pk+=M3zzGk3k zGfmPp-h6JtCq~Q>>Afg7t9Q|1x0m3?Ad&W>?j3X2bakyBI*Y}OSdB;-5I;&- z;zy)&T5e6yyfIaFG+0FEn>P;0p$Crq<|v z9)FGv^+(Ay#wZz)_3!$B*G^L@e!p+{(3eZ(Vdj_Z+7x2nRJ23ogn1qwW3pi*BY&0} zA9ZhXIUD2s{gITN!6Hdje+W>LG|c- z#Xl>Zn z{*YXBHmH&P@YJs&jcm%dtz9yE7dkMqTqp2kC!_HaO_ZK0`euD}{H&aud!^*%FIGO3 zFtADq%uK4}WMO%JFyYbNU|ss8k8fy%#At;=k9X z2Z`03qcR1T{0*(pG#m#I;Mo>PY!wSFC)pWi>~lm+C}&EEP8CmQRjk3)Kvn zTlj}FA5oBH$=x1jc+_a@($sdrL#SK&(PEJfYDxO&DATeK8yUIe4Dqo(MUJEi%^7W) z8=U%{cRF3IceE5A0xMABy~EPTf}+r)J?*oQ#+>w0?G?33DTJO|De0q+&H)CJE{%|6 zf~XuRk45J@s@@y+sV>U5r0EAlVhj*F0sTljwCTM`A6*dMVUi$P!R2a#ts$%WGCN(L zi%#>s>w@aawo{uDHMAROm4=~?WFd9DzHrj~ndS6jifO@`;H^TUPM@3Axb|X|dX&`f zzsLt#1!dy9B=@*Pg}vH+vPt_H(B?(6&JpboEjx!kD2u_H9Nnj=*S0XK7&n|;2Uj>X zY&AHo*saWsWu8^l&eHP8R$1B?ZqIKOa@5%WJc4^J%*!dOXltFWSr|=D2hJR&P|&^K zB6YHO$V#Ib(ZIo}&^LphBaphn@V7M{L^CWrCwcY~U6+d^?=kO&<$3yX=_#CXyZ%rK ziP{##Z6RYA7D0!P4sC`lB-id@F*I!AcZ(m4!#bIHY-iPkKe`UeKtbdVdoUYG!ex3u zaBa7{r9}r9k}3(6bou&1kL&lBk_46yhw6t|o%hZU_;f&CV4b!XnnQhb72VEKY72IC z5@6Gg001G8}l_3pQ-a07~NUq;%qnZgLZ(DER^}Yr82i|EB_X& zh2^?SBw5~AKHI|j+`d-1g1~cYuP4sAl2db;Wrg&dX)1mqd0@N)iS7OMZ+%&{xwdWf z?=EuP`a1t4<<(=Vzhe1AKfAd*ynCdVTg)W0+pc#_ve{^MJCpZj5hGnAV;x?Oo$P+? ztWO~$KFqa2Bg(Qe5$-8%)sg(}BBeu5m(G5*Y}R1X%V%_9oNV}EMiGbNihgo|Bjxbn z@D}@!+)n$t+B*lze(u%#x9LmwD~2ar+Qmf6T~RknA!umXw16YOFEpeqpn9&}?ZEj( z`9>xmf&Rj@Ew!?CzGS>jj(_gzFZp%mcyzybj*If>%2kR>;foYM00Bk(%Y0AZmeUUMkxhVRGzZhEmC(B6T`Ezkgkm(g*PrLq{(vi&PoU=hxf;1XiN08@fW5?Av*gC z<)Gt2V6Ju>B4PZa!}FJ|&CL>op_G&=Vfc}6{u^-7Q*d@QLcO1j3j5X(qf0wa!a3%p z(|i>V3cQMq1^tlCKx*f+qu7hv%L}=U?$IWc?_)qFcT7Xs8fbV2)Q@ALGvlT=o95?R{_}OD9FO`mBb~orG%V(Z>?HiM)|d|_iE~D zxFAg_^-mm&bkLB~qR~dP|HJ7^t`7Xem=s{SAP!Z)1|vXVD@g*A!-6~+G$0970CD)R zN+IwF$RyBVzF77%4bT^~|2jK6!Fh52{l>x3@scl%`d`YJ{WVfMv0~%h%Dk9^exN~? zT$f(`#FZf&=y8xi_Bvse4%Vtnuq(JNh-%HC=k*0L68fw)zy+cO!~VX)bL^wo(lren z($oL%<#Sx?I*VM_9=&~VDVnzWK!MX@`w zaUtODRc1vViR(Z&C9FC0mI~!!bPW@ru$-Q$=G`yV;|&X?lMQ5I{8&_;=O*ozbVrn&O-*@lV|_?F_d;vDIE0+ zVZNSy^jV3|N@Uf(p325rPhHQEHAyFzNA`XW#}CC!Ql@uN=;|p}p3-2gd#hOEEj>EW zIPzj?`CfABj>|QFKUWS=F(_+S=iL#JbJ5eR4_p$)V%)g#pnvdB!_nz8*84kxo~sdM z+P~1%FDLaNjI^+rrLIRm=xeBPAlC*Sg7^a^GZ$RxxDJ_r#wEzBVn9;x^OC=A;3WLGFfv4?^090ujZEQ zmu`Z6S4%_<_u}7b(^zS(NuOs3X-bwbyS~K^%HexBEt``FkXPyb!Q-LKyG%!%LK_^q zDGB83Y6DKTTmOoO#@%l@OuyEpHCPqMJAGU;H9W|63{6H}n?mo$Hif&%GHBLRMJSyT zCN&~TtMFtKj3|sfs7a6|3JVF55v(D=C1GqT!dc7uh5+pL9C=c3ofX(tF;dQ?4O>4* zapry~L16g>LkA!!O+_4H?s;dAYQFoAh?YK(o0Q~(V(=UJKALgIglui)Pa%N=XKqfH z1#y-*4B>*qeM<~nE?V%@?znd!>4gclKO&d-He06I9~UgO4d)8H->5Ltq$j{mN$aETUb;Dp9P z%w@g26p3^bd@gzLa54H{l%zG-s8RY1=^lMFbwS)EmNNl^`-B#s3p1VRK>jt&p z$JRBdC!lCVqqXz5ZG07<;(+fAvc-UlhG2mJL|_4~Lq8b_3p)t!Jr2kI8HVoe;h1=0 zR-t3BgRT_h8g$9zkp9BCy#A@h(y<-EL%vk#~xY+p%9Y0UGQTQuxGc`Sr;joL_Q;8k|#^1<3q zTE$068K!1=7cbL4sN{Hf42p@4z_lavw#5`Y`D9V;n`xKIcwIYplGSls*ni_Qel$^pAI|<}t>euR9y>)Lj*dJdyfvD{*W*TB01j z^LzN|2kUL`)bUea)HrjSpjlXbS_=j;Izc7x1#>}1*wP&!pF_C_!t*JbASBa@fF9^^5G8j?F zF);P-0`nff--kDZAB5V+Pc3)33hZT}ZyD?;Jn1<-XXa6xH5)*Oa!#|w-}juEkqILH zFfmu?-=ZtoYb^Ny)58O!a^>5LYTiNQg8hd~ExtU{x_ZekU4nFx>b?Sf-L}Bx*0{op7`MK}7Rt;Wu7X@M zbBj~tf{a?^L3+1azN8)DG5VkesMLO~@V$%P3uV$S)W_b)&;FwmG&LH-V`M!g&@N9; zVf2I{AcR&|lB%WHeM=R%({w4L%U$=4b6TC`PlW|$$8FPz%*!kN?)&26SM0`7yc*Yh zZs0EN|J=;+>t89B*x&sGcOB}IA`B!t7C`GmK6{7JxrQiqPjDdtVhEG6A{cK3lhu0H zao2>&c|ZFX-KI~E?IbXTLH)`%FajcjJO`yEZ&M~_LWC%-F;+mp=pUY;{jL-i+xgj* zZ_#n5F&{`vJ)VN~C3J65Ee$DbD?53UCy^2Xwg`zQ_dq8GT5-=MBv@~^5V{<^A~F(; zJ7E~y4G`0P{0KooqlOr1|2H2+Z3e%kiFhp2c9nTgIIxzTaA_Jfzchgsy^yj(fXsiQ zJxxWvvV_6w5YTz>uj-*hnp&G}AHtYtKVAZfL<|s7pv{CmS9c|!3^%plC1q~=s50{6 zLXQ&ABBnHmtiCSOLd?NY>OhS6?3gtA(!R3rS6lN_V1P~I!=p}PLLP2U%zr!j!IZ8= z8Ay1&Ov?}_!!1P@qgD3zikimSRGsO+EO2eDEBy9&wW=gGY}3(^CtUA7>Jqk<>KKvI zQ`Wx3=jjrB87!Uui2`tDxW@0vbhmu{{g{rHe!P=pV)qgxQUGGE%OQ~ylo%c+7X3ow#$uvq^OH0o<*~duA-Ov$9 zdU}FYBX-N~J*zbAd73vjw7ajMjm^p@cQJrIhsHFXEN!%@GZbYopYsc%ep~E z2QiO&Gn+a9pSaXOS8Hp2kvm+MCKz$+4N%?9PXg} zh&Zcwckdpa!#k}~4(H`n-j9ouHGKM|cH)ZrOG}w^hj)DPR=RiLjX~CviD{o>Px4lz zg&rx^$<9k;k4R*1Ji8Q#G}+7WoREN5ZI}>1 zW_ovYIY%NJl&Mf};6{E{j}^#n6bJVrg5hnHbN8s8+26F3l-H@u@+VS8VqtXPi*0)G zV08Ilv5Y3w04AITBug>FkNaUsJYr!nI=gH`|GIn3%MGrv6ECn(Er6XIqH^o0-ddXE z155+bIP_VFnybNK+T{f$e6HbQ~94*{;`u^Prva029;k%jSQStv+C`85e0nN=!_ZM;W!D$9!xM-a{ZAY`mSE~ zLjdMWt+Q*Nd>ITk#r}N}ZG@g0B18$RVyC`SLYQ1}8bzVACr0r1mA(J*qHRru^BO_< z2Y*lNmPJ2uLePd5QB@_VZrl%1VF$*he2$5ih zL+sYW-~UnVO+4-q>GQ%rFw9P;9z@^agL&Mz)wC=u%=64?q3vrTk~~0`CL%hC!VUea zFo;01oZcmXtPkB9F&2X?sW*l6by*lo=#cl1C~pBndDh>FjC88?}iL!R*txAO9k!S+LKH>=J@0=;B5C?CXSfDC7`yiC_T{{%bQ5(ID3_`Y&5 zz`Wbwu9Ps(WhN3MiC9g{QE@6IlEF+fw8)ZVthVLl>6o!ismDU}G>FkZsE=(sFEtG} zY!)=HTlH1BW2vVf`CZBmKIeW+$)Sk~{r%fDNy=g?HY%uGF>x3U#!fZ`3}}RrKJ2)r zw^A^56(HcNM@{xoVG}~0*!%96(0<(q6Fcb$oAfbyJozO&5tItpE3*0Ks^v|I6whbLO-*swg>%$T>3lPswI-WfxPx60P2_AM3`0~fzlWBRX9;CQ~@ zyU1nLfJCzAGPR)EeU_Yh$JRY|j}|t=#qH*nhExPYgZD{OknEzO$?Un7)ZHYac;(4` zXG3c}d6^f6v}(r>T41xXIGFA!Du8IFM3A3 zeRkxUM*l2t6Srh`l6~soVwuG^mNHug3X6`KXLUwXbR;p?ni&;JMkSnmZrQk{tyhaV z)TF|uF!9K^aH!r3nU?0_Yw^qY*F_J(+$4{fB_>*Mz zh?CW0eD64CU!EXtj+^?7Yc_RAiHpHAKY_#kv-|ylTzFRv%+1Z4V91`t!F+S(UlsfK zt@r*19?5dt@tyH@<+`srrgP_4lbqX{p8E08?vp$8%8x>$;;Q)OvKs8{#Jcxq9$Yo> znb_zG2}No|-5^c=F=PnK(?gq_n-`_^(3M$7r|IsY9l3d1L41O>(<-H=ctd6_@XaX| zY_v=Mn`B<&-^i|xCL6rUqYfff{d=9+xIahk+ybw8f%&xis8mMMud}1A82(`a%N!y= zlonxvG!Qn{6z3N3mhOkd)-na!LoHdkoEkeCzH@JSAW(u`1|{UXlNMjD?%4mIbHe88 zA6+lMWhn#EB&uSkAR&qMl>qglRXf8OSGc&j1HZHPrCiO9#SfzxzpCI5UnzujsNzO0 zh9%@s7eZKnua#Qg%+1$!lJY;o+lk69?#nH_^*^Tn=b%`gjFgz?Fo=zdyW=Yzp;2`W z1EULd&tP9eC<$IAu20Vdxf{tmZyDvu&^t{KGjs9(UX@(sAx;x;ogFEe0J4DcSOM{Rr}3ad7ttq{;4BN zKcpOZ`0FDctPEzo&W}$XNuTQ58JKNbnp`mY_bROrzFVf!mdo&};4O`-uGdUe3ty?w zXR)LttCP*_UsA(b{2^6^$@T+(?4NsiLF`;w?;JI53~PNFVSC&bT=GM0=EbE^XI}%hl-eR;;VjS$O?$vdHT(t;%qxl$=Q{c>}!m4l}U>WB07w;qKu5%G+L58RsF2{ z!VlLUzjWH}gpNy+@7fu9+cnmg2K;nQVvf_HKI-c~&v7aHitT!!5fz-D7S=vd`wfTg zm*!NaM$bK`%|Gxi$ffz0O8UiGlfs>!U-OenF@8QUlET;7pvq2h@^j;j^|B5XPpPzX zpSN{$ch$_OE8Bz$MtP|G<(lc6Jx~R=AesYX?@b2B!;W%&o3X;s1!waRorV^{C+pJ6 zOMi|L!`;I2Ph|YJIeWhDYJ604!EE^sXWUySX^j^F(OBsR#{6Pf7Q0nTco|Lg$y#QK z&gRq8w+v!7D}onv#UUoA56EF8R`dFg4DKQ2^Wu< z0XO>8B6^dmB_3X@vB=d7{$1(&g6q5cGybyf8T4FVzS?GYtG4TAj>DC+0*CIXbMHC( z^}~DseYwd6jr795(uL(ece7mHav(u*x0KX40d6S7LiW^mF#=Wl;NUO!j;jbYSUZQF zq|H_IhdOIS^R!VYb@6vdC4X)&>+P#|cpf@a{38m@ zv?2s7o&O89Ryiyx8)El);rDNM;CgJ2f^k~C^eDg4ztWme@#YV4W<`VcUjMoU9;m&p zf#ShkC9<-gGH`E~;Ea2myOtjlw0{sg984*wE*jReUdtnoVw=D1tseL_X4~OdAt5>1 z*1MGualQ;Zlv1*PqN;0AG*Tj}`F&gC)52HPNwIr=f32j6%O{ES;8dn;#B%}J~`v!x5NEUxjS6k0|*=-=#%f77V>u6NwDDA1~8>0tDM z!?nq;*iCbbNX;8|V>9l5_rDVds?Y4JsoR77@ny*^y(j_c{pMquvUQ(&_{_5g#cm94 za1IN|rXoYFwkUElgTF0ZIh0>g!EEdf=fD+JR5C^Wy_}ZHZZr-KHyuBWS17;s7C)j| zMXmk$;iaGE5>e4sbsFJ4XBM{~+L2$4p4OD%&AT4oi#x6ia3$qg^EnFyQupVl#;G)`F+>0AHTCgJhj>1-Z@+y=cb=0c^QJS;OFt3$0^7!A)(F!~zYDohQ8klaOS5a7 z=A!ydA36DoUOpSWuIYc1vwww|ILn4b-EPc0)gv3Ab%%kWNtH=%-O zrw4=LB`lusRNAPse;F^2cD(BC@aZGBPsL&Pmjdz}{kzg{O6vB6*sDB}`5r!Fan9c7 z&6}x~lrOoxMch1r9jx(GTkFoW)QaysD=hq&xiP;l;=}gioo~)uHnq?nt$&i+KS%NR zdoknCO4Szf>+vmDGF`{Ea;@~y*@qAoo`1B9mqP^v1k#&jKa}pb*Vp_|2W6c*-Swga z%#@vj9ulO2=Hj&@7cUl9g*KepCUNRo&=J+zv#;7(%Qo$Y)3zU?A?>^AeN~|8c$It@w=*_1~v_FU18*>?N6{b}E7?$qeBkQaKhRoU(er@Ew}4>AAb zjjPL5F?JXCze|Xkx82!r=L@^pOByC0NjnEQ?@o)Chf8l^Z1fW?q0&(ZiKw~4$y$oJ z_FWPF)w;|ZaXU%BVXJC&J~=hVAEn1b^Bbcq0_l;*^6!qmzceeWON038C9iS%L+f7K zuC(roaY>V3N|#F$6gjB-iTmU2lAnLQ@OH3N{&>-8gN(pT z(g&F%O}5o>+`AA&ulKoN{rpmQGYo#;D%baWjm^jzT>0dv75gwi#KC5REab*9m-?Dh z{UX^1SuwIY)aOCT6T%W@LcKfcK-o3^3Y(`GvUK==lPT{g5z{zhLpN&7OaCCtd}LhN z-<2uvbMDQhb|pB>qyKi(uU=WIYoe*MTZlbgbYa5b!=bU592?hAlO6e_x?)TDch}h! z!ZZJEpBx&BzvTI^-ah*8w9>8zD%6zpq=jc*%;60=_ilx7QqK9j68^!cc7(%C_fAuK z&6ip6&ei!QGSblTN!=S;O$J(?NLun9c)gXRn^PFlKGfK3(`x$m;$_@sjNL;usg;us zld&5`_XK+rHTRom3LhB%#ziAqGXM4zvm#H)1I92VHJG z>@UgAUH-V;!znw<{nL1JxhMO_4>1ypP8WRc2l7{LS4zc{|5qgsVS1ECk1|;(RB;+d z#1v+C=%zVn^2n&s;>S)dEh1gnyo0*&P*}X==xKYV^Xl5=!1ydU?5yu6mME$Cf}@w_G$*kKCht5G|Bm<>2zSNvCJ*yQtDe_*3GNS4C=F9 z7E`=T$r|NpJK*ew#iNO@Z0gg3yWN;2iMHY<=`}B_jmXU}+doc!4VslWnW<`X;WKGR zqNdZZS$I$o^T5ySre(rxopKW(SR-iqty3~|k?9jJ0Y3)zH+_N0QiWln> z|C&WGljj}b)$k*KnzDO;-CfFaJ8p-OhMAgbG#zCJsaQBo_-blSoitl|UhMO;d$VSA zy>0;d?toQ$G7pB=akcf+tcUkPJerSg~j1uQq9n-af~nL zo^n37@60I)Smu3y%$Miz_l25~OXANrbKK3!t=Y|eij_zMAIBV(=l&c^dS=PsoSsXT zG8C75g#pWARMyoiXccB1csJuye#~Ee`noAk9i8p+VyutR!#9Gp?=RWZ+O#kdT{FBglwuv z=gT^ASv@_+)fF+!bIFL)ps8j#bn+rYB8P3wlY=A%WhwP%%p@5)b1B-n)grDw ze+3>7NiCa`k?{?&n%#DHu5eWGnhz{!{Fr#ldfi_yiN*EE@z{DdG1Es9=~aQ9vQxh1 zqJaUlvt5sdjtFKfnYnNFi%C2brV3Iz{7~+Zzx|Xj*^jwjBHsh6?Q|rmc1L28ALp-8 z^0#}VTuh~d`;eUqqgxII{~Nl826Wn46bxLd`*w+A-*rmo+!dkeaEDVrk|(f|&va|V zPU$%{o7nAcIf2`DNAkFO`T6|VVlnfWioX6eYP=pp5D|k>RZ^!>R->3;(dK+)0dn2!~?QJg5KOh^q+Yr zGLstGQ~(g%f)yM8u=}gSJFO{XNe;E+VLxLKc0xZIgav5BZ2* zJ4tHbL)>7p`{jSSK@aruHR`~gbWnMtQ9=u#~Mxe_Ni8n^w}9`X*{8iXbB zMq9pR)i<(OI*JM+kP{{kaxp|iL#fzJ}asj)->A1lqh-B6zc5%eXGIa9;vdM`pV?4+?(UhK4r^~ zo!6wx1H*k1C&S-pDor!mDA1D*TZ+4v(tenTp;Bb-b8?yuT(s|_f40e8_NDwbbu<t9Sr|s4*yzTYZufEypkvO^8wU=V(cB{XEg$0`w8y%^{Z*WdPNX~vk#MDDQ zc2=B;f`q=V?#Ro!P}@8!}+e~i@6NN4>g7hy|)ItO#NkL@yRix z7p9xpD7s(%Hq%*apHzyQQB%sPvF0nyOul9RD60ZErE_ae?rt0?RXtsODbXclsqPu0 zfQQ4_-Y(C%mm+cZSBd8}?0Qg!gXF#wl}AYI(tq3pKF;smcZgEE8gr@6Jm-UKg;S#2 zTrPy>eyU?_=G^wHpH7HU^(dwBS|y#XW^U|E_Q8SKJ1=G|gz2tg51*YJ4X*GNGxW30 z>P+%1>)l!>Tb`Fkx$)7X7)>X1@OowgMz5gTR!$cLqX6CPzpJT4n?7wcr@8nq2(oo! zUJGb!l|Fq<4e;MQ19jbfh{781S0QK^u@X8u^x}+@J{oe|6x%nsu|FR3iL}tTb$}FC z%UAg={@tsouXkwpPCv)x$$0w{ZS|csilvhbN}D(1sso+2J48!0F6<6xx~o5`bk~D2 ziJ6}362Bv-OOOsl?Tx{A0gN<48O5AIbf@~{?LQ7QRX?9Hp=U|m%VWu_@~bw&Q@rR; z!=EO%r*a7BAhr<|o_0dtt{y+NyW#&oCd8AF%wF z`BlG`8Pn|hDxUQE!hDu{3h2+OXKy*La?#h7%evxU-AMs~rZ!!vsN`q#4{VrLBt%_H z`GT7tLP9IJ{$0|`oj>@Ut`SLNkGD@kd_&G`LFQ_6O9zi5JVDc1$@h7i*5HCQm23!` z9UXjaTk}~vtaD`j`f|*7?TyDZlqB?meziTgiEO$|>sKzF<+FBdGABL;%5BbXpV=KI zOV8FFfqm6}qeNL*zR5c0_}0btj?FWol8sJIi0-|A3uEzt;X^4re0cD z2qk&=_ya32)3>$05(@x#zu^75U|CcCk!5zUYOSe^<>* zcT=-c+;k6Y)(PQvF|E>e^m1J!d-zb8fBeQ5O)4QuE359*Hv@FfY|F@{_j5FzjLF*I zO4@EY7uV+Seyf__;xgC#pM6Yb^xF?zBxa<4>Tab6G)4|OcD@ygpvpQ((N=x7NXujA zVS`u4B5HV~9exjJ`22nT)2=rAs4laPV2k{f&DTsfzIOVIoMBQrB)#Ql)<*db9_pMn zAJgaEW}mMWkZt>^q3z^UuWK8i&-7XK=u2pn zC(Ze6OjSB@QU9M3rs1WDW<}O#N&Ag`Y%PTszj5)&d3W9Cq0gw*!_nYUr14Bn>ml}sW9(pmF_N5Jt&%hT(E z?hW6`ZrfduVOrc8QqK7_cG%dsPsA`G+&=YeUf!<9Nh>r$6Y!VHv`7U}aNKNQB(1N^ zf4bND3q`ScMv{%;!Go)3VIjF4(gn-Fari>@ORaZdaL5m4#4A0sYi%PJFTi%R0!NPU zj>IRBAcjM*q4Q8eL%d~1U*GJPl?=!3H44sc_}3@c8b(P;rKxD#;C`ytBDypkj$OA49qR|+&pr^maT!@ zIOozOSgLLfK!xi$qGxtqj7BG+Zt%AOO?M8c-Y>cf9zS|?pPqj&6umC^u$JVAWOmO* zKeq!3PUKT1V32}dy35qaIizOlR{Q8I^qEVuh#FfZ)-(Vvk})NPk}`sfZBY5&1*?=; z2z;FrM3eX4Ib?>`l^djT#}ghooXCHzH?TBB`hm+e8^QFn$-*K(|I^r6OAu%*vSJ($W|$D&Ey0k*9IYnv`mq(qSj|#B99hIL)!1pCf0V9Jxn&R7gpQ6^Bx@MG7UUa9SiB^2Ndk3r1Gb`{;65Z!#?ZcSKfx?0fe_(!ZU)}El z@|DZGw>n7%rPVA5yqMPMQb?6Ovvs`dDnTdTlyXGFfKcf=@!g7f`fKoG3$3eA1s$r7+yuD zqgG*~dC-gvBeP5Nb@j|TiUwKn?>>C6hQ;O{nyRsQP%0{HcHY}dEH%!`B!B@r@2 znLRE8upm=hV2DG4D-l29o!52cbhQ>Opa%}W%r4{P7c@h<4q(8CnZidpLB7a`iw3xmZBVcCNu^sE!vg%3 zCcV1Rx{@WKBkRrKgUMv-BuOuWhs_2?1r6<^^O|qr0q}cI6?&2(bqsSM%0oNO$@?ZF z*=xyv-2LzPryWf%MZ#Uamj@o5{`LOY&wcebqQ`4P^>U8rEl$wU^HT@&k?PX8YCTiw z{sVJGxoldTUm=0le_EsAO(DxhD&3KlMCuY-70YS$PXk*_ibdbt)FrS+%6U}WO*AY2 z==P*3AR;g#Gw^HwKN4D&q1vL~SHoy{LcSIH)17^~PdNI7s=d8^f6=-S010wTSQ-*e z0&KowUjTEW=UB)S&yvBNzVhW!wPstu0#S%v{}dQKo*2As$;ah{NH#`r*iK5skbKx% z{=q;e#Z|he3=})*Fu>oZ1?isvEG_` z+oKpDzALnqh}xxYK;6*05n5`sZY+|G(;axPiIL`_*%47uYMPpFBCv-I80PwRS+WYh z&uXBWnxP7dzO9*6yg85yg(K3nUT)g3_0q<(cChH{xSjE{2QA;dEm){=9}kfEB|#QC z@(lCl!#huSVJSAyVMbO*RKmw7%x5VB#o=icYmuPUmlF`kifXiu1csW%(D3k{sNrhj z_W#*iS*lC+f+oOZ-utjoqBzw1J$s^9N8AqW7KzHl9c%!fMv_PrR^=4ndW`U>xy#(u zE#d_=OPho{=n(WWTnI-nEIU_71C`zu4Ffq11EqI8leG=t+bah1$?}DsF6)_apm21V zJaPPZO-9}Y1yBX@eouwQorK{r;+EaAT(+!|=Yy}~m&V+ye2_nb9go~)K>A4P*p~K=-B|iI;ePYR7WUoCUxEb7XAvgaZF&ALXT57UI5ZxMw9K-RBxrhg z-dL1${#qysyW9H400-Ga0RewWR+gM1mBQ;5`H|SwP zC|}D^NUjY2u8;Jss_lTI-8Q>2BF98NeTC5TVB1Yv9Ir$c7c?kxe*a`K%{M!tyUw)U ze2}@OK0>UDJ1(PEFt?9JT}um|ixv0dVl6*zTbTO^hVr2f5=;xfB&{w=)9_U4?)$f8 zV@G{;D2kZdFYNblYA1VxRQKloWjrE4*~-YU5%42ue>Q6vO!lmS=9IN%Xy&_l;i9ME zm0(iX+MJInA@^MV7Vo-A=^$3sMr=V(;ZALLbvt;4I~;HudLj-KC?0-l#>4>JX#BJ< zr%tUO{g;;;SU@^g==nAEqN>f={)Mq#tQt*Mi3DSU2Ll=8dZGI&hf(6@=9%6~S90~# z6oa8*Mn^;(M}YZlpP;-vR~Z?ZOPL}+`e>*bKjDkSR>bJMF)I!v4!}pxMuZI5f#>^f zU^rh|y#m$7+|*E;)~-dcq-WFq%y>$RW}hPwap!_0U`XCTKaqnt`2Dt=kF24NdGpWr zWeS4UFNvdeIT$w_L;Fh0l=J{|GcBybG3Q{!LIIdBq9dfrk_x@#R~pryi0zXyVF?wC zZ8`$^Q7cqj)GF9EAl&h|WrghHCud-_deUg!oGAEfWOQ`&FxmqpkMn-J9!hD#w9o^ zmBaS1piGf0{IW_Ml)TtADyjK|J=+0n`ebXYG+{B5-GmWfEYc~abFuu>Zm49GfBJYe~SG4R*y18PSBm%P^eGy{NfJ@ydO!us_&&ZOlaB{F8scREiM~Dj@16U8!lJy zvJx@|*D~akDEKZ-Gv;^Rvo1E;it_AYROUk}OQ7kIXr;Zl>>Dncot{vFIHCp7D3{<7 zx!k-%pRJ2mcRqJ8fnv|7@XSh-pmwA1@q42NhSvhpy?&{h9o5#BhhuH>a>6n%pWHxY z55*E}Q$g@+qc(T06pf-pN}A}YM}u$<)uDNl{^{3j>hBDGk)j848p3gZru23{_P{Y8 ztH|5kRfCCl?$Cf@g0f8R6S9s`Wn% zY!|#UQW!a2bxl1*(WIyPV#26W3%}%;eODH^N|JaxgE42Lra)4-=K?S z(RP2~&_OkB?hsIUvGe0La z0=<+r0t^lB)YVC!O_#}*{W`$d;OM*`GI>ubs?R4Wx(`#N7knLGqpX`9yh=Pklz~|Z zVYYX-CEDGo8=O_?_oJXDk4Y-RYSy{Vkf!?}d`pWapxDgQKi92rkQ`U7)xTuQgS>p+tc0 zqBZBz;$m4uZXJRw5#Pgr8+s<()T-p|bh7sp7R{G)aMB~JelMSmf^t853^#&))%t~a zdoJ?0YE0xtLnz7>82Dz(mB$uf@Iz!r=uOKYjfCo)7e$KiU3`CKCkbcgFAc?G!n57xzsT4b-_lMJdX>q$tII|#gm zJGd=Nb@OLzjSt+jN`{u8;HD@z%t6gKhlkZH%qo?cQ{Y1Oqeoxv2>#O6`Nb3c4&kk)eA6i}Nbf`A5KGy4 z967{$wNHi$Y6s`#wb3#%bwc)gG@iYs#EAl|bbM;2)MJK*+uG*%LKP*Dt%CQbiGvEI zcD&M~1U)v5E!<6hQ|?t3NDaMx@Ar%JA!vAK(FeLnt%9Et);`%+SI)6%l^S@G zfOA&HoabBfLY&}zVdwZ}k5=uKMeBdmzTHLs_~2Ct$6dkhU@m zp=J@JoAZN-AJ=W(mfWPIVMvEGH`l0m7d(%FfJ0=e1W{rGtF-fN(;vZ?|C0{xG3;NBp%xe`qnF`Z8;7JA3AjCY_j2p1#&RU$6uB{8U~Ezpo#>J zxFi+YbJF6}0hg_Psr*A;c~nI?pRSMI{p2GsRm@BUG}+03`>kKh4H8_jwBs|Ax%6N4 z1h^sr0{;VouE4y!B*bTW6DUfv+24B5IIR0AN`=s8yJ0Km>APH^MmDCllx!*S;SBqQ zSfB|u>iS1{7lI+Ibgbwx)Omc2C3HuLn2$(yfnLu2JQ1C~!DTXk1JvdZI~<>|sY#5x zz37h0On8{!O{40mW!O2VnRV62+B&nvQmSj-dyPrwd{kcEby9+Gs1r^PISoVloMCrg zNxf$lYq=a973D2Yg6k(#C7RxRJP3w-jff$)@pQl8a)iXPL`}N$;3u7#5 zAIr~8NHR859}^WqM}0?WNlCnCDleF-GAPJQk%f^U5;aHWKTes$*?P4%td2A$53wn- zL7@U(X|UL=%@-;*LiUpJ~K6#D%R6%U%J_b^T)`b7Ys6gvJ!-mu90b8bi&4X zp-dzyi-dEiaDwSD5O*iGis%pW7#d14b4u}f{9BP)WCSw@$2*%Z1;)wyFK+$OmKKZH zFm9SnTd7GveG%A~$~|6)euTSmZmi7)0J)ILJW?N@SBEap?$4=>2zEEYyhl zxV`&?HC_%j^o&tReBlW?SLV3oBLTp(0-ue4E$?JDL#p?0(dVYBP0y4^UH|<(|7W0< z7eU2IzK(pqc4xCU#qu)$`eU(K)HCjF36ZT0u2njyMx0WXgsO~d_8Hi;Fm|F{v;3Do z_ZS@$H~5Kk*d~Ez#edICR1AcT`i#E4WlEbwhdNJ{AP3b31pJ*E;DyDC$OBYc(}fOa z2DCl_=kIJ>(=J!!xVvftlw)`o74CB}(h@{wfGu8u_ehjWc;^CXGrDj%zzaZ}KCqX= z#~n$>_02^FC;fLxlDzSaar{T1k6+Q3|BQwhLAE=1=Q{XcuwFe4*zgAyjNg_~0jDeC zFao-&HC#_P+cIoF9=!7?NRu|XZ3B1KXe3)L~?diR|Xdd1$lht?G;z3GEU+3it<>lIqHD?|3 z6oML2dz;SwOOl|d8k#zIn(lqlXUpAl1RPSgXYkz$*O>D*UggFi80-R}Q}GX3xuU~1 zomQ)45&N}?gfJ=*2U#u)a%k2c`q3ApRW=4Np7SKBM1IdL+oq14aBy&#f`&0c{Am#< zNiXsHgRcTqay6W&9A!`JjdD4B z;K0H-yua#=Z{Bb{F|CYGxp&X|u)KUFs!wkXgIuwegb}${C1M7KotUR=+vDo10PJ$s zyr-fD)mOElq#YE25LwTGtvQSHjnbnIM^59!NU@eN3Nn5tP8jHn{sI+|Iomf$PgL(a%x9kp#D2-eOL1rHo)+mLxtNIc zhiAWP-Q>JQLxSe}I+36r#jYigcqRd>fxz*iH{{0i~78Fkn6Tee( zH*JBq^1@(UP^XQxwSQ^Pgrt#J;sl-)|37$eArSxmXl4iYjKp%# z$T)g5V$4e^b>z7kY}GHl{;h;Z_?Qc6H4J{%-u6ybIi`3-7)_e;UwcKvE*q-o~c#iF2-QU9T?cKZC;$H*x~f~luk^3ayQzUOD% zceq`+!qLF??qB|>6t$iCKy1!qq3QTYFC{7`&7TXU zwON&WBMeA00AdB(85fw1OWL1-%bMUoAg`L+>A((52MIbCK_~{0n1Yh+4`G^t2b3R? zNlG>i1L(v9`EzEo4TYa7mt;+M&5Y+&cgD|$JhO9R7 z;9a1>?*mv0!C}Yy3V|gX{7`+kh#0x$SP-Bc2p&9Ksz@RIw>TAxyL9Z(;-BtP1Mrkg z!*WfmK;wCI#5tjJBh)bM@Rq!InF}9{U$?Op16+mu=t=xuElo{pbR?1w`y3cNaWm$u zxfe~sNg#o?pmm~A>GE9)EM!zfZsT7zqheKumq!YmL8KELJgTS1w0%1%-Ko1ISvf!n zf#m2`h2WxP!N5~?@Wkft$PZ*ot~C?d4e5!-a~;%5 z|IPH!7)=4y?|AB%)2rv7fuTzW6!ry5gV-0V!E=#Fe zN?-ZYwa@KmI|X90!I>no7^z@W!0{RP*a+~a%sjl{wD0|F3*VY2fTjDK`xQ}bb7Qs( zWE%%eB?L8`igd2xax}J%{&|%Zz2)7Tg~_@J(V7AT{~6CP+8hvLI^<&Kgwq}sQ#&3b z>IMOpa2g6$_nV5AU4B<1o2;*cdYpixyZz~c2XY&M=0!QOUD};y zvoLx#P4Pm<2$1)+@h?Og!l@iR4Pu8cJAaBGz!Gjji06Y**kFs_v^3qoOu=U>r8@Wz zt;6#K%NlSQnC1w8Z=gbZ$jW)CjD%OV(nDAw)Bqz@5!C=Ok9hs}?{xv6ATYPY?Fu57 zWwFup2ZgCY*uHa3E2VKuGlFannm+udSx8}oM~{g>r{f2SL%CQ>CKwC^UN6;WQr>Oi z8wY_ShDjMl5%dN-O6-mi#Ao<6{{sMi73Z*#*PJrlN8A! z*(g1i@Prb%8l*bh(XoVik*L*BzKU`4BkJGXG5jggf(#=sORkp1;1yd(5aL1`{!o*Vzj8P9|_(+=l}WA%An3A z(BD`LG0Z`|_bR{WCCo!%@Saizt@0V>oyL8?Sql7c!tc2A#LM2XMV>@EBs*f?qAU8k z!Q8%XuV_G3Fz^W9UD{n{dsQO=Cz~mx&k0jE| z+uMMN_&J&M>s9am`p#86U^W2MlLB!k6aL!D-WMT?YkxlxOe50Z_aqBVXi_LhIVaM> z02{!+cciOAY+t?!N|_)*Z8`71A}kSK+Z5_oDsYSdsdwMyUO7IgW@k|D#1DsfFZ_u_ zZ!`;o70>a)3K9vv(C5C(E>D_Gus0IOITp2PU@CX**~37(0!~Xn_7FXVWFQeofQwHG z1g}LvT6*@;PL`tTSq7XgD0D=TvUB|P>!rP=Y4EXvSAau16$$=aW*j>jQGe^_>hlA; zGI&cWnR@e8g3OB=oR&o7<$|AwB|A})0%ukgFyVpSN5q94A{=O;AHYZiKTb<>`c_8o zTs=dJ1wI6as11QiQI`b;0zXP37AY(zwdZSHSC_5=!plXP?$F{LYTRb=8jCjz;Mb^= zDg12|`U5un#EeU*cgF6va2X)z*S^cMIE!gv&k9trHmmAO-TU}gNEmJPF?gH9Pvxw3 zJjOX!#m(*S@oVe%onHbOzX=5s?Pjh}Rv{fe3{zqcFK`mbW*t#ru5P$be6vED0HibL z3J;7H3%5w4z6>Xf)_5ZXG@pW$Bw?loNcVrpa8IDriEoBjH9?eBpBc^=j)0JlI4T@z zsdaVxFZh5F#SR+WVY7*+^UrL?J@_KKs+^CEH6|m-7h{b;l~;NQV!>%hUvc&G<5OT{ zG2%kekp++Xb?wd#;J^uv$gxh~&445T>(arLX}-Zu(62ED+_HT+{7525%=_uLQuDh# z;3H?)I6s?Rb%vYVrY-d_ky*nc6(Q@?#e#9n@=Yr8XvUSQJ`4&6y_9VAK{dj`Eu)>k zw40G2zC*=Slg&SF6|yOza3`KK7SDcyx!&R{fJX?9b{Y~Tu42Id1}N|XM*Pjz z#e;XwnxnVYh5Z*@zea0{nX{RjQUvsecP4x^9Xc4WHOjRXtadc;EktBNN6pZ%0BJlWVn?91EkF10(Ieu_j($7tVhod5h@v8O%ynLaDV$(&;I2f+M+Mb` zKFsd9d0Y%RkOF0dz5-tE(O1_0J^hMK{|l+9L<1ZP5#}MG*p$G?Bq-J2RPO3@SUfwF zbPKM^OEI&BSfN$;oI`O|B@#Mt7bi%Vap8RO23s3)Lh{?E)^?!ZOH}a1w#}?06;OnT zw6O+g-?BOYRPntuCMR)vNXX$sNHxb5$Mh1KwmB$h_^AS$+4H`w7h(R6Mj^PkNc!9b z`3p2oR4%6*=+DfOSwAa1Fp8d$+b5J%PoHK7NFWqd*>DgS^8esK zC-CBM$^#i6mz>ObA-35T{jZj5#6|5udjM%K&I3xsMuVIRRFy=HKoCVho|C=wjUD(& zECRLQVT$Jujw*WeJItxFeqP4I0`mgVkp$s6TqFwnCGPS645AgNcYl5<+(EL$CfQZ( zC8Hbkv4^N%P}>s4H-S7SJ$34q=05Drj^-bkvr}nf)wRI5lon$Lp{jOa(&*e77#l@Rkyf zo>uJ6Mo@JK=sywpg&pr+viP|&GS1UbF4Um{2n<|QK@H||UEB``zpj^l3V6WI6q)l# z>tnzD6~lT_Ne4MMD0gHN-j}1|!y1Lt75%TQhM~9Fu>=zN+2uY4L{Q<7H;4v@N;-Fb zvn|8*EvvZC2#PVd@L<6_b?n%MzJ07-;s)b?=}%XQL=F|wQH(o{o?o%bHB<1Ie9v*x1~>(vb+VAqKng^M%@W@!wg@DG1R_y{*q^ad#@zxK zQ~C4aHi{IBD)&nw+$AUph_wOsNA`H4e&-{r{Zs&*Y^r)rPow~g!Bu?1P(bmC1ctP> zaAIp9>S+fd0Rbv}e-xB1#^@g5qkyx3d6D~MZzPH#Y=hFH8D+?xC;$r^7h4swAd%BJ z*kJz;^-8AS0sj!aYa7a-`v)#Dtkobvgn-{q)hwDj-rr}V`D8R6?*i?8M#C$(Ai;d; zSex)@A@WXz?}VCE=lEXA^Y;#$REWB2xrKy5dW^yghM71d`LXv6k#uEF~>Du~=PO z40(P6H3G4S4E}oJUZ-qqXV_)nRXs=|;o==Z^-RPz5#0lzjy7L+Q!GG)DBEtmP#15a zVTVE*10@`1F;0=j*(v_w_W>S}i9Xa6igWK}NP);r8pdS@Z|)HKnLNbNo4{h?JQJiM zkx6&n7mDk%#yv#e4c(fI1JFp?AaMW-6T9Z{6*+LV)%Z0^#6Uu$!FxG^DyajsVB%#6 zHb3eI95@Vc3WXj-f9Ve9E%c$kMxC6^ZGMD=+8HBpL-Ow;ei;#6gIYY)cAwolc7Sx- z{g}d(7pA5pcX@n&$8nQac_+T(BlxEy;|LwmwDkM;7qfWGB)AFg396^?S)tZ6WnSV? zMHCeTN(t|v0mn1GDC?h`fOg>QMzDH`JSSN36pxJ6hQG=6@{VJ2vP5W$Rq2=ltqb&Nz3I+jR;^2~fbb)s+E zw&j4l0x5oK)DT;5&|qv!l`O{amjhOUcvs6nxPV5}0@xidR43>X2ud*?FvCZy!`8ja}0&RKM zeO2aNqWBGnuxxODVA4i|PBlT4QAK%$4?>X4K+r1m^cFJNZbKLEsUN~D$eOdp9(z)tPxb%>;zO6 zOUzYlfWXV32+qX&`uVMVFOE#~F(cEcOKeaZ6JQ_=G20Pyf+i!;X#nm`9g3JqBZpwc zwH_;|>(p1}nM=?i z_5pQ-Z8e1qDj!pwL;1P!?}xuOfO6S8jy-_e2WyBGR6PC`V^xCu{6ka_xV8;lNg#A5 zX^`!|fqY{VWTF=VZGni6ZEmvSTwy1wkOgyW4^|lcu6QkOe68fAy?uMPsYuVT+?x~0 zp@+)XZrA#3=BEZ&$i5UQo@(qGs1A{x2Gvjd>;HxRs;cOfhz*?iid$6O zAQa%arV;woPHjJ_Q$MFjt@?c88bD5%c%_cvNYFEZ_YIavFduMI zxa{O$l5yfKbh^~YxCUzUR#WVtvmfA5(iEMDUHUzz%qT3dJ5}SA@8*~0rDUgc)9J$W zgs|C;UIraeNDrTk1k-94p4lX<&(Mbm*{lwrL=Yaq6U@hl6Duwj$I_-6cR>C zcSoMB?*=2AHl&jO3tO#XROw+JsM#Arzj;*Tg<0cX zLqi;mFfdmo(>Dv}I>_ozG~-w0cM{QTI0RrFf`m8DPJT4|^;K>x?@k9yejb|l_7hRP zCRLeVZ;2Q7Zdes%Qx5Z7KeFiT~ zK<8H}C^wYTZC|38=JZv_#WIYp_fEc8M*^%i#>&s4_%WIl7O}Tk{qB1!* zTXF^tq4&fUc-<=bdEHp0^kZPT4;_?xJeN!&ZT!_%S3ND0cr^Ys^}2-`#z{do`AGk| z)8gZV(N6}{#23)8@K0M;#9tYeOOx2Yzx*6lgH?fyDo~caE}LbkMVsdoL5xeDd*Sl2)ZxT!u(R#){{+49B6HqT8#tz|{a6tVS<`DP*aBP^$G@IX zb{Tz}C*SkHReAQU$dX5UJJb`AIHaE5fsO#LkUXWnPHWH=ic|frbJh0r}#qgl8 z@JxPfm`(Bu9SwEAGUv6*-ij2XRUQOV7;gnFyP_9mG)Vi%@&*hREpsw|u3`RGbKe;a z!hs=dTHnmobd~7%x=zH##DvvbKA(6!HT9~*$@vNO$7#6?W08N1H%xk^w@^f@rDetbvVFUigOaphI|L79&}zHk#5)|SsjRMp-|Jg zDfbc=ptmS1dT0vYQhqLqwIpq3?wVL^(huRL4X&PTsf^4dYZ$1_((C1=p&6;T*z1ay zsvJe+9c~sZo(4pc<)f(0M!x)1QFx#;MX;8bR6 zfz)a)c5SK8oXMQ-B7jaJ)RbESjx zv{OY*BPf~pvkctQr`!*vEUVoL?(_(5OW-3Dqv>_Jpr>hrRY4HyPb{=wTfY+}FB|8y z{JUJ1vy8M?Dx+!Gd>UX)V|9boW=C0fR*m93KJsWyR z8?B;JuX&>C-jJA_+&q7f6PaHPkoZR9s2*EoDgh>*S35R@VL83XhzL0k>=!?9=eLYN zisN1LGU@C1HQ>2YSUz@H7n=qvzZQVY=Nh^kdOGLjNldV*&<9V$Hu!nM-2=sKs*rYk zj9s@uXxwGSh9x??ychjrbJxm^#Kr`*hefi-_j9$fA^(P_&V#X`OqP=odg-t98V2U2 zMq*8~&-f+L`;6L3Z3SU04UOt^u&-ZYroqM9%gf8Vf&R-eY>Wr^)!~wmhE2v((E`ea z+Jiu~(vfy)e>`NsKJHUvzB&6dtqR9lwzx9PXjFp-rT&h1nOhrw;pBX{I_XmB4eO87 z^#RY0Bl(@@?2PpmJrzwLB|+NQcyo!ao$+LHa4mTA7LE+@c9rzmWsl8^rp{ONNMfOS!17Ut zT?2kebR7C1dhM+%|I4beuX}u+%h2!|)ikwfUK>U34#xWJhunVJKyp|HLYXsw`>Xuj zQj5I}p)|gG^na97iI~*T!waBcZ~sI5Fz2hKvwDy=?-P`uH#sO#f4wMQCC3VIg|W0t zp3gp=zsbFSYqZ6s2mofP?tNZ8%NNQgEzQ~!&>M8wtjc<%YuItgh1&8g1=|hxwOFg~ zlGmSJo~N8v-lBcnD%&`}wiN1@3;2oxP(> z!^GEUAng2OyVW*z^Y!5HW;D3&8v#WqiU_&Y7)DnU%tax4f7CPK{{;Q>_188YN4pvj z8L1WiKb;?S+uWJ(hJA4(&)?C8Slz(iJ7d_HrPvnhffPwX;ts~JvA)ZT8*(uh zALdcjPsbj`5*xdmpZuff_OYc&^@-&<>lQ@Aru9f z312>5DE|bhLp`z~KjX+>dJgkV59Do$Mp?7PjG*07nZP2QH1eDt6&G%Mi|?0?m}8xM zjog9fJS`>mYHzQkwN(J<@{BymiyR2hlyhK$`3eCL{2M(~B92m=TfaFyGl#gEb#=n~ z{>XEt&^So^2jp~q*q0| zuVPzUytU)=W)(LivfbjuT6TzJ575L<*x`8KrbnDvgZj|>U%?J~8cpX+?Elrxj$^gW zFz(bTlhYO(uay;>tA)py%}gq^9(nS8C}@e7`s^Vr%4eSZW4J9G)9~=+_4X{kf&dl0 zRerr9eCwNQ&+qdu<3KZj>VA&mL_kVl1{WsGYE%BKsiJpcc{5vH1rz2lMEPt@HqSq|@zs+Uo>7KMzNvDIugx1@s66*@}KVR}-T={T! zpQFkNu;}>HZ!8|r{bR01rb-hVsW`kMoYSuu2Q$+CVYY+iLa)Y>SChxmtS6^UaC!ah`=<(G zqns_mm6?8AZf_1vF(`KI=i7E?4Mcb z>4QyspFAIqvWcwB-2@q74%0@m<;krTJ$^N<_AA1ijL%%F`jmx|vW!nFUN~@nfDzvM z!#iWQrs%J%of>?FyVDyTK$*Z&+}~W_cIr`n+Z5cc7ys~qqwI^5KsmB&+zQO)BOiu@ zaPnJiz{WMqPE&Y#zEEL2IK{DT8#DHS2J0#orf&+ohp~Tr6Cl58!`z1F-FoWXyWO3? z++qME+ytoLTSk%lR)RZ_rtB#+LM}M9hP_I#cA` zt)ruP2qI_v(AI`MBDKEw_bVKhj%13gZ!L|FR4Cj1;e30#Y6T220R|^eO23_OXV#YB z1z~IvLR-A4ni{h5d7p|W_s`Q5v3Jkgr2`0NtY zIP0I#xp3ivffQ$fRG9Vy9A5%N$Z9$IBByNtKJ$rh*~niN4~`^t329bWhQD zUC|H`GBxxpa}}Q!6xq^Vnx?(mu35-4A}91R<*aVbde2+Q@{^Bo1kzq@Yoi~D2v!l{ z&J=OpE6x?A8<5R^?c)9VB5vOcCK?P3S&9d2cbp2CzWBp7qG^t6g>DOJJN@-==d1f( zoZu0&r+8%-V@&;?Rq|y`I6vFMDK1K>)X}kPWn`7mu>C{jO7qVb-X+X_*|KLaZpp?b zP^-kn=&8<^1_!|ik5!SRw2Oli?`6(+wP!{i=ZJQUHIwq%EiOKhp?rhxVHVr&ojH5i z8O&T7InIjdNhou_u*}SI{jJ|I{@vN3E|6lwwbInJ+I-3mqnTR4q^!e7Qx9-OXYIOm zwDtKhrhBBB?7X~Iz~b9*Qhc!a0XFkaBnzwZQjOin>@r|)9AMWFh2sQA2?}vxmB+32 zb1Q4>skpDwUO$@;Z5ZMNGx{DDK^y7pW5RI9r{(3%0SrAQx>AMR1xX6AdqhRgF98qk zgrQ&sQhj#n8_vTA^+#)X9z!x&6kWDSiHQfW#=re7J$?fk@g%`!{+5zmQJEaaJDK1+ z{iuS`BuL@0KXxV9SM+Scn?G~rOrpZe=n{1CRI-~5K5mlz z>9486(rAcv<`nfG)hfQ%@CEW zLuW3eoDYi2j1+24GGnjfKlxnY{XK5w^%CnIQN8Tb0^(fVEN1aP!V+#Wbd~Wv8ebN@jYh_^~%W*5c47g%*p_yeZPk+gfxEhf z@Xxvw$9%BD(J15jb5C_#>=of89;W}@EK6Dgb$a*v(k=N z%gdw1T9J=F`wu~09}R4)F&I9?(v<)8{cu!JO_oc1KUZ|eQNfQ)jzDiJ!N0}M!7;J_ zuC6#7-Go21r(sA67IzA4luGxG9lMddw^h$L@nA%zrxk3tZ=h)Yr;*hW>vW(;%{Vb1 zXQG_gm+27(slbkzproou6jb%$=ix!`n1E zp5$HVvM;?$8B~{mR*eMu@r)FIe|NTke(b-GD|!sbUwMQY-HMNQIb&eZ@dg^A{lD5X zLI6Zh|AOamQ&dz`7*=m(p7*OsOFcAfA?cCAT6#(|142sLoAbWC{&AG^dRM4$rOKG4 z!p_Fmnyl95bQ?b}YHMkYB^JQxS9*~_%h`4Cr~mH?c`abHEArR+dsg}bedgbc4f^q zoN@cCmah+5KNl}m^zfcy&qn{@;;W(Q3aF}<7BKy~1MP_!AnJz^levtMl=jC1sw_X? zqpE2d5k>C+F3%q_u$Pgf@Oj~yrlt&9-WluQMSMZ<@|zp5N8dUs$Y>;}&3f7}8jHm( z{2ZVCkN{cjL{^9AB{^<$-e}EQ+_uq~9l3FL?#!Qo;aL(7h%`IHe%br2$Ae@L=`!kG z#XQr)jWg6W4Fhl7yO<4l1@rkjOL4x0jx6A1&%^eUz~MbgrhtF<2ngp;2OK57#iZ5~p1D^3zjI&krD6!W!> z?bH;fY1k#l9?(xY92~6v;Vu$w_cn4QAz5~U)b_IazHbpZ{)lr+37JwvI#=mis>A3S z|NP@qJ*UlmrOABsH`gsCi;nlVEhy&l-3y6{iP58^$h}M3r||I8)X=>M)_XpuGz89J zAzY^W@2!8jA7w6i=n%&+Y+|^l`zV7{-Z~vnzzyOZ-f)$Oit%f{wsAALMeh{8bJ@l0V+k9p4&y_yzYBYdgo7ufcE}Bmfczox2?DF zv)=DD652Ugd&lGDjLR}lpZwIvXV9F~@LY3q+oR4x&2DTzG27_7IK+9mQg?W+V>3%u z_|=t9G;ApWr2kg=nhyMX)|&};q|2Vtk>frC@C6Gx-aBKP?wg(%D{_5B!?uMzq|VEu zK;vj_!eYOFileNK$iu2pZYK203=Fm$99Spuock^_lO1;M_X%x2vHS;KBV51JT*Yn7 zeQv4n1@07_)(6h(ms^Sa?r?=k&$yw!n|~*aH$~YUcl$R>@?d)VJ<@9GYA4Sk8{^Wo zVi?}XA~0ocykIlrVKFm&n}>7Jl%cQc^w-qA%@2fwBl*bW;`FAdleeVZ{zPOZFRiFw zno~cuP~E{Q_8?)3{Qo}|Ps;5$Hi_h9>V?s;$5%h`GJfEC*Lu)g3-p}jLmRB<_iD#S zXlAKrb-09N1+W{xK18@#E%e zyc+Bp=*&cAUMbo9mjV^&&wpL6$;WEP#zb4oWz*enZS>XNJN|pa^ZYEM&W+gnTvQyB~i?Mt^XTqj>wgY5@Bn^B`2^i#=K`MZ(#|h$RqWH*>Hp<3tHvM-Z@pjcW|AKqZNI z;K6jiX1B@*O?`>+f;=CEn27CJ#Wt)>5n2a8OI&fDb)7# zxETIE*b$l=;PQ%N$|u}Jk3BEXTH1s~{np{0gSV5aHXE$w`o1{h1x^XZOU{FU2lo1>EqgEvY)W@80{3Z}MXk{hE`{qKnr(h9~c5X~bwc zcKzjcpP=e4dT{1^j-g@Wg>qJg>z)vB5OZ!!k{&@O0`Eiu#23KWc&*KU-7a^9kI1q@ zS{wa7cofwQY@siKMj;L6tu5c!wBfs+AD+Y zRlS1y)lNw8L#+XM%!61GF0PrQ1u=WF38K<6}1a1!~NDXPyVDK zh3Lqep@4Gn>s{MQMh`?vqIOjH9hBbkeHa>oO#CE%8(q9^;fbvncZ>DJ?8(jhvQ^9H z$!>;_j?fOx!9+xa{9(`IeA zIKR(0*0d#1?^0KV>n7orq1xV5N3187vi#d`HfwA!#Mi5T_+}@z^utDnVOHwF;7B~9 zCgYo|ZBi#>@K<+bqn*|f#7U9CtpMGH9U#*JUN>bMYxaMkTFsR!_Z&_5Frrmy4QadqC`+kXQZ zq6GXyB)8<{$#FBm?(+%^%e(Lf=ASKk1TAWTQE@Od0EGJF%M-H}s78!R?Kcy;3Ji7) z*o(WJ3QQ_ukXJ6{`a_rS!ob7<6Ewo;QR6j2B|vEEw;%R)Q5yXlYgg*ENp>3|{X@$5F-C*J#1Y?O8fnm&0Zgn6_2cHiJ zvgYGC(Brwjl3&*Bgkv^GQ6lLER$sOV$4 z`xS!P)RYwd>&p(1ha_?eroEF((Su0A^XN*i-(p{{&s0sX$ABL`+hi{FE>#vRpc;vG z_^q)fua^(9ga-7Nyml&|n;8#j_8p9JX&IC_JL0v(g%FrCmxeEW7N%&r!ACwyE5IAW zRrk+s(^I2M54y{QUVgL=t)1TAf5BtArQpQdmOj0Su;Y(C&bYqHP{Ad<6CbQd`7%DB zucXmZ+5js-yOy)9?uLZ#>WdvW`fiy{Jl~>zE3hau{#CWnl|KPnFKw9XFfdJ8+^m$0 z`R&JR^Uq`GxQB;e$PjPM*1SB+XGZ6{7Y>a&h8pv}R23QAI2(Fy!Y^)h{BdlfwH2f_ z_;5^tIAs%>YaDDE@!a4|v$L~LciF@WQNupC6x`cuii$rfbGmtVn&7iVNL;YCO4hDQ zH#Rn6x8CVHtqKvT3Y3y#4_uj02uB*3YL2K5bvS(~NdBCBL*LlGEwvT$^(%5r{T>*7 zzcUKV%{%wOy9~eB)U87UawO?u3|FyQVBp=P2kD3rZvaOL>X7=^aGGF`v@X^i#^Nog zP2R`*2MUjA1YovjX)$Ac*IO>qDG@sbec6$3O+!~$7-?r#*3d-?l@g5F1sx4`jYeyE z+dxjRZK*WbupIw)C(^DbY@pe= z^k!>*fKBT#uc+udt@xPMld9}OuZ_}ZScIrF=oX64&$E&)kAF)_dbIXN+$^=hF~70=bi z!=k<%X3Z4g8O~!LcysH^Sq_en4)r_t94w_x-sYa!WGH{S`>50S6}G*qat~V>;Z$>` zKk}kaWuVHW_;R;zfxE-(Jua=Q1p#mPR}OO0FX?Rm_cNOK1z*ILx8yg_}klsXUrG4u7^;ZGj-oATzZG+{+FkAeJ;9*%G*4z zt#cc&)6<3!0&12UJ3c}%3)2#`K9}HOwTAi|y5*BOdOVk(57ClvIwQQuFqsX*Jm>K1 zq&U6R_?N;;h&j9A2?|)9p(EkANJJElK@K(YW{2}AZdCzDDb`=RNcX2fN2Pfo&tCK( zWNXPn_Kj=1?;>Js8e4}9giWtMKEGz^t?s2=(F&?(-RR1f`I4 zA1YT0xoDz@gpmVQp7%(6C2qXeFQv+n zpt^-cA|@{n&x5Wo8%|1A$63ja7VSdXySSOsPS^Lx(51CCVMLtbmB55!aZrcyBh(*nx{7o2g1I9tpM5Jfn!q02?9}<8X=qQy@%s(e`|gBw_)cGZ+IXSK zsT>vUTZ2mqv|04`KdH7)HJ&~BI_R*1RPuYdQ!*?<;v8inI_FF`mvYE+sot^^JJ7_h zcEmT0_}fPm%nc2n%Ab_Y`0%67_Sr72U7W|azCTl_EG(e)s_TJ7n7>@wSBFc3le>GD zbZ*26PJA<-(7S2BY3qF|c~(50P9H*^2+WK-lq;}ZvM({(XIy}v7Yw=Or%*AtS5%Od zAwGT*uj?2jQ|SKZiLCC{y<2aH7yGHQJXJVVejvJWn}Ol+F42Hio3HO}-=w;=zCWX& zS^8{aO`&3VujnpThPe1AW^|{ok6GM*GhTXN+H=A4RR&*P-f^Q#OlK=EI+PfdyGoR{ zc`D1?dv7!$p>CiSqh7YKhmB2xh1%%@JEJh0i(qh6RO@52tfO*x#OHHA9lfDiX1(ro z^HHt+oorVYLqoP#8iK4=-6eKM;}ggazvUVTU?)~ei-k8=D76i`=Yf83^2-t z)P6iT(y$Tdn-2LQ6w9()LadQ2k?Kf{ug0v0cng8p^5Y>{<%#bQl1M;oa}oq)voLNF z5%lJM^M)k+TFivTu%vt3%f zKVQCbK-q7Z0t^1Gh+RBB_P68B@BVU*wfsA`xbNCcI#iO4KWwKKTC4k~_}^6W3UMwkucex!^ zR@&7270JV~+|j#qXm#y3xhior{Tx#${n^u2+{MI^i$A)5b}fuMdRRAKz5LK-Yh#zw z1@60Pd_4B`&rwrNUTSdlFs<9$=4QJ#YjHI@dv>L0VBf#x557w4G=d@f_Em1U^|ELx z58RzU7VyTY+{X2J*YgYeij+Jg+j_sm=Qt~dCwccaulMyNuXT9Z2gov!G!pN|%~K2b z^c`784E+R?y0-0?(Sx2tGbTU%ny&vH@49Eu=5F1YS=q&XZ(2}?R>`}yHQArp;GAb* zQ9n;}`iBWlw*Vid?J}JC4UKQ^-0&=JO8GnGwp}3gMGCgnhI2vr(R@)NQLo-N!^hi6 zQDDAG6_da|yErjiKBh3&N>^myYsqUv=bmlR^u^YrCb2TDCZwCob^Fuq=9a$t=c;`_ zKMh%X_jV=x(RyOjFl*8M!e7YQ;qcvLl@F=Xi9@j-w##j$+Jy-pm_H5J{GChbF21@s zt!DoNe;$*$#@9(w#s$?IF1*BdB0hcwOPZ94lT**M0&yjLB$dK(CCIzZRE4~%uF-w# z9~HD{_JR0{TTXcJIkcX9R$%fWv|zVB`~NX^)=^n@Te}COK{^DH5)h<8LPbha8VL#M z21x;FB&0#QTLkHl5@`epK~TC|I;G>x$M-wqjPJk0*xS7ag3ryveXljwoY(cc*b5HR zqGnA_7Vl{B`;fULhGjNg_~Pr|X(@C1X!t;fKoYBJxRbN$Kn zMWE^X1Prf;5=g+YaMqhAV{pLLY$uY+JNYk`7QX(|YsJJpscR0B%>l5u!7An{;*9e2 zi=NWJ?bN-CbaHNov0njC#ZXl`Oe!4AV#$y$_RhuIT3cAyO)}YFVIH$XaRjJZVS}^Cx9#?8eu^^;vfn506P*$@EtoT%tht7+%_w^ z-hft@<^KJ)wbx9-s#G`U?UP_PX3%|&*gBy>Og9omN;oU6D^FsKya4j1_;QXvwah=DV9QI_ z>2H$cFC}OR;8YMmuJ0KQi^WfH*@Bx=+THyTps*N#q8gkW2wsyOIQ;_Xr&zC%GXGb| zxXlXKe~rLI2_AWBw#5!uCwE_zX17!sD zuZ~#h@JXu2DW#~9fGggbbt8klJ$+h$YD)EF|wzLC^U$frPd||8e&z$!5 ztdrT2PPrxBJPOoG9(mN*8NE2ZeY)0*Kh|(J$bzB6?!at!z5sc%M{A&xrkRd%&C$B%cVbCcLK_K!9V#*78H?an+l$D1e~QW}mc&F*gR=2tx0EY=c=g74=(u5wi& zMN^4URu80UzVL-lRk-g7rLl0h*l72LDNVP+mA%)?L;u?^90fm1h2d!#Tk0`is)i+p z)SyLxsfc$wXO(a^mmQ~BQN&)xz2rkxyOFCS;_R%<%Z8f$$*&Y&PnNdWF4wF#OVvoU zR=PNuDhyV-!Z)4qX&Mu{w@ZA=J$libl1_*?MZ5$ug31hs+jhpAJ#Jf*4J;1N9INWS zcMU#|q75wwZL59W#d}?ZuhnQljhC2ySwDH|-2VXatr>FA~zXY&wvLl)~&071S#!t@a zi}_ibFS+~?Z_CH)r=G&|X#0+}2VJ51Apw4UR~BqItMYf@`R^mU%~Tbbo;q4--~Yf& zi9*iO;VqN>)@1u`m#x{h`Of=>SHzAF+CnqU4`zZ_$7<$XORhVPnk|Qk$UG0YeTrWM z58E!TmgRFHewK^r)s(#8_HV0;`UY@HEIx7L&kH;yS=loDyWsYfdzaA6`vl#^96QFu zpnHlFaTge4I4?&a<5xkQezY@#SYLQt3^dgwTccw-U7ydIEtKaYewconAry0MbP>-Z zJhXEcV=jx)9PKT1B)Ach7yK@R&ql9^#hFPKvV*8|;M_=1#dG>6H|h=02>~h0z{$x; zmj+!u(9gXm_}LXs@l;QbI)-7d5wE=fPD^?cY!z+ol;CVt zU|$3b8*AkZGJ}vZ#3@*U9%PcwqR^m#SM*l=8(kI|*)JQ;Ap516T@y<~1P37CZ$u*AwuTS%Sf*O?_4Eo5cgf zWVSr()5`{a1SJHVioHBA00WK40eE@2pj(1~h{3yqR>2tnmM@tP(eg~xRGd_JzrffD z-iS_Mm-N6v8il(y6JQSea5e=a7V1RJD>+(%IjGR?QQ-ls;12X8zC&B8r(vh57mhG6 zFOojA6N!Hx&V=I4NfW+_Q}}F|(z&kc9OUL_YMU{+%si*(j>z_Tnw=J*S|~|u@|j$ImkqVCDJt>BvLd@@ z#eanoo@9iTeu=ku(P}YL?0?^}8~HPvnAuxW95dqGc*oB1)xDm?-tMb83@Pu57_!8d z?N2YI9XvGIiDSQ6t*#}CbX@k=K6rF&MCNmj*4#JbC-1Tu{cY^wZ3=E@?&^M<@Em6O zJG@C*vq8)uA#7qg0>w)sK_0wwC1s!VYUz;VR)5TecmAN*9T}Y(TGm1e#oIK#96}5F zfleZV@#7^rgKX;O^Jk&Ei3z*EcaQ&^E?@|%4k4X5kEpTn^WOH+@0hvs5RMwR&IVE5 zc?t$4=5wz4xQaaM?Jdh)m5b%psh0h-6?J6%9Ye%nI6Z2P6^o&CIAbfdKI>B9&}$Lr zkz(BcFUlP^;z(_^JRf`kMYQ^W`aAp&*s{gFEfe;8L>I^-JZ2wsJ0t%=x5YK;(Dda zf9_%@$&*6l

>z`XwJ%uBCPw3$K?LNc>k#!CSXA?}nm#rysB&)w*u<7U^HNl;e}{ zF8u!XLGzOs1C>N{?g!05ro*NMdZ;6bUzHtwXV61)sP7Rmj_HQ41VbN?WCEd%D0f_b2F#p#*D(74lARo8 zrk;i4L>O-M&xUX$!Nz~VUQh^)8|+NaeJp@&p=3c<_%~D|;;l=F9wG>`kih+x2{4Zs zKR*-(+57jf0cL>x3qLcoqiPxPGZ>XV1Me~%U)Z+;x;tS1m*l#&)Ob9Du7VtH?{mBkOc&K3c%Ks(n;J^*#0qR^RYiSnPFPD~= zq42m_`enWFmlPW^X#;N{CsR{LDb){!V&f>bq5GPQMA$hJxi4(+w%>fF$ziTEJmm~u zn?>`r-d^iZR?x2WIp*MJyC(Im5pez3jh2{A|MO_%y#DX}417!fqaC6TW8}x$e+O35 z!X^zWCzMHFi94|c=g2&uqMu_&Zy_YskxFrHn zUkir9-KzcK4W+x3;SV0|(3h?q3L-VsT(rV7vRo`NG3_pbFLX_xsONO!mF*^~ zFE3-dx!!3KJpFI2A3uo>eyFOQcAf9lNKf5MJpi|G3u*0ams_HxetQ_s5iwqO68l8` znOs=%qt5oiz-G|5y(%1ebl2gsBMAv0qvL5#-ODCwC9)6Pch81}s<@-@lUq(-m91_b z=n#i^(TNbnFwC>E7)=jlB3n3by-XWDvr^6;u6A`CUG(Rg++;akjsn zU}gi^*4OjxhAD@xJ6PNZFafwUg&m$;dW*PDJCj3;lEBGs>-VQ$bY8G;4CP4TKm)wp zx~#Dic8)Y=9kAKKlP6U8Mjet?anTXapb@Q@OpbH z8Ju^&8a-SQ{N7u*As#{mm}(Xep(hD5osa|I5#7So#$~*NsG)KGoxe|YK;nTI1~@E8 zAaQ)%72gJ9F+7y`6sUx^chOK%@IA%E#Ecv>Y=$AWWzgahH_O1Tcpp21U?b_}4;%e+~TT2Oazi`b1G+Ye5gqfnh8Q2gl0UM%il3q%Dk>5bbtA zPxLNEiGYXE116YYu?p6@=dy8VwzDzFsaOC4o1UBM_rwjYeI{ki@H?@bs^ zbrTCF{7?PT`lO}1%41*~>FE0YNN#gZr@lvox4_7(MW5zQ}K@x^JoDQA|s)_3;bjj$D&Z%Du6#5d~zO@Ck(Qf(L>1P4{bXzYx^H47* z{t8k0H8Gcz)`v;0>WQ~#_S`cTI@}pcsYMr&VxE&$&kcB*G6uj`vF50(Svlr8<5^qw zBhldrb6Qz+`fLKR%&5;VxL&HOV4gKD>wdYfUiS0>V69S`cZU@bHP)~Bb z*);%SQ%X6%7El8uB_%@)%3-lpEBZKX2S*~XhTYSJM$t`xC6tZI!Bzq@NJv|WgvW$a z#TAdOUVZ@~erQ?aCIoGYo^`ga3zWjR{$%jUEFjepW{A_B{n%QwP%v3j+E17+B zydrt(Rm!)UqCa;`Vfjkxa~LHkxqON&l0z%b_FR4{V$r^!(B%mzGI%V!&zQpg25;lS zuv4}(K<8PDrW7V&Kt~1YWfM*`J?(!6H7J`#i$hhOrM`8p45;|CZNdynX#e6oshk114t8HWzH*QA+5O?Ku6x*sv zhM-9f^E|m62A0b^T-i0%>OP_SQEoF!pU9aQbv4O+MA8MOr;$l3`YxU8#-2Dn$oZT8 z;^kIS_2n%i@jwQOo(AGiv{_hA9cNLrR-^I+-z1bLDyeL*9TpO}z;>HBKtN^PZZgP{ z(Jey|;P~kini%aI&ftu8 z)tQ5Q3yPF<0Fph|*4EzMP=SX8iZ9@9fyAy&j{UgRTYQZ9GW*q(9U2EP55%C)@kIrzjbeMy!?V3Rb>hK z=f5q-;$#E^Ot|P}(IsTtY@Bw#O>#yzyOJyP+dVyyE0c&e74~qt1&z-by*#E*@r5Yw zt6V6|iYPSQO@q-epXfgRROrXDvQDt;#xj;E7oS35qt_U>b(?RrI#k)%fh+A31wq&V zo%CJiXC#{1jJj*uJ=-N4ng(3A9r84#2pFNjeL*a3q~#jAf2^XdE4sWM{{l|+=dRY< z23ZOVxr3Pfaj$LN%Q5ceb1t5pXP_Dkx7D9Ky9^F72utA;6RNfqO86ETVZBR6{$Bgj zeV4?Do2-1bjMC2@#TSjrzWAPo3t_5*Ci6Xyx6Qv}IJv!Pu|T?du@`1$s@mzrOoX>6 zQ*FeRg10gK;pJUp`kFpaYuJBC3Xu@M;6G+%=B;r4@G(}e{t=SSD0`R1gZXD=;bJ_M z4$Ey%19ISP<7I5R-Z#CkT<`u830C!TpJRSiR!us-yYcKB33q!Z0xG4Z-$~q+KAs{` z4v}h48$1#Ea_EyB{VVaF(b>h-#<2`Ku^;n1JBM#li-qe)rU1_L#V!+`=)}Y>NF)F` zIvGIt4WQY$o#G$}3K2)H(ru_l6ET1fOQ~rRyDNu*tL8HD9{W$J24ou0yYX z5L~&z$+wjNRggQF^qm9>4p3e$Z#V3i!8N}qA;owrluU^FR)#^f)C&#|mro~Fp?FY4 zUFrStV>v=#W)2`CD=-*TDkdlATF@WsNzw0`thRYa2c3GzdI566V?@^gP<24;`#eUD zm=P=HgW$^^e3h?vX3`-C1<}Eq@jl=B)lw-lslNWG0w#&GbK z^}FXBc1@{H>x#PE$yyOUQr%(&^6Smyn|`8t+b&I~bIye$r9IHW!)O7q#>zCI*Nej~ z^RN3h(oA?>l)2-6w3t}d{wEBYkrD-}a&lV~5djpB3s|9P+&_m=mcwOdSO29uI7T9Z zw;v_zPm7+P*bme8=$L+}crgs-(+1wSo>Z}&Z97RFH${a!^`H%==Ucbbx0S=j-?iHD zpcpJ2zNTy2#;H}jV8@CV7^6i`+%ovrwfzFAJ@K(sP7 zg7W%=@wL^ME6JWRG+HSjHC>vZ-1Ts7z5J=pc5zho!i!Wgy5jM33%7c*b3^DFjl^{E={bY`dM;??K4UJP*?PAFZugbbiYKS5NVCuji z;&~)zL%du90Ob7^v=bA3$gSQ!4K)D1`1{;wEih<5OHzL68_8+CO$Z_YNRD~mey z7U|~VWodCO?@XeJS}m`C&?rnP|2=eS4bmpWV4B+)9WmT8?utaz+K?mjR2~U&7{se6 z4Q{?6PRBm|1~T(-V6FcOuqVJa#(Qkn2LZ^|@9##npdw;!{bi#R)aCKShCOB)vs4FyDj^Z0)rGZkaA!)mMHHrra`+DSM8B>h-%l$)~p08iK0mJ7fZz62SxY&)iY(~xG zJS*X?Tkn_73MWViFZ}*H%xigIvN59Vvi=sXl;4%A?b=W(6S-bw;eF4)+pLJ5Gb>qN zwqm=}`&`2QhD}P!bPuc!)!*LNYZ57XV+k6g7Rh{~k};_C^ITB4%gr`$E>{$7RL!ME zIeav;A9(JM73B9oa8-Pr{}G1otj}oz^yi`Vjn6)z+rIVkoR$KYEmI^cT50x2zHt?N zt?uh(_Nl*Pw0^>6E;o&0^D9?xT{qZJ;ffL9eR+0gf{LK|qwFN>hP&#~P5>xXVLJ|{ zKWNTqn+qyk7-U`FJ3X1d70$Hn<&Md(dEZ5ovZNHUd!}{xciUHYDm^w}SSZW8fu#0# z&Rbnwj3=e8!U_M!76;Kn(7>$eubr^^wYT})S~jR(wZn6K2YMCo51-YccpbD_f4w-I zX1m<8UQZvUl8v+fXv%Pu+3*+N`{d%K%W`qM34dIbBUVt`??(r0Uk+&kLAKKSW%=vK zD)J1)Ojyo^7oxdGO|h%J`7n!Gx3;gs+DpBGlOdNdw_9SnM&axA(7|C{Hp}I9eO%*X zM~kUSzAal1U*9qkt;kB_MM@+q0Sh?7zay$L8+_;uRXJ>7j4-R>?f=;^T&E0HnL0={ zpa7bmdAhSk+ZXYO`nll(l^f7=hDmKt9_G=hC(u??;L!a1Q;b;z*{qqB)qU3~2aLPY zk#Hy=#tQ-gMeDL7KvF(IQ%5{0q`Ax*Y9fv;h&o$_Y4kE6y_CL=_6zIa5U}_1faww1 zM1Zx0uX!x-hwf-9Oez=Ah|14!4~5>)9Z!yqbgB5JF*^d9J4f zPz?GI*G@hWe)6Qz8q5PRS%)22`6vi@2SO71D$_@rH; z0fcMV{GqIjNllf5f5md7m;y9jP(`Y$ekREa&4G#$5Qegtvh()0nrAaDnonB5V-gG1 z2*~P)_MXGs5UGMQFopp#J^_S_p;KdnoCTK>vMJTA&mQ#-%o%6+l%3nvnfvF5D+C&1 zzV8HzKh9jc|0)@HFM%}Nd|Q^M+-uPa8{c9Cl~kqHkoRb7;u+W6BCPB(vSlcR&tz4E zA6h47_wd|I(U)+KUq`(ZPPlv!i>Rh#iEa)w27H8vc+i50G6L2L9_W$7LO{najU7sV zmm>W8AahuAWYzwvUr!!5W7b`#2BxO22MB_81(QFpO& z&dl=Nxc7|ocj;`vGsK1(fGDQjha^EWjE-nfT4BkWz8+I`99nL)fI`*g&PDkS4g4t$cQ=bt9aDc01j zi4GGh_l_B%rP#hgZpf8Ph!zcf{pbcSVhVL@)qJt%YU+&U(~cwT=}Hb)-i5ylIveEK1)4*?5=IuTD zryVgPIIP(^CJY zVk$t74tW1QjZq;O?a$HqC1jGZk;yIGf&LOaGqscBknsS*6{ro2s%Z05z201;gC9EPuk&~A zQ!7wIgdEKRiKW;wq=lShBm+>q!lhNKDPYNtL}bveoz7iVUhv zd@i9MvOc~2r*A8waSVRmb_)V((CKh5%purK^usnwO^5qRu)s9@S?9LA>2D|C0bP=R zZmPNQL`r^$XQ`vs(wRYZuro?BL`ntOf3VM{yF6mxLUUNMgwqdo3903X;$g z;fk^m6b+hm8dcrJqz`3VJSMwWYy@*;sVWLF0u^=j$LP(q;XgkbMcy$MoV!O}FlQyzH-iU;6%)p7(VE~zi)CVYGB7G*un@L$-lvqq18H-G@EPEUg z67}PEzdw9?wLlX@;XFzK)E$gFISmS2pLSv*)IxkEf0z zA&Cp@z#Z<-*d}!(M_8`;5oNZMyVJ?w7wF)uJypxr<|D4=nQN#&sCd!0T>S7Vl2O=A zWGaXGnb906jVNOoYZs3oW<|Fs*R77#1I8w{%k;2eD@H0j-kmP}y7CaLR+hIp;u6b! zkId4@POgG7iOHzCH?GHtM0VQQt@=7rl9r{%;pUdJ@zqxGQ2$-J$4D8maC}ZYSzMra zA(u0-DtA&&GXSp4?*p83wX+F>D?;~fp_SZWr)MAF-#gDa4S0%-TX9(7^B6oSb6xt{ zo@0`!OXs_ZWcPF>P{nY9Da(k-F-f#K^|j?KqZ7==cGzW2sOb{4;7fJ41!6`H5=iK! zbH6*1_*imB^AOLOsHtaPzQRY@-KhOFR&`feuJQKl$K#*IbS@XL?3R599?J3b%#*Bm z@NM34^;01>vOdeq0!oRVEM2s+GOsi9;umOF$lKhT@d-BV4oP$i!@=x84fDqgK&hyS zpx)GURC|29^x*s6kzB9>DM53FgP5c`$;Av2%1n$SaSilLnm^a2wGbY95U;MYa|kgf zSg?Wyz3IgHKF7}^x=;!6*1LK|$}Q5CD#&?~XaOh_{5P70{Ee{owt8VpH7(VuAp4;H zv+_?tsAG9%+_;Zm)3yC+*=Dpdfquxy)$*C>=6Mo9uT5@=$L^TAI^!H`#NSsXWj%wK zO{yi$ab%<&XAUG{1bnU^DV`%)jIU^~Kd?B93T52eRP9|ESWH8w&w2hkgEgO`l8qP> z6_|G+uUn*vZkuQ^5rwERn{|YUTmvO(;WPszxf1k*V0Nm%yc|D*pjP|F_E$SH-z(+0 zZpo)L%gx7=SFzi@tktiwQT5W!Fvsxe!)|BX>q+%^bM#lp{mB89!0hWMWMPtay*wS0 z4sa-|tCA{r`yoE!Gm|vI7fzts%2c`UO2fWAFdy2GktLUzoy5-Hc=H-ni~trAd9S;v zFLn6g{6cM&G3aam=Y-uC6wzp61cZzsmW%Jo?N-S^%O3P7f|3~fg4au#xVg^X)q^Y`o1(e zse5ur(?FA3O|(W4-;06#Th=psrrA{hFE{bH(#okTVoh0fB`gIqiSewdGK_F%)!0Fe zk&*9?8Xq;zzDN{7m@(|oE><6cPE0zIbzMF^x9&Pg?PA>9md5zQVpuj5S>9 z7v1>d*F7+})@Z=-HOLAtii+$P3BZ0~ysbK~yjz~Ye=p_4$+W#^mU*lxJkdjKdE9n5 z>$6c9#t?2n;Gp3`o;5lHIb$x+Rl33uv+1;%i%UBJ*c6Rd>d<2KQ=Q3GKR7Hi9h(yJ z4K76is^PTWH~swTA@TZiEHMJXqKx#^$-L){k@X9$bqv1hCd1D-t9;lE29VkN1N+4o zROZs&DcUyDr^odG1tfO%5l6_Z=E<9b*q0YI{4y^x^QrFmjl_K!`*cvY$N5*xA9Xdu zG)E^WMc2Io=c^;V^&s7cX9bdjZ{a$FnEv;5GT^@iccmgqqz>0<-dcoTJC}b8A^Lj@ zEhjD<+Vv=3Y?Z-_dH>La!DX2jl3Z)AwCMI+aG41nia*wtWUrBZ@x-xt7$m%eKQqWH z-G3_V+t)GtUij{BROB2R6BYvs_6SS3!+c=y?w!kjPgBdFL8|Ur8{>Z|{*7pTO zj?Z{AhzKb;Uy6(ywu27$AXLRDGBorXTvTy54O6bDR8o zxB}8-;J5leAFE4Z|M~Y1Z~gbn`1hmz_lGRvyZ-lwBGJDt*}v=gzkkFA{L_b9jF5IOOZQ%!9SYG*N6o-&%qRg*7CH zSt{3E|C}=y$OZhV2aqYLsGsR8`ZXT%%?@w#IZ$G>^(6bT?=x4J`Hw!Yd~TX>~8*R z@GOavF8sTD&idoFJZn92QH4^M&+_Sy)C)CAw3WmNsMSdFDqxa|?dMxaeaz&n=&&#< zLKGswC_C|ix#vTp$8qF3K*j~@w+FNS<6ND|Je6K$SSrJ00{O%PK%NZ@{rY7G8!||K zyx!+KVfxWRZfC{h7Vu-|a=~#k%Zk~??M(7_)TvaJ&67i$@g5@&bJyoD{XSU8<)H>- z%GgVZc^cO4_cTv=mjV^^iJ#*tWg{V@nKU-9#fg!$u=z?#ut)YYQLWMH9Z6d{R*M)z z03rvlL)iKF{;tEckeNihw*Pm?-B5aPFQ@*H0`$cI0BvVL@OPv8?-lMp!?*KKW5gz6 z2CHTwK-z7YnVA7Svt|^c7zmOlu3I0nvV5VN>zpQFHButvdaF!6-GNSlT=nEnGr zsU(-Y#<1}@R{^*L`BU%ZfBdMIo|zdny935-HT7X~FPL&e0YiZKJ~LAZ5Lma>)kTs8 z>@w);IYES-Cj9UDwCsoyxq4I6YVanfpaAnPCnn>U^L`%;b#)f-N|JmNo&~YjkEQ)~ zBXxHi%XhE8hT0YtI30e!A3!4dT_Z8WPL87#)3o)WxuF5=w@O>}9H-Yy(u6~}0@CDs zjZfRJ3b}2X5bA82{eMi1((a zc=^BFbl#ukScP?>QO$0Lm2q*X`cPtIlTVcIWWZt`h}_Wt<}}R)d~jZ2;j8rgH(1Oa=c+4 zi|%i0d8RL>KFrkJtS&>VdsDrnRLQ_O5{Hxvn|4UBzCawc|6buSU%D~F2b2dYqYt)>e=Ev6suX>F*o zLXP@-)Vp1Sqpx4nl4U(tdD$(Z8T_n}`mXe9Qnb3LJrOr`{D$TO%4!rvE#VrQiX3LJ zUs6W}(fC|MgL0KJ>>Gm9rUecf{z;WBy;TxW1gfb!qx`oi<{%#4Yk*2*!99+!B!hYn zp-4i^AE7Pr4Kzm}6zp1iOz=X^nlFW%R7aL%_uYnUmQy7cA1!fVJlNG4|wiv!95k{`QrfEkgN zRihX}G}dkigFN6)`ZdDG47ThzxhC}*B+V1>yQwDWI#K9t7BUq2*ODom(3Rd zNCT4uXf>n$`Fx%BKOznwiVCPL_pQR187hm?l+{FuTF52`^qmO^jV5DJy-1EZp_hyB zLm@KkpdDob_9vme4&pQze8Dd(2-HCKfEM8OzIa3J{)Y}>8VB`4z_`tbu^+<0k!JL# zOdEbiTBg_#*zb8k`Mo|`HUK}2Jam|$tU;t5K#vT%RJ-SwQ!bOQAX9Gt;J^)-?+D9x z>SGR2io?TgF9>)7M614P9Iv}4_g}}X;zbWTXmey^u0HEYhQWDXeK;Uq!IPz-F_h~T z?(z{0%?Y*TtW>TF4J{2Ty#XQq%kVb+Oq(lpT$C|JndlZq%dWq6G_n2jAvmNg71n0N z)w4eXFtjwZ8aP_k`Mmwa&>}A|1%(+f&rCH+_N#1PB1uMuP9#ma7)Bn2nj+Aa{=&!;FmYgD*Yo_zzGhHe;+`i^!e3f z0#wiypcG=e_vel~Jz&VemiKFo0TLt{roF#=aGgG(@VRDeE?PviC>oTYCR%+hil~RK z{9mwNf6XUA_?oK0oUB|`S@2ir+QQH-^0-rrW~N(QXv7TRnYGui|!vk z;A?1m9qVVGW-E)!vj8#=@^&1%V9bFhq2dwnEJlKsK+r@4T&*COfe<@b1%qrf^HEUW z=aySOFQ2M(b9z5yq;)QC_xN4+d`Jfi;V$dN=d1u(n&pG;RkWog)N11x#d2pq>?EUD z!=MjJMp2~5_OcSW_vI4KPVB9OV}*On_w0mo2-U5hr*=%`38y$uNF1E=^SZeZ3SLiC zm5A%2&t#~4uTK~F_4yZ*_ti8;jj@^;1F}3yrq|2w(*riEn;!gwR@h4yXniIG^w8M9w)sVIy)S++1OcPXv6?=3Pk%Eb;mG*Rp1>cXP)co>Sl9MM@T`Y zJq&BH5FsyVB(@I$?E!Vh3pSgnx@ZI#!FgQ`_yaP6REixsAZ7pvjiRDry(`c{CgBbT z>H>hr7N(g(=|Qe!0E%dE;4{obBdXDSo*-eEnZCYq27>JgG+|2-Ck-IUmC68N5x(>s z=&m!m;DFFo!%OUyoNe+t1=}-1lm?()CMvvpnsV<`QhH#Foh0Z$Ps}8r1vwulmsd+x z(t*9pMi9t`@H~PqG7hMgrC-6XFa;@}2>JoI!NzUO0NeNcV0^WN zwRn0KoKmsBTYtW0NW79%Wzb74&(tg177KJt4zt$aA6j6;@u&XOBgy`1PW`IBHtFd? zY#BDi;ffyrme800F8bTYlMP8pS~#g%=lee5T$r0Iq3NJn#U5oQoozg>B1YS$-N#=;AJfOOs>2>s|A^+lF5$I zra4-79+FxwSNYu5+3zyzcRvXySZYcdGR8a251wWDd2Ci5*xm@boK3n{X5sqRd!A_7PegjU7ZA%L#0PS4@8rVao*iWhqM6w);5P*cp@IW|b0iCf5 zHdp}V3D#?)>`LFeO24 z4{IlAm@^=BL^Rnol@=4i-69~EBnm>almP{g@)jvH=VytI0AxkU!y-o^U`qvaLt}+u z&-;+h30|DMXqgYKe51O#(@WA2vfwQO^G+w2cWwf2b|a=&Nnpl(gWcHHwsdpvP2{fc zBW_@5efUyX_zp6xcHmQXr#AZrC2AzODyquU2IG@4Xy1z2JLganUQ|G&@1 zjy~2}8!gi}jqQH$QY9`8aBLXx3NEkLG^JNXfO`l12xFdPumA&6c2++$=Y32Jitp&g zlWnYKcqCwhM(BbNnkBk~I$aJ}^pNW7>k%-SK1k7l$tqhfBEUsmT}7P~($ryn2EiSy zcpv4H+rXeB$8}3+NoZk%LB}O7E)E<|u=*{pLmF5Jh(|!8(K`%~M#vQkf>3hC^>^ZQ zS0{`3xac>)!EZj0A(0Fy!evKfsJjOMWFikjE`*?#Kec44#yRFas>^0XR>6cszb&b( zLNu2QO2YxYXJb|zE6rrv*-h@=$YwtVi&88H@`!dr@>5GOtYh4<){p6ixG^p9x4S2Z}czggiFkb0)MMU~}yMb`uX~L7J+=r;# zH3Lfrku-AqJNcA&A;qJ|_O91=uqryN$hu{Qi>1A1NtTkMJw!FQDe-DPW#=4_^?bJ5 z=PL|;Gs!f}-tbEHqAG&HkWj07B9lNaCCzYUWAN__u20CL)k7Jn9tXLekP`4B%4ibX zW|~+mV%nIaL8efy>dkmM`F!k7sO9k=GDk=8pc!M+^Nr~KT;0Z{HlASVKMi=%^QPNx zUrK+$xDrDZqb0yZf}$7St(hUWla1tu885IB-yi=LiC{)Jg+Y393XcG+(!Wb|65)7> zaBF~ctvj58m&i8Xbhg>yIQ`_Y9pDp$;I{!X%qQFl%p4q2>gsUx0F`>z6TP1g8^=J4 zOscL#+lJ<4m0@c+CamIB)CyrMZ`4hN6Rq5;T?|d{}$o#g%?A_JeSsq^Adnx81m>P zk2kJ()wn3|kIIySh7Bjvs>THu*|r;{ZqvNEfxY9Uo`pr?P~|1vXERH1t`N~UztG%e zEL30-%aI>IrLvpQlcF9hS2$L}LzC@jx6c)>Y=U;As-W&pz$T6_cUu8bNQpyEXJ7|qxlZ)>1&^_^sxt~Vxv!{$%W2B z>K?j8)!Wd^%~-b7Bu8kSpK9sS5F6l;^nTsjLoSbd$lNd@?RPci)o!?byMYX!aW1yn zeeaw;vM`;Pg{VM#_GVC=znH`DSfll5fU42)G~IDavxHXbk_D>0qzVKZ0x}aQC6#P6 zqmK_Ip#IZ>z%T?P3<*wbiZ65&GlY_*X}sc~l)6{U*4tDC`Zh3o&Vj;n1*j~jv`{Y~ z4o8Fp!rPTaT{}Z80QL;W^s|p{pMRqh^T8AtwD>L?BU=GCgVFJ*-V{ySUIV9Jub^;! z1lWwX3bq{UGZbWH>$TN~YfK9T5*gM!i-z5ytMpZw zJrp0%bAj~^D!%s#3GaYD`n>f+aiA>hjC5l2SK%jFmH^8MDYFLg(gL`HJ^(NVjzk>`l{nzpNG5gfz zAp{WOS&N6tW|hBKdAnNc1fP{p)r$N6E~bIAjd(l#L6ZmZu-#b8__0mSY_RTEF-c_av7+QZso^NE`l~EUWxNB;%yryY8~FcHYM*QtiQBF>1wQt$G1au)6#U6F!#avy z_vxH$+ue%w;iUL`LxavV`ck*oIMsGn{*(f*vM%pF>`T@Z=PGuzJ7({DkyS4*k#qkT zccNPXe|5K8ATT7v+S@ShigRUXQ*TR%a;7@Ef56UsnnvsuJ%=yuA?26U)Gv01)19<( znkRLI=Ngn#_0eSM0=z4YLf^T{-E`icyYFPF1Sve&`W(`9*M(SpICOH+MDpjAn_JJ@ z4y8+)f^c&Kg>?;q=!N$dUeW$`dL><}oWDmVR_!^|I6~sBFNAh4TvA*n`Y38I#TGsI z9eSVZBp*Dj;CCSJ!PKM=_x2Deq3M|qpA;A>XqWnOINAEKM65)JBDY5II?=Hnes&;f z#>z;PjD3-QryY;y{XV^_js)fY+buf1i@CXaJ?HGjq@bUENb#x+s=7o9{ngxm=oAFe zUo`Nc8uHQ2{kR^M>oTu-emo*l;N6a{{d%TvSKufc%q5Y9OpX^{fA*Fry~>+;b^8E}@>|ZG?9;~7>L!m>sckP?jG|Et+G$b43i&Q+{Fxh}g^sS9 z)JczcUGOjDAiN1ceaHY4y?*XW%tyxg0q#%4p;X@o1OD#n5(DiUG!T@lt<)h~8EQyr zYPSHuN6a0Z_LN9(w#$M8)I8IRUrG4XWZ_O7FvMav2kO}-P4!`X%+MUvX+ipM-U{H- zQ3_z;_5u}}e`B~Pk-y~$F7&S|%}hzBwTjEdsf;n4s%Gr`>(c#~nbnJk@;95CV>tqs{TBkmCmpP#-ILst^fqy`y)a z1{!}e;i+)m&;ZgOiYN$&{|x2fK)(RhUkq?T;9UWkL=N)1v9GN1%eXgEUEaCPUkZ8at^aCryk|^O zkdpm3NK|HCcz~nE4$De^6z%g167;v1fhtW`d2s_P@m!W>(PoCeF5$)^y{jAg&yxAe zP*Y!;bP5DcT}-(&yqwMD)L z);GJ2YZ90)f237vjFX$CYp^{3P9~i8ykq+-Cj1x_d#%Xs&6W8JM}k`1*h@Qj2a7x< znPY79O4ISkj6A5M!G+3&(+xjT#_;U&Aeg~ckGtf{((Y9;{nZ%Zf@nqG$P}0UrEX94 zlUnYp_8Te8q)x5%K0g&RyiM_&OOKqgn0q1{31=?9z`nHVry}^<;~OK-=GG-{W?UD% z>#Az^?>=Psv3@Vvx6d?Dux?ZLN6LIqo^ZyUI{zd5<=tt!l!B?9w>`RErlBs8q*xDv z#E>Ld%(m#UFal+=o~4Kp_fZki_-M&YZtI+!oEy;-#vhV|#NYOZS$?A8rE3nRw(?S@ zH+$Z+vChfpx`gnyNB~ABx@A~c{f=-Xnjb<2p)B!4%Z?^$BtrG3tM+Xjec7^P$Wb(Y-lxj z!Gzgn7S+Yu3k%169hx8eD^qZ`rHEm81$kb*46@qk2?;eLi+hJMrC}P^<6x5f3$bH) zz`RGdK^*~ZW{FF~^pAzV5X@A|+b?Jg?E6|$Qc~ovl?Xg|11yf!5R?59c&vKME)5&; z(=}a-DyU*fR8BZTc?(H@l=xvz_`aFCrp|I!M+AE(H~~H&~As>5WX**CxT#+Vd#j7 ziz9(uqeEcU)4$uq2r^s4sh^~PM=2&Dp)-!Sy2P4qb}vdj9x(+kIK5_57GcRAaYS`X2MEu4~q1Rm9H<+h7(x`&st$ zPie&F6#4u_*qy$!Eaa`7nT{w{Pu;PO9zWOH1|;+OjCvY2xVvL_C_J0W7I=x~1eC&R(g!)h#(ZftWdiH6g zXl$l^pTOq3e~J`L_Ec#Sh0<<_hDnhn_>3tN)7{q|X5qfv5VzfFxZ$hmu8}|be3*Hh z^y6N-Px-1SN&ZWdJ}17qg1mIeUQZ!jEBwGb9aGPvm>3J(W0KHnNyv{hVctB4_^2Z5HO}`lk3;mb>e7&!TTVUPDrf z=#xbIh+o!Ta;%Z_tNo$p;Y;qn)+sNz>yi6OLQI>_ldHyhdGGL+tMTo>Ug3~Z`q5=d ze!8Cb<#XfIw-p7k(w&NUg~#o>t`+`vooTH>PGN56#9Pz^l5gpvtd3j0MX)`vGa4?| zkL;KwI8={e_&-d&2RN4h+XsBxitJL7o$S3=W?5wm*&&oI*?SAwBcn)G_9i=<>|}=! zva++@^ZGsS`##Tc^gI6j!|fi|b$-wDbAASRPJI*I{<`eA3)@Db$Oo62n9*I^6ac$I z{>kF^r*=6XWh*jHa$^`E@Xr-&@_u~L-3UNEO66MshrQK;!s0g5GS~nB0BZv78lH!f z&);KheT2aQ*S`e-;x0%pL9?8$W(Qwp7DzTg^ii-y0@>*|P~L%<_PY0(kijvYlu>S- zez7Px4EW$w28%@!W71-|LTJ^Czdwe}c)+zUM&7KdprE9?Tx@b_mr^4atfYtHk%c!K z8`}&6ZX1~z8D!*`Yyyn)`e<`nkX~5Q8gP_Z@cE%$U0qdy(5CvDewQgo)v0SWE|nw- zq-(JR595PYUEd;%iU!(3M4ku)*Qv4_COq<;p5l^ z+i$RDLP{iD8zQCxw5DA@z=_$m@jx4K1&0F|T-@6NR=@^z0>K1&Mzx>EfO}v7` zw9ESD@{8}DsGUXkhKH1|;z9q+tDu$o>Oaw_h$Ii%_LjjuuPd#KQHRXNL~zdFG5wjj z{Xjm(^0fg=BUOHQ2gA_XoMNTr$61JRlsuXqU7O0ex|;Dk*X(I>{(VcjRj-MU_LBov z@AJ~er{uZ{tIj#~Ho9sA{mb4cJxbui*z3wv$jmL|HL<+&&F-`AvYs-!QKM#(pO^K| zTbgkVB_q{pIxH1OlKW#0eZ*LbUe|TxDcv}pQWbW8KDi6(rpR#|G1Zh)>SF1odJ8Jg zk5|P-EV*U;O%m+Lll*m!N7!sQZ22SJY(I_L<1BUBb#syMspFt_x0ca)bTcZH?(_VO z#W`i0H?9sEtPlxCu0XrU$hGw#m*Y(j0WlKETXMRF{@Kcz8v z4Zl=X>8KN~Kgc&)$l?%Ab7*%j{#_l!FDzyKg#WMO;JW_k92SeGc(dlTAzPfP;vHUp zwO?VUENa>1hf=QJNH}qcqOM4^miB(1H7Qj}oBu9%nOk5|rf_^Hdu&$x{q=SeOHg&q zr+}=HpXxa2CF5*o-Uds#r8K=>1_caTXllM)rbm){76Q0hL)w?9U3_7= z@5F7~3A5yzs(?W$W7gI#IU{4Mdh(@H*6{B`=$x>!m<(g}Gm|?Y=k*l;wvlk-cEWnr z9B#T2N`jD&1?$IVWxuH3XY`nr15jVmV>XqlANt)+HlS6~%4*H^y#l0vg;?^rOR1@; zePB@7gd{_K@<+*Bs1o7y#~#QK2+tAV;Lijw%Gm((w*idCFu)gs5Fh5#YM$vPp~UfZ zB^X*=U0e>m{Au`rt;7lBxY8`9sR`TxJ+revlNB3G;eXvgrRGnwUjPf@BG68LF96>G zc1U(Q<}&Rr26gnyD!oV80Uz}3XX8?yQQEA-n!OiR!a%$H1{Ufd_H?ow%Nte$cKnP% zapR#L3h`=@^{rW=y^!M|2~%zM_R_1gY{eqimn_o5DZ0Yi+evyg=%$3H(4fRA8{)ZS z&GsT{dUFLjBe8X-{7~@ffNy?_yLbc&Y!Y0|W6*4)EN5!Dpnl-<@+5i?E;hr{+IUzwDa zN$=~9DNN58ftB)9x#ET~v~UYyj8fYdp-x*<-Cp9=+}qQpHqX&j*+}1bNj=eGVvDJA zt_kn$Os6Fvvm*TgV@1yR!EMWNE8e1FqruaPa8x&EA{^s==FhEkroRfWPSFUW`zvm; zOqta<7D(Y8y3t&vO<;UZNhZ^Xwj6(lIWT*jJM6CXpG>0(%6@6L1G&dVvlv(7yDRP7 zRKE^PUJq7JdG)kv^_%XiM`OiSf#|wUqw1R0V_b=%*l2!ThW+N})kF^hT0Ztq)w0~K z+I%v0{sz6(x9vd1*1WeyE{{du&CdnD;HpYfY+vs7vQ%))qlPxXv6_7S-*^7o%%pj5 zo~7Q`Z({p$^Vej?IG>ud)`?BK6z#v(KL(ZcRv1MhFFzifzw3#}F`jD@q}-U$b19v5 zBSx^EJF_)^50ZjXZ<<}7tmN&V^R!79j`&hpPy79K$@JS&SC&I&N~SmdM?b|;uy*-r zGPQ2Ucp;I>V}8}2W~ce`d^>Lr=PP62tM#!Duoqx^TKxvdUw1;_%;YXdk+a@%z}6qQEwQwaqZN zbHt8%?Vfn%tP^8P0zTp>;x;a4nZjdl z;FVJ{v9J{EEYRWl1G(mX!8(%4j(rn>dvz0)OpC>K$z7kl8*ovBTU-F{!W@{Lu4KY0 z=3GOFlFDqTTx{;nrGeJ?5pY=AfQEF(&j2FTfMc79j~!4~S-Bquwgc>^Qg0BSVm-#= zm&d~osUyE8l^^s%O$0O3aJnoes;81)ePT46Z+-;&k{AfXVAPQnqFg~X?uVs;Kl%z@ z{<#JtryMu8JU%9RrRA_cOfX!}I`Lso?-h#Gz3|pN<;|ObNlGvb2b1WA-AhYP(nZg0s z`yEV6d!9P=5I=iKx%ik`Q>daTVan+w};{nb0z6)!;)ne&T#~~%<%ks`ylq?95 z39?U7sPAB-bY6|o(vJ!_r@yI9o5iSa*5CQDDce4DI+RKBTu(2tDDN|mmBoioo|Ice zn{?ZriJ3&~^>b;RN11$dh3{^p@snxSJ#Qh9NG*-d*{BkEKE@T3XfjlO|NP41cirVj z>lF(dop9F+_)#CZq1i>x6A}=cF)=@@s&Mx54Jtfi)8Aa3828q^4zHo})xggLx1Wd3 z!S~f7HA4ck+E(|%>+&ZAwzg|9Fn5y5eomE@c+St3J=tieDx^?Q5UyXk%vII8p48U? z4&N<6E35+-88{}0LCXtaI24g^fB+^4$@2fDA+(zDz%C!$m%xt3>&VKf8fZRQ1=c~^ zbw21)L?Au{{1z^%c8CvgyEs{O5aFkN4SxGD*JgzP}v>1^-~nf%czjm=7L#+~vUKdQ8QtD<6^rQA z5<`dksS^f}+=kh$4ID`C^ns147c|ydlAx~~d9KOc?L%ENZLk##%h5pT50TJ@aFXA+ z(WI}bImStLwF>fJ#iPdW{+5Nid+rBshb@rEL|e4A5`b_}D?Dpk17(X_KqB(K*_|?O z?;u;#ET-a!x?U?IH(zKS+`OBci{`hf0URaIFQ9--xP)0-pI&1wd}yvWdu?Rt=RQ3= z;KIWWuxR-oe$e%B>3j4KUpXSA9)W@a#BkIf3lBWVIctFiSod$e5ST##V^9)2Y%39_ zBp}7dCiVLR(Hk5|cwjj^Yn%I!kp1x(&@(_A`zy&v4)}x={MK-|RG4;L1+g{60Ahmz z2_G8>eLfV*7^%QrfHy-2cOE;cCSou_%=89(8_kg3B(Ic}`4{r?@Plp?#O=F1znF6NEr`O~k z@e>ty4=mjjl64kI3CrWlGtbhi|DGs%2)WKVgz9k_YTE2Y&Ox}w9HVwum3no!Z()Wj z562&aVZHB2NZvDREDi-tiOvVoq*>71}F$7O%{_-gOm@+ZSoy95Vj5MCh3D0=cmB3fUD^p)Qq>>&pLMit{VZ4ASC-XubVm-z>!IL zN^>1@Q*j<2bu8Hb>U?HSbc(uh8cGId-;%kmEi~qnUfpkL^@eJmf#%}{7#G^Xc;Bs| zBA&qI1L2%<5N#e2$$rOtwJ|&^K2@1fQ|tULY*~(gMeIRH!S@>rV(NGdSGh2sO>BO} z7wh}!EK(y&7X!OpYYnpHnO}c(xSnDSs6XYsH~x*6;&OK5pwnl5aqr{{&}cdk#zy!A zmMg#+o6u25gw^4NhC$+~M|F=GMRH2Y$r$_^&Vky?$=PD61unuM38fK+JXyjDv}&h&|*KVlbB}A1?1Qs zBgb|H#;ruKx=3tt0YH<;DIX~VnVp`VgL*Gi%&4hH+Y{R5Tt$ z=_ad9BzanJhnYSWr6h<++m4HF)>zT3-%k?SctCdDsot+)yZGzb(e0tUD1oM_N>=B* zx@Lx-2h8}l8lUuuJ-c4D#2vvlO(gHhU6RIP;v)YusqV6#O!)ztoSziwAu%qq&Hx=C zokG9ei9JpQEMGp`c`Ksa`#I&uGPS6*@f%%1)Q3|4i4tp4AGrz|`%aL^*j-c0u8)~o zoy?mZd$4ICV4ZH$q1B`6fEpf6$qUa;jk*1lnrz%XYO1~ZzW-a>q2hN+rsFL4Ih*SU z$=E;1Q(m{>nfvo5U@Lgkhh_LH4EZ{85|JHIXt4AU)sg)cevrvtXYy%~)lX5+XEu%0 z?Ch5qQ+8{9!3z4pKc{ihX*XwC4z*0jJf-At%_!x~60k zwG@|*T4u?S zfw*9VSB)INz(ESM8vWz|{#?V5lY=;sZZ}^>0h2 zsPH;%ZTYTMpeg)|{(+d%W3Z|NF;m`sy5E$FDe+a8VRbq*L|ht%Ojp1<{feX#G{$*d zOA2OX!UW2&YXqUo4Z!7C0g8i|Pzwa7i^*d81}GY?KbJTo8!nWHM)n&@naNKl6JRKB zgbiuas!IcFKjo53LqkXJJVx=siDzimv2)6St+L^&D-zYtyra>-xbnRm2^g;epMuV? zEFCQ(%(Ta=@1R*oncggphhWlR8eA`d0cGp}Vn#DtpG=W<;qXfVpM;bYVF&0V z2qB;M5O4*h(b3Tob|$7DSKd6VsLsdN2l}2JSRw7enqn6CRP&%O@yIK1H&7;<)ILle z3T&SBPpm$fgxB*BcD+gPzY~z6)+E5rZqp920)1ipApW^d`l1=~>#@xH9$Q?H3g$Yo*gB`XylJG0Eez=wv0=YNe%c4`pY-+37?D@&csicVcx z!Lf0B>+GV|)Uk3Xo@aZ4m2=m^w#2Mr8Dt1+=`1`oK9}B*+(JGmenbh>xgp4X@`SLI z{EJwIoKF}D3Gzi^Ya8hV;IEmG5VSJTH-Xat3vCtFS0W@~KZ_f-6vdA~Pw@~cm)c%i z%HQ4#>pR% z?jHJAI+ewA?Q?fcZc@#@way@mTqp>xxKS>T_#8tbvf!Z2tV{Z6CM6A znCaSDMi@GiGQeW!w0KI}(l|)X7bpByx%<1rHM>ChzKVq}-iJx1EyYE|K54@ed zJ;^ORHQ!+2DekPq%lzJ*v3--_&Lokus7|W(b~KlVheUAs-RmHSa~aW{-!0cU|asy&(S`wN$<1!&6W}3HMuNxeDKLV%-4=|wsgp*3RM^T zv6WfRFTUw9d>Yg|6Cj$r6|{)+l^b zP+V4zuh=sL!!weK!`0uvQ$^iwI2(X<`6OJpB4XiY74>WJI=tI8!KesdZ~CM5U3cQ@ zm-s(h5{a4&S5?l%Ckp8NHPt>iWqA*VUqhQ2e?k6fkg3xjE5~&sUFP@6ne7|b4OKCj zV4CY}S*U++rlRj*p9Lvol2M;Fh&%))K6ELhpY^J)f|Up`p`;;D0HN8x{85SqmE||o zK4_f*_I?H;Dd>OEP^p4;Q5(e#1W|SIc_<=l?lMTLOjT~r=fU> zAJRT#T{QrjgRAhL1dv^+ApbZuAwHfBY@&2t8FZ49lV2jDUi0VAkzI^CCq&#+5AHpI z6lqShv$Q{!HPi4>xbjdE1Q79LpILmfyPUffVv>9b0745`=pu?2R12&Rc0mL569OJ! z$J-80y=9>3LRg}bVp@by0p;MWS1Z!vM_AaGM?RM!3UQ1dU}2r7T|@!au6Xf@opzL9 zV~H2tNL6^&bZUe{lkQQ=p5s(!TZ9z3+Gum5-gj+U%#5_5;uUL4B897Z2kP0SJa^!R ztqw*>b8RhK*IpDHKL?3~#D4E=#HrEA?ek8mmOtiHXXWgaM%w(sMOS_g-8xkHG`DPc6(H z#ov=1;Z$L!%?nH&I7an5t*bdZ$L4&^5AgEp9F@?z7_J7Ay`fUY8Yj`vOrxgkBz$rH zaN^e$Cd=`W(Bbn!$-6{f8N#n*k}X}ddhEnIQLmKj`>Vg#P@>OJ`TVnDd63JU;Pf^Q zK_|(nK1J*g^4L>Zb=3zO7VdmabX2}GwY+aQ$s5!fV+clrCw#RZ{lsIrd9pw}bKjMa zs(&)#i&aROWf7rmGrpzp%M)JzFRux+hh;=n8_&004+f4M=QmR+hN=Q?*(==KQ`h2a z6lvP#_%|2QW#i#+$?CClmW*+1mXCA$0=FZ#SdMIF;u|{miD0R4C}Fex!o;QtO`~By z;^_{u8cxtiUq^w8dtvWn2M+xuz~4IoRf&Qoj|loVKM=hEuBob{lbkvg`0?)hr34NZmJynGV8tJnqgI9W-C}}Za=IF?hi$KEM5W*))6-?@Yk_{G^Fs*Y$ z#HqXY`@JcT)i8@&RSuRq6N&h0TBcB@^CwvLLC^M@*W;x2g=0pts|L9f8@J`?Vs7N* zDCnB}8eveBl1{#Is4eoUKMBnx5Xf z(KUB)^#$^;cQeUxG4^n0#){*+>@vklkHKVbjxx4dteLR(KygoYxr8V0xq`g`}D`;_~{wl4^&0(LaD zaUcQT#`6cjCmHZ{QPE9&0aEjC1R+b?JhMiy0WEbG%b-SMW7#`)i@wuyb4ARoW;)lx z%xoWeXWcbtk?Bu0)Po3hUyvd)-AjV{E$-DkO%|kU0&_gS4%1dxf%!pzEe7+UM zJpui*uhX{#R2i5!0jf-3C4=|`BKge#E#47vM)<^Nip<~+EDEp$7O)QChl82IRmrfd zt0>_2w?pKH6f>y6*bymd=6JsB^MJ{h!Eidghs{9a==2ElMv09)O_xgLy$k*{5&qWB zdFkYLI_{O9r^aRZ3JRqvHk@}W&wlOSh-uk=!sX_|op}Ber;FIRu_JVqdZ05_%h2=a z1*%4ncQH{L+tq-?g2VaQGE_5`aJu&X><)ervB}ABimI{Q_YeQ2sL2r#upT?-_*arvEI-L`b^UQ0(F-x6pvUbdr@2qwy8{LlhGQ#W zhxcs7RM7jF9oI*vHGzNp_gHUe#_P@`ZMiq-?gXrttL>tAie*Rk_*y)ezr@t8Jfg5d zi=z1*x4Zj<fy?TtfknyZVk%zG&?b!b0<_o#A0&=yq7bMja0K!?@YM%eq6rB-1c6O zR?c$$MS!<%^Z_gDs`1Yg)@9U>{4w@7cS%4Np*(LNAZ`eJ`Kh@llzbN_8-xze7WERxKQ= zl2}`|5KZ(24GSK(&^mv(HUpb*-pX&T``Lt%iD%_d;g zb_YK#5fOAByMDOMDsWtu%ha^}z4*rb>xL@crFB-m-DWg{GdtsTfAzEU%9JRx1~>=c<3hkU6TBVwsVw5{;QY>heLzw=FHu2Q|Rfm zZ_wn+$B!PVk-;rT1zZdr(B>hn2TXpq!?O^j!~faBi;LUTKOISTUI?}TSj@*6vFgSZ)d(8wb?)?fYkv73w~ z5Zz&0GuYkT?OOTqqgmPL+85r&^g8F|U%&W!{1yZEtI$<901Y({adOBYD;jECcvj2mp4RWh2=%M!kCW;p0bjC|gsE@Sedi-qx$il6t@4_}e$-WhV*ye*b z6JL7Lh1n@7e8C~uUAA2QI+;SpUBqa}bOU{HThuK(`K;fOt|it}IrO>SM~UdL=n^v0 z?A^As9-9r)`N%X^v6H#j`TIG(Dq+osWlc%E4jdSa@}2}SU++KsdrE8GwCYQ8xg|%! z*{?{_-1X}sK-NJ=YtchvXRwJxS*+&I>$Z*nid`>0i3HXrLdJjASKcd;xg2ywWTS_j z(+FQRLj_eA%E}$I zD@E9eu``-F8hE&-5YYCc;Wa1Xvi7W&1{ZSPlDSOK0r8Fm3eyLpW^c>c`U7rWdJwgS z1D=u%kXW92&Q-{&$BJ5tD!ApyVO6CUniVR~5Gzu%D;5nOCTxU6R__kR#jAsGdg*b} zBN*56olYlNy1gZyp^>iW15Lt}FhTKEeXxF8v4tndjT z5YqJZ*l97dn53a}0!SPJ!x0c!5mFz*!&1Z&ek?bMR}zjXo$R#qc7G#_Rf>iVAE6qLC>IoI>=V`fkE_^PK-L=zO_CN#!|kyviSN9tyr)L2V;ypCMaLUQUz?n;pI}p8`KHAN#F^>L=VX;m3r)$ zQu6GV)1P%gYJmOKlp7xX_klY!t5C8KYX!u@#C`v_AH)q9!=3^9kOeC&rMI<`N*7%H zx3aH)`Ji}MC_i8R_)zS+A8U?uXH(aC_O8O-^k-2Co; z-xAWQ^lSG#yfjM*NB*Vf*~$G&Lz*w3EqB&BECJrH3ZE(1K3_HRI=C)IMF=DNx>%}C z78$^qFhai%`y(IMg9(46alvQA9|{%{@NvABn>hqDI@qLR__f@Z%e=JtL)p)T?_|1G z=Jj70bezu^YB!OSQ^D9o})2BaY?=&2eDivWqUvHeBOe63 zv#q~^Bx(V32`%!0lS02*6Bz?KBQ^Lc9ER;zJNI5v7|CFoJDvHAJiYZ+c9uwb5lfb| zaG1+n2Ww~~Fa5Rl-E(ZDq00%tgoRJCi9$#OV^l>V*3$ca{S$JI(S?V(Ss)yA`3Tx{Tfol z;GkPLaHmyJXBn@B>V)(qaK$A}^DtbGR2mltJqOAK)TX)eJx8X%stGGX{-#MBv zV3(ef#>K@;=F07WcCRzoiQR`)*-kO{vrTQ{&11+1X-gxyjuts$N>{KEb6YU2lI{cs zl56oJcdV=G6(@66M#HjjBOhSsCPK>18w?x*V{r8oa{f&Onk;ylnk?4=frE<=Ni6C~pTA}5mjyFwhcCEM`O~0K|KV~9RlMX$vMpws@V170s>#v#Zus=h z+iKG5xuP3=13XFCq=f5{;saI3UV82tBIX9|C827ycoG6Oe!^H31bmV7h8-|o zq2O04#%aD08A zF<2P1^gSYWq@&X}gX>&N3kiekLIDcxe1v-o>du%oKb|YsJz3_U$GI|WH!x^F8bO={ z-I`ZaNFcAo`<$}nZq2gyS2VcEd}A=Kb$joeDXk53wO38mCtyt`9p*$!pMIHCSqLcmD^E>cC2}6kTJJu7Jq3Fr{tVQN`W3o=6mORa{00A zEQvkpK%SaZYP2D1)M2DZr)<%!O3xr~V&hSn&KvgAzK;wW-{IDjWFRT4v6*(!ch3wr z=7%!Z){0z2R3f9kS5V*!o(FC?-cqq2UBa_8vEG~Bx~H7QWfH$s>qXh+1>8$Um)}Ds z9`4N=AI^U4DA;>)$}7@$bLG_VCUK$r(p;tTV7iN^fFi9Gcz2ZZx{%3ylfQ-}Moh(g zDz>+hgf(9@;!mrE!Z>6F)j@<|C@$Y?iFM%$Hf_;IN5e8i8vDLjhA_>ew z*QF+m41#*OKHmSP-!>*Gr2Nch>z>?%^X2*H>vEdk_00p{fAd#dbkIIBU6Gc>H2fek zIbg8oVdZ|iJuUkGmW7I2TqZYzkw4$4kwQUEpuL~)|NaZ|?~K==py9Xw|9?K3pppLf z?f>&b$$ZEU{qNV~kgnqYe0>C|zW@7mWs2(0TYPgf@-0paLfO6wkUsYn9L?%Q2lvuZW~d$3@V~D>aOSb*g=P$k{_!e3 z8zVWjRCUGHy|ZX<;6)B+KfFwsOA_{h0L3VE_hzQ>q=(sR&|d1WxqMx)_e_Sbi9Z)L zMnJk<2p@jzlUye|kS_WJ0C>fJOV6#rA?MZy)x1yOyYvj!0=!OP;SaJkbJVZbSdFfH zPTzP^VrY<{t|ynHe&wanGn8ba`8G6%mx!WPcanC_ZS{^8Y=^0HTjaIt*D3+md9+HT+M@2Qs;Jxh+TB^iggl{k82wy~x=oopU9&Rs$59fe1E+ns7 zOysF8m6hLP2QR7!#hia*F9n`l36e&kinQ^7X~m{6k`5qPZuxZc+sVzt7km^0L-s;q zQw#Pl744db8iCu@QN)frs$BxM+ zF0)B@TU|EtlBc5v_ZqIy8YRKP1YpZT&c^n9opQ6DYa;1e=5lIN=y{O*&SV~fj!hFN zsYb>WPQnoQ5^ZOTy=I1oz0pVx;%5rtXmB~Z%V*MvOjq18HeVzON#io*yfxQdbo0i^ zaW3v!aytk1vP;fUQJ!7X$qGz=M=^#a%?gRjV^suBR`h|st8UO_0__|I#uzwf? z_oP<8_~;6)9R?9DErv4Vs0?hlpCt8-dxDQz%>G0j(d5hOClEQpzYeQksttP~Lj`#M z)D*$@`9;aN^$QR&vScyc0N(0+`r}o8He*MZG=&zk;Ve1pM#IbM2ia}_7{X>lDsg$= zw*T%MBJ>1BFt7t9&m}H)fXWOcUFGttR|AZfd*FUtEAe{y#Mziq{h>Vqn7Ia`HSq{4y_0gNSud=}&`cEWh&6w=*;cGayr$@S2zC)Iok8z1_ylzP>zm{6z1mUHjZ`r@9q#hTq>W2y4f&peXtz( zmNgjsQ7T?e*9o}qThi0YQsZJWgtV)r&3V_q6qr^5-zf?o_!SOtT`un*K7*CMu6v^) zP2e#$A(f{hc?`f0B&cQCOvD5UKC}0EUx@LOQ6dVm90tLA3X9OYbnRB^p z4YP&{M1e8@d=rAnBtKqq+MV3nT=1wf$kmpso}+k_l$cmf%)Rmv`lGgm(uc7h-`O>6 zRu8Og-UH%Qc*DN?`4i}nVH0+joBN&&u9SrZBLTI9SP39Kvm?{d&w*qs0}{!H^&k&I zSv-baA4ErO;s;3!&O(}O4)Qo4m?z}r;c`9Zom zWnJaYlB3zRCq@_3%>e*@mvxoPxFz3t_ArxLz9z$sh)08ceIB_pxAWeorKPdTs!=L3 zH@+i^En-p(T&mqR)6H117g}LBU0zvn0qv?VoQWFH4$9KagAeCJTiY#YEJqpP^2||( z&=^;F1oQ#_j2rNKKQkyK?TQ)k-iWxv5csF#KK;urOTK?+K!$LMcZ>9pQ}cvd(n4&o zkU0nE3T*^D!DEoqpC$eQvztOy#runHb6@wqkVSNOW9)ErImle0eN8Hz1qrqRpr~qu zch@LPCtH18^mn4n0y99}z8=H3gW1hJHzQ2Mu+9-DjBK2X}$ITc2(g=dYCVESR$ zC#(Of%VOdbr6jPMyifo$rziR2>-Tk9e*F=d_3Z(i+T*!}1;S35>}J)VjaLWKEZpGR zz(3bRY{>g!#Q_EWl&(>H79MEhs{c*{ z4K7@FkL)axpY`_l%Q`sh{(#0_TWIt(}fSaVkPzqi@nlT}uS> z?6`63^ET5mGr8u!5zSqvQ%K+ zl)=@tE7Pf0jMZf5HiU zR!riHp6#{5n1w8P*WpTVcz@<^0s#aE7)P^b`6jD#D4{m8|EqF>H?wB4R}>^ z^nHJy_58aFh0vpU-luVJ_0(_GZAWYy-rBmSYVp>w474zOz{Ki57 zyW-kIk#vr1fN}+Bzb-n9*ntn~___Bb>4Lq`$I-Rmdl=UVLY~b4mazp^xH!x?@8hli zxSY7Lkf-I(SNI>8SH$4C{Rxhx#S&0c} zX25?u7%s)PT}x}5&LV}&tE=-wxS0KLY*ZY$H^!*{+Zt85U!?dYDIHayrnn7|HY}lj z3Psh=Zs|*RC&Z6@f^Vf4j+zE2X2*ts#ELlb0nE?+8wX8b$+!Ve<%PNK7D+^hB(7Vm zda6hqsq{Z83D09~MXLdDG!%3ZNgqJtb847XL#2c8OiQDj)5*JBuc(Sl&MH~RE%OFI z69@}y_Vs)RSbGzP$pQ$iGxUq@A#)JSl37ePBhyhKOSSJ@GgN$PrI~VuHV%Lpe+-0c zHBC*-M#Di{4h~vCxKI>D`gQ7YbBR%Kxxc(ixuOY+v(PLZ$O$ounR5|?nP8!Iw1MyY z#sOk;fJ6|3ODiEBIfpWPaEtyIlB#$f5SKi@%LSMs*023QY0EfpKx?-Nz@ zYMm;R^N?woGn|&sMo;N7w1&CB?Ry$HY2TqG@xcseod#smC25n_^lPXQ*h|_#!)guknU~_vy$*Z+nL@xeE3q}DJT4HLRz!*!PN-ss;OW= z+#Z{!fba5%4x2W#f8eC>fG%blt~(eFiQx%16T*@)xqU&NW7<^*njwlv+kw8m+oFKY z9*@Yj5Qg2h24ZcT`tVLxRu+lkT7uYM^Aky+VQ)7SYO|s85S_UO( zaC2|Zz(ZDS!3&m0+*lZjxSFd~x9%3|_g zb(4>+EGjMCS>12Sr3p~KDyIf0j@y7GpSLMbiUc1;Bz21NCt;R^0*5U71#|OEK0sNU z&2$a%Xc9z*#kmqvgCN{gvVc$N^yb0H>60Pq@GKCvgUO|L_v)SjTy+%3o=t`N98Vyu zyjY8D`LVQo^Dk0RZhU+VH!K_)PZ>yrq355EmZjrpG`v3t)25i^5RKPK5(;G>NJJzZ zt9yqT0~^1T##?0|ZI{$90L z`9k%`S0;rzUj+sd2il8&;c$sC|W_E&=#+50vnm) z@@a)wb75UCLkldU%cr?cAD~{sx<6m@KQ11S;Q&T^25-hOs0mQ0dC$FT-WMm&1*lI) z>{(8S^7pZFfaB0&$!7}f5=dl!x=}$Gh>W8cza6#f(edNf#4(9y0j~qJ6g6x(GErQcjcBh zGBA$=aNY!>{F@OSjKtCqchC7mLy;N;0oI1lNDr<#yU2(Ie8_AyPNt0LAR-8>LZUiIfv&QYI@a7}+J2TI^#=yN@wW$~0El7~+xiz&Pa zoNXH+)-7Y?tHry4Lp889pa&^J99v%;F70iSlzZ23X>Fh%j8J9bYhQCFsG8n1z>?}# zD;yW>E`MXpWjQ!F_u{Te5;^A5;POL%jS+U; z)fSG}bdXFhXE7Z{J;)A0`{E}X^9}s-VXP7WZ1Gp%dvlo`AGIX(Sc%z23U}>;dOihsx4vfzFL_88g9Xq0 zHsr6rsM4EdF=GUWmgU2 z)f7JrTSCJT^X^^5tBvoi)>T=s?ErB(v}qk+IJP@&x7(45IK1!wnIxr(zUQUUF9#mt z?VBz>L(pK)LG48bwEPn^nLJ zk^%E9dqyntl)a~>y?I~=R1b#^lY$-R3ux8nH=OI=ffm)Bw4IWimccFR#XS6)<+aT& zkl6ffg}Lh)u;1NB!Cwc}<$&m{L5L9qzL`kUaP6P+zLq^PIN$#(|5KG$K=J(*qC)&& zu%^F>U%oUY2b5EILPl&i3MW8^*A5TduH9pjjMruLJXuvS0BE4=pgU&<1E8wOmxi!` zI3{D==RJt$y;b z<9+)S-O*r;>4wnQTN=)@o)a2X!Fg>B0aHSw* zEU-}_2l{dwXeQx)RfGK@IG~c-H6Gls{{A^^!qDRy;zJBMhC%2&_;K-U1n=MiN7lw* z|BraEgn$no!@(ZVIhKG4(FJv97eu@tU;#@pps?Y`gWrC3kB{OS_({D&$kM>F>I4n% zf8PVX@3laP-T^_sG0?PJ*K(3dAqyViMMHn;Z$C3Rb$KOf36OofMSx8yyR5L3{~d1L zrVpH1*md1{-7xzMGZ^zM^krC zQUNy2Wl&I|_0B$#Wg=Tgz${?qWdy$U=xcglVq&6(5D@oHG6134c5j?}ZNQPlON8r; z^y($fLX;6`Gzd;YLsUBlmRc@#`gXunJc5SWO-z{lMGv@v zqnj?dO*(vxXsE@X#+InymQjnjmOlLJ1;Ef;&)!)z+?4$A4j5z)TMpU_&44_LO8 zu(}H9TL!bmRuBgUA**%7Jr*H*|32tS?K$68v^Y;uW`qVnP7Q8k&^p4AAi2aUBTa+} zIq2EmP^{og;!X<8jhD_H&H3j**@dkO+pG6wymtZo|8@{h$szITDx!~qt^lZ0Ny@B` zwy!EOLs2TVUyue#4kZ$E0aAc6CjtHt#`OXQP8Rs=>hf>Jt6Nr0X&(APXbvpgVexkT zJLqiQGF)NE7M?DH; z`JEVqVyNulP-M9-Hb}kau_{CWvIg*B>qHFA5MD2s@?8IBmj5SQeonU6@q}Wsr|SwW zpt>i54*g zcr6PK$J(v>4SR4NfV%SW8z6EBlOJ|281R!r70*=wYRQf&A;%NA8zTG!;9Y$iV4UEL zP(P2dfu@5W@9NdVPxrkA^!1-V3>J=V1mo!`aI>e>!adgc_NoN}FTj$H8Z>fUFxp3g ziZ1Opx>}ApG>GqkA!IrCMHnF%Nz&MlObEj?MS)z0;I@DnwPe2(DVPOLy6|bdk4HE< zAj4~ea~dKl>z&v90iiYpLsFdzi);Jt;;?3rfWy*XJshqo^oG|-PwOawGXv*nTcqGz zD9k*q+eb++1i?DF;yEFRKEt5?W5N55hSDt31JhNZG9qRsn$}3pbM0q$_z?35;Qk)ewI>L>Jzy{NrmamH5;+p4bF1{& zt;h2G;NZxH*8rwnfL7$+E`zdx0TZYa));aQK|&5K=x_CRxZOw*-jOl9AfW0}c71^L zQ@)p|LiVsREMyU)RoeoWP*gj}#zR5y58fFc{~7!StdtrWjmQU(he2HNe6SPlc2#|S z{HP^_XI}YYf`Cwbp9pSM#J38vO76rmBuqbf#7J7)^v@bh(b2#=L}fFVkJuR6Ph<*) z6=pa>V+JxY+$Vq=@Kd?{BIdIm`<;b{p4sOcW?0e%I8h{k>I)zz3!%ZihmQ;85C0|? z3RH9LNk-mR0$NcDu_F!Vtg)*@v@*dh8wZ~Rvk_)BIGjW8hq#M_`=3aoQaD`hFw6~s zfg$YV`*;B8fMYNMs@`=JVz#00ww!<)#NvG7)M|njx2J^c2wxP}ePX8ls>pl|vIa`T zU3X|~X6yNHaT!al(*P`6A*=SQ&+zgVJ~s5ezU>Q4K5Dqepjny(cXOp?L*QWZ0DGQ0 z(}~d$_941O--lr)NFH5FOP(`K9I)_EC>)pIP*7*P5mEQ%!Lte2m0Z3c#|MJ{oom?b z$&xfgi46HKkQ2^5(`mq>LflT^U02<#?Q?H$Zxi>^6p=742HVIjoB^hZ@LWmFw*;_~|QIbRh$tYP#lA@9#$qsp5pYCV; z{(X+4<35h-x?TC6=l3(-@7H>3L1u>y@yB8VPo+&P*;mlp>y7guB)cAeU7QW4HP$gC zu0&jq$dqWJ#KCg0!ZtSTGsXc9Ab&O1XzKSlsMiX0ywhUhf%6FG1cqXs+fasg-BY{@ z$Tq-lo|^a&OG-K7-XwPkgjh>R)!RE>O0-yRZK>zg&i(mVGf@}z)KPc!K9m)c5bQd4 zUekN~@Z=8MMbN(>pn)jlA0Z?{0ns+n#RsJJ4l6L0Tdjv!_C0GdOI{M7dq_`!1^K)W z_FKqmvqWps`*G$Bu0gV3k&D0jRLwTTV?4nPZT2*?!u%Q1qatvfGsdgVa(GpLVW)(& zkJMw5RXnJaYeoKo?adGR zgX$;GV-xVe{s6_0l1Q}E75&0@qR~TM_(>@yDi__a$N{f*-P$X=WC*SLZ zGeL>CNHZIjJd{bg-LU^rQ#;Lc1Lz%qThZj z_CMZnZ`b>+1uRAMW%#?+U_4*{C!Zzv^DLUWV#f}a_7utUTo5vQ2z8fc-fP9$0EP>i zB&h_iJ>ky^=J#Bql_PJSk?W$nh}!(eFk+)YYgbL1r_vrKR5T!~oQRYWG|OR_ z@%-^0h$Bf&h5Hb-3?wV_Uo*S`NndX9AlDeVuZRzu1ht=U(9VaV6JTL`%)+;kT?whL zLbdKUH-{c;cBC6By|%n#^EA)@rw6iIb4tG3KE9OEuuM@BZX&>bp34x}%ayWvEA!v-F;M0qw*d9O>a`rp`uH*&Ot;~Gl)SN=^AGi#+Kr#j`q*Q2 zI^Z_%HvG2PA@Dp}J$}rE16#Fc==l_4)D@4Ud;dO%c6zwyfJ5VDzW;uq7|BN$SfYlp zf!k1=K(dMn9=E!O+f}C4e>gX1N6eQmnwy_unOq{0f89V~ME%l;6pl>SJm3(xo0hQK z4WkuVFXmGrF@UbWTYItEt zv2~&Hp$5V8XnZe+i}nzX&IiMB%0P1tlB%6W*r)-e+&PPZn9tKsP>kf=xx=*Eqnkn@ z!+U~PmH|vKkWmMS;99Fow-1q6mvD;bW1$hWo{Taf(@9VktKBeK?dQqW#K*c0xuA4d z5nn>Bgb_}>mVywWFEa9fkGaN|ka`sXD*w}Q^F{Mxq+M{^@CgxC=%qt}IX4Y!V0hRs z9P-8QHcQx2wN2xcZc7(?0k}^yD)zf>RNQ~vvXp_!*Mq~KRa^1lYpzuS?4MB#%aZ0a z%gB9SahKu?O#3Pm(ch?MzbAWDE-$y^{2+X2-uX#h%ycwKo!nSlXNtS}!kLcm2apm0 zvM1vlUhl=hOTmQPcLV=-hB7A@+N!RY_38)I7GNzg?l4VOkI7&8c?+yXY4}?m*2{_H@7mr`6&DZS=#;;xvCjCIfF8_@dI9Evd2Ha z&;dUls}sl7cNj(Y$xUSiP6lc}Pbh6Af}pWeH5AJ7;@knKVaU&ISV3$-Yq!UYD=JOp zQIVVAlQCK&ry25sH(phzA;CBa@yG2{I2BFT=sJ+lrpLmmkMRo z@m8d>qS#p72wv*Tl!K}Uir`0GyDgVd` z)w8n}^Pvl7T2#Gr#Q@BN2YOkxVNKiv;ne+jd*Y)LX!X_;jiU)*}SWUINU!?d~^uKiRAL7f^Iv zdu1NA_4c&a7szAL-Oxl`D)$Tct8&FkJObZjK41h&2oeK{k2@7E4y=8Q!V)uE6Kl2( z#{ufd`oprc6vSA@!2om$Z>EHrh~fY^U_I0TLa{pLy3~?v@#`5rV~J~4VccQE+{@$2 zWiQ<_koecTY95{THHQ$Ni#he?n;`>o1|r0>Hk6{Nn|Qpobxu`yvrtl}1UMQN#>mIU zx07s=2fXCJeED*&#rHkLfJ#6B>s)0yQy4<;M2rN`?5S>7z&29G<@@OAVOfcmSFeJA zo=)wov{_a!?y6Rkm#@-x%HS$IQEPMS1EPzC^b+K@#6Bm&IEder4;GpogXoN<$u|S) zX?b(~#qDZOrlCFPbCF5Af8FB7vFLnHKw7HbmG`xPnQs|Aal8A+%numvKfHpozSW~L zNMCf|cGqaoV1;Yzj^;Yt^JSwx3TnNFWz_+h)&V*nL|j15=**wNV~{|7sp1;(Q3#6H zDfoeg3M7EX%-g%?FPu+XNvi+!U_VoM+wj01TLY28(??{}Ka9%Hb2VucbntR9n#T>R zT@?_`G5h0*zxT1<{%MGS@`G3Y63b^fTvbRl%A9uU!yHbyL7s!RHMO$3h+ASglU;Rx zi3QqWk}2&o|LYLo8MX36+Oe$7PW5ZfjdwCLbuKbZK5-%13Th{9`2X*3eph!UnhB=zE z*PoSdPWLmNzA6x%rS|7r0iZO}I6jameayw6chhqkPBG`1?>(s)zlwR&XWsMHr|Glr z34$>Wo1g=sOJv^L7o915X-K6FMHVgXWFgevt2TZlerpsyaMKhSJjJ609lYd=3Wt=$Y+7luN0?#h z4MNzp;nFtyPHl%o^YvL6K9`G`Kj=z_eB%W%L>i4${fjG;p2JAu8t!w?xiC1^oSMa#^gd}>T_r`tJ9;)a93s%CU8ZvU%1Z;f&e8j}O@9MVoZnEO;YW8JRwcpS4tXL1QQneez` z?~%%P4k+@$QTkdGJx{CX^UQ%l?j$%eZe;m57#rR@wc9<0N^5x5MNQZjQ)Mi7&bu#?2q)4HdrY>0GcK{O3xLVhtM;>c=`SRrT zp6|*EaYs3$w45O8&KJ>_;JX&aOI;4gek{kuxTs}nE2P({fB`O#!3|2FbBb!1>3nH( zORj!mu1XB*t&G_^Favj~eCnVm-btkVkhf0W%%mB|qT$P`l|kAUx?@mqRvPS z0xPpOH8VR-eG-|}$xk^AR1EsQNDMdpemZP^E+r!^ZB(VdF{xee#HB-G`pI8J>BA1y zLF@W0xZC68gtMKLz%C;SlPN3Dkit)SP2I9Y!`+H@`65Fg%L&-#_23gdfT)>kPnjuD zeITP~ek>2$lh{sB-+#nMwgCR9T+xDsj|< z%-EbJzCv4P$Nn29+Q$93BGI-N{avv&Hhy@y1b(r;yK7J|X`(wvWUCQ}xQ5f`1g20J zSOK2Fjb5vH^3*FqiSkEB&5o~5s9@FB>$!qKTJxYm4wYMxo6-rxZzv(C{I*ra#QX~?d(LTg@6I8~hZ>a28!%&`QbhgoU& zGxu`+hm&6ybTZnWzN9NxI&NaC$-@NvBQkT;9SIlgHM`8b}qWpq$924d@gg|_!Bw=ziT{6sk%6jV13 zG-zBPDF-1pL|ZN{*|a-NZaJw z#8nRU!Ua^SSlmZDKp~|gV5}cH7MIBS4r7d2iE11F{Nk-2C`_W9eu+V%=j%UlK#L{} zYFYWeyw|N!CBX}*U$0-?tPt0qGQU`o{Na?4Sdg1rs$U3`87q}86w;>r6^t?JV6ih0 zFh9Yj-De;=a8_*dD>iLW2=<;p9suT?grM!8rxj+7%EdY%Z9YL7aU^C<@h8caSM2GV z)1~UMtyZ-bGPL%p+KR%o0fDz>et$?CLWUYbg97lf=9g;6oWrc(fzzxC~*XB5Ae)6pcW~2q+80;OvDpT!-@+tz*m{M&unfNB%51W z_U(SLo>Z;D47^7d>-#w27hFHgt%wxPH91LxPWQys6}WQc(QB2`&9P%+%}d2FBg<}BAWk%(l!_Czo3WIR(({W3}(hShU*j{M}Cvq-+5sR2 z9{Wt))Z|;D_^Wwq&*zKgh`C~lX_Pwp=4N*b{|LNm`1<`pK$zUWoGIR#iac)IsGqq6$1&`cxQl}WSZTsDhqT+%kcc0FUXlN{iN8Mzb)sGCPtJo@1a z#k5#oKD$eU0Q#$`i@QOQAf$ zOPip{pk>y$3_s{nYC#9u3&hkJ-HO{zr9}<4o#fVl>(*bbYb&ui2-MGt%5a3Da>C4+ z1W4cm^%v)}PJf63#6=pIJ^cSUB6zT5f18%6LC-tD94MQLPqewT?4!@bq+MEy|F^tI zv!~1erm3`$b=lmCz#oxlCgxUr(Lklhv_ry~@C42Cp}KjskXv6aFjxvkQ9$njLg%Cr z7luK8*=ZxPw`!!WTq)tu4}R*MTW}P zylUQbv$@+{#hVS12D(@yS6!{6bKF=duPN6xsU-%~lJ&Xtd;(*0iwBrAEt6~OJ}LaX z)!AuQrBg79@R=Xx`VIyz&yCI?c{E;maJ_3G!f zC`=R!8WY9IL9rw=ls$Ia)?KiqTr9IUr7!GGpZ^=@q0G{x#+)6Bks-eTp9kQA_zoFB zI>6&G-3b_}V6)8W8a?4HBu6Lz`W0m~2SI$_8cd`RmuBKeOL^7@&8fP)KR- zKT$gu(%{Y|(;&>-d1}fqaVnyA6O9p?ruSU@G)E z+9*trNsUUsrO-!Ma8A)W8K^%h1I!eT!oWg0Y?(&XyQv<^8Ag`%T5!lsbQXE=FMvUCJT8Md`bU%>Q z-iUyXJQefZ$&9djr%&G_y#>mSk$XX}AD{1$ijus$jD{>9g*PPx^;lc_ZU&_F?7tgn zw$7CG>68i{^J{xf&W%IAkv%B8#|ftP^H*n;W8 zNC4QRZ!>40qN0XU!k+$1J|JU*em)pxWMyZ6_G5;7D)XEKlP12_J)$J(4H6&TRv9ua z41J>$r0A3oED`7T4}9nGnoLcY9Ih9j8}9Hyxyi44I$2(OU7ypscqSv7NW3rlwX>0zZ`Fuf7Dt>Sm9Jd_?`HN+>ud z56@U9Wn?IeDJw7bK=L98G%l{<7&?jpsDadH{8chA-6yL#*T|_S-Bc;d%Y>InL@2x) zV1@>6D4FfshviaQcXxd9d1Q6!An>hbNN%ej=UxS^@nlDOI9h~FmZc{jAO$F#Ub|Hk<07MRHbm6x7ceXD}4q zDEZ4)DqoeEeok9UOS*-P&7W0;_hOK5l{&Tv$f^{SZ=Zg`wM))yztQMqS;IF&)H{ln z8&bPYJ>MdP&;mAX(@eYS<6q{`$Ls)LjhMs}C?SXOO;&GxUiSpfk}MQyIT;!Kg0-=V zkD-qgNi^lx>%0#`&n59zLlB#Tdi48z82NjX%z%rLAp%wpeE85ahNEQvmt}>bOzPM> zS+b|0ZD~LlO(c+LE_9nu86+Q(^a4z11- z=MAYEP(9-MW)N5>bN@&eebvCJt>}2BP^Uk?gk#eH@7aBlEWf zzKes}X8_CquO2L?SV0hoJVga)-X+(n_V{10$9>yVPaXwKnaNv0geEdKj~f$duB!_= zsBpr7Ng~WREREO4clpXnU!B2o)I)2JwYN%TvVjH0|J5IY7LTpxQeYxlZ6X!G*H2=v zzhUkN0Kp50amhz?#JAou9$=G^MDB*C`Y%Po?vDSY6N!JsRqDWy3XwD5PfijLqCD{^ z?BB$ZjXWeQ1b2J4#bbQo=abVqFEreHgP%bH^EpttqIfGQ>ymL|u0q;&wa7ZNm41=J z5|P3IF9)E{6PI)f#&O&Cj&2c-&TmK&aEjB;pKL3ZhlG_VbmLyS9Yh6oAEf*rm@I^2 z8h`H^lJ6N`$G}iU`@o1en5x7jCB63|;fM!4)_A9UW=6)@1K`=;peNdv)OjI6K*KcY zt6avhy=d9Mt=93Md)U5ZUOlIMjRup~%l7s%>-*V2IP zcNOC@K2Q1w!j1$*zQw+TX}2?X1cZf)$*k#Q@@ROXHHFjY;nr!spv7NRkelm>u$djo z7e*?vVOCj|RDF~5MLc&Ej*Go?hK*B)zuo`l2Rk?<$Cs~!NSx`a$b+q~M=UsmZ{|B{yltRbONFv+ojEC75UOO%Y}ii`>t)9iu1SR{IGf z^?CyqADFdaDD>+gsS1HGgpFWyBtryV?2TLHy&B;EC)$7|tba1Gh=|TGbcPXYO#J7g zDI-#&ViL+zNQR{WB?6JXt0Ys>H434}C5s#0Kn5UPdX435ap)z9#XyN#9LRzq$pp1; z_bD)FWUQ_xl}x(qE-_z2xq~=n%zEP3D(4vjB^zotA~7ewQumUW>h$aXn6xJyYTni| z!Q(ZCR7U(B4T!63s=PMT48&owCeuchUz9&{6Y-Gjkm0`sB?qY&M#N$eOEtbNca}!D zJc;ry$yk54?-J@1C;R|uIUQxvUX9LS2>LGotd5P*Y`CN8iGKybdNfh{4WVN>`(8Zd zGiwaAs3~V3p~SjwTm4)5=r**S;3ymczU<-W-R0WCN(>hN5S&wERzy{RV}yoz@dq|{ zYta{5Iv4AR9uLEvIdNLN{@Cf{Ff2zKOTJD7{>Wl-O_IT&WYq?N@22kqr(TvkYalut zfH`|a8%foV*S0DuU6{m1e>gj#O0=qkawpKMdv*XlXo@A{qDWh+MHE{<7vmaqUXbBr z`wy)pGf0VEgCwcpE5u<9b)&53TP94Hj~JX-(>{eyB=dKEcq1u<{*@ef&0K1M98i#< zn1I^ihho+T8+JW|9wq2>&{~Az9x%~%Lw0jU1BbbwqaY@ zqu=Y$#JE%TWGS5_uruJfZfS{y!G>5@kF5rcAa&W~GH#j6avnqHTE|XD1A2(7Y1=u9 zBiI$rf~3E|CEhFs)=fa(55vzN5NdTP!wRuzb(?+Pt3p}1jxQ*6;gwvwXM_HclbRQIPjbogT~iAAp452*#rDm%({1hT4m?p>mIuYz4N*T7 zs|zE^Q$tEKA&JRB* zNOnMQ_oW%7jQWcSPsu*+^|^Y4>kW^j>Ev- zjd##y_}gEoOGJ@dYCLx`&K(8zA4m^!d@`c*Uuzw^ux`+$fBcjeN^`hes@+^2shDJR?m(6u(u;F@d8AueDqQ1SCEt$iGVv_>_J2r`}8s=nm9uw295( z_!>layM6{FN|MH2W74e(4jzACIp!x7z*EPApC5T3-=32Hxz5tciW=Xvs;WO0N%Vmj zQvq!9+xzNW#5!?ln=(6uphT=l6b8yaFS=*d;X8lP-o6*WgbBich#mv=Zb^PJ;+~%2 z>uo=yllZ$`fB)OcqniO(h2u+h#^@hnxS|k{jc5i*rjdzAQpWEfPKJfB6Wrkg)XL%HhZLX^#F500HnH5ral9f#wak`h~hBJj3C(9 zE;vSbHyuXaY40Rn4Q4AyR@%G`-oO2uW-jgm1YmXhe#6%p(deWBE7&A1k|7)xO&I9& z8k16ZgSJICCkls+1dxDeGCPk5=g5H#B&La|T+koFlgQ7-NCaQHMl}k(AU??)O)R)o z5E$&?H(widBnh2tJysSkrh!FW{SIOjiDDi$SAY}YxnJKqVos!C1oXN6U}zn(>AKy? zVFzRhP&$&rpM(k(H-GZE`2uW(fS%bRc8#WKlHcP*+YrdtkH6gA`#v!xrT0BF12i6XWNcaRWv%rw=8?nSH;HdZ^x{en}CUWFtg^W*14qC)}RzHOE9Q?vz=AMYJ8WC z&#K57`(|cwxeBa}9BNc{ZBq|aD*dQbB3uTcAM%I$$N`V{C$KI9#)Ylur_x6jFmU^< zb7cJ$9D8Ob^>Hbg8M8KS-hPEj<+~p(u6<6-XmMk^SCzx7simWo6A1#ac9vr1R3rMo zmleynyvts_cp-uzQ9(G6&Gb*NIt=cLEu4v`@Pw%p2bThDl-*kX886?!P4a$gl$H$+ z%4C5f+xQw{8xGqlaD7O)diAQZD?l)?)(9qqV|7 z)J}_9ojCkJE;|2LD6c1;x}s}zNnoK4j7K`Y28R)apZc0FV#}>=hBN(M#k`$f?5Y^1 z$_c~TUHo;lj-Pk@{b&t75}{kd1j=01tv<{P#RVM2n!J_ zL>P@6JoklW7qQJ3 z_q}oj3W#5(g9_JEZmTTk%hd-Ruk=VQK%os{9I-xYu^@Jgy{~48O7g_OdWdMeo)A?D z+QLKV0m)e>Qb@8~Uq3u~6dxRIInnJCbuXL?7~m}B@&e=|X+u%Je#C<`;`@px2zat9 zV217+`x#KLX+o;S*ZNzVO_t9+FJf)`6xIbi-yi&xMfF~%E(Ez!%mglSw@jW z=>|hiI3W@59EIfGMnUD8hb z`YLvD3ZVpw0YjGsr=N`Pkk-k*vpipiu4ZH`YT&r#R!0v2TI_|Mw|fivFUkEF0l5S{ z+gFfj>Zu=sii{941?kEgoq|aeRj}QmfCloZ-hz;wo1W@516~l3?aFuwqDBNuI`kQ<2Oa1IU|DQjO#@&6^P@)EFkp88jSfnFodUhsYb6$9$XDk_`T|mNysP?8CUq`A z6pR@!5E4Z*Js!OwMx_M|bs+8KSEFWGgy{Uu@A1gG%#{VVjD#ucEf^SZ6kqJ~M231j z><89>R%Y4R*p|Wjen)xwIjUR%Pf-f+A<1meHO0_gkz zWAGN{=8N>>{NHAs3=Ruh*bp9O8r0T7*!=k-9~Ewojf8d_zS-i-1rby@Zn5)GbLV!q zPd$#xzvw7MMPU$IfkG6wLmsq37Wt3Qn>}pssJwroWgfq1jxzx}Hmu{Y%J3xyO)4BQxbVcXs>kFKn=&8Lm<~kDE?F&R516uIiIVgw2!UTXdxq}!d(|U6`EpiPEYLBS*WX1#ANr5P z$yQOEc@Lo@KZ>Fr$xYMsLD7CotE{V@i#bv)5sEMUFS*H zLfl%mhd*(Y;$@G?d+Rp2MM<%wRldE(QY3SFm@$~ z-yxAMZ2j^Mj@5p#=;OzJE5aa|()z`NxAnI-y3S8N^LZvB-Mp;pcIPI^@rKL7qZ!n& z@qImZ)dcvIvnc7dB8lzg=m|@V*Q^Rq$yUWGs;b5|aQ)DE4cq_EQ%9d@0X;-uSZbR_ zL*kqmep!ixj2xC#LbbDo7(-dK%dG{NtEEj(atI}gqGk%F&!yq}+=9c|ATQ~*sF)b1 zHH?w(N2XR&=w{BS=A<5d0}IOljtPB1J+nvlWsRdJf*=_CL=S3BII7s^EHXoCaj#Xf zv^tz*prf@1+GYlaL2cB;7apP!2Vcqvd4UB9z0tbMqq|dQh7OHi?{qPG`4ZvR% z(ln6h6Jm z;7}?bF|+{Dw0_#qFj0g9h7y8{KM(S%l6zAXizZSW|1@Tp5K64Xq|g9X(K>!K$qXzm z9gDVk;_86X{iUbg{CFR@bSAiN`n>3H|ltFI&Of6pTOI#3NYWVduFo)|NSXB3)zFAIpWS?qJ8;Vq9B z;7RPVOw^~h#L0zyh91!bq>u&g^O33Y0C5$BG##qc4OD%tIKvk+;Lmu@+Aloc*ds)B?=?RZL8aoJpMHuEe)OrA)5uEf>4&1 zH-sGeEnK~lbvQ?lyG&x%aczC(4%iT=h@D^JVMw-U!^vig5U}MXTe^KdLR*Af5waJF z^{);y@42Q=J}QYH;{0>2;&zln%`cADV*kZPV5vvSt$t!8~A=5Eqo#XKN;g>Fy9aZ7-fd)wTm679=Q1Dgqw30pmBA&mK~5%oW2 z{<6mE)NF?E|F3)N-cJCPgCLy{gsUp zfnQxi;+1b4D9e60nJBQfLcCZfD?$F8$gWNaDlOJ8aYcdpyj$UQtg@wY6FG5eVN_WE#R@fu(UlEa{M{^>u7_#U?hE;OHM9A@KRL*WWa_@mJQlUxo>WjI`nGy zadsJl%L=#oO#eFqN(S)s9w4?IMTT36paKn#ZSK{P1q({d@Pls31@TstqR-(`2m!@R z7rZpN29x(fps~qAkl#q45~H8jo%IMRoXredZ50VEzP=Xk)SHPMKxJw#L?xsZYRB z8zECAL^Ih$AfY7f11Gg%|6hX=Afok)*y)axM~)Yn$bA0yj=m66~Tl*oK&9LN(9BizxlT6S{Kdi36Y=_Sq)vCu29iU+PW z007tpMBUi}bX6wR$7tx}2 zzy?Q1wd(-3S8wxlhxz+$*42=;O}=$nnI9hSp}kT`s}zm!9bgApT3GkQGhSzFK<)F3TwuNg?|mIfoeb=v`7qxsOIrK^NU6kh`Yi|svcd1pGSk0jGyWMw zhP_=7LtA4TEXu%>NYzDj6hu4#$8sZJ&W>VZo)bUcZy~N`XUxGPxOS9q_CFf~k?#{` zfnai|+v@+PPk08xff89y1JDpTND{jj%uq+;erAd*v%UHuuvw4(EuF?5dwXv5gVA`E zj?hRDnKL2r2v;JDNXdjZy!gG?eNh(6edPw7W*LsZy6%0_%l zfO+K;>4#GNhOENun|Z-*V#4@9Bw8{mY3(4lATOCrl0-VdxBe&sux(AghE%>eng63i@kNSVTHIdOV_#MG!Niigurf7h$Mi$@P>rvHn(O0SsshhDKHj^ z{=Jp&Gke@{HZ$EP54{8@ihW^HT~ zv=wx~tIwy&4OEH<88#~2-(-PNMg7r1%J<(YgNRTZKwMM`ZpIP9<^!dEcX!Q3?)Qkl z<)EyvXkS4(-%iAXN>W1=Io8{&Lh?V~$G8@vsSH~)66!OLewHoz zj8OWa0Q{xTqvDRLw1blg6|lVAhl30fn@AX!3ebV757)L4S42nIT6BZfNB=jU8 zBvW_)m&#x~WMv85tQMPtE4WFozUcG6SY=uvBIiIHY9pfZ^?KAU3WzPoeHA8I&^6&EEJ7Tq`!Tr;NsNs|tkI!Ln{tR&II#@zXwACL+^k&AfAH%MOKJJ zm$0qFmj|zM7W{?Y`yTyuh5;{!8-P*2VYa}2F*fw=fRDsbdvs@N*9>I4gNW9>=IbHL ziQ23CEc#pGhuH}XX%Gd~AaKDRg!okI7s5?jNgHMQ1DG>J)H7hUjc@72HY7Mf3C;iw zn6hYeDAJMt+zc90Gl-6ZxOR;xC^^>ScLF!{PoGbrmRPKO1(5>>gk?%CN^KgB{a7#)X|W&-V935A(x0K zk>~@6jzm0`FV~!P-+C5-qGZe)%`&q&nCu!gvT!S@(;KQBPD;sLBU~!x0Cq7NvsUJc z*{ERnl8&fdFB<)olM^58==T8pj?{|K>r2tgwu(QeV`V3b9OU@@^>VRlnMe zlHAT4XoH5M^Y0ZTc~JQZ!!Ki)-%196!m>AMzKI6Y{nm=5 zYRHmqc&+pMtB@7a3_F@TWCHy?9w*<7vODZN`!pr#dYbu9>90eH)KrxLxZ;kGATM+% zO>ldkD4V;!B~dtOeS;vw_JoFn>$3K(Vl(x&@4=+NLy}H%zcN20d!c$QJ1Cw^G8l#Y0e<4O`LF#wHzUUI!1J>HojumW?o} zDs$VZnsYHm#YZ7a@pjztM#?qI=n38AmA4z=7vPqJsY~qFQ>muy(fpVog(wtzGNPFW zgMpH9AG#iuO&>=>;_;hL6zelD_IN;UH+dJ_OHKkF5G9x)yf1v!&zS2o*B7#)IftQX zSO2{uQ@V(JzG+)s;ec2wNIhSMR<-$>l6)1M8Zm-;4~u|PexVOE{x@RhnXhGZolLP1 zTx$OTVK`2iVNRn=Y-VRiKHqrp2{ZT96XBO>t;aRA!vrZ{ zzKgd<=PLjSN@(@MH-#J*%)9}syrX@J2h$~5k5vuKI!ke6!pLvUo2n?PFN&YVv=+43 z?q?R8AwT*W3ov06^Zq<$Ok=oY*8fw1LSTJJ|46*~hGgXMA3Ah^dxZvE2-cI)S~}Wj z@m7qT2!;Kk_eU0tr(zcBA=MAEydu^z``tl)c`?4VZ&M3zFN*sa2$**1B1{f$hXK6X zS-lDKO)xM8?}D%nKt=kEnFgs*-F&wM?CqxEJ~jx-?;q{;I&kLkuyxI;TbO&>hQVbI z@Zl@o$+byOs>ExlDhKm2ed|E6Ro1y^(T!3i^0$h*ZgH1uQI&MceXZr^6Tm2*Kz`(B zcjm12zkb2zPDpdG3t)^&pir9`BS|tHVudWk*y>pecR}<^?r5#3x_8$=E!HV#MqdB zLmU(z|1a3ws^h@_G1KAy_sj=;tp8&sgdUmd@_)>PI6@-*|G)oVXoFsG2*wm=4|wII z!$wn#oUuYUVKP3vLLeF?$t>vS`|8n+yHYOWw5AEsq~`tm0N6{2XP4pLiE*D}CzK`( zyxlpzF8$xLKMv9);+5WGq074}-iq#9OWU-wMkthVsr#{|D{syUy9*PAlS+vVM5thqSfu*!gcX)v_WJ^-(u63%$EADFE__pK!Q( zZ(Sc@17Tc(0DF>iNQ2t*DHWhdyiC6?XyzTlF&MlZqa29bupg%a{p$foDJFeU<=fJG z(uS=ucdE5QWd`I*;0)RuYVn-%mj5kZ;sg))W42)+cIGi8EV(ISS7rfoEA!s%@Wy znBzv6NVLP0O8c6yr_*i`ddTENwgu#p0c5LVNRF`^F2JkWrnX*k8C!uvzW9AaSW9{{ z-X3zwVA88#-7^B@FVpOG_`hraDbD6LZ5J?oTS9r;5SvUN-&7we*tXVGANFd@_mc6? zUipl4sm{VVMOYJ`ySljC6B89Zg!J%hB832QndmeGsn41qdoZk=R%@y_+`*riFEX(< z^vN39^LR#4IGcDm)@!mh`jT%%sdm{#AuhuMkB4-_JC1ApY!d`X!~yajw}})kjE-Zx zkjlR?7yO`!T`O&lqG+~w_pL;~NG|8+6dEc%I>=YS_K4-Q_wK4)_J=hn=qfMO7!WcU z7$l)dg|GpPOav^C7;m7Blc&n&*6vm3DasPkY#^$^@%TfVb1z6)^gAY_WP~bUk{fo8p0UAu;NTbDCgV34b_D&8wc+C3Pm+N1Es>SJ>cR>W?{By-M2^Y3!}c zojp1J+lDgquK`#x7e;CqT=?#?6=LTJ816dA+%5 z)bgzsxc!gmdMihWd3wy`ziur204Z|-=n#Z<2`QKPIG|wM<@p7` z4XwTgYvXjW)aJAXF(xJ05pVxo%U5hM5q_vepQ~6Fp~_bgOU}ff{mM7C`ktx22Gw*S zP>m0v^wY%;%Ye&u9430VKmZOT$cYGx+cFhd2s;iY>W_J9%zD1?ES4=_`Jdx>_48G% z+)>*QrG|-R80xlzd>dqJ9gd6b#7&AAvO}O&k+b1e;F1zy-WP0CC|S?7PkSyede%Ah z1azhc{8#ddId{@v+f3r?`b3mB|MN;Em^*Klv|n$XY+dxV@ceQperF^3oolgtP+X(* zB>G4i3i-u4mpIOY8-Pa~xiT0fn)R~D4QG8Srtx90AiIR^Mc^X{SJgCSy=hm&PW}_h zNBpYi1UkXCuXm9t&&33xw$&HOc4c;x3+F6`&9f5S=hXxDQ3QGgJ@o*kDm1AqL|OT5@Uc3`s zO^=AuI#F_KR=a@9CTZeG>;fJpgW0fgk=$pfs4v4%V8I8u|A5^E@>I|vp~3`nuPw{D zx~Y7hH@+x_waOm5+5|5SD?n;*kgc)>GI3&tA(RHv+#mq}g^K@0Py=Bw&>Q2WLVfY* zJk-O%Cp{PoS#fXBhkd%H4pM5R{X@Xsy{5J2md?80pR-WX-}kfs^PU8Ownfh#plJuwrvs>%hkPdV>;RM{#;htT>L=Rpc~7Liy>2r?yt_* zo_3R0ZhuufcQz;AL*y1isABj3A=(W*l z)>$S^p9{v9hjF{kLXI zmCkZj>2`u@Ji~+X9k-M8T}#fbl!{!{M=ME-h#6(&gIwx39iM%Y!XI!cH!XK4of^9c z5bG1L*SC)XcHMhdD)G)w&uJE=h{S>|e>gN6$hOpwNJ%-f;^d4*CCseEz>^*Kk>GQr z^dilQ?@ZP@-{Fy~aSaoD@=PwC@{;Ambs}&`Pg8R!`BTy28+1R5N79YJzyCzG(*PFE zI)T}0PL7BzH#!c}2h`fzFwya7o5HdxNo&HS8A!=?ww?_{=x$d@Ny!$R{|5k@cnqDq zy*b(SAf#QZz<}SQeFB{O3z(qJRUuuY(|5&x7^;j|Vj)?i8CU|?__5d^7nQNGUTUGa zxj77R!9o~0rBq%kOEe&{_GRifO+>^Rm|xkgi`5AOhB=HSukko&St@Ye2cDs1PA?b> z&CJZmh+lF5K?9`&6*dGFEH!_(zi^SUec(d_7W{yd`C5$Q!OnxRWObSW2}#f_v4C=h z5@IXSv@kV7P8AKI#Jp4E?{MCi|4z(3!U+Hx5++*1E<$X8$B5%rjfMpKT47-xT-QT0 zKLv0Iql>(PXv!Y`@T5#@;X6o24TQhu#U~#DxdAf~)^(W*zO;x?$@0(HWM^=#sAvcY zTAEPxT}e+zyosQMSdzXV(VV~lLSuTRocMd++}uKYeyE131U~88C;XZU`jIlaXxxHr>*O#ulKnobJ0Xmpm6A>bJ zPTFyR5f1}g<8AHPs<#s)r2`)V(w7*!u%aV44T!WAp+*!+($0hIcrbdzv5Kt2C*Kyw zqi#R;hy%Y8Z*Z@fS;FB1fY2x=w`~>4lOC^r6mACv3Feh;M0QTW;R6tsYv5xyx)fEd z!heMj-xtG^7QqMz^o$xWgkkyl#Ppfv;gqd|BGIsqe|uNCqqD24{KTjkR48Vv*J?d( z$FZMBLOYTF2Sy5`n$q5mr{k5nw`Vt^hsCZo#X51)9@6%9ob)=r1BDNK8pZcLdOWn8`U@R7 z+zQ)-@`IBPehQnw0ETVGr&o4K-;DO&mBYbLPWF*FT0IvD7 zZ0j14F~0zQNfS@gKBCM?rPBc|7-TxeZ^{vIMBmiHJiVw=r9Ed@!3(@)^4PaIhtD92DzMhG zuc8ZCs_fU0CTP3Tey=9N@^UOw& zX|+7O5{X$E8LdM1SM02kk1;bwE?{DXUDPpV!G+@0EN}&)Gjas+?GIptSeaVa0Vbg* z7co&ElQ1$;2YJ2G>_dLc_wEv_`<~X=+bt=Q|3q8h5?1ri#-l*y#2kolCY-8|z?Ka; zxgtcyAIY(ej~frmLbtLp=iKZTiX-x4uOmH)_(wC9Pcs6mBGYDJ>O{9b{9$2s#E8uR z=LUi*V@Mc{T$IIi6B?odgAoaw2(AGfDX1#=)(J_I@&WIjKWNemsjFTCO2`g(-`C@Ylxv>1z4d&p=&6J7rF#? zY$MdT2@DSoo>#V$lIDyhrcZWMI}~Cj!!JX75C*82oh4)g{VEjRjET(_p5-1gX_t`& z1J#>D;06j29ur}JW$FHVefvmuYc2$c^O!U!1qq+iF?^hQ+|V>N0}^#YiOu*}B(Vdt zdvybA0ZaLhWSy4SvHrcsl6h#9twbciX%fT?aGO_Bvh3~YRNc13VsJ3mP4Z|-{3ixQ zRMqW;+6|Ep|8tL$as}lDi?%!tahwc8JqNZgh7-#cuCqq>i<)_R4jtlyCuJ4Xj}%=) zLn3y_!|$2gIa`IKn!9gzNs?j@0^WZC)VK(V0|w+~ZG%@KBKy}7!yPe|p_q{fisRU@ zRgSo3aW8lU(9FA0h2W?uDKHT+vrdkEKi$vrOv+$uO6yENARF`4xAUhRIf~BP+BQU|W(A;KDc@9hs9l<1E(LYiY`k43!bDzk;{?rF3eIqj!IT>G5Vzu`pM z-}Ph0bttFOw9MocoUEC$N6gBjnChiwFyZRc@piW~1A2r*oo-1IZQS56l>GVO(g5-V z4{DTHa6=q@5TUJ>7c|TF3nd=w`7v{_(q{aPjBCr72+r)B`M)x0o>@;F{TqfS$99T~ z2V&5Jmt~1X4>Aaf*Vn5s1!G2Z!ri-f)y+$L`V$iqixD?vo7j90!$QIq@*7iua zeEG~Fj-u>FC+q8GB|-TjH}*T#G(1KT#HtJCl1)_z;w2FJ6v*r{9`(I(tGVBms z@@}S?KNo8qJU1(TRg1sA4I5(6+CrO&`WJ{j;o{Dx2?#D;fvMb0`QxF&0;QCzLo`dcpe-#{l$0tVL26) zc;?*hiUW_FRiLWbj(vyX^uIG_lr-}$A3jh%BA9<4F1qLoRv=o4RSj;^P~;=8&_ln` z6xGm+$twB&xW~#o40tWoi{W#9;~kz+lpgN-laAP z6BEiTO9$$wmMp7n>o9G^tMJH~A0VfX0(UtlcGaWQK&W4z+K0Tgr#NL>fJ-O~sH&a= z0oo^)(puHxQgI7Qv6PqEC>OgWLNB@5vV+Crycw-e@ZTr^@98o7UI=x5=JDQZ3xU1W#T;==m>f=zaK`wu= zyl#E{bvliCB|*W$(!mLJzsVu(`?!BRo)3uca8I%QoXUQo2xo zNJuHKc4_vnA(pz7VV8`i?geP3rYp9~wpNhoTE`1IuIGw;_dbg$x#sb%eXCiu$1TOK zuF|E`)2_X6QFJgRIwxK@$ccMLmjB)VN2>19%q(tQK?n9xD~5e%!z^+A%=WnxDK_Ew zVcfe|t;5KzLapk@L_`#XKCm4*c}$94E2;I8@iPRuF?Xv;o_rH!!e^KS7v+m;{H@oU*E_U1mes!74`d@~n;M zSI*?^$L;@-230;x-$_;`ESg03 z|8L4XQE2a}=s1|||1=+^I$7uxN8CYhW#?z%kSAIZg@E4zDC&{7W+)k>E$>s zEAWbVZ{8OvOzIL>Pt|sg3DCDs<(~#|c@=Fag@U*iQR%v-{xKqeL!EI57$1t4w8M{^ z;(40DFp>BL)~%%oUK6o*N8=1zk0c^^n2C`8$dM!Ddt*8$VT9mYSc-`_)u&zc%DEbH z2;qEOX0G9HHL9np{y{led}eBrRs3{pcw9HqX|OMSw{i*>6G752^()wVo{$>HInL&Rk$ z@3b4obEeY)?$ez8Uydt2vY$XRUH!uC?#|;%S*4hIhfx%VAW?hpz)w@99piP%;MR%x zzes!Ya4OsPeRL@mQY}*?$&#teC1eOI$~=^W3?YR?R6?SJEQ&H`4AG!yX^>QsB}pZz z5E3fNl$6M{&)fU`9eaO|z5m;e-sAImd$HDfp69;r>pHJmCu8C#qYn>RX? zp>{E4e78e0hdMl1merT$BUCah#eH&d_@RUtmdRtB(X+_ONJRlDa_og4gdov{->t9> zQ3Z!0&im%g-w)XeOlleP5`U4_)n9GraznYJll{GCOS{1?^jc|=Tf2W^&> zmfkPfFuIN&?TtJiLEDs7;vC_MKL#(ramX}W>U|I+@VT+&)ZhAFt%p98s0jaSyKh(>We8~)Q+E$_j3o;0WIsPs|SXY10Jf! zodz%bk&4f^>9dcH0d==5Fcz>bvN6kSr~TmE4n-bPPZyv`M=^0jouP@x{&kMdvT}!| z>4hd=&SO9X@evx;NSUjwh|54MD{Y+_n#dnp45u;>fdJzT_?eZ(Pxv4GL-_4 zk|+K<&Xp;ct##9l3bwmI=?FTujPqlybQMqk)=mgIKwAMM-QDG1ZtSSP(f5{ zNZ*RBin_$DoR_Y0>IgRVL)fUTShHo8>yk--J%ZzI9tD0OGQskG(kCJa z`)bfn3^1W*-GkegF3JFjfjJ^MSE6j26#I|4S&wvPO-i;sA|v8n;y}h>{8roOXccu1`oV=@j_UJ z<0-Q8ww$5o9tKkkp+u%^Z{!0Grnpz*+1&xt!L2f*P_m`zcNcIcK@OU``+B=g>4ht6ocn{%*ME)AFqO(`?(e|j zzAeejLQG*r^J&zO8bDc8)~@xug%CCXYpP1zi6Wgkh^WYNQ0UA`O1krA@A@TZnNE{} z7$W{@tUljoe+?uWo*zP`jUm!Eh?=XAnxmLuTKIRP@GJQ5$_ucc68m*a-o)x%!0D== z#bO+nJT=yC+7x7jn4Or*moE!^txt8PaXcMh_1~78JPwsKn7=PP(}xmTLO12*s*SP@ z*vRmM?sQ4UK&D($%h!i*M!B;$EhZEus5sQuY~1)KruYN4#l7oukphM_;NgAAWMC{_ zH;=ZAc?f1}&feV^+yhyufv?#)Krr)oeAPv?gZXj(Rqe6lF1xm~C`BlkiJ&GcOGacr znv^zizd}|g#HNT>zT?wjpLu?`qhc3#P;}Ry;6^BqFJHMbpF(m3I2vE9!L$a45gSFt z^DR3LqBnW5m=geFRB(D2jRg%@Eyl%#fjTf3`3jVi@WwWBK#SsqHMR^3n(#3{pIW4l zQA_Xsz#9Hb0y6+gpp5QsE;+OPS_JSkRB`&tPJ-`uUw`KXeV~{C!DCx@=GpS%^9wA~ zqB0^r0pZ4B#s*|ECJd(vwlG);r{G|P4Q^WeRq!`#S}i=3HV3(Rpv z@NF>-@x)>YWG=S6p6_JpXB3a!tmcp~Mg7FTJ1xK(HX$P2B2Z8zh`7va5O>_k@Q zHS5QTDJl2PxVM&}ZvLZFFt`r76{E(m?cCM;vPcX|vWkxAeGAk{zVI<;_?{15ce%2A z_sF$E6I~Oj7z1 z?@N}`EW~cNhL8h|kAvN-_uDs7V{Z#WCa_1g`%WN42Qpp-z#XJeu3i+TY4_pdHe2;R1KCEiPSglbt%mxwF)xgbjLOSy-8yuq z#`R*v)uJryXgEUOK`A9r>$iAqjQ@yMr$NE?N7s?Wbh~vSOt(U#uXdX@{dSHxe@KQu z7;%2*BF_IpLwg=`zM(_v3LoHCAA*hGK4jtbO-)V5kry!uUQ>U#*nP^&Rijgn)?XYDpd0!YY`+G3U4}R(HA@a+8KEcc z!`JlL>4x&)c)so#Y5#e-1i&UhN)j#52#+gFFv|5y@4m%Q4|*aZ!8egetGEbOB6RLH z?I%E4t3}r%`z)#d2yxz7v1Y5je+l;f#Ta_jplytVZB5lze-{+Qq+TP`MY61J@89;j z8Z#6!rrLVb{w{&1^&QoTWV8q5YnffeBAbz22|h|uxH{yjNOEITL^^kVQnl1BD8=7Dlt0Zc7vt5k>ILmew|lp_QR4!t+iG80{dtcx91 zKtsY#c{ua0pTy68KBWEzX{H3tgl)@w)I5y^CV~VmBbg$o7ve2tB%Ee#^h3``pFnLx zSjfclNGw<}C-}!&xT+I2HsDlgk|PAJz2%*AAeXxVdqbeNEuv!3WpH5@gCV6Wt}VfK zD0@(dc{JuNAe$0qa@AgU!>QX{c{XFaBy?s9aWgsTB3|AH4+fH(1>H8qN^=m`3OgA< z?lYK=YAwp+Vgv+a{u=vY7mi&=GU`ZrZcbdp-Xtc>cw1i@*^&`Yj z4dpnoNFG6Dk4iPppC|OJ1NrL&1*Eb37YU}0fU5XTgi1QWQMad`-^c7NP!Ih3nR&<`pCe{-C+E>KQ zn$Ccw)Zz2jkxYINGLf@mU9vlx()nQVSF#*uYjA!B85kJk@X`0pv&2S`S@=B_r*l2l z^J}c<(^NZ?a~PS#Q|bTWSE?0*UptIzz6`?7ZP@khDRFNIFZ`~mVy2^m&lg>h&XRxi zs#QGB4Xf`f1_B=uRP+Jf0^VZOz)bhn9?JQY6sa{4&x5iB5!F(6#b6$s1~O1<$Z*h; zAsus)N}R??n__I^_ZN&f1exw)bJ%8!DrwcFa$P|HawIC8yn6nag7% znYMDK`}RrgNVg4-{t+bgk6M)8lEP8zchr4-YYaXq+xm+)RN{Ggz#4k*b)fL_Wvz9q zc5TKqvHb1Amn%C>(3hf(ZvpR+BQ`7 zMl(qH=7#a8DvJ8ZQwv4pgX^m%T80jIOMf`VD7^?f4up3JKC*G`B9bq`dciUr?(Ysu z`kVj=h;#q&`Yf#=-bG7AC*!8G`YXe~avi%?g0=ak_uIhEz zLn!4AaiG|A!C;AU5+BsrUu#w|fBQA!)e{kF-83UijQX#-7aE8(Y=}#btuxAGK%n zqma2fu3fu!2j!*cCjT$9uD5)Z&_-jk{IN$V&{8UK=j)d*z41)gfWbmH08Pc4SM85JtM;{{#R-|}+sUS8{%O|DY zfr!EWfYLq|;GjRvH7OE@h9IBGX2)g9YBy~AOg#1LO&Ku~;=OhG#d}6PUuHmmhcc_I z$7y~Z%@h-pJEl@a&-~gQ8=GH)5v4$REhQ~onUbFV59-^_%a$^6jVcFmBJptQEWK!& z!aKd6^Y0xKp2?M*v;v)1-JlvwLz}e-@v~`%)I*TW-s{nq=@~W7Gu1LX3b~;vT0$W$ z9=Z}1rz-#%OQcsQOT&xnDO#*UL+zXVYKO5|SN>q+um<5Uk1g8uy$o(eB;Cq2VQ6&X z%~h*4X{HyRH=z~n2AhO9jI&Y5Ft2X!gD-p4FrBDsFF%YZc<0ZF7MrU`92lNqhN$8Q z_Ht}{(Jq^SW|L?zgA314`QtgxJC@8E+y=)2w5$d$Zh#J;ZM&4#)`grN9@znhIN(-Y z;UiWb)kr4e6MM$clg@s_XKc=>kIJgOIMtAQh7ZzCM4`x?ogmJ-B)ErAG#Dk(F zI1^Pl8XOX>cIe-4Phw#J2q@<7pJB^%TMPk+iW$ia&{@D_4?xWpsl+Zgr_ImnevQ7v zSiltXZFmBTe^bx(6egV#hpMe*e21`A zL##Gqw5DwkAdGa+@ChyE`5lNeFY53aUi^9DfJ}u64_$@=BQz`KskA~*b1FOVm+MKe zM@U&5t^{MBE$~3`RS`ah#td;v4~lAg*iMQvCPef9?#X$zuO5%JGwZ1*n%Bnwtt~4BY z;bIb;;g$^d)=?-j%@NXJ20Mpg`i^_qMYsK;MB zA`=uYDldWh6v55GnfYNam>0kA+_}??)v`^le$3drlApU;qDe)Y<4FH+!I-;UfG3kr(m2v3?IO0JYSfdywuW(H9ERrR&yXB3@>+BFGbYu~u;XKu=yt!D zzTm;===(fRkNU(QVG#mYx-lZ3^Q%IIe%;{Z^O*_loU~2hVq$C8a^e|B zuEm;DSARkf!2L$$9Q?0Mxa;gtA`<^A{N-l^>=7kDek&7&5|yrmbXs)0!T_)Nw|E&Z zu7l;^z)9A=mv-60x6g1%yvamLn!qxnU0 z!7i@4^2qz?=QBa{cEfxJ#TpqoLrWA3ed9{Z7FjTh<^&1^zHL6%Au-hZH9C6AB;pH^ zDxv6HVAho9A;=ZUiu4OHe2x905!oamsM=loT+w-qV5-ywVJ%Uu;dweVHeiSN4`;4A zMqCcIGqO>jgdBOZWtj_xq5#k?dD?673jWnY*Xump?(8P#16 z>>=a%6xg!_No@k4YC!yoGxULs7MKX|MmFOP`i}Y^-RlCF3IfpU#?gt-M)11(9+LO> zC`F@tWoy)OCW%1^(Lo;kBu*a>AH=RHyP8ef`{Mmky=S46FBQAkI zKR=fW1zCpm>nX&HXz8}1cA@ZR6t!P>@HI3?`PP}2m*;Dnaw6PCNWj2qn(ZN!IbM-# z1e{qjsJ9hT0ntidvE^)QTXMVg;r9H-BMia4$;Og0G03AGH={-z14*WG%J{uD-$ix5 zyvF`N*_Z=?{qfZG5_CmC#-=N$0m1a#)G~+P#d; z!&OE200In}?NYKB&wGau$UNqP83yK*D+C|;td69QoWl4GrU$^C&uLxegFkf}47WBw zbEzUN-Ft-Tw}=%2+$fJ_iiz`_D)dw~|G0NO*qE*pzrMOo!Z#?SZqT2LF6b`Pjh4ohHj*eVt+sIh#y+5&3Qa-gGW7d)bJYmvnQtP`$CzQbV1fULs z72T3%Tm$6$kg5`@-Ws6WBY5jQK&NZa>#*`(9B3A4;G>ecn>w}9y>0M zpt4@*jcUa=U9pI5o+J8oJh+@vAK`HGci!NUn^&0ti3goOW;XoRhNr$$)XhZY3}Nm z%(lUE=mTXjDzbc7%b<=}S8jC6Em>mV9da%x2{m5_TB%{|BEo2U6w7Ux>=!c4mQs)} zl_>h;IDD|zMt?gi5%C2^Ivn9YH-ejAtt5qMYC1g^MgAxhvN`~wKp@-%zTYmX#IFWA zJ)hBjT+y$&@vbj0Lv&Qj#V+7l=kV&N=k2ZmI0D;HJH-|^lVkIuR*=6P=>J3@@@c>+i=lT2eeH|=7HOC6^(-d`=5XHhhddH0Ci_9g+im8R#9b~MS_g)?KN@t&Wt11H6 z_f{FYSt-VGrWW(1fSIX@zu-EqORPHrCj2LGnrHFmAcy?7227_5sjLuf=x$0Wh7LN(54Q(E!qQgmuD{?6`iPpHl6|LT%*goGv zm}+Wzdb$b6iq}eK`vg;ylmA>YF7SdnY<|S~;qH>7cafmkxKA}hy6)?*g`0DHxoiFQ zD+pd+<98U4I|iS7?3Ra%?ZE|AKQ<%+#?e^_afu5&VekcaLg{!cYF)I z?lv*uxP0q%CXPxb?K2pQfQ;z>sMsitlH1T>wEkc$r;YqqL$lmCoA!grWqo z3~5<$Zi25)fF}%-^)4xM!YE}Up8r3tI& zx5dDLOKN-S+=L1>XkTssLZSYl)}tA{0%F^5?rKX+hy>FM1sBX?1Je0`E3*5B7{?XB z7j2+_;@=F;Z9V)Nhl(C6BP_1zy90EFU%l=IJ3=zkyrxvI!|F55Cm<`FO_@phs$E+AJT-Lct`%15Jfnknw*m&E&a5FU#oSB5og)Cp{z4%o#w( zGRz9$@mzlijVf@?EEO9Q_bq`pCi?%MqYBO&DG?dlHEA9Y~yD%6t5B)Gb_ zNRQb`HeB6(Ca@y5EK zcPlSE1L+t8=vwik+K9Z86Q{0McCc+ZA(9fODNxTzxlZ~da0|F8MBZbbt+npE_;q5YtLPKy{01!<@0mi3ym8vJSWoOh+Rzu&%CmGb8U)7-tDkpvuae5m0gTy zL4kPZqQIv#Qdww!Ca*ubF(l#ol<{g`MMLlCqk+GZ7QFPKh@d;F2<=9@|7cU(frPBA zM+3uLlFHz2{P+{R8=JU1X1`pht|?|s016ByDE1av8t>;nS-hvBbGVboF?D9%=TtBe zPz^8VpjA)?Kul>nvAJ_8XjmQ*Rf;&Fjw=aGUF@B3jG!{@FSHGc+E`ov4uc=GILFhH z=$1gXldBq!{<6S7iXydx;t|mknviz*eB$cWApL@}$kKjP_LgNn9gCU9O0&xWoUg@U z^B1B+euV0(Yier3HrW@nlb~`3b6owP5##c$DDo~Zi=;2qmvXo_{?;y)INl-2B)PVS z(>zTnSKsCvI4W0wJ*S@1eX@G#84QJwt1ufn$w27q7yt5f&_lb^^uJ>`os*fV1<&o7 zK@llswRX%E7JT;Q4>ZTI9c#ALudxd+HqE#@Uwk3)jU z(1jFxNI@Z4uMQ6btwQD`Lzuy)wqLBgfRPZY&OPXUD06{Mcp1s3G$J@cq7>!Wj}{muq=4_F3kcY9jw3nInXbyKVIe_GIIuwen&^ z??j|V=RA@YnwNMviTTz`=pTq+M3onn;{yqEZh0>6HW=Den+7v2kzh@ygKkdU&;=m!@K ztdF@Lhu8!^PF9Gpsy7D&7_h_Ukv7k~f7ua0*)m{*)zDd=1~d2+bsoS4=y_urUP46f z3h!849AcP_jsl!9SONZgYogdSKGNgy{<;8>D}V&>W3oM_Jz7MH-$0Mbjsco3x8F6J zo5IBJUgh9NevATf(NLm31>hM?Bq5}`z_xc5)dy|E20q1yKj)D?>xhQm)VLo!W;vmE z;63cG_2=CV+?WEX(8v7YV!BtFNX&|^k592}m;40)=_Fl;+;iNgSYUUO zRHU(kgFp=C5En$DkPlBDTR1_|sq88qZ*=8y6jJMn1Cgk9aCGfonEjOrCC&3Z{uQ5!EAFsKhXV?N$$w9X;ls1|R+R%VP_+xE>crv-7~H zq&by@yL~eY&Cmm4BAkN`U>G{`87nnaP@k79Jgp(JRMRa|S3;sVb+?mJxj-F+3N)}o z9#?mz?PuCL0Ule0@$n|9M9<7u`;N}01{cwFrAaRD_(tW zE6`LFhQzWAE&%Cp7_L`wz5xvtiW>YqNq+@*663#!-@lLe{W54$Ax3G+0Ts|FU4^#z z{sEIb&O@jq&jFIT1hR++a5rYhC(TIBol3L|++*rtj{`Rbf|3cAv3fAPB5!cZ;j6q*!?~Ep2AQS5wnK7!tNli@4K?}%>4f-!En}Q4R_h}m4jE!b>u)n9S4%LKk2(=;VVgHSdc)#nF)kgngREY+sXskB{ew@>QBAuO6LGE(tN*^Y1&i?w z;1;Tf{y3sRFg83!KsBQ<6s6}rfg8KNq8YA`^*Vqp1ZgADF>}eackZYtvklxmD^FKZ zyI9*(g*|lyGXP@w7(unZVar39To89+!r=l=By0%@mI<;qgjxcp-LC0?QjMO+Fb72Z3!s&^+Lv06Q9C)(&RDA(2JUpJ7D02iC!8wn%A$Hf3B5 z2+ABMk4dsgfH~Z18$_Q#5-4$QFI<vf?iAXaLK2M8y_ zcyxLsxRmiLLB%ZJwI;^4++UI${dlZ#Qm()=H9J0(KWEXLmr>p}sPISIEf$fFvI-h} zf;G|mvg6Ce77;_n$GnLf&TZw(2uc09w|vL_9SivM1w$DlophDuIX(AH6y|;R2)B*v zF^=#wNUULqiYjN&BFLdb6%P(#Enk?rt{~du(<%k~o8n`3P@^ih0p&YyVBDN~$SPi5 z3sfR{yJIy6Ruvtm*=9!Jia2Vq&ug2cl<*dy|)HuIA|bh z;h`bL^PEh`_3Qa@qj4PrZ<=tMRpqv6bQ}n2i%f&=0oWGiC(!D=g z$2FUsIcl=_8pCmopH-~KW+;K3O&?wuKgMWv?0$5%a@Po}%{AQWwD(2$^M@Jn@ zg;A<+MX2UaU}0Ur#A?x8gXO{wGfeLb!yvBRJ+Mp8;Xai}m@#*fj=IK62gL&YIU2<| z3?0M_1K7j?ERPLxa*)Nl91KA6*K*B=PQHx&mfms?-%U$I;M2uUyXMpl1~~hZI|3Xq zB(A1dfO}!hfts=!KE8e3Iu&@LM^>K4*Lc}^c0NvqrU;Z(c=*>K*$)0DTd`J^L#F~o z5DlhchH(ho;y~OFkC$>S+FlT&eyYjqE~$8g&k=41c&#KuhQwl%(@o8NiJ=V8ZzDHJ(xA#22GK|MELo8f~QH@R}|D)Q;}}%83S%=)r*9%%@7W#Oo-NfQkXk(Mpz)c9;1FC;Ck!kfc8gBlKInHO87C!}&DmS>WgRQ-e zwu!e)bQaJ*BP?|#Pr~V|9*|n&#t=#pA**A?zlg#V-i;E7OkuF)Dfgx}_Zzsl(7?Nk zMfva0)ztF4dWtMS?46u3=P}E9pd+tqE0)AB55hGw_l8K zqqAwv&ruJ=VA|;hC2E0GbyD4499WY2=ij}vRypv28*F$36u;Q(B^nYmBd+f8zXLwh z#**zy7x%Bf=#<3H$LOc6OH)UJ%UujUQXWF zTk#zJ>rEKi44SNLMo|kzWFhi~pw$Xy9!5ZY~5`gIvN==WCch-{Z4eW7W z7A4--W^hRj-+{t25DL$^N2_g-ks5(C8lGzNCYV)or7Duxc>8*y?1 z?d?IgNnjhi8+@zL2YMAq13nKwVY)4_Zjz0({gp{wKymZYy_W9b7-y7;+h z{9KO5Ts0WGS3YurfVUiI--lfr{U)(Tcdi%W-XU3~-yMwo4yDbcJz_`|XmFU`X=#1=h)NzK7!;u* z+K857KdCM+T#Qy%JbJt zfl8@7`AEl}N5A{EguTu03|6zEff&`cKcGU#ch#`uEpl6mL0t}%NpQOpI8f~N1NsLa8bG0f^Kx`bYG61?yA ziFHXW5;Q*}cD<7@wnI4U{Iuj2Ct-{WravO49vSj)51Vzz;5Y;A0YL!yp8p=P#_Ah! z(an6yj)Uir;BD4@9UIeUb)QfmA2X)jiI696_;0t2MtkE#W$y}#>%shQGt-WqClov^_hv{BYvm_ z0V{6GNlH5MzG9Yl)(rHQ!0cs?5aD`tb%Alz&3Q4Tp^;@JU`<7rj5~w#iUJqB~cH3r`u9V*r|! zYKq4H4F|WBq zUTh3xUsovJpRlymbidgmrm`+nXf+@^W6x7D0-Ei)w}ydg1jF7xf2 z@lCFPz<A z2h#uJi@{FT(*OPvXCs^8|Nb)6CBNZ+fANy%?0P&t8<_DawUxWc z*Cr|9V-O1VrUuf<_}KMQTck!hBdOh#Lth%Vt=3Lx3D5?C;Pus}?>}$@{rL^*)ZP$RAi%&yF! ziX$$8nZXL6+{YAPkGm|CxAm5_gf9j6BH+`w!dxJBhb zYiB`9VQt2AaU|OM;!K;O6LHjEh6r)9;K;q~_q!6bC@OoEbYk7odE*Zt3wM?tE4Tlj zy>ae_>&?XNDFs+S=I|k?;hd@Lm=m%TcxU}!l>*^-bN|tW^G*$*F)}m369h8S=2{Px zeZ2I~e}ch}W!EztkQ!MXMV*Is?Y$RjPxg{#%^Nt;ho#0UL?FL;uv;qe1PuLu9M=-X zzEbyEB1Vq`^ZJvpJE`s(ho_iUD?asNe26F<+kY<9f8B9Z!!cN0B;+CFG>6eVhzl z%w6*_GP(WU>tGWgtOMIMKa@Ckc7mmVmH|h&>+J}3s(?ApP^eP7uq!^l8*?~ek2uY5 zQf#8s*sz^OOuCI@@;2ktgPQyzd1v7;+(CH&WA|3%u#aNTCNIrLlK?zx})Z@?- zqf%(>BDH4O2+7l8T6l7VD_QcINE9!~cohW~q7;lZ8>H?z#(~>noml^M7`g`|xeNE@ z!o@CKy~-h#cuk77ckBObs&f~MCX@Wa9K2#skJ)L>y;_Cv+G*|wKeS|0n72$2$Q^JZ zt%hY5-W4KR4aeZl_C2&xhiOFbPGHf?5O(+oQ~Ql(-WK{)cCII*ReO}?{u@r8xE@_A z>q^$WdOeOHC~L0IKc(y1B*4QCwyUYgmi!3?-U_33Jt`ffbgYbEJVcMp|9fYr{(EPZ z{O@Oi4VL1Dy!Y~(nF=DdB$%n4!2@V2l_(W5%LdqmT`w>YHPSQIJrg|+&m)hShc%4}B^aK<(pt2CGm0*I95YcqzpdETX0e_|vt6ag%P@2Udrnw7ck ztx)iO`10YPVmtJ{tBIEvS7GkX^;&iX^WnJFAeKu6lS3muf=H@0u)+fTc0JI)m+x+d z1Z6GbU}{y;cz6WsJ?~AQ!y8pEFSwMvJd3xPt6@|uq7P$%8%Ex;=WqgDW4r8V5uFRh zAtvI4Z%!gCg*Jz%lbl@8Q9-P1aqM0ik$3eMqr?cu%8I$YdR4d>$F94vDbWrJ+YlH5 zJPT_M_PX%3Ttp~Qgt7y?=+2h0)#$}BKELj7j34Guk4yX}##_d%nqg+nVdc;tC|IPS z3iqr`?c>YQbkhGP3x#+W;aF!}F>H6C(sen?i#Mg=5WX3&VuxMu@clx&Y$R{hf5qU5 zo+xP zGU744wP)v*=mc_j-H-h5<;0kKj{r-wz3U|)3idu}he*yNqLObN>Y@{Q2A-rdaHWst zSwmuBp+IAq;3ko?s28vCf3y?mi3DM&+%5DE^5Z?AvH4_>;Tyyk?7oXsqCxLlF-sjc z?_S2JtSxx_x9F?=z`8M~=3n^h*nk2!WVMfuA4qvtFtfR?SR7ts#KMdq6eO4nlkWDg z*=ex|oKyIt3!_$4plO=N6hJh^%5W)bo88fw7(vRnCk5O3cBdgM`wGCdYhd0V6p9K; za!I>~S-AX)s33JrZmptRtSKZ}X;ZaQ{62U0jj<`QiRZ@~wpj6Fm6I4=NJHSL1WXY! z6fj-&sA3%R=($uN<0BZHyCJ8R^jKhi&O7(*I@eMMLFRQWOyS|39&-kJ*d)YAuCy&! zsqoA?!KYJ(hZtr86KI9t4qmW5IdC;X+YoSoKOhSaXzI5%Tk)gGVhl?Lz(|8tZ|E>Tf;w^>+^&L8sc&Hg z$sHtz5OP>&kcXVWmEmFzZ;QJRYQf_!fZ;#P?7aeCOED@Slts7jEzo=~!o~)z_tXt$ zgLArL&Fl%~3SM?Legm{)$+xZqRy_F7`EiiTtcm!ofSd=(JPfQvmTqGxqhjtRi4*9F z$qWFeNs>@(acbIUn~xjJqrb_-uI}Wm-4>GhmD5NQb2hM6999z_-Oyk)>wcrUg(g<}UHiERzH z^c5`|ZY7MY#my3=Z24x%g7uonShRG(Vp6 z1Bh};=OUZP-fz=~+J>cQSu#_r+w|%_C*yNSK(N}8k7oK)O#j&HJ zW9X<{{nqtCpY)MCot0-(v>&?L&clZfOH14{Nm}~<_B2z4YQ?6cxvb)?tKl_kLWU!X ztZZnc{F?i>gK!3PmW!lNYaAPbgdD>rZGir5$f`*P0^R0;EEv42irY(B&A76Gdf3XTshfj3T45t&e7 zxDKOw1iWsUzjX;2E0HmOyHDBpKzRok-y*dmAb@29Dq3X@CHWzdHG)YhE(oJk_v>c2jB)hLo6vfZB0pKux>E~V)&Dk7W8nO(M$H|M5B3fL0WEU$ zCKXt;k_V9}*x}1THTd`zKqm0Oxtzs3gT)W0$J$Z{VIxGt1cV%EAbpY99vQ-r9Z}or znl%yalV}!v5J>j&Q6qPc3r?;iXAy!SDY`g)NboRoS)S-2SnGihs-Qe{Kt-6mD13c& z0T`ktc|1L~8|A<~+se0un+z9KE;2F2-jfxb47|UFWrG6jDRI06*EQB$bI#n2+8iP#P4$hB;W@dhZ=8HU*c5(2i?xS zb^*at#~l=*NbkWYU40DNkub{D+R_2ZU8eX;$92|MZ@uju1)UX>P^aawU-Ma^v-~I> zEG$e+Du{6&pkHsI!A)xE&4FPv?j!#h&-AFaK_AHT;~6Jr7DL)=PkGQfI985C6mu7h zQfDvkK|si@<9~hTeAGZuAz?rcK8><){Tc~;163!6xMZw5q;qe}&8F{wL!{1%Y+BE} zW=&{0A=qswCoxHJ1A!3=W*}BjZ(%${Rwadk@gNbtpnXF-17aVJ-*Dh5Y!p(MVulKi zcoh&yH|AeP?H;8|$g&^=Li9ZhgX+QOgK}wSg*W~v{kxa~HW8Vd6Sjc=Vw!%~YVgYG zShTR1Io~2j{JsbP3MdkfHl8Em39>+wLZqrcKOQKl+Bey0Z+6X^q_yIT)m~VW`k?`< z)e+Ko7^(s> z#@)eCRTs5#Z0jImNWxLN3-UdHc?!xDkmbk-gi#{B9uo$n%?c35U@~c@JjvX2m{sFx zN)^VPS&`V4+sg5zWEMP z7lyW{K@od8{MWf`(EP=}J-ZZc!qPgQ-kR z#&M?HO#8XY>cUr|tOD7%B>%k^^Kh>@vUcVo(~7g|tbo zer9kH;BnbLK$z~b$uER4G2)60M=^^T_dY#pHWrus=_)acCY8YKzntMpnLYRU;amL5 zFEcY9T=c~`4P{V)mUNdiD7`c+*dA2b(94(iDNs4}At1ElFxJ=xS*?a>e@=4pMw|Rb z6+F=q`T-s>Hxt=@qH74P4BHCjO~A-G2_Mhm*YPUI=~)QI{E$XgF`TJ_pt|2&)bu?V zCHxRZ;hMBBBeu>_E<=T$8aaiYJ7NE%57odMpRzLfb?ZJt804TR#Jx59n-#HrBaHRk zzy_q>sGL~cFe=h8>JHkz6XpxU(Ep^e>-C{Jq@8r5(EZD&ZlPwSvET*pdcGk_oDGzB zuy7QmsO{AZLF@OPpR49)pHI*EdWIwgZSiAGsBnB?Z?{`rWFhVHZ@XtY$gI3Q8S?lO zX7nNO4N&B3^IZsTW;D_iu3~h<@$@AsL}y3J*eWEfVT_q|VEx5V7}tny1id$jwOMU3 z-H^HJhb&FMn$LP&vJBP1Gj%2y!3_6t?2^E+T%7r&7O}cwzKZz>%ZL06$z0PKYjGo@ z@pZe3xhbU5##73=e;gA9Z#{d|h&borb1=WLqY+~vij{8?e&I6QXauEcT>q7*6z!H~ztbI=@cJSjoViii* zK}0tSjGQ;VCC{PzpUmL#N`CBBC}Cj3l4t9WNzrE{&OZZK6oDNw7sC_82SJ{e=19Z_ zm;qwL=)V0OFL$Q4XYF!h2wMpY*-8$FozO-vet-^9Wb4^g;v^RF8C#X)z`7X?W!FJ? zsoENvzbwW8DyqOFb@}Zg(5k37;Ep|XY*djC-i)WU{?nuoSVj4buz?rXSZzAs|H>nu zbFZRc0^b^qMY12HrP68$Lj^NrRP^Ka1CML3?kW)lxfwgv8`J_L4?#sFDg-)0x!&L| zlbsdr*xQ1G9|ZbfSFK?_!aF0{GLW|j?SPP!Yd&p*!U@sTY3t?c_cf_ZS2TKrEY@vh zZ7y3e@8m*M)vy(6%Ywt&d6%?Gf!>E7__qiEChD!%UG2PP z@}G{vJ+T9DSp$$SE&S%g5YkOE56i>^i+?QH=Gp(HWp;ty)#bBJyk)AA+v(-pEH%pkV~vPOWAu$ zSJ#|l#nR6*v@dce4e)%NSn}kVrOXjD^e5VT%YE=}H$Zm|c2*^nIpc(_H9 zLSX(JBZsq%kLwr*N2qiu2##YRp0mQ7I@{QLRuKogpjnzd>;o+@NvVX!KYl_j0!%Lb zWjr@+jvFlFq$xC?X&c-M@uBjj3M*{ZNpJ%OA?gWULK#`FT7TOQyB;S~e{_m2c;Pf9 zmw7{v{yLE&Fq$JtJ#o0N!hunN@2~$g9sXrx07BdL-$v-y- z4lrxqAmQ#ISX)GfXPY7*olAV>&|b3^pnq&|Jk}9O6hb(ph13s;kyA3}{vPWCM zFJRW_iUZ37p#CH$Y=%?6hFrvaoH%fks`yD1c;J7N#Bq?A6^HID4nK*Vj*SA(xH#~I z=2Or|L;;gyJl$(*%Lt0Vz>D+-*iN>=hl@lO64(jD+-odXu zh35yjlGQyUd!C=C_2H_uYfhKJHuMI=w)DbXyKjCtj`_byNpn9+Ge9-y^8Y~YCv)n;{(SH;SL3HjtoRp{G*`3^26U2z zkI4E}IJuU4-uW3B{qCLnz4zpPDFWIUG?Wg2?d!Qff6puI?b9@P`9M%yx$LJ^9c6oyq z6Ussup#xxwH0oQJ`s9p`j&6~w&)#nrpxiKu%VPgVWt!v&CikebQM5$kGu&Ixg^Oh_ zxFj86dbicP7Wno`O4ypS2`)mbXkYAL-{chdo^15F@wWofUz$%8c81{!8I z)Qp}^PM?-Bn@4~Bx|i|l+eqW#>+q3Zf)~Mt)CTtS9Z5~i$z)gG>?e2%?Cs@J6xYUR z4E>#K;GrWxXVeXQ<#kY~9im+HcZ0L(je8`GoxQ=MGZETpXE=Hq*l=>*lI#Bx6l!qf zjGLwFWLSh!UgC|bhqfEtwCTO}{zoU={7!6$?Ryz&^}_76DCT{#XLmj^0bRZ$QJk z{}Sy#+?05`K{}7>@DYrMcPs)@#nVx@p$Ffh9M2CTjIJ1rkC#Cfh)x%sDHWT7KwB6J zU)PFa#!oHhm+}u`M^21?;7@~Q8{7$${-7;zB4_iEIyaUADVCvtrko_!R`J?nX@Tcf zhmPz076NSEQtBSt^5OA`P%e>Q>xvq9FwE$;PB zLDf2{--uB*-YDMUY>QCvMiM#!|0Iw{QCcHMaT_*1WO7W8W!xXJ6h>QtMou+j30@D( zW5l zHte|e;ll0GQg0ka*`6c_D5e z0d^X{fWlw#QiU$Nl7PtS=q# zf{~tP*6VqY^ORt;%BO9x)qr047Yf4NRQ3u}srj@o@qM!gOARF}>vi@@`m8lhJzsJP zPkR9dZjTPCw%sQ9;jrT=V&RS|-YdV+wsqg_uaHz$MnpqR1P|QbXx&Pop7!T!a1+|P z&FbB>R>Q0W$5UNc^-DF3zNZW3H z-RMtRKpp8jH*$MTxsTC~qNL=4fQk-eV7Gy_HdKe$mb>;hFZKq3+PZFg*ItoYzilwE z_Sd6&q!+qre(Q(oTMov27wNg<7pQbvddMyKc`UClEvX6nCbaK%d4+L-J%)#f)r+M} zEf51ebtjrTpgIBIR{Fu^J)5X3AOow#W)1-51Z64ZY64m+5%}c!mq!r`;>2-L3tk}$*}WE_%*))={xLfjdE(m(7Tp2_(V0E#{Ilgh_lgPBdlINJyH zr4p;)?BiS+>Z<+{mVwbI6`a@Ca_4n6U~ERpMC7y(Ba~BEiTDYyn~1wFK88N`AE6EV z&ZY?kz^^xOsJz$s)hy5)Xh}ehVSUL2Un~+S01Kc&^n)=Q zhs3nCSCP=-?%F$mSdxES`|C@aJ>#E-Yek9`^l?w8CPtAM`)E6?On`X7my3;5QHb8j zgYc#~Yw_8bZ%RS9(SDzw5UB%c|FFcnkHH%6Cy{(kjT=T(;Q?tZ+NB5@m+K}kLM$ia zK=}BILehy?ar{ha-nNONZRg>oN z*Vp=3r>j86!2+e$JSDvzs)yy3vNZdhXJVp)A3+%gp~)ig!+W)+e>X|;zWQ{1DoaEP z5-eG^hlWkRl_*=R*X<0(UMrpEyS> zbV8@byTSc%{71WZ5<=r5o1%Vr>~2LoBO_BNN|{aF)XG|zcKKeb_j2ta7<{T;DJal( zy=%XSZQMp7HRoH<<|lp*OH$lxZnR>tuEJjP7#Y|(C>eYxN}g{e9)V>AAo=m&=tL%z z&O?ytZhE&i2c*Q|q>PM}E7~P} zf_(lx-2g|0$MjrVU>WNK1q2QXveVXl*vip3s)h7k;}i5!x&D1Z`!>{eh(!A*ZX( z+e3z?eDVj>D$2CW=}@ssq}IE=P=X0ewz;u!Fc@a{S1sM92n4v%mD#>b>>6UFWgj`^ z(5>E~=#hzXDTNNSLo~kk*iMPuA&(UIQ4E`QVd&H=6T_<|YH_New`l%nPRjGTx>ldt ztuH87F{|FdGci^0VC39toyXje(*yW@wQr>!$2FMQ2sZ55ddYZ*UPQ4DXx3nJV^n(aQU+`YPjLUWA^ zG`K$B)7ZPdRW0OB`yMUjcs?ZeU`3i;lJP=r&zhiY#SC@ZPaHrX$xt6fd_I;He3wia zdgWk%Dj~>%TVEpW7&zp!_GZBrIEM z*7FZnt$Gp|+&!F;bWGm`v~6a-QzaRd+vLi92Z%@1%kk@d8 zm@UBnr`9Bx;6zeBHstU!OOs6Fv65;reZM@VsU}3TpO$GX#&jH0*!$`qJXA2DP5twe z)~K~Z+4nLKuv{258X6nZ@dnr^=y#uDBm#9M&(YcC4Hm}64+j45wc-4VIUU#ZJAM&HH3pWcKbSj&!3_oNc@YIXl4l;7UVJW>##mdx-uRW{_JJ9OUhm_AZ z$#SML`*X4VSdfscScgL*YNc1NUTwl@BQC%x;j`H1`W~2(z6X8LTlJ!;Kx|BmDjUrF z?5sq(f;Nu@6|296?JfznpJd8u?!_eQ1S+v>@gl3yN5q$zitc6A=4iY#=WeM1)#7dT-15u1(bM)Ni z(ES5qp=;Kz-`^HU4*bCLEW2;li0Fz`@#WtHj&IeT_>yR7WJKx&*lyPBAD+WNCWl*g zlF1`{@mvFvk(k?ZQwWDR;yz{e?ScW~!yds(oS?We{})qV0#4=Hw!M_JLI_DEQ>KuR zWR|fEWyqWit3*ObWGE#OLdg`FlPL*Frc_9wRFVuOgph0`8t|WY-}nE=e;oUG_kLM; z*0Y}bzOU=N&Y=Nl89?h)8n1m4kmDR&1A&1^r~s6<)ArqGaP12iTEjLUfxbl3abeV7 z&ZCbTfmL+bj*3t|gcrvDwZ#ej7(=gVypc#=F@VU85Ih)sd3Nog61@f$(}g#oACutL z41Q$q?}uTd9N=J@8_DIYcadUh_M-8nkJ?`eV`rp{p#9y>U@SZ?^Ynni&}JB5SYmBvW~NQ`pASB1$)mw0wdEe)aig5A2^$1^C%x`TwxS3K$HGPD zAMbLd>;RHlv5)g1$%Yl#II?wi%h%xC$$5J9b^(^>TMumzj~QZPyu@CgQ>4pA|NdC{ zTFgW$JJAiyWQQCY%%F#q4ovp+(O7`A1EE)KICb2s-&D!qm;!YsQR*-wq!gf{9zJcg zw%H3lv>5hnCK(o;MV1W?(zdOS=VRFoRGMd1U{X!8C}6#%h13*CJrLw?-7d&Ye_Py;RZ9LQ=+06QFpM_0}SgB*d$)-()WY3O{LVo=sU zIVu|w6$;`{QK$I#>clXc;%8vnYX>fXfGy+wWgq4g3@{U0f2o29O@KupmyYJdr0q9$ zMNm(Lls5aEgWBYOd^EGbO|Ge5VjD6eT)~XaID*s3TbBgx!=V9C}^(J z5=Z3jqu(CB>l0KniexMH9hwVhGQNZHBVirc2TiZSWuBPsZua5mUCF~h|6*~t2ce8FFNYRs23*LsX&7eJkOCr4C;s*sgEDM8u=%bW!8lFDKPM0udX@ zyM3DljH_{&X+{iApcu-VLaI*$8ggv~9$)$u7nm_5*ip(S*cAZVphXz>Fv5;=bD~pl z>`CJAzpBnoy?<&x80k6tqX(jfrM+rCZuk*!wgL)l6aDtKwmZ2NMXc~4IfXOyG-fFR zzsq!w^!bj@{Z7GGCLtcLPj^hN#6UHi6ulA8B!4T$=gjZZ7DYDUtYdH;m_;b zZ{t-s$^`mYiP(KvmXgupPq-e#s=Mm3ONEVHieEa6p51 zGIo(8|C^5r$Tti;wWsi@luFu~xH_Co@SK_^b-~5^xu;Lkr(y2_+-t;YSUn1Y*~gH% z5qC+r#IUr6kC)fX7d=?}kthdP+k}}GG-Bm?q4%P}`2_j7SGqy1mnUq9E8H?GLfK$> zN2*v%gh?&=(lIVEF$^8O157$7=LwYoz+dhNI*x1x!1Hw?v~BW9pj)|W*mnRe8khpQnI`fZx}Kcah+iWu;)W*kq0cg>VAASA2^ z9H3F)B#k}lsXQ5rzYHFB{)edpMV*3RO%_{Vs$kIO$bK?bC}pbynqQj#>$l#?u$kEc znev9<_DV)S88xS6D#eZ z=-r>#wD#(^I+7VDzq-0T&sGneFT16~Bj7cuum2P~2N{T|;G~Ab6qtrHE@MtG?w64h z;cbk~3gIW_OqlzkF+e!ZM(mm;)<+|wJ7Ep_44es^SJRMT2<+Nr&J&AgnB?Oarfn)P zk)}0LnRyi0pbBgNmyzSHRUCBn4#d8(zzl<)q~wM#FGMpMv8Mt*_z&-$h6A5+9yF7I z8_wzLPQ^5SoAg#R9K?WixtmACqUdxqjPg`|r$pQy)1ZWb2HJw`g*F3lxDYNXiaFku z{k88WLjl6;7hCwnbf2~T9;c9W%*>PlWojy0e|r3#f4_tD704&SFI~M#~O%B{6USW>B=$!L`=AnF?^hzI1<@&Q{H^hbiT?b@` z3A3z6ctkUN4alF;n1aVPA~Cso;Y1ZgsGHhFoe%J-8rc+EY=$l&2*JFU zQ7~_;QKwYz{V3Fn!d)_|DXvMn?F4g!3!4|mVdwJh7&LmvLa#;>U&9}N&V{0tLFXH2 zW7Bhn^3f`B5n5VYt$OkXyG~Svkx-`^Uh;!kKuSA?cO6-3uB~(uQ{=VZ$&h{#BT{BKO89JVFhI{YEAFbe#mvi z%?pJRH+(8R68`XWF=q0h#i5`f1|$?R=^&0n{MCQXRdM^kvmE6QEKz{(ee0__sIq~A z38L2vxNfU~-;6{z{Dc1>R6gtz=ks?P1L*qfT}4FigdWxugS|@-)+ahq%c`H$VRJ|} z4pLsfII0P646(?kuq`6VvyiexX4w;S{Y;IEuPaa5lbx1G9RS#i*-e1NfQVFfzhmpx zrJ0k$d{dQO*4ieVIh+Gx9@CFsM5_aQ{q#z&Cq z$oRqs1GU2RBbXtUJo?QDlPUy|t!s)SV+nw4oRh9F@<-rn-I-#mM-f)(BHA3b!2oGV z7mf>ttOguYLaX}rv8M8!any-ixf3DuhWLdceGSw?`v3Y>?&%7w?`V6U?YF?2MwdR)BU4Q~)Uz7xKH_5>FeP z4KCUKJ{Z@E-CD5+j3$ka`&KWb*h27|$=;a{9n^SN35hR_Oi%v5{*52>+h$`%{b5A2 zH)s(GhfDoa^|$MF*yv5f41W|}_TMb@Xn9NI0{=1V_a5@8c}Tm!ICIMjufBqXsW3Lv zw{u^9Ze>s9t835aQhsg$8vtv(h{&=Dk(U( z({+95)#DTdn)|cu?=Y0+hNlM;I7-2o(-~nP*SkjGg=>Y#bNQ70sH7Hx-Kx-`y za_%zyE4@1cgLIo!8di5Lir@qq!CohxFfNSL-A@kEa^Pq#VvgpaXJGK>>gX>Y02sPZ zRUegA6{S9%!5pF<)yfdcK{r>|@hG6Y{hOknsbYuAfZ=*_g^m05uPg6=@ZHo}=BWAn zOs?t1R+su@r|zcu1qkapk;us0btKNObnTumnC$d9>%tr+LiQHsc;g;T;OYx7rAsSv4G? z$H0R!no%iPY5dJ`gL&I(h81-1s*`*)S+9N5cqR#+nkb$(i7*N;6E`0W-Ed(<@B))? z;-*X@K@L~@5Z8joWs-y)O<-ln+SU<5Cs;=Vgt`QHU#a( z879I32gtquhloGy6O9q|ed5!W_=QmtSKHVkNa_G=nvEZ)K={&<>`b+i>hY+IS~E)O zr18$J2_LtxtR|Gx-T5bvXX)MF-R`|y+3p^q8Zf1Yw5fZ z#(l8kA&LvomoG<+g1`(XDhE(ftnRz4L)~)`R#59f%w1TV?+1w2R6iL|YEz7%3LT+~ zVkqeVM@Fk^pKZ0*W=0}D3g6|>qykD^Q!}%-)z%NNhTq5a5oI{Ui7y<6dH09`6&$3< zt!tt|a)04##0m;r;-2EZMs;^$R(>^}^UY^Wr1uib3G9X1P%B;dx>E-29yszMY73uN z63^|EwbKm5G8TL0N46SqF|o%OnnZSYVlr?fVjV|K|NF+;y*TxaLAE2zH4;1g`{!g7 zbO3~pOJanHsU&#|uwA6-Q~|$QO>|wvIOc0U=myxR) z0iya5uwFkrI;#wF*u4{7Qk2Jpa)X)|=IW83crnf0d+watzi69Fb|~pc=!v$w3kJ-& zVPX8@Z^^J6oFG=V{SQGY|49=Fw}g}yIaZ`8RQCJch>f}N0T?619Rto9U$;0LS*~m? z`-w!pZ7S`%VUG`D@r?wv(+zK!7C-NK zL)J-mZVsR-+oUq6#np16*FxvKqYYeb`7qb6*lJzz)4Y-OU5eJ2Kk4T91{_mDv>6fn3yu zcB;+FKIL|JK;0)h*zG2P!m?g@hc8egUidE?+-fQNMok}`fS>g`m`i3s0{a-#V|W)d zW5A^{A(=fC3bYK*;MI5XIeo1hRe+|zmd(`m)>m3FhC_89jZM?I$YMKO(C`oG z(NaPgNlJ>iuPSJ@Y&kfLNVwt|AS5yn)VxuB2-JrtjhgN;M+C2CFBV}RA~_J?h(}}l zjfjFvuH zX7CR@U@NwPlM_fdY$16m*?N3kcdS=l(gX*=P-cpy*=qsirp(#jKA1%8l~lCN(p*K@ zD`(S&9RI9#2%0ESL3-_0=Vl%(Z&zDMA&y@puL|~nIyKd2rUha9XjRb$LKsHc znPQCC>5j>;7GV1$c~B(uRJ)znRVCdkYHa5_X^C_!w%I!%L22jbpXcjsmp-vPT2#%SjO=wJvscy z1!4U;%BSi~#p3*8MHyH%BJ*x&IujI~!9_Te6O0>Ck(V*Hpn>nY=iSa@=)#FQ6ogl= zA74%Qm41SoiSZN|koX7U!%{{LN)Y5xIEXZ5o(Luu35^W1bBs|wgDoH~quU&t7vXyw z)`Z7iZer$D;f7lBDI5g_9=wEK88C}yMJ10m72Onku$XjtjVQ*2b};E!M?auV%jjLJ z!S+*`CuV@Bc^5(;Q&O$`e@r2EaX!6kqWENGuXSbb;sw~?!BV6LAnPLv5>Ki)cw_U@ z+D9jb=l=wPVPgmP<7rQYzZ(Rr@&nM3&cR};4lYVNQeVDrn)FrQbZ7#Sc{>ouzv)mT z8uN8RQ{1s7C3H

QMc8uFVZf6?OJBVfTVkYEY@$qjFv_^nQ)qj!hB4u?DgKvFFC! zxS{w6YNsRZC`He+nX1c-hHHBPCAS2PE5oe74Ao3A(p3d>7J?B$!+chn`_|BGuPsZM z;|$QO)r%i_MBqUeIRCu~ZN~ZhGjGwTs4OiE5seje*YFsC{T!T-yznRxQ5q5<8u6zH zZ`$YUYdSoGK!1SDvwf^PvYrR-F40(cPbcO+c}`k&C^P z&u|iZ{&dqea)%8Y@piUit^z~z%ChrRZ9`6ekNi2K?ryv5uQdwIa;>&8W_>t&Hi31W z2AzgmiJ%U>rfjzmCzJdh?M8;|kUB~v+nzmRbsNTZIhA)mQa<%aU3RC3{2Kau#;>NT zM?$_S?hN!DY1=mM|7y1Omk25mdQEDfWl0QZE;tU|WA8Q5o&dkNeY=!9T2Uu3o@q%XG( zqZMKsNoeh8W!R#ct|DO$O~@dmK4?qU5-p7YoV!t3EVKtN9XxjO(G!Qqc)n#&Bt9>@ zf@*32FQpTpj}vl)Ac&Md#5ro8&KAgHY<4FikH~77W5Ygir69Bdo#YI9zDc9=eQzw zOWN*}yhvD}!(Sn2phsmAyB~+i1Uy-vkba9)l4uMsNVWn*BFvkA?BYUmCJ@$@Fpu`A z8s_SQpP19lQ=6l8i81D>kv6=Vm=Al@Ov=Ahe*tiiRFBXw@L?zpL&5w*l~RO$hW-;) zfTRXS?|bRxu?B#FkZD%TOw0uYVaFzI?KfX#@@Bx}z_gom_D+A7^D$K;#+$ez=h_X+ zoWYk^InqZF@i~o&w2PWE>f$g=vksLTAGPM$*&*NGYu+~hsT(jY1!yg2jW zL+&>&oJ3;|S3lD56W3qL>w<%#q-)1CJ;606^PMOR+M=4I!5=1E6!3xHey@3XObesF z|9~@OV~c}s05jp&f8(IKV(dEXcetRsNt&5ngZwQBRjDyUq(Y{PWQ|8M2;?C-;1c1t zL#NQx)I>B0AkeX(`zOK+TydNbiY^DxjjfPV4T>W{8gbSg?tSK3Y6bScIuSg)e`K#y z$BLebBp9OOm$*+~$L(pG%zAwl=pcR~w-1WNM}->%-&$tf8kvohoJwK69wd02TGF@Y zNZPt}Z;TI`Exk7`J_hJV4I;+kXMPpYLnIr+s(Oj}D9Y~Nb^VAo-d8ESDWceLihAQ2j=(l}}F1l|vJjJAa#5x;p_ zcW$<{Y=jMrqR}U+Ko3_nmITP5)``0^sQK#x8Bx1U{Ydlr&OeHd%vWS3J7}dDwd8* zIXw}Yegu7w?0fa$YgQ%ioZVoNC!e}5S{E2s=E9#spSnnGL282br;hYG$yR{1mz!vi z8Uf`42n)w4j;kCK`-AFaQ(xMo7QSz9c_*=J>c-69gMZhDM8IJdPbX29<$y;IdD|Xf ztQF_>Ok#uNzyR$M&Oq16{V=?dMv(XZcxU$CfRIK9;ffy$p96T{G32~CbHIJQ06lyd zXr-|K{*0~*7lCXlw9RP6ggo~KZHd&)!582yd~M&Ywo|nD%gW>L#SeHSDUDnnHOwxq&OB8*Uuh&S@(yYS%P;Hi}J z`O+;G()fRPhZ=0)s_cp1zQ%P6Zc|Tx#N58R7}s$-TiZMPT{kw}`Ut6#m+5L)4(Q%M zku93zwrCt|G^l+)`^Q3=xsQ-tl&L@aR83Igc17`sIeqsissR3Q3R{s{PRo0V)2a0F zKMhoqM*T4hyr-|wJvc~X6Am%(pA%X7?Ycx0etW=a!rW=9DK|t&4_oz$25E5QA5K5p zEYMme!d!r7lV}piZc2CoDnDLpodNEDH7+jO+ARO9iv>M;>EO~989lGCvp z=3hF8n>6-hXAAzP63ei5Q|4*7mgDB*hu~jhRmdX4yz=58y{cE%9-YbFcjs93(@ZB! z|DLp%C{MA^>qr>e+^KeB=%IIThRy9rc)PUNUPrjj((!x;%`DqzD69JdCW^np_nfrj z$cx|sxPP(J6+1M>K@JHn8-XeU)6nqsl}Gw1b6!V*f1Rae6a49^0t9%K_WZoZlP8=Q zHi9m#%>BFQDra-C^Jaw?WkJtswYwPw^h_@eN4IkX$NQ!I(w_W*CnWg&pgObjuIUKzSd90))3Zz+U18r#}lfzEUtfCM5|HLeRdO&`xT z>dJ?11q^J0Ghpa+0hK-CC19o&GJZiwFXiHZgLJ;N(=!fx(O23*>V@97&)uv2EAIT~ zRpjs91!O-NFS-BEeaK%q{Oy~Y%72en5>*^YdnA%fP@A@5hE4ZCC}jON-wo73^j#o}9m?4L(PFn~_y8RleUFCfMl zqzDElkzVsM*V~(x*_wrk$X#2B9T7L1SX`jEe+^{_JWs8PEr_N6!{Nk3E`0jmT|hjV?{-|=`l@!T_&Qhn7N{sOMhw?WFjuzZ`9qa`=0VPKD=W7Y@kNV0D}4O)W+ z>z;O?(h_$!=z%5dDIwt(ytdDgpUuVdk(!~IRa5B%d5b8Yh{(CeA{BiV5f5RTV!+*d zpwl@u|IeAx_Zv4L;heby(k>wKC~Emn{c;D7=|L&?d{z#|;h8W-5zZE!=l+Z$OvM%_ zx^}L8WEOrFA(xL)W8L%ks037=19eKl>mQv1o|$0F64QjcHQ$xC%Yvy>;>jmB{1#vt zb`nkM@g7Xp4@Zm*d&wxA&9$u-J7C{Y<1-d_J(f;VW$eCo3NQ8cFp+y^;f^Ch0X$ zA^|bLZ@=-J65k4j3Ek(1lC60h9pWKuWh0t5IZf}{zBA5YZn$G)XmAS?R2}AqZ>Cyb zO~n#)kOt+L?4GdBfWp6P*Dh_UqM2RtjP^U1-LCNh@Qp^%JdkqY?vwqyKZb&M!~%$c z=p>Hw1+2G`;u!@(5wE+IoB8hKyMm7K#vj_;VFG26 z_4YUPBrj!Wdz`u^bX=F#bAvy$O|`4~_Rp*V&*Yz3mi#!N@%c2x32iqtL?mg_>QVXf zY%J*Q;HcQGr_!r|trnrjsb7W7U-kwDB#cx64vvm?ZCBUAST@<4LkiT8tI390@o>e5 z1%{`BA%z+FF{UE=lC9@#jg9X~qCAv|(A>5msTJ3eJq7mp@6)&t*iPy~Q|*!ZQdfti z#oCdZ0aYh+!{p)){z#oX}vBCit*+y>GGd)sg*Dfvhl&W*QL-5*VFfx&*aRs8Ydc@yII6X1rg;LVR z1sPCC@Tjnoyq|8OFQCT`x6l_>l0O5$S+&zQEMa~(CUMDoY)>GCT(l-VM*>hk7_|0p zifS4^#nWuMI*oHZSj$M9tApstc}~Df3aj=#&H4e*q~DR6Zl-rtpgQ&BnJ4T+BjMX= ztXQSa#Z<|#K4gk4Ad55-`-cgeOv%&?RR$)ieYR1+w{!l!e-D@1i zV7p**eD7F<@sm)^Dxb`iYsn>*uo=R)?GibvHt_u`0Jr4Z;zs}9FXDS~l=c7bHUINc zcCv`bkNodXa_X-jKl=avFMglid2HbS^LzjIUu($U{r_JiOh@*R|NliokA=>`Dr)Jh zwn%Q27xBo(IUJPkdh?vAH6~ zi^nn^DZ5FXG87ny*QJmC`iU}{rF~XOb03YXWj%d^G=FHLLX5IL_17ZWy2IC!^U5w~ zFO;?p2MDRF2WvnBofa|1$v8ZC;eU>;ON=7fVl$|jHnvE&?V&^iVX;bFwdI=$cbJAG z7Zg!3_ay%W3bFea;Jlt?pG{-J!<6riBs0<0nMv^NLfMYccv}+IG)P}{vZh(f#|}L_ z9Vb-R56arFh+pEZWlpW%AziMQY;_mX)2DHVDr$TCXPJ)(E&wqYVix#?a0!HGR!KMLu!f=|HY*XPwd-dO8=kz9Z7N_^n) zQCFGP8FES4x^zv<*(1a1E(#Oi`=M+~uX9|anO7z{A@6~|RW>p*vJZOTR>L;Sjd=N) z*lxFUe5VjSBu-zOE-@!`CTR2Ggt8t!Tu8%FtTyCZGu#-o{#t5kYq_NIOE-D-XVO=c zto7P-t3pgB(8Li7&NzG|^^GbC0=sw52WYSn@1&!UVp`wz*_CUnfvGWKLGbwC=$t=2 z*>l>FmcCPiY+-Nz?`J?2!APvN68Oh2BV>fr*e{tfAfT+&%GlUgIukd3O7wO{1lA)+ zzF%fk+B@9W#kWAC4Td9-PSpzBKqJxHmW>eY^&9EwjUo48kLbPlo!Re8F;F=$j;-@l z#F#VfQ?0)|MvRC~eLove=fJIGc-xFwfF2txT1@E1xX4kRo}Bl;HGpwm31^Fwwui@e zRltVo7+?I`@x^*iVd${X*Jrzz7C@Nnd-+3ai@PTL>-#gvjvpnY2NM){llZ$rMs=9I zqxA@QynelI^5Tic;+ODUNy*So*R%4wcUK;y{k{RO;gyJ{s6&5(7rbI@kVZFzT2IP4 zF~i6(j~qV#mitz!z~Y$NiIysMW(LHQ6|!l5KVVpN8Dkr>s3z~|_EF`j2%G^J>GL!T ziAl)x0JU~u+K2txJ4&U2zoin8CjW0LpIH&9qbW8=WMrehh6A) zgX%LJoy38>oU_yGA*cW*MDgqgwix>F+!@pQckWzZd%D7fmnED7r)zmO)!iK)X4Ejp zo@td}JB}5aRCEH|VNZZLqT>X%%u$XjffF57z#U%V0ngSv15#Z~vALufeEdI-mi6Zc z>nEylFV$p}5`j)K?umd(tSHR8A zv`wfLeq{Zt75>B6ax-^W>1%VVmS0cH+;S_wuxS3h>uADY(a*< zgqQ;nUwT4sF-(zW(9V7>9U;Ybv#U z7N0D^l*kAcL0cMm9VEgAxch}oPp^CHJchB_&i7S&_#e|{20joQWx|8(DK$5GvrU64 zfGP(+O|V;%MFZN+#((i1m?b*mxz0BouB~ut5ylQUYUYQQBe!AWYH_k*e#zeY=9~ai$R6?Qv6=-G5U7_Ee``_k zSm>hDg*r`jUtA~|Pr`j`0V5&`1pwrBg5E|otw_w(@*sbTGpl$vIc}DGk$8`x#G#XQ zmq5v*qY!5|^d_`_flXx24uc{cAm4$@+t55jZ94E1yzj65_38wu0$82{{QJzz3sDj% zeE(!WFH!n~9rABP51XjkEk_UHJR0sNyCDAXuS2MlP_lt9BTlA5gcC<*Rwz5BfydEM zWuKX>=puF~l2-R90NAvJ*e~$ke8VS(%l#_>41<%Cldv5Xf)=EaVjTh-IzEv2NdOtK zgd(g*VzNd;Y=EQvi)U`4>D6+QcPr&hdIn=DafO(b?Xx{kylks(w@J3TqK#1-8+VS# zu+$E+Zk5=YOOJS1f&~)}aL)txC=9N+i4z@3ZihuJ%uKQ|c_B6oy#8O-5w}u;K7awg zs^qyW^T9&3w8?<=ofjGdtlwnW+1DMQ)hQ$?HAov4{GE0}5w7ye*S+ONM14I^b6Ulx z#)h&xzEZ7aqdwnrpa1%lXRr}fK1lenq~h!T&$ zu}{JG+lN((7uM6$!3H1QF8)Es0yWyx`H%3scasNE5 zyGhOCK|N2~mA~||%w&zU~(LPm1{b zOxF!p-ow9VSns)`E?9TUSoy;t?*Mru9SJOe)pzm*Od+0SS#Gjfc|XGg*l4z+_!syBM35(jXRI=L(vOV0+=B7 zU@(<+am3?6=$ZiSs2NY77qIOi+=eF*G41%!5{h>?M?#qjSTAq>JgZVN9IY+bb6$l# zBEI^7&g%GnWE}t8eUQCuBhZv;mYh(*{gP1uG5^}Pw==VUGtT)c#z-V2p24Xu;cE)b zCT>v^ZQCjEw0Hg{%uw82@YC?F=yIdk=(7wrbH*xlmy<%>E>K?Ei?Pt7nLiZOuk3K) zS7Xtx@&1vW+Ht1!qDyEwF+|4y=8m(*%NEsFX3w&V-W3NC(7*EmoJFv;Bm~;&wBM># zvazdrHob)2*mo658uDmd)nEiDR z6Fy=Fe3^rhl}F(`6e#h5`G>?{@jzyOr{M6EYkdn z?vXQ?QtCt;A11cJJui=)+CqV%r#~IGgJSW?;oj%KRNU8vVbM9P_$Q5WEx8xOBB!ct z?>6J=PC?J9Bzilk8HkHBI0`jz$=Mj8&3=7H0FYoJB_<>pd}OzT4EdnnhXKlK7zB_Q zIcO^O;)#M)C>j(d7#@&a8U$3(^WR(eAQJZ?WItLZU0R$vq~oSC7}%{T@4iBQANYxI zV`>C&4{((jlkq5c?vZFG2i5Z5QFW>qhy8lI6o=ME=%~Z{5j{fOh+w;R)oJ4>N8(KX zGmlC*j06!-x|zMBYl(@sBYX&wMEK#@=eKhHE@v`p ziX#px=!<$jKQhWtBM&BpaL@_f%C8sq^KRp*<}H52JOw9Uu3Ehn7BCPDlrp=BcilN! zbqi$Hu1q2K_U3hr9VxY8Cl%ZSn$FA_qsM%j?Vw7O|HFnPISv7)y5e3^(F- zB3vAJD)GR=+8w~U)&iZNVha3T;t@(JlsyGQnBb}Mp7~6NJxI1)FVTtcu~2c)#rydK z`XMDi_M7~~c|%l%u>8XSr~f~kc-ssOjlSRztVG=G*${rAj4@>tc2I?eK^Bk+5j(fa z8P6MkHpKE%iSnNKZJ;@Kgil+7M+Y|GP0?afQqS;+65Hy8KL;w|-_ZtGl>w+qLBRsXd%cn@~%g5R1(Ay|Q`tT}NME-^tJ*sa+W}C%5c!N9|+I@zymL;>BE? zMzOq3Zol?k;Wjye&&Tzg7VWqF6OaphK!{vC(OFpWerQ_G0G)=^F?x;1*kwKcE_gv) zC7`a(ho*;oz9a?+761c8TLAt~g?S&86Ff>jRJh2!w~$+<@ffNFxJSz3#|EHU#q*b& z_{xhXGAgPR+68>|`1KTEgpTMxpSQMl-2H>)0#DLJn;TBnTsR0wfsd(r{_rwx5L}h5 zT-QgN3TpGHpW~t6F}+xR_uHigM`E5u@dR&q=ZNZNmG&bz9eQ>uo&7CGhR9^_9{1S* zkHKeRXn|#M|1a#*p8*4=WWFm`>mY;`Hcdj_!}U0VLV>LlMI#o&6F8k$)DlqzSlsr< zc7Y)40EdT#FV~GcksMn5t$yjZM5ZDA^1=}7O%;E3f3GS1zc5YpX?m}NWeu93Bfy*m zTzUoR^+=^i$cp%_T5&>?9}$j1Rs(3Gu!ZA>S^B=f5yBP4wo7OmM9=}IHG0BMkzc3? z$m+(xT^j5p;w1xsiV(L*&wxIO#A%^~0g?x5Gq4CT^9PYJ&_!Qh3n9A;xlnSzvuWzr zZ5UrBeYNc94%oAkbTN=TNDvhnmE+GC_q4z_bO`Tw$Ei;wQ4^amnSK+xC;Fw!v@u`? z*yc$&3@U&l@;vd;HQ-ewc_9d;0&yBc2XO17C>RWw;p^5c0q!7#3PG z(hh#-rra3ZWfI%z_QAO3C;ppXkDc3z=_qoYkV;XKTY^Fa^s4f2a0f`qjl}k2Zh80; zrjw^jMcE_xxuPy$0;?&o-x%Ro`PStkFuJ0HXZ7?MLh)keQ{y>TZ(nYzdhpi-^4$@1 zf1v%sOp*pg4n-Wt7M5lPtl=;HyF{zw*6F;NL;UHkO^}ssHR0S$Y~0y(N*{?u&S5-a ztuDn^(q>@5a5$b(amN#FP8}wJFT3mP>IqKV3l8;=!YkocZ|lHD1#Oc%Q$9+T@Yi@k zDTLH6;avT)Wtby9dwKyAdo5$4vyi5SYFlY zwfbg@I+UMmn!a=&j>xC7-p<5HiANUVXfjK!Bsj8fRe&@jTB~*6JYr4Ie@G z&~(2cpS7J(jVlH6u4?+!E%iNk;x1zPX ztdwst)?0~3bC3!ClB;0#&Jdq3ezJa1-YQWCp!fY+%+NZQc2EgzId`%6HX?b^`s0B@ z`Nt0yFvYWD|L!NW((_`yZWIt0LY%BQH*7cphnZZ4F%-|Rfp~`61*7Eq8WQcZ!zoAY z5ks7_w&mrqS?j55)o)`TLZFg;H%!alV_e${1ZI>DJ=)jJAFL&uGm3GIue-b8mI!Uk z1f}8GLRe`;)xjI^RbWz?2-~1_`^OjYc>+>&Ft^CKrgFK8x6`&=j5P28! zI_+nj!9*wKIG{k%wY1C;Gb+R|kVQ$V1i`Kw<(Ue^hmxq-e^}LPd`9d;Afk5Ggo5QNi@!CI8~HNTuag z_LanMV}SQRnoMHe0-9OJqX|$iAEVtQwc)!Gds(jeUqK{u5|hXKmo3NrP|ZvpCd5+x zgbOAQ>KD;uoR_^}(J@qfZ)yKvuiV_C8prsb!mbGj(RVp!$-;7m5RG~gZ;%NRq344< zEd%mT5DxU7*|}dqq+*oQ*k6a#$rHn*X+a2UW(D80dz{Vfg;Ow-k0n8p89_5^2pb&T zFby&T6e}pO=rF&gIDmXjjNAXgXaF5F&n;zy9lY&68*X6d855pN(G&b)CZsUQoda|LMBfl*+0$V_Hvb2iz)rf7l4R{!Xj zNCwBw_frJEY)o|WoA9arNbz0yVL!E7m)Z><8o%7UJU_ECHFvp9e(N;Vj2<>_T&Gjo ziBekXj$@YCuK!0D@mq%@~N#o%248e*Dg_AO;WeJ*lk;42v^@Yn(9-Jp~6MhjM3oet8H zoI0goH21fb@eC=%MC;~AGz|R5ikJQ&5&-V7Un)E-qbsPu_$}gY@d@kM#ieD*!# zrr?gX7!IC%IKV(2b7O`d)A-=gDXb*IvaGch8^a2I@Gt0Vr9Bk2F^vy*jn1-Jv1nd& z9{31K%T*LN1g6yZO-Tv6lI|D(1+U?+eO2WTRunP*bIc?S7No3vLCVb z2y{hq3Gj`1Hi^Red^mu~K^g;syqh95mMD``uyg~}jq zhtN0A3!1@)d=<)YB*8vGy7)@5ME;X?b6hA!0_ML*JE!|I;M5oJz-P2)-DU_zit6Ua zRl(uWL!ok&JdM{kR}Wn(6K~-n!5iXJl(g#8`Qe67_b;xTsjJmY5*rT z_^S6A>1%bZk6u;>zyilGf(YEw}**YpYK;aBSO z@tkb(7vXLSK^sBd_ru8tz4z}!W|&y8b$x>RBexB7mNr^jF1}S08+w5BZb!%gdSGVv z>m3T>R|Zxvop>ZJA#noowh>0XIA5q`twXQwB}1xgF&k}@HoPGH>a}arZ{ZVW*Yf;1 zcjm2KaIxdX`uP{WAU?Z1J4kKCm+P)~z;}OW5nh{m9e%&~M}|#`#-6?$$aA zi(cHjG{@uy=7u29?fes+GIaEMf2dn0TIoL;(vQi`sg7zGro*p zCp;44>?^tFS1;iRiAD+rvxE;MSlY?3Zk9Jxrr0LuwYHuIYXOvn*ybFamB#Axe-_Ds z3>cYSOd?OzC_R*)N?HRn))XfP77CD9f=1X)n`C;F1KCqMC^ z!C1w4V)dL~ilGRBRnStdYLJH7U#s9joytG3zl-Ix=BWqXw%hV!E;(6CRjii~7S{Pw zFCMbuF)_MJ7jfP~ zd3TtD51L?$61!+C$uLaGQ2r&A-2#!aXB4POLZMMa{#++i3kX8MrITP8FgRCH2vma~ zI(#h9KyFj#D;aL){KJ3R(^}9Xpz9;_E44rpA9MGx@}%1E=qSRohS1->YfQ!mot2rH z`7YFIJ@dw}3&4i4aCb>?qSb>j)`(&kiuSLsKaR^0Fwe1BR zokGbt`*R~KN^Q5%r8;uMc*YbKv*>KlArbo>PSI~TQxJWFar49x_^1}meI!k|q%k1- ztOzc0Sre*ej-1N}Fvui}&?>_!v}mslT?I z7sZhu$IcQ66Oi*Pn6hlenL0H4OH;BnF*(qj-8ykKq&saEzw=HV{DEXr(Xqq^;{8t1 zh?jfqeC3)vq~ssbTL&^+d?F?ww4bx7hF-&k{__Ip+x1a?3oz`tjXV%LEGBf82*zbR zzr0TK+$!T}a6zMWA${&oNlQCimi33n5niMEZCVwd2GP62FHd3Xrf8NGuWeuW*_L$e zj?m5VHQ_u6b*(u15$qY^e&Cj2C*#!IcforN6FwKAZ2&0OJU&G^w&JTZY85#1~`b)bkQ)g(QtLiShI&6ST^%Ic3Q4o$a+_MiG%c^xE7n~fgSf4$Az*xes{RN{+Sc)CB- z`tQU{7U%ND~MlAr*jokfgm%Q#nZJqM6)>}U?e%p52H0i#Zo z)NxTr3r^VsK0NC2*>VpZVx@tk=EM^H1aZh5u0J?Cst=8nDhhmT2B9NI%l_Bu>i(1J z>S2wNt{mKp+?(FA%quh6!?8ob6GwoJ`WclevI#!a1y+i{IF#yTw4iBHNT zA*m@=JgVyX`F!G%5nHq_cnY2{wRAnVmE1JcH}z&}pjXv=ylXwsq`EpkmKc;E!T=B&v z5FPMiP*mYwisoyF#a-J7fclQ8vOo~Pt?l_^0Tq|N^)R7Klj;`_#Nir?@<%AHT!6CO zkeLQ>Dpw?1@s|u>NQCu!-?zH5seg z$jO?eT=Gx?8x0OlCY0{btK+3sZd=a}LTEXSHfX1lwFiobVZ&FPKvL=rjf~W3a+~-> zLS2dsoF%4yy+!ELcXYGImSRp=CeD^gD_TOg#k%>tD?&l_sV^XM_VaNZ0D2WYe!V6ud^oLsT%_4>3E25~#@|pgaMuRQdZu zt<9b%@d(BV4Pca};FI4NaTZU1R@CgOEOy3AukTpzbiZ^O5bb5L17F|K^}wW)tb1F| zi+7{eV~2!`>VlL9NYG^FLI7MS$XuVEA}bpsCG6_i znVCnU_vMAM9;gkw<5o~)nj87kFFWB1BqQ`2^m|Dt38o`2)j28uG-P*|Pac6r7)hQm z71;n!!9Av?rnxQLEa6^2=jilb-$E2QL_UemdJN4r*$1IvYYB2*~-*J)`_5=fm@ z5!CAltuf*3lRYFs!jeecvD8wi#cC7iodvj}25@6-LQ?<*9`E%<-eD{p=}z>X%5*z% zS}84|I^4LioiviA&AbgnChVzj1)JSKZjzm*zP|p(buCl#N!yljZb=LWj$~+B@O-!1 zwwKl$z#tfUHUH(YBC{i7zBRky>9`h4VaYUccx6KApwecKN@WIzm~o_je|^)h7k4xr zr^PqGENimGmi7Tqk-Y(t+575`-xtMhlz)^#q1BE#k0EDMH>^U+IYz2CWs7~1Ctrqo zO>GM#_--bg%BVB)0P=3PgF*k3n>TOjcvRLL&B)OV2nc9~zXt3=x-k_iYk(xI9tMcf zn-sl|vg@}j5(ANvGD!{!q&+{;o>)^ za2(Tjh>2MptL!aFaPt3&ai5Q|S<&CWe|@n%D-Zv;fL}7}pMH`vT2~7Ri`z^%`CyZ4 z8xcM4|5%#Y#Oxz^K?k{M&}j97+CLAU0F^{1d-Jl9Z5LCHgCZaF1*sUY>$yBK+2V>cyZ~7d8+17vSGdyJJE^ zLXt2O7DYexhBwyvs8b9m-X?_bzkdCC)s4a0g4c#OSlLVY6MKt3B*iZDFE8a4>mCLQ z@U^Ri&&*yGq<{+f&%iS_!{UD1!Oxgnxc2(O9B3{2BC?|h?#0H|dU#8`&>A2mAQr6V zk&Sot2`(-5L{?61u0_cq(-XKvD`qeaLOK~OnOsYj;>_q_Z5Bl`J{(`sUzXqrZ zLIY|j8`+vD=ZOXzJJ0XE+V`-+P$8Z;Cqqn8ppb;aIl@Na=?QJrgSN=y{v=Ja@}uu& zI00QtNr~iD4Y0lP=g0CcXpa6>Hz8{vBS-cPU?FPG8>)3;#hOD-6E^}*w(qt5Q<-j1 zd)^{F*%uTOLd*gSLKmYhZ0dk|($D_1hU97g-+X50n%Gh+=E^>Arp)`5q-A_@^z9u3 z(59j>eljauTE0%4<{`hNoL8QzEq^<&HCaLcSu(T-_LY!wUzBnG@DuR^MdmFv^Y~RD z%@g~%OsWeIS*0QeQv}CAL}bV`s|IdFpHIhrJV0Oy0G)rCl0fgIg2+ca00hrPK!A6Z zF#^EcCma=co`ETZ2cRUv3v>3fRi{e@p|k-(uP_ZU*&g+azR+Z6+1jgPisRJM58&H- zc4@O%uVxa5W{SN=bJ@(ZN|?c+PLI1a`NYBVHH=15v1#o0srfkCCm@mdC4w(w#{~!J zeN+Luq9n($Nz0Q!@T?koxU2&*z}3W}Cq9A*j6 z$pa1b^3X%O(5vm>ko)`=$!;%?zD>_+$G zg{E+~`93xsuY5!-G(g7!+@u_KF}5I_A)508%!qrTKRC_PytcgC&618g*($$-ZBfWzng=n$-Dc=o@jDq`Vr9YgxZy!J<1MkaT9PxjW z6bykzqkf&73pg7nCVn3&aeNWlVeo~^e=nijFd%)@`g=*o*!ZP}fjLUdX6CROt*oo# z(tA&zDSEK*0889xjld3A6LSs=r|hE+v`#dNNA*sAVstQuL6KFM9{ zAbxRY!2%!#<-jN!UjN%EYgR}h)T3)mqPoV7{00|4{ z__vRTW&$h=Ojm)luvdXc%5vedc(1qGpKm+C=p;_2LkeKKf@=%S-gNfnHhV*8zn?zU z1haWH`U@?n86>vMiNGQalvO;H%`pf4U?Jwx%yNiBv=BSm)8M69zP~_P2tNj8BuHyHitoSp+s)E# z)nk7;tgyq0n}G~<>Rdw;8>_(~0zSq>SO;WW56PV;$T=t8n`63tv0*?Vsq<_77WAe_ zr}{imQm>1d0*Q$$W;Nz|eP3&_Zv26QCKYrkcnn>IvnKpQwjz-1%HSQz)_jY}cE$HN zKwJ7wCM*;y@4`6nRQ*P$L)~x~i6HtwLS2Bdo`GWLc2;PF_VR9iDV92p}#d zP;S%Nt?KH_L-0B`e`I=76bHFIQ;4y;$qz{Td77dAW`XHapG`))<_u@xY_V1hdVs||8#MCl3@PJzY zl==u-+^>6I@QVoPy=83j?=YVp1yZNS7W%VHaw{7ZrsWsyN`h@Tn<6=@^|h!1!1plv zpToOku`8*(DA{W1eDr8v!u9LrTcu7wy#glx2^VQ@1j^Q8&EcTvou%5r^US6!K^iSepG)5xJ5HDryyJ*sF;Ym7Dn2dk*5r^ zwRw%TyosX@m@*1M549V}_Kd;qoBPKPy3@e_OfkLv7&m;_CV9`s*Y-q__*Kn&Qz_lXb^1Z4+lmyvPTqp>8K zcm18n45o2k(jcxh7U3_c`?(3LACswSsP1AT9l-BG|Izv2(*FO$+na||xwmiQOG%3q znMoN!rlbr}GKEYD88eHB28581s8A`EsWPVs2~kSPlu%TtObJO8QVC^v&yW3m-`{&2 z@BhE&Xg|-h_r|jBb>G+Ly3XqyKvCG~PxI9vv}}3}ak)u}SkRD*-sdYbJu!9~@!4IZ zREzb`;%sZEzVg=gMT83O4`H|K~s zd)VasU312{@%KI^x>1o390FZ#@N1CRe-7>401R5IZ|cR9ehig)>3xST?gABuXz}c= z<3#vXgCAbx0p{R6^TX+=0WfC;A`;y;R^U;8+vo!eTuD$-Y7nUGYK?r|vX@}+X_b8l z8cMJPPBn>*BX|_>lY;GGb*|Fx)k~JB#@wZ7Suj>(|83>k?0}0riA;q7n94(1Uif2u zEQm4L78Fv^=e&iQ=?K9JneGQ72u3)F?x z;$wdSrLoWs+U>WWZD!Y6bZxr(!SaJ)3Q6b&PyjYjg%)1{q(Vf(MogC2OO2Rg5P5Z|w2c1sVHn+SLVC-ix_?*j zh=ZI|prNN`BYD*+IkGAI%OYKJ5>|biTYo*F3*K*Kr6k5my|G{X^Qxh6opf-sZY{v6 zqMcn+iPob;nErVaK+yB30s!|iw5YQttn@!r)N--c;iplz@i+9|6o0ZQqes?+BfV|J zR}BnDPuYrfcw;vZk{JOT^O<9PR?{x^oPpSWmrxaTDDyo%5-B6nV=vBZ}j5LS$q z@HX0+&9$b{2McRu-aHPCC^h2e{pMLnW9hBK0(6>R)im4Ho4FjF=9|Mm-h(E=W zSv7y)?#;^0#pg(e2SgKGWdupb;E18 z3ISIk*aT6a;4t3+Ofy`%8QU|ZfFFXy0EAeLfnrk&tDbPe^ytIGNm?PS(6iuHgQ)^6 zV@-@3gx?O5sKGA*Zy}gs_4qVzzLw797en@kgv%J}8^?vQ3we7-or}Rn1Z;{BbD#A* z77=gUu~rEqAXqk=UxewlTy~n*E#ra)v)@5a4n;BKEVMvwcKs_N$?&{E1VvbQU4g)3 z!lavF^>y`&NC0qI@-~3aKrID%A1VYV0D4n)DcDs2H?tmbyH0KJ&PglnJ!bjpZg zP#VwI(!rnun^jEwN;&VDxefDYhvB!JaYnDh+HZQ@RFu4`HC?k+ zGFivIF9ZDpaa1C799XlFeF9+v0H}HmG!=`6mBh#LAVN05@fs+5Z(oa^h$s>+WKq!- z6%tGl752TdLeG(nx=bpU`>aov{2-kT?jXIOBc=vX3r$ofM-A zVKBt_PduOQKDy&l=m#Pv$N>jaa{aKuk~kGSE3wD~xih_9Tsb1X&!A4dcXe^8oZiPM zDhU{b3o8B<>Un2bO`$BaP!9b0Szlp`(C3G5Lw{S;!8BL8+=w+Ikur`u7@f=g2SaLk z?Z@B`=8FXjzWppYr40@D=%tAaJ?=v6g8~#$C(qXwW7sHqU?qJVYGp}_urjvR`JE`J zaUw^39`}Y`GfsSI;;I+t!zpxIQJ=mf`b*A`Vi;z+L5xiw`5TV9@_b=Hwo5ve^Tn{* zhRi1@rqSim54wJ3KK!D#72`pVdl!)FcPG~bHsctE0kDC= zHwv$QS9FqtgGCO!aGB(DIdo{Dy5##o9Iwo^l@ig;ACY?f6F*T$^vkf)UE@So2-RQ! zG)?ybt`)B5oVb!-9THCzvq56P2Ka*sU{4Q20ChBw`c!X#V2k`~&L72jH0DHU!@Z0E zQT)~ijRsDpZn;yhC&G4^mzkkAPGtS|B~JnU{RI+9yznyOyCiHeFsHng_d-l?)($gl zBT=i}Kim@{T0D?N(U1THns8|QQOC|<4gw@f?6W>qEzWNtt}B-I zfh)H6`mz%(8lD~}bP>SrIp3Xc!XZyWc8`V^_+TNG_*miF#JS->B6`SC^#lznrzYVJ znSRhCGoatfSr0;7(QCcW3D1EU-86GwmuxZyi?5!h4@6Ei!!IB8 z^T6W@KrrMzKs<$V`?jE7@yLfT!g>V$((!W%!+8UF_8a_;Op)l_h}D~iMX=;#f7Rkq z6nQIY5}QCI0l>F0crXzYBof|%5op~E_{rX20TEAD97x*eR0#EO(`~HV>Iq9uVktz?&M?WIxewcxmvR^%mFaYR) z#6ig?r24zHC!6}f+CAazJ?W?ycenZF%Nvv18AVlxQY9UfrM(&Kp@00L@}S%93y*4W zz(>$>*7d264=F)-ZFROf!wqBb35s<4wpuks=FkmI?GZ|3Gn+pdeg}iFV4%xMG8G7Q;23 zbBxPhkblQ}PMQAGjph(V>|jqBbJV{y7H{9dN_C;jQ0$}`FzGOAW^=1@Fq3H z3wI}41j11-s{F}Bz!_pmg@rhge?rR!4Cz+N;&OGgEQFy;P*1{{Ap%+mDEM$}fp!8G zYM1(xZFOqtU@>LQupwTUri%$4ugRkQTSg(pTw1WKDkbj6WLKYuUDSEja4>Y#Q-U>> zw}gb>c_&~_i`i4=VcML3tk|SEY3440d7)gX#RC7J-;D{ zN|wa-q4R!V-Qyu3F13Jwv`tLb5=0kGv->b{=qFM|QWhP7NL`E6#U708v|-c-z7>3& z5W=O&{t5CELR`#(UWtf{KL-EWdvx7WRywvwNnP7=%6CZ)Su>!yc|-PvOG`O`2xHd3 z;oqahyhcH)jx3Vph+itn&QzWK%&wJ?tA{?L4(#lLj@Fq8i`JxsLQgEaiW2`|`&)xb zg(n`Ox7Q!5(IXPy@aIIwhw^TLP1d%QE2*hOFXZ8B8EPZW@mB0BbhQ^9u}?fT_9Qy= zy=2>_xsD$yEWr7b9Ap?j5ld_6fKl$-pAl6Z(1k%XsL!z>h(uSLff6u-qvF1CIkuP2 z(U}%lXOUebNFJpY>Cn^@x7}CU4TW)tqBs*K-6t<4igq0=H0r?!m7iQP;~`pY@>`8* z&6QrNI*vybtkn~FAtspQOeLQ7Voj}c?3fIG9#Qm=bX6p7v4c>NV|`?;roQzC4aPGC z=dQBgw)|LGkth&t-h+0{M&IxtO_f`JebfVrdACW~hTUr&2rPbdm0P7;?@UM_&XJs^ zMH1wPnKGwo_v|oOiy_BgT6`S4uaZl>D?rNsdJTgU3!+8-FuXR;Gnm5Z9uy1N69_BW z29|G6z`H?He2gy4;Y&-Z6w>D4Vi9L5@Fb+FZxv+QDl}^1$uI(%o^aKE#a1 zI&l}|&3=il0TEvC#04|Q^kQv_3a-f%Z-;mq2>q(5y>E&T-(bnbQH%SUsb=a@L zYP3uV0ubF1wFQ$^@vBAyVWYNx+^6R(i>hwR=ltCq^`MvQ%p|(jgrm=| z!ZsF(H^W#&m`hf^0OW%3l6N0d=jOgLOnP61{OrooN2+QI(WuBIJ z_DO`V-6M{^)6?tWtV$?^c&daDM(-&53A^8V*hrYbSxyC0MAx0}9AaM4FgTlmcO5Hw zE1`jSfq=~#n}1m+(=d+AX#lC|nkX~n-N$MP#)>jmGvcdR^qvF=!kkeqNIiuq<7tTn zFHBL0**AH;I>voyX~C%?X3`7)`W6#?L|)1=!_Af~1&OT#X3m^)DcHbg14bhYOtPB8 zIUpMy%7(=Px-h~t0+tRU{sqN1a05U|uZLSlEHEB{Vyglg)y=r3{W1vY;6RZOCY)3^ z_p)-jUEonXlFVf-aut_x2_S=2n5{q4X4YcMsBm_a@ zf)1`01#<;l^!_uUty#6@6DkIPgJk)`aa{g^D2K${8$PgBqjoTWe>nc=+iO@(;C?n^ zKLq5B$au+#1(tdPwxUe%y0|#seohKy{>k!N-*hOI2E=DW_P*QT{!jF&uisP?3 ziBwr9dqqf9RsXK(p8Z!VDxc$?IR^KtfAku<7WI2EIuNK2n!YgMLw_*v;+%(@<2BBk zf>grDA_NnXtV0Zh3Bhb11T17ZoVYSN;Wf7YWec}J{wLym5xqP2CSVCb)D9v4#zd!zs^V$hv=aH#>clT(UshIR)z0Or%FJe#im$8giQl8#hc9C zD|5T;lW^xFU#ZTS(sUY-q7*KQh#vGYcvDuD0b7Gr1(Ytgl`Gwu1`&viBG*oIk@YcX zs4}9gKUHFJ84_LB#?;^Q9Wh0VEAP=x#n-*NoB#gXByNO3BwAr$MWO%( zBpgnKubmWIe|^P^qQFXMjBDbZAgfJS&omoacXl!kDxRQ|I=jOa) zs0Sx+vIRtw8r6=*?4v*{<}BAEEtR8IR$&m4(R)$gi_kiD-V~wD5%NWPRvnL{9jAav6&f`gP0o(VQ)T}L%+klD*nce0%d;7 z0t-q`DCwOu!0Z_DuqNPDZna}F(oZ91P5@|jvDSf=o(Nl1PcpzeiSbQyIhbuXpbmJD z2L<;GHF^-T)7*sm!AX7~oYOe{ro{6mRD?b^U?lI>%)BC&oIhVs?HGm-5_!J8jW`;( zwYRncxPa^cpPAOA0;umW^e_|DdpnQGehVZ0eqI?};`bHGVwvTrNqw_JQ9M62D*xY5 zrjb=U=RUs#$pS4eL4DuwfEbtr;WobS$zDII-n+;jQ-9xl2i$!0wU|X{21kE%G#2(v zyni}qItU{&K*jbD)+2*`9d=)JM9hzQQX@_zVn8?uCOKr5O5Sy-vj}SsUyS0JChXrL3Y54lTi=ZMUSqkUE0WbAs<3OJ zXXa5>jqF9PU6HI=9jjnPYguk2^6t3b^-VONJM2j_o_SMTO_d+y0{8AU1vAJra9k>UTgh400@MIF5;5%kPyGc0 zYr;{-_Cw4}Br6)Rqs%(lCXWvVKa#vpJ8wK7u%<*hY3&Q#`uybpi?>xNFFf8G?I2J$ ze+wLSz+!l_iizSvwRGfXDxLm&Urdm!VDU7(|Cm=dt-0R#1pnL4 zwlnSto|UY15K0ELSBXG#)F?J0+^+;F1Ch+{rQZPs+(imI$-2UXD&0H~y7*53k{G*N z8}O*nXdJ{=gY?g~GTdar3!4==qfaX|!Zf@~@J2{HAU_`2rxFsQ;jTl z7N2%A;OF0i`R_Zz>=Kk-@8^M#cK;LmKFhe9iIm?Z(&q!y`c^w$649#y2G2e@yXc{1 zQn{z-{R5hT<|_dwo?91OJI9geOmkI+e-}gB+b4e8_2>XCB=BVvH zJHLERVe<<35gAmltzd7eYIYD!HcVGt$`>T|ML3wq{oq;CFH>3(lt$ueVY6Hp)ZNV@r& zXmzJ?KaXkZN?h_crcXD`i!AuSCy0SMiD2-1z>VO((?`n5yg0>R~)2M>-KLAVid8 z;#?EVNIWlI^JpKV1C+?#9p;t^Yb^pqIs9O>3;xYn;xYszr2%K{Vc&cMo+Ss;?ZacW zOSXcv09a6yHX;iG6ykP4Tw1`qde-mdo*y<^XPD?pgt3Swsm5Usr!#pc2&6#V2Vf)1 zd=@r7?jif&UgXunzNsl;rAa|3TWnopKp<;_Vf_pWVIa%DUtjwPDFIN}N^|0dFz*x&tv{M@PI~75Q@{YYM1M0};1l z=FVo5IiOH%q0N!a#%I|pQ+)cLKM_*nr^|vbO8FmadUD<#Y}!joJvaK2hp@Yt9Dh7P zRCv!Y1dt3Xa#4wjj(8;yns`PA1rs4TB(IV_6XrdiNc;+}`Q)?ZEP@+1E|DJOT2;9|(lCf%_vcg?Xw(S9;%quP8Bf zAue2itkJaO9GEFbB!RufOI z+NFWo&+{OXvgjI0#bOZH3%}R1c&0f!OdLemsNj!Ko^>uA=!VxYI z`iNWz3J4~QzlG`pkJ`3{YvM^VDy`78Fz}wM*rA|MHs@ur-eY@^e^duM-F{do!TRxET<mp+fuHH=&(y7cmS?nUw$jTabT{Mb+ooHz$(%0_ar_f z7(@V;y9sVXJ61w*R$XpOHj+8Zr<`DtE+)&okzKPq&&fc7c0z-6AJ) z3c*>T@zV^t6`Crt+KcUo#qlSYB+(G9Sy`Q8;X_2tmsKcDtddz_|+NP7e zb!(pH1vVpjxKSWdVPd*=_*X)-_|N0owHb4z;!Y>k^ygI8Vmn0FWZW#CNvTwjZ7s=(Hrh;xG>{UkhKXc0^XX>>+ zs<0);4#iFG`YQ(z{{AyDO}^`If}p9ck3neWG^uD>NMw8jHjKOr4b;%^X1I4lJ>|QM32Mg>?W%TzMdgR3Wdua6Uw>_oKSRZq4=o_Qu^@ z#QXaq`X!$kX1xdDUg4hoMt5G^gxHIs+kz(%I*y(AcdeN0l(+V!WT?V%)nMh0tQ=z* zO&KlV&a=Uwx1Xo*ypVm_5%eU@$Wek1d>#HZdz3EQz&Ol)tf%5vY*b)NBt+zW@bXy| zC(Wq1};>h~xF zEKpHAlHKayx@4(6qP~ZZIJU$3RuX%Sj@kJIPZ#UEHQxMoqS@+sE4Q9=TH`<3et4hr z-^d>T8s8;}j7TQBPTjw2lF^R+q7GPDdAcGc8x<6$!o`Y>HFM!Z40f_rcaC>|NEc+AAOFA*Y^K@S@P;M`s6wm;^-gy|2W23CW8COC#v^o z2j8U~Jm)eec4~{>Y#UkQ_w{wZm2*wx5A<~JQF#0)JZx>^mNF&M%@9MIfnIr%PB3a@ zI9fb97;>p~%_TQPnzz}_WKt+R=Olz9zU%GXJ0*I{M4Wrw|2yu&bTc4>U7VD1%@y$g zu6hW|z^O!fn&w)8Y)YubB5Z%yM*2k6$})@SK}@18-7K@}d~FfVY#0_lJ>dkSxG}#S zy`X0A{Hbz$Sn2XR1*VMl?V42hR$p}O9HV?(MA?H-8u$N1Cul&0G8{~+>0YDn77G`= zhvz!i4_Yx)GEmS$%&n|uegf$@A-r{>0%P9XC}2!A$}z}wC8V1*6I&~~Ol3CGcCZd9 zjROq`tw319mrY;tr2p@m!1jXUPh73-3MqyTSP9?4F?v-u!bltr#eo}8CBfH%Z@ATi zW4-nUumca79ZISOU)eAF%?mKbVaZh|&B0`q7zw*Y@O5yS)gZP#8)vIFiUF|!mcTD1 zg#|Teio$GBuN$RuI8ZvEm;`Zb_!o&ISv-l(w8#Kf$w0i*6?m8rBCwUyI`Oc8z68n5 zsdvT{*&GucokrXi6gPcQUiW{$gBwNUoc~6-huF^Tl9G3R+eY|AY7TkT7f~pSzxA{< z%&7Qu&^zK}--U>SZJV~XrXYp$&2s<8^UYx0>x#q?GhqWrzY%NsbOJ>r6t;fwt4m69 z4RnIsI>=x z{1s*S*W4x_{{H{t!`vTEACI$C49~Ge1S`9chyzDGClTXM0g*WafKNYab_*9^`09?k zcHOhsO%K7|d<&3uZ*vH&L6y%@J^8rT)lkzRpnrv@zJ9dw)}m-Q$HFMW7lAah;)l)h zMQ<6zacofVFmsw4rZt~m#cJpS`PAF5H^Y5o&@<1>FKh&hu@e(*-$32(pTK|0ST)O2 zUVYhtT#l_Nnt80}oS@H#>(=j37}+dr+q&y28na!9dpm^V_Dw>FevV*-po9b?aOC4S zUsU6h?bo7m+rbD3pTA+@khohUD|BLXu??j;IO?|(7t92U5C0`T+;~umP}%zp(6y~8 z?W}y=?-lI1EhQ0@%DH2uo&JCXeO;UvVhC>hH1WobN>8jPF0r+>oQKZlGK6qi7!=ny zstLJlsg-R&nC&E#Ip1e0v6H!bOrDPg<7I8cl_wEbHcB^dfHh1*_M5Nv8my`-!tiz6 z&{VJ0d|^*HZcVKUeG1PxEs7Bq8NvfSvnhWrH@hQ8yyuJ2}5cpC&W{9AJ(N? zCJs@<%uA$)*D*85g|)?r6vm4jE)&tqr~5t-_|cGBEP0zh&UH4T+EJEWGdiL2n~2^| zeE$Eyp|1hH@H=L4O;duNAZu=etYNCV{Iz6Xi}pfZ>1JmvBZ$Wb1!w;by$Vg^8=bvZ zW&*Welmu_&><}N0DT&Mvgo`)tM#;_AC3QyB!-fxZj9U`0qewMMV$N_PlM6w=;nAK) zHB`3bSUdByuJykQaI_KTd}rE5DxciD_k%c381)Qqu`E0=70JHIXf7F`BTj-TSkMq7 zTT#7j$Qt!WZDJ2Aql_bUy9129cW|A^nS4(&x{t#4XGNG8+@$H~sY3aHNdC+Oi_f;4 zgKp_UT+5s5X=x$Y3FliUj=d~7qJ)e64WnV(athc6a*%`ljT1Qy^@I&D2iUY1o+)`H z%E6QhvB+(r{Vtd07>i&QnQAX4RU;!;!*w~_1Vsg!K{B~Lf63Hv3}9}KHzY6 zaW{>nxolVj5edhFu~C{QJ;}%0Th+z~PSPLVxoj&0VRcvjf~kEMT$41=xiGP{nWF}K zuGRd5pSav^>h_IQ|8dqPrcYwGAh;rIrW>@-+XVi8B!>7Aa`JEt%^@(Q_>c6iLc zJyg24+)CO&%Y;AE9xFDRe6zfgKG3Y-HFNFijTAE6f|ws|lNf75p5EA5xY+7B)6oA9ArIqDO##Ggt>51b7b58!7o=G=|6M<8$Dp$;AXea}AP@X#%%l`~| z;)z_Re%#a%tUt5Fqelxay77qdQ|S|-yLC-fJ^kn;LIVJUXswbSH=&L|LRCh zIZ#dX_2Qm+_ms&bc`Ai{15NabgZ~P21sjXP>?ON6-O{Y^1poku#7}q*=lLNol-NClCk< z5oBO!r%*u0Y5-k{LLn}WkaZxim_d8hP1}z*4A$>Rs^rk;dq9!A>2pyD)6qmrqVjyAGoj%c~b1p zETM=`9oUz7=D-@5TQrf#)R=l57;L8v<7B>Xl{oYcw|6!Q$vB+F3QM4qH*K1?h9YWt z#FfneXCN2ze%#9fh;}8kr~+X6)^6H+?e~KtG%oF{oZ4@=7Omy^A{$*ihM_jM5X-iw z(M}|x@;x8kwR}aBKV#Bgy5O^K5PCRR9FV0OgpNyrq0ngvIAGx`5-sIcT17ELCu(A%NnmB2#suq=<^tJYS5 zk;#CkS6n-Zz>YCo)^0M^dHFNFI1aG8r8n&KKZ39ZLusz~z%-Uh#3u#0t}>A3yTnEb zn5^gihPMbu0aeFht9Leq@BFx8T-P;tr=G1AqzVlkbuNd<3PY3|==Kk=>Phv`#Lacf z$3inulPaQTx;}qlj8*f{PnD{jJ9qw*oRY&DRbBAMF?3XxxTQ;uaK<-^K&sXb7lI<% zFKxXzGj`Kgn;K!bQPtAgntoXE`Zq*z2jeX(?#VWZg>a-OH}~r>5-uvu|4P!Nu!akV zT|N`&i0DF>^3g$jCXRR@7GQUyhzQYBdI0~T|>eV*6zldL@HspLI%Q!t*iz z28S)hH?|{Zl!mpmq zGj1nnPXpPL@}BQr8d7-$m?FF2PxT00{2{~=DMVc9GP->t0EQxmE@TC?w5IvYW?(f5 zFOE-`fOKGTL+o0KARaVAkbn^e3eO<8q}5}EPsj*lRRbOmtdb873`wpg$Q74#vxR$M z)~yEiQ2W3=+F%^RBoT~h{P$(4A^$v%(UWFoGB*w?qq%S1T@hfMzE8V#G59Te`f0#{ zQGrrB#6x$vol+gjvHY#VmTox^IJ`U9=2qKCOTd$+`=wEM^k4-E^UDi|C+i$aRV4M* zk`zg5pg1k&>WT3}dX3z>@4v14p|Y5a*mAaXv1Xsm=bQp4CX}n{f*FidT&m~ZwpvrQ zVBZyhVX<{;f{|T}W10J~PsKH;m5=J_>)$~Pi~u5+`LfzZ>=Cu4)Zi`NTI|~67OZKi z?ZsS+orvjWYi*Ottq?p+BUiY^Q%4E##aM`b9L=f)a3Ll`C-JlF^;h$GDX0m3E#Ua@ ziq>3+-^@69*kZwABE`QLs)-%iCc##$5nH2&Uj6gn6Z(wh_#;uSn8qyeL!j{Nfy>u1 zk%%5%Dm`=^EFkFp`g;jy7ak45kAklgnr$7hLa(H!$KtrLPQ>;D2oAhUOb|>CJwA}o z0k9&@RC|qwyrK$Wp9~6x{>(1ovgCK*RW{p1@Ro(jpO@>tAra!k5k=5YNH{K>V73!S zttFx_i0(yp>zXp`JD*$hyTCh9KjAFvvb5o98nrfO4FU_w4#4te#HC+2+*~YiXxHLQ ztE9f=U$HB3igwMnZ{@F~8TVokgH*TOul;XG`R>#((}f-F!x^gE%QjzFG(3HG)D48v zpm&q44=UXbDPAv|wtsa>vE35^GO$R2FFXYXP-J}%EEYFfisx2DLon}1y=!K34c7%v zD(*U>SA-oBVW{E`$)DP{(RM1$OvE>LWO8Cz{*eTuN`cWc4^J(g%&gp!&^3T&^V5V( zYt^N{won2Any0OJU^?QVh6HCp>awLE8T?%Q$w|xWdjDJi@&HgNdxFS)mLxpfBj@7k zYFAtG9oP{02`-2=)Il3hkRz=}R0A z^c3g#x$H$u)rJP|DXi(_`3hz9OgEvaNpRvpU>_4j=}er4I>7P=25}LnX9chgG})yF zez_jh7!732FMO4gQckDK;1%CCQeX|JM5_`0o@Dp(Wn@At@ApaPi1J%sfF@sUD%1tJ$KMHVU5teTQ99l$~cno%?6KZvy( zU?1WL3&-jE6N)^{q$a`a57E3^!OKSVW2VTuHgQPXlrtMM_(omi*}9C_#N(92r;j7# zJj6=N2;l^c*BOjS8mxq1M4alOiqXVhi1=hq8%FG|V6-rdU(aD5LfFoTYHQE1hwCB< zNQMZ-*a$9T)yQAI094%x%yQzaSkupzq^p%8-+4rln5AL9k%M^vD0846Ed0^i?P^@Z z6p9!Fw^#kYQ@}Bqe7xrT;H1H>f_q4m0gXz*1XT5MVmi5&IZ?h3+a6*PgQ0b8lol~& zy~wZ3hEN}I_P4N)Ly$NFAb-LuBl8)c#;GLStk;f6)n6xP({=BDr_>(FgsA++14iCD{it!mbWH_2 zM=;;9zoR!xaJk&O%Qufd99)Byr>=<4^XW9!WjL~7yG~dapq$lXD~CfIXS5eF06pIK z)V=F|-n$k`*cQuY?}RQ`0f|#SX9krp5Q0Y!beh=b z5*FMjYwIuKfQUU2E;31amp}ZL9?pn$_{Bgkgxl8Y+ii?s4F33}0ewP#`$-5Xhyfqu z>@d#d-YYPD5|T()Y#2$(%4p33c8P>9h4j%jRUngHVMk zfWXALR@~}5@>GYF-dsfjd#U|6Tb=`L>F5s(;mp^487Hy=;erO#6d<^F&>M)?%1$o} z*mt|F0}<14_C-~As{Fx&kH4gI&>ZZtsh}KahsA_$-l=x{%J2;1>jPXsKd2Z&JKg0~ z0V0cN-}pq~$&~*9Ym|Q&yw5kyu9l%pV43+3oGK(Azy~<2Peku8>$CGXl;Z;IwW0pK zJUlz$Vy&nj$6;Jfq;P>cZ2H-Gt)bagU&J}gfbU30ng2IU zl7^7*0srnF(Dbn6TP77`5>^4ORs(R`DA0Q-*ublV{r>F>AuuuGCM@Zv8`Hqi=EMVt zeJFbyn-EDhJ&26~kFt-*<@HikkB#*8YoJcdDr*QABobX51bZYjb|Mr5KYGvH<2zJF zkY<<(H*oBi*2j>4M~N#qsX$QUor(B+yZjPS+qI;Mjt>&UcH&`8(h+vEB=CkGMx-@q zvmmTL&c4`wiud@FWRT^$lpw;&ntAtF8m??4w-_)no%qxz5O#HNP@tv*B_j4+FH?7N zQ)J<;qVnsdT=EV-Nx~qbJj1nk1I8tIu_{;^bUj{+JR#ypPQ1m5w+y5r4ike5M!Alr z%FunmtK?P?d|f@1_|sG{tJPw$#%aP47*jv+b2b=lIp&8A54zWza2=1&oB<3HZ0{#z z$)SA~GsbN2)4FD8)>uWo7>(mB@x_CzW$o+!ieK%VPU~X*@ic9mNLr2e2xJf z6O7@-ymtQjOk&<(?0+sS)vS0%7<%H#hf#Eiy9WJWP=u zFpygP7_y+hKUMm!49_0Glg>pua*&s(eh}OE9^s3tv2cHa6!OhH%oc-6cMk+YH6611 zO!wHraWrALR68K;vjM_D+~Zot{HspM;4AJv@Iewr8I~Vq_+B+0W<_TDWeOdHq~!sC z?kOg9T59V2Q4o<2j7hrRQZEEw+<`uKm9oT%MVdFB(nOn3CF304rhEiN1(zcd`*%u zAe}bcjkKDo5Ep~lW(biW`7zGYHiu92G1nePS-@p!ey;ab+C6`WhFp+W{rhzzaG%3s zpC?BqhfL>h;RHc-c50$Y1R(LNEE{ZOjC1GV_Z}4VNGy?fvyy}VmSGCv+AUeR6WY27 z2P{?O+k!geXAM;kw9A9x4qvZrLqw1DY5JeR{ADO!m(;CTn_eb@)jRq6w(Lg{;sHxH z5W>$0(%u?MEDC~7NGQ3O{ppD1F94u0Fn&d!p_S54Ej=-8G?wDce>+Ex`7G(V5OLZr zH-;JqFy;)g)`m-MM6)?t`w^g@r22qQMJ#3!s-6j@%TjJ$iL1fboQ&NgM@U`?dn+wq zfYA&DM15cRrWKkT--?JD<2uV6KQYw5$+ZicdUtbG(@!y`8L7(Y1 zX)_u$T6M9+Jj#47i=vL&x)r%vrei}0UkAX_q8F@YiNsc9a^s$gJpcY%huH6=QmbVY zYzkqus17ibB6?sD9Ww>iocP>;4G%!wwwyvj!BKcvAhO6CE?jWveY8qj@bJ3=UJ_9b z;;nk3D+v=PA|4E=EuKKWE;2%*~`7V$kn zli-1Y(0`qWPN^~H)$&t?R%;N@TeSLzE* z=E?l`9NJg=aDZolE32A*w&{u5vQIbPxT{D+4@8)*m|gj>U?)RUp8=F24?**t8c;g+ zVzKn($9@xzMS;-Par>e?UwRWdjp#5dV|opGPASDZtFJm_3y&QTYBAzCn; zjblYZ*0Sgo^U+@|)unw$8tr_ZjJHh0cH6}pEMxo-c6wK=75iQijZwNwu82gx8Z zP9*Q_jLWvbezm-Sw9&Z)E-~1&;3=&kbUG3o4(qH5wepw;h~si{5`ww)85q#6g5spm zg&{}_!vn$2k-h6aO(+GoMZrw?^QRBVEOB*p^_VA; z4-lNOktLuW`T{InBH$C!4>{-_BcnAiHz-Wo$4XCFaUSyyA+sw;i0JL|TKGK?{h!Av z@Z5-tKZI1PC;?wm+`M}I-h;;!W(rju1IBY# zfM&_4^X8GCC&rflac)}jWMfC8gm69p0nbJ|^69Ku0l>;3(+^6X!at0_Z153P!Ylp2 zQHL=vrF#LB&VJfe&@~Vw_02t}-5|(!tUdygJ`qb}NEOckNsC0O!fasO@6nD`QCiDL z%ZDF{C^#>y+JN=cxI=&47gCEc7(FhaKUfoAhC`ho2UDK&6p8_1t)on@34l_05|`-g zpb~MVQ5wE+0!?PeQh zI{=J8Js|!CusDxkCEX6`=g@fG^XQNiEEA6+5*3;$!HLbK4Pif{6T9IOZdI5x6EU?r zN)DF#fUX=GojqYWwK%hVyy&xwv|*+Fgro-R-<+iM%Rf}ATHNLTfO&9-bzMw-CGBkm zU=Bg5=4iIn%7C==g7@DV?n!$xgn22cyu}HQ{N&f~-Q}OQ$}&quBVg?VP>C!JmDZgS zIjj73*s*sO-b*mLEmt=&w$rp}m8X0lI0G%hj>L zcIS(2Si9!@@y(KwLAQUV&XBqw*`01oJjrhhktou$21g&%e> zvXXwy_8fa~J@oU{J%;cNzYDjIXxC2IY_)G%wU2TIAu!kR>4LQJG+eM?gRCQ^8>Ofd z%}~C|Q`Ke?kp1Rsh~|Yo=}pl)ZCE4PDI>?TTDZjc_|U65V$GQWW$Q8U$5$y|ek{ut zWp+(TEWWXAx_M@rbv|EgH-AI*px&!}5RV?n*W=FNfO8oGg*fR%=jhs1zyRwFEW6bG zj|1d8S!kJIl~{OR;#SYl(9ozy9?mR)z2~)r>EI6y71P_drX&;ubitUQy97PIvf}C^ z)85l&+oSf1u)(hZf3SZcY+>}?QiTf)%jl*S`9jsAw6fx~8{m&YuP*^w8L_M_DcJ_# zphMCTT>#n_5QFfYL@rOs06P~77;}qX2aA)t7;sm-#&O;Q8J@>18IxlhP+*Mh?Jwg; zXk~{+gn^+XE+w@ahE91-XQ&fQ>w#lpe3z)dcRS>G$fo zs=ywl#mdZJVqtL(%)9&vY5q0&lWK2;O3e3bKS+ZoKo+R!;+r=^zo&^G?hA8&7=7qn zrkese=OEymFlnA?JbPDG4B}$wj~!giu$;^d<{97cl-_+fz(k0>NY^TR_z;hkH`GiP zYa0-#2onar&u#r!nq60?=Dl^pZRfFK2N-Ta6a5Lj+9Y?!P191;v^-P`*LT z;lu<(C|a0gv5>S>xL^Jp+J61j4A6)luXnT1{iL5J8=Aw1CB~8Tc)%tZasic@Pk>DM zM^E2B*2_mkb$FUFjkR}thVG#M%^m@B%lF`jiAVDw*bB^RY-Pd_?~ny~iFwGr&`oY7 zk&H_^H+YN*;vw{UXYJ;+FgHtpFX(7xfz{mzU6@ru_gD<94F`a+`1GCsY2_39Z2Qa6H7fnfq3c@|t^B>h^Z@`ong zJCI$&F?N#536aU8E+qq>?~0^Jz_5X)8)N( z2}h)YWSfOP2{A+g--{c50JvOEtSG$D=~#Fk)^#~q4}5`0(HRg0@~&_l99Sfow=thZ zg{}EE_0HYtEznr#_suvTG73FJXt-4Bp23OT#ln2>A0X>(VAy!t^DK_z^01NL97Clf z*FFX7WTLr*v=ij_8dSVVU^~kxB>D#do@U)2_i-Dw*WkclUXv3p{;soRz%0VF3nfdh}L!C*=<6hrB}4VrWq9JP{?lGH5B%;Yydc?xav zI(V`V0hk-W`FQ+o^VQLf$Fc7^U}0~+KrDdw9(KhE1U91LHI=}hnbEEdHA6myP~cS_ z;N1-z8|1sk5;>b8FHgfmfzKO(uAqecLe=S2Y3)Pc!=wiamhjaunFOUzYc*KY^wiWS zHNWPdj+I5|Kwg%=%7#6%JahJOH*NOG&)hwtPy&^;3$%bzP>B|*-bx$p0BJ`@iGKrZ zE=M=U^9fu6-~Z#0*oI#vg49~6_U)$nXDPz4DC!4_Ch5na(%Rbc@+Cij$@5I%SNJ&Q zm%AHW<15o-jqvP#aD4H4=MnqdwvipTY-r-fow41{#fJAgj~sXJjyj4>(jLFoX%t1l z6hmiV-3VlWtYL3X!uM;SJ-YWkQa~$(Iv*2nzj+6=rhos8q#;G{L?8X3(>Hl`Sj>dW)hLu9glgve1%C04ub z{m+dQ%M*3`dGqj19&>WgWWyYaF!d=!d`sL;BUn59?x1~oIC>|aQnCIRY?>_c%`Z9B zlt?35-R5Xj@@4U8h+$v6(A~^jKj;nhU*YPxv#gpp@Vqf+=D+i0BEH4fwja08e_A4p zt_?Aa)!6mg>P3v;_@W>#qjtpCq6==s5MKsuhTi1*D}O^1SeOB=GN-^-dn0Bt4A{$; z2G)B}=77MxoI$zPNxOO{EZ&lbi;xYAy~uLn^IU-39B^}MP#LI2@2}gJv5`HVlT&7w z1J*2Sq3bx9aKD@{lqE~N#<|!LWf1$xC@oD+1K4vCl75BJvdvdZpSd17q{*QTa~*;H zDabx@tc~9xLI?c=7p(Yy8h(I)yJA4tLR-rO3FqhPoxR}_xVZxu!p4(}pKX4-_g=sz65UDiXdGA~%5+ zaH{Dzxb2~oPb)QFxM7Ap0i{N?aCr46NlN;Q^Yh4M{|H~fiH5<+-k(Yjp8sQT#3Y>l z{+pe#sp(JS!-q$D(OP{&A=_c9ANjkfOxu6?HMi1E_~oi@f$_EkRa3R~*L%v$1Qt5o z790k~{k*37Z7cPr!Exn!wtzmxa%;cY!qd3XKf%U=8^ZGUxx zC=P+gP?x{64GiJtIhQm4&$y54{*2So_DoMt&w&*^24e9L zA`rL2F@M<$nYF;G)$V?R@Ry(~08=s`>qo8#J9b4yk7~_sBK(GRS#fwrl<1}4u3_9hBBJjj}2I(3nG4L(uk+T1e^AZ%c*6N#<+AvX^ooFv2Gmb1C@k2wgW zC}D_$<3`EL^DB>yJ{op)|7p*;Qk*H@yB`$k7er#?1>~}Q_T&x_qlk>3;67O5P$&d^ zBARtfA7pkRz9>>h_0^qn9E9`KZyt8Ld$HP)088!VLA9&56ImBpbR20JT@!`Yr8<7i zc^@1$Ow4f#MP%Sb9)8V=A-gx+ZoJ4iQ$E&r^g(yWLHLYBkP85aschki``j!Zr~42O zG-=ka;I_>;kkOu~C42_w9xt(v0?=Lbh&J@)3)xEf`MBf-Oob!t{Vt@lD0LL2uo-_Ua*@ zy>SoBLGyMERFyBjJ1t4AxdCN*T9lS^{HsG)$J&WgYgjNtTn3Y@bIhM&MN3M0-#X^M zB`&tp%-Glq6=_}~CuZol_FgqJNvdWQ_{V?5;ty|Y@OFsFnpqDg+UBZ;Uu`V8tFVA+ z{WjI&$n$*IOX=^SLx;GO`7fLEH;nmL*lOoKj}c9aKG9iNBWLTjEyeyygJ%5k$7AJP z64Q$m^97#Omky#x%hbxUEDLzyvaJJbtOT*1re_8m^-{d&^przA+j%u#7~XDEuKPB% za9Bn;f)$cq0F+K}kq7|UX6Y7Uae={t;_;6c$(q;F)_Vo=s8b}_W3K6*Y$S-nR-ezh za1JE?I2cUB(cD~EyYUC`X-$rL4?7=xGZ;@~bj}$xU%5b*xg-YkLWx0(R8i$Jy*SB= z&sK#N!5G)cPJr?$&OoM`%-|S@VInntr_A7T@3DqBQ3GR`@##-vIR}Ai>_{AZ&GB4~ zE^H0M-WFLtmYzxc+K$LuLB81v(l-F(JnL$W<>T*f zmI^I{Fip8Ye7uO{65uH&G8jEvI{4_jGXENtsrLQE*#L`cxSFlO?onR?i*e!|gkuQo zZ|DA+NPrIkGyUf~zN^6@9%13T3vGP>Jpg`*g7UJ+^!0lJ-5{6i?i)_Fd1;E*j9ca2+dbo(5M6?leupffI~PzmVhFzD;8ho)0jtWKb%3GCt30|X|3B* zn?~c}6A~02-oNh~***4D=*gUK-H;C<1D}$rPGc03ZQ|t-)eG7eck}iNjTzzjhg}0c z714zj-+%=aRK{@t7bnZY z0WEN(p`9yj_#Y5Ol`*!RCL2VL`(jLq# zcTO~FARXZ}pwR;RBDGdWS@k=t?mIWchHj+R)Z>RHf|POsK#g_W@a&OuPBKX%dF(`p$GKw%fl(;dYsW2As=}Lxg0|v(tp?S@ z3FFbKx6O+(MtvU*2Dd~G&)RCfplZIj5M2}XQv;h_Zm4BlJ^y<1m1Tp$U5|ak%Eba{ zWtu7E#KFLc0)R`rE_K^SK6h*qjHSo$J*FqR7rY(|6N?Khc!|ROiTqedf{?Kuyy<*jfh)adJlG7y zb6zwx)sQtST(2k;awLI5koQ(=ApMgew;}DrwrGk^qiE76a=W4ZYX80+3W8*nz>?9B z1PmTP_)xTo&ko^mz!34SBZv*zx2`dS5oGGv1Dnn7>EqRA9@Dc!kW3uNV|V@G5o|EW z@4&5dk%x{#9;Pp?=`8vZhGP2*>Eq$)mx+g&ReLQ?6yS}mxoTV=iLehIGo(% zW45h!*`~n(!rXaq|8v6|;Ypb9(in)uzRM}1dm5R|iqrpX`uA9FJ&t!EZG?V;?v&6N z5IvzfE8;qC{QI@`FJscFeW zzz2>&rz2sh*=i`(#tCnZd_k%Nr}FBw;p6u~{|06HxQO1hnw+=!D-ruu6^PS%_sG;Y zj39{K=|gMh4{F7BHqEXtAjGTqe16dl_R8|N-=ttqp^}2omOlWN7PsROwH_H#X;lN2 z*}+hR4T#{PXhg7qLr6&RHo7^i0b z=i3)nB7)OFT1x6)vgzM&{;wU=uwhvQC%;1BZV!ylU*e5z06e646S*c%L9>04hn5$3 zYf{6#?%%s-43v2+>rHNqY0wAf;@rDCz;rCDXv&Xxn1b1j=sO^vRYtu+}@x>Ut&!hw^o zL-99ichxCGHG4;T@~D@RB2P zf1Ir(Rrn3EfXiMjdxko;21J_4`p}E*!$ta;e%;DaI)oj>dB* zq!QO^`V8&2WIrL z1;{fQziDD12;tu7-m<|%3qc&m6QGH5RGBay3*)-i>UUB=RBu(gZ3>s|1 z>pi}eI$?vO3~Vj~Wep-h{({R-M(sXrBcAQ6GeA}qnt6UP?ORQ8%LsDCXPJVEJ(>#-hh zSjqe4;zff{^O)WJTIk{IhXs5eYESHLALG&?GPA-4LjQ-;^YdY=gFaWzH1f}NaEdg| z2IG&)A-7un?l>D00~S7D!(H`qBe$4M+}LZ^q5A^5yG zG~I`hRF;JU1XnnTnoAmovHRE*i$$4ht zLgmZ+aw7SEn>VkdxXbQQ~V_KY>JmQe0zoPL^~>9Z;PzHRcU7% zglv@p%>2)%AL`__O}TR2Z~&;ogf;jL}s{oDb@x=GTx)itD{XWi1vfp@|-;?b?$%RS)joKQ){uEq}(ERy8vC@M;u7%}J zo5La_;+tRWKVm`UJ1Z7_9SIxC+%d5jveRy^?pSxJS+V`ly_UURzjTs>JvqYWBjQ)$ za&^N;#6&yi{r8YlgF)MJ{mTsVMxK>>TPSd^TJjF&CTQ@~B(bQXg#BfJ!f-#r*2WXw zcs#WGp~t;3W{;i}r({-AN@Gn$7gRja<}V!^Gxs}>XD-g=DMD75JEq0~(eVPVsM&F9 zsAq7OBSXssYs*9rlC0j{yLRoG4CWMK%6BhvKZbXICWHQ9<715Fk0rIfWUZTfHZgaY zg4M~@^>GcMYqiVx`}xoTqvk0o%qh(ev5O{Bxd2wDm7EP?LZy9$4 zc_R{eE4DnZ!EGU^IlQe+=7-#0T+TB50+=i9;bf~GVFnTD6zGYBHXq0J(j)M?XP{J^{ zC@f%Q_=J1zDb!<#wTc4`K0h%Ih8YsMXS&VAn0B#gbUFI!eI(=O(FtX;bBA(-$h{&V z6%|#*gAS=ksXsrpg^Y$Hk-8`Rl_)5%0M^Em9u~p;=q)HgpCB#nv9De3U+zU797zYe z^Eq=QfUyBiOC7%vg^aYu+q40|?ekr?2(Nk7K8~)@851_tGDInYMPw~9wyM5wCk#jo zs?D`tMJL8a|A^%dmBy4CH=*h6cNn3N78&OaQd7a?Ghf=Ckg$#f-=Jbm4!JF-T(?#* zhc3b!RBt>VdJy*%NixEV;+!}?fMm8~)Y;M7Sd}o6-7yZ*)wtzwd=4|+L;p#Zwjjc> zf-qRq8;6gp;QfwmFf%iH>=@E@$ajr3Klbt15ww4C0yhS!On6z>+JRSCW=2k%6`w8@~bPaLvwyQ!drvV>+CR_QA^($fwh1V@-7F5;L zF^zQQ8R}HwOKNolOWC2Ow*~=e^s1f^dt}|8hIzjnht%<)pqV8Qx-Gr0vgF!YK`ohH zA0Bm6ny0CzPTge4KA^KA*!+&3sSy|CcQ3vrbGua|bV_S7I!$8(As@ii8g$(RQwGtb(uD|)V0W&2%wcbWqDf;PP>2 z>+PZ!b^chTbePa~Q&i+w+q4sM9AQ-Cz_MH{j4k(0ssOVyjy%a7JC03azcPk*yLf|Z zjA@Em^OJ}^C0J6(SOk|T9NS39DIx)!WS#k-ajuEI`e;K(ktj6;Ox9 zT@07N-~0)U21-&w8HXumFLtk(kzkl~y(Ge-0pEEXCWKJlJ@KmeLIADN9Fl}7pOlgk zPA5GVvNJZpWn7C9&1qNps6cATvl0^b-hL-~XmX@;4FRzeB0S=t^EMPwe?DGPIa=QQ z6DNxca=OevoJY4$c5qpkJ67Urxu`GF(fC#^X1{a7ItdJ4h|OTDEnTh%W0zPwoZ{>f zXtz(`lnjK#27el1wsNS7XubguTtAu{*CVFyXf`?`Xwpyq?yBrh&?E6(Flk7fl6kp* z8$Ro4;)dmEaE4-t?D>p}lHZ4(KNE!t39_6p45koum4)~+az9I0dl2F#gpk-I?*=6V!0K(Y7p1WG+7bd>B9H zecg9F&0Vs^#I74?>s70P6NLi^k3%0+sULisCEHl*6xxUU&ttBw%l|Y}8lC|ZLG+kS zZ;{*q>YaVeO*ouM!B%n8?#N3;a-|W2L&uYTlHW>{GzcX^XyZOOB(Um$6wo3!k%p7_UsTJW0m${74kfteu+!vf(X{q4Yh#@t9)iMo`egS4eP^w z+P+#nMJzf5zGz+w`qd7krhG#?MenPKZ2-C3<%;r={jLD9g9~v&wZZop4vrPePQNeo zH5bB9yKtOU@==jLMtE+eKgP6ZPKCcJYogWMk}@(g7x#couskIx>B0sk=fdUz?qmf1 zcne*mG?$|c;&c?aObTKO>sgB?oaeBpEHjC(7^kIP~rjq76c?9% z{SdyCY@gNE#*x&9AkI+U*|SRyFD9ey2Z`PZ{3(HmYbb7rZX&;-T0mc~9CZHWf4CZ^t{3n`(Y zv!L1AkkqdJpo~P}p>>+i8}{GsLibR8UFhE{^);=GK zf~TSj#+w^Stz2O~cG8`{Cr1Q38vR8g{I4g8AU8SW%hRY{OR1LT<^yQa)FATY$enbl zc5sBaY-AhjHb__d3ZZi+iSK_nbCcF{a^C%<6pL#4T89@D3NpH;tSl`PFQ>-O3G>B` zu`>zUnVGeRJ9F6!ugk(a?>OMQ+0yeEas5sZ4p{csgmw%DKa(>P=2+TSyH?noMT~rO zc$76;#9#-DP)uOf8oOrj*k|mlI+VksF@~x zF;ejVTcKdcyC7AIFyz{isX|YY!IsQ8_?5s8DsU0%XQ;r@jH0;=%D;fz3d~8(!|#^) z8Mniq>Vi2X0W=e$I5v@eB~s?;#uj_)M{+uKGnveUt4o0Ua~f#Rhz_$c?di@~bRFsN zpAY3WkS%!8c*p$AaJRZaKv7@d^kIn!pSAkyW2cLYj`u3^nzk(@X1O?uM7wO+aIV{7 z%xa1&k|Avclhr=QpyEE0-9Z60r=r{aOVpMKt^ACN&$1su6s^pCBwQ z(c3G71;KH+wjVLbCfu-KIxd(@E5w#<28QwwZPQ+@FRUs}o|YlMNFmB&IaY zCx`g)1w8TN`>{u=c{_RxYsv-upNqnt)eU{A$Z0f8aofZT{m@?JSm(=@sIVwh<6rkZ z)9(xSUtUR40*Q?M!_oH#(Sb&aMdJa5?F4Hcrva(8xYWs{?ANE$Yl!Fw$AuHu9{V%k zkYlk>J^ir`XZb(YIJ`kfGE*tBVhoZ^`S?-tdXlAOo{RNg3-%_O7lb47snq>FlSkhA zFHDv{c-y9=sPu8R@a0Z}(CLOkTV7GW3(ul_AG+K3?9^Xe{PjDNm1DNtUoQJ@=T3`V zx(T2x$97rrjRdwEmy8y9w*5K&Pxv9k#teqKSy`A+g!+1I3_7u27CUt^`DAqc+Kc;s zW0k~pRii=07rJcv!sC@1zU*QjC6pKRcf?8IDyli>|g>Ab0YY9J>hKTj4(90I3qyJ@Nd z<7;-!QHnphuv6+zICz`Ib6qjBDaD}uBf@@DpaOFIGClh~DLI+LkLQ?Rb9pnwdwTI# zHU?KeDuR^{8X3C;VS9IUsH}BV)ByWVAv9)Nsy=Jj0!QqO^17(GXTBfqV2ilpGaaFeTmao(=V_?E ztRwu&NFbI#3rd2YLmZtF^XCdAV0Y1B9_jkMQlJw3hNqg6fk zjIJnnf;m5ewzpJf>sEW8+g*qHf*#qQIUtIfPfxt(o8SFM%WtWnTU)@U51<5X9c#ZN zuoF}pCgx0$^tX>IvgoiQh2*Y05oLaYvCo}QC7zG##kviKc|T9elH|m7OZu5gd;8>@m)9j zP2lRVoj5Bpgd^;{wx_i)Tw9@CF8QVuKV8>v<6Oq%h&Y5XY{&pv5MmZ^UF>akQbtkRkbo}Tw|k2~^p_RN zpWZ1qsnQBujMWU@C2L>5@Y)rLkIFR8w!iT$#nv8WtIGb>U zu?7<07u)6q{B`aRrdwHs#I&VA_Kyu4guui*eR=0GX`)+4Ljgws@k$Uy6GQ^HkO@Qb zzOWSMhY;5nDu#U!v6DZKiO)HB7!em+#yUaTq%Wh}vz%@{vwE_Cr5KQq%IH|wJ^x&D?^J`jDT$El?sceG6GxoUU})9Y}t z==VwU6dfr}_N5w1rMGU~3P3548!qso#5lu{&M8!QPMpZgmududA+UUSdR7f8DM=8f zc;8nXn63jjoTDg(ADhAF<=(n*tl7`(oC{erM?BvZxV6D>G-oWM)AsrC7g0;uzk)B` zYC?Mt6eqZ~vsK;CkszOnM+dTBFH~1)`CX`Hg2aS8S$tN5tE7cD&Zv`y)^DVzjttVXariG73{+ zvrJHv29KZ!u(kr z%|wvS{W4c%vv=Vvjm1JGsjo5s^IL8Ti08K7k5(1nZk+_z3&9(P@l#Ac;f zy>r*UEtgGjzTC_enTqsZ6@)@&w(LMaj}btrIK-rYr@(xEB}xU63F@LNio18%GZ|qM zg=%)Krj8`d^EeENa_gdA;2e~xv@2PQFeZdsFU`G^25aN`m^~y^){t2@`uewZOwN{n zZ>#cATO3hE*03-!W+K>Cvb9;!kk?RH?^RQ>Hns0hm}6QF20~($b=*iK2cAAWlJ1)yXV_N7}rP!O7wGDUo1)>87MsXSizO`J* zC%HG}{T?3aD(RC62J)#V+D4|9Da9T?Hy9*J!QyCBm?Wws4GL>>Ii#cSSBBq`Ox2HF zayXuD!>AmKE9Kj6@%h&-WfxG|k9B|ly#r!FkiRz2hE#8N@vLXk5Q<y2CTI3^+<9%m{OY--P6CbjZ$j8zpEUtl<_7?viMyMuwb zajGr8mp^U=RMvBw)_7*dJ#{%DAEP-IMZDtna zzj3Jp!K`+`$?q!PnG?C^=Ea^s4RQO+_yH!hZeS67U97J#jA;x**wPBZgT^%FgEsR_ z=G#2ab`Ir%=_`}-xIgliiym}lq@cy_+qB(VX$FG$QXrx}19LRNL)k@V5FLWA%lx)& z#^6gz!qBfBff;INoiC@m%Ckf|pP>9^MuzeHvX+Udjgg)iR|a)!@Glxa_`wYD0AV@# zo&or%=a%yYUVAVhOY!{Ch>c1{nMXl zv<%1o*7oq!1MSOb;ux1{X1&J4-Tw8#NQtCgsVO^*3z5$X>N4fiz63cSSa`6}we^*( z{w8JH^Ur#jTP93#)y~&C-MS~hoYsaXcB`S8EJIkufldcZdp??Iv74-~IF-4FgNqQ? z>8*Rz+7N%Vw{@gF5`RWD8Q+8z-X%zd<@sc@J9}NUc@)+)m|`3K3Vi4ThxBxAXHgW8 zEtjGCk?r-W_$Z>g4&sQnZxtj!u|O3CS`TiBdk~r5MN#oXxAYkGZHfIXEG$1B!^mU6 z{8mW>mCSW93@99rBfk%afEwo&r|Vh1!$0$wMdGy+Jrul%jp=6ci5Rswh<|jap<{WE zndy*$Oqpno7%sba3CaYGdJ8&fKJGg**IrGPx?1oK40mDRA zKh{1nwU5DHAyxm1TjCy${!eLJl3MGrRnKpxd27EdbqvjeR|_P<-{3FJrS@^fm~M)6 zW&})*@!B73a*trF`Fr28^(9gGIUixIdx5@Yvw;YER72ktrMxUnW`+h|ponlMPU{Gd zA1-yM{%C~nTA@~JcVD~4CJzsfxs~V~w2;g>?}KlyJA*#_+M-E8pz4z`jXkN=9zTHv z2bPGFXoExPF=y~9 z^x8%`mKn&td94)idKn(sMNQJ<@BZ(vzTPt;m&t+ZAvlP8^M8J|k`c=P{)$l`-{}AT z+PsQ<^#A>(vzuN2e?Aue;s52soz5Asly*)h z3lA|;EOZlEi#*b^aJ`{9{^}}!zZ~MTsHT&7v?!0Z%&DG$$m%)4C_FsiF#BAcL z!2Oa<+r-wDS_eu5o3@;x_%1RG8J`?~@OWZw1Z23=yZBnX08QLcZMS=OU+-!1QseEh zu{Ni&(#jF<9Z~F^0oIyI5I#k};$YCn8p*~O>5L`se3aIgA*>-=Or|{;;dZ70sI>@ez+|yOCJmu&bBrm zAOw~Dh&YTTXlX4WJV7Q~BiO);0|XNLFRW^Qf5*ccI~lA?cd&;y5ynegg1QNJ4Bo@W3tI#3 zYSg#+(%#0-SgK8H37712A z6v0Ul4-GTee*&IGrQ8(}Y~gTFW6N-qA}dw>PzAjITMz>3H8(Z2o>x#PRA(mn0(GDB z!3eb*8fXZo|2>T7%G{xJN43uG>=n^V*ERTV8 ziC3MFjBn5G=V|ai94jN=jKR@NfaP4?-DtT>B{X6?qFSj1^@Mz~$j<>(*LKE0>S*dl}6aP#T z7t2k-8=-H?)`DVzyDVSw-zZU*b)S(;(P~YdyR}YE3LAFEJP^v;-lE%EiXJ-nKhMgV z?hBfIIoATxlHcZ*L_T8y)9PHx8>2o~Htn*z-_N!ce?@6b6Gyu3c=`d4^cx;{h5!5O z*+v82rTb8MczoZxyKhqa|8|hZm@;ZIV;ov1`={#Vjm5?T2XOcN_t)IEInVGYm@*qD z<_;3A<;3{7G)<;d2LUHL-I|ha=jo^VA%?DE#j{*|(fg6%0pu3yTC+E}f1bc2gwVDr zv0TgyU^X=0j?kLyGC!)RCNpNEP@;mHn=79S*$?_(lB(g%g+~uDg7|YOZWR}_@?n2VtLL2sSFen5j^a&WyF*dE63=TLoI8!BJlrh&08Ob0P zTtn2Bk+zZa6=uC@9jD;g0P5zmc%<_W^cFD7;U)(y9rW=li4Oe4VVD7H=Nh5pniCNa z_b6AtazxmYJtR%phn3PD5P!MFWWCx!7)^jkJGjd#r2@hv^gUal@#>ChKktJ$!4}jY zC_S!=J%hltGZxg0oblJIxMbCPbM-DEad|xwVu=cmmxdb*9-vn^0nO+8&D?`&Lo#Jn zqdjESY&;Bj$uZdC+|SfGLq`IeydTAp;&G#Ma!)pO3TeSM<6}h=cQbplcatrMP z$RG2w}spJd1IpnURWFhUOo53 zPP$oJZzTJB4AKxH@wxc+D^2XQVOWNpB3N46PFhm(^!V16rY1(gobKbI5&0*3AfNO_ zTSigGyY@A5s-{xqSWn|3!UzNE;^Ed07>@2v5S~&N3#|Sq5x@aI51xRS0YwewQ*S)b zh}?e&4TxjTrMwA7zosg)k2`bMufiq~M*VYx!jbP)= zz2mZ*hV`QOMV6h^gf*L=$7fpil4xY9)C)r|iku6!q9#4BaexkzT22X6h=^ET-*m4BagRbF%MqoPXdZo0e zsQaZ>D>xgaGg~^3f{MKMQgcNWM#L|Z;vT_qgqh|)5QgY{aD^MJI-QsGZww0Ss_ae3 z*-R0p4RwSIcpH@3+JZ6D3n>0egfiGC)o%Q^`JaB~Qg)o|H$Ot-#){mFr$heTV1nSx zUKhm#8lNa_s2B^#01O?M^v7wyQz4_NgBXHQL%O(5hr5RYH`dYk8A9`gCUh0pS#}pg z97Ru~(nkpBIL;;wwutl0f_bgyA~Dq}MO$`BM_2@BvD*-bgx`&oB25B6pf_!Rt-|E$ zW0oL+UBI~5A;u6*9HFzJI&Lds7*{9$RxZ^ON+`SFu0M2tfD?r;K{P_(LSLSY2_}j_ zVT+RD)-wg^7+pfHg#7QIo1)tn_rF?S3&j#lYDCNfF{FV^$rGZFB~UApppFT>dD*J+ zDN~Sk4wH%Tr^lzT8plzAFM^6lpwnK_&~NekTt_&|KjR?@*n?e>1r~H7$R?U* zl$5BOsC;Wc`inwyavhn)|G=H+>t$V@GLQCD-D|Bv8URT_Cfq>6`l6V<*@qwfAN+lj znvjzZAxpv`Stz@&%<3mp4q<~qD2A!y&o@^B`+~}b?R@=Dbg6v^+Cx=oJXVba6S8VR z?Qfx-qj$DX2`hafa|96vxzm|8xNCgTX%Phs&K#4anwZ6BhWurLn$rAC7BTKXx>+Q& zUXFDM>UpF|{!82g3!$Aw9{MnRJ&-(kz^b_#to@~UE5^a62}!gQ=0?N4xzy#Cn$JLt zMHquHP{6l`?N}W#Z|f$g=#)Mupe4LMNFB56cHD&7h_LQ^v0TNYX8KLhQdoZi@wt47 zQ|s7gGq~74Be1d-zBR(k29zNSW`aTipuK?VGUK5JvLTB_OiD@%8bwe>J02O&RPnA} zP83cg5|G%+VY41jC-?&=NVo|E5UYk&S7>WjQ{cCQGF#@Blg98idDwdF4%aS4pM*ll z>h}IsAaL&@&TGKFV0nT)LXv<}Nr*GYPeVn8+WtR3sXjSL3kX6Bf#A_JC}UBn`c>2? zzx#Xe%vvXa?blQ+!eFcx&C}qEl^j+HYrvbnB*AO99Ou{Hc8o%%a4o3@RQ2p?Z8lmS zm~jl-!bfxSSMoYXY(X#C9xgDKX#CWLBCXM#r8yswEb+@4qw zL4?Yagn7q_kppk;BqP*5$KY~chitm?hDsS>d#KQcq!5!F*RUlhe)?X_B%b9RNMb@# zfhqQNd6Ak~^9jOPn7;==r!WN%0y~S~0p-}8{ZqjcIIZ4-d<~t_UF2AtYZvfN$DW#d z^;xiOL9c1GMCX1v&LMVhnBmrq&Z3p&D;~TW@;@pLOOOxL zNDzA*>A_<<2vdW8V&k1+gtm6WkM&e6cOO2N^MEq8z>B~(I5G={H}nOm;Pk?8Y$hC? zxV|2z#4pwuheR$H&xKd09y(Uj)3u)*f(E|-(Ysfn#TM}haMrC2zWkW%V}u`-;o`#L zTJ-5wty86OURyP&<28sj*^y`2s)Ol1ksmriTLOCvbSq?0O28b%-3e3xWRYNAfP!tv zv2#5{ey5KAxW61~!&4syop2lxsWOV;bE65_c5B9k_5On>F0{JdIr66Jm=941W9V@+ z_ywjF(b&a?U7ig<**%%EU@$J?WUn-gJrn-W7)eTCY^}&_$&2Oz!1Wn4f9LV9 zii@T0`1JCjwI}=`U>L63tZZl6T*a~?JZz{fugHa^!8cmq4DkfwdsRUya&S88O^8UD z;u^B=zJVhv3#dH0;~v+0PZ6oP8uE-kuu*B44w;%4MPLL%sK*5PBFkmAXc*UEI`q*x zMH??CzItet9y-War65#GDe$KN!tj8!-Lg`^t>_PRB>LgCwr5@y4mNE7q03a*+^ohJ z*BC(>x@An`$B!xTyt{jF6OlSmF`yY9L#^VBdic+`?6_MvQQ0)(fk`2XGh(cA z|M_91F|D5`Ysrm~a?csJo5W)KMg+0Ca|HO5&Ql*Vf>w$`l=k&nbGI3MqqrQbUnX{w5a}rq>Rq$6;RcJWDmi zh#88;7Ewz1vv{F5TY%ZYWPOeL@IpPzuzL_b`}#VzcXSQ52h_>eyUmx$HQm0fcp&BP zi@*$b32I)G>TmfqyC&n!XLbf<`0Vg%7pue0V=;;0Z&c`+Fc68XdBIq@3v)%X0CT9+ zfp&F_!oOkkau-;MO%%zZR9Ci)!P0bjx2Ak}Moe&4`~fKlj;xWO41U6H@q!;X8wa$Z zPSjOMG@pWa27a2_$L~ku+_}D5o@_en=Z8Y_52Tb3r(=V{h6CIbh-G@FpXsSur@$Q8 zIAGAM4+;wEV;IFlh|*&l7Q*C+d?NcI247<&gytvk(i9z5I&n~Vo#%1+x0sTdR>avFvb!)Lg_ z37~+cm2&S~$kC6@V-Ekc)G0|V))X%5K+Wfd*>9Lr+}xpG`-N1Z(y!GSY)D|iCpmzW zKFB~jP35|%=JOX>1kE<4;~FY3%sZZkAA}^DHPO38_*6FZeJGttR@k|I?Ohqt^hu3AAI7FUnF(&5q1;7 zkR7_W3BLhP+>2$3L#AI9B+^?yo}9LS2u3?W4}v_+KmqrNWBZ{G%uv5-o|8BvHvgGG z(oaA_T=;2^t6Io&c3zq|$~6*)4kmg){XNJZVRn`$>BcCkoN*`;t`kT#N5?*%q=N`| z6+|@ElYc*uoxs@Kn(2`tM303{d(>eiyP=*6z-CA-1a}zFuldoY zNEm($O<%|MtcMNJCCn>^G^Lx%QLT~_2!r*N&pWL_4Z!8b0ZTtKL5jXoTRidn^x_Kl zq6-~f^+cJC`fSPg6zr@~gj4DBXhsC@Uhox8qNJUoBGd}1>%M30DsgQ?O#SXtsL$(M z|L)7c+4K|2W&&}voL&VH3;CNLgGaWKAZVPo(s+&_vi=3PB}E+_N89k;kDQPE*P2P#3dXmNDnBKh3T5b&!jlrvu7DT zX#2DWJ~brTmVSavVTiyWgs;m=zCy%FontW~hc`qA?F`%Xkg>wqA0}N}_G+vh!|NSm z=@7Iue(Mh)D>lNjx|4{3r5}6~x>dgA&ap@Ihy_rcRb0i*)mF0lQ>} z8fkn}xmwm?G7=KqJgm!xV8H67yoTR%-Y=6+%j=M@FSc#RkR6Bq zYz+Oct)by7KA0ygN5d_N&$+RgE?_oIIIKX#5x?Udqdz64`w4IZ?2 zaFy+**=Gzo;y=s+gY3f>H+V3}@g#;;Qq4awi>Wc8b^fF}4(&_BIaq>kR}IlaKIB7S z;ueZw%zHe~53df+NJ_ljwbn2&M_asF5GIgR{YPdLc6IPWDJ=hr(-SzU6+I+y~PIBG@dpYg8~?gUcozrfJ{$ zyesfqY2r_n$jHd7fu`p(9FsR)hXk3Q0CqYafChin7Tw{~?Yj<-U(0Ph5}$0itbiel zjuPQzys-F6j*Ki}-PJR88=+mv3Gu+&YUF5D=SJ4ZHEj{=;*An+ZqOKj>d z@K8_@qJF_@N4jcMUh!K|L!Sot*YahjsHrB1jIM-~=P)3qWOsrUHg@ZnT5kPOJ?&8O z3Z(`LY@?x5zf&XRb%_`R8sf8?SQVYOx;gWI48dCoDYSbp?07GZ5@DOlQD=F;E~VA!e~RXov}} z0&ggFD93*hhYSR3}!Jy0WYB8dP302mr+%;YE1=?*Xlj}a(jc# zV-$e&z!Z%H7zFg9b6ytd{BvP;tfJCa;K&YqL2vG0J{(-_utGpxJcdn^TbA_*hKVQM5BdLw zp(PFOl1mVQ9oQ?*3%`T5^`s}Jo-GxaTkR`*w+0=n2_&81wH`O6ZMg1N8FRkaVx&Ha z?g((*El4&D@n4Zd)lH}MLg|_F6`HyP;U-_ZSfpnfCgk)sqbtO~Zp13(;1%d8U_M3O@$G-ma zq8~Jf)`WoM8<+~t+|C^{xRjCMioQr4`u@*{mAvIsU*HWtE36y*)I(w6^Uv80SodH& zoQDYLQy48=5>0Gmp{!^dxyl5cM9pIlg^%?Ub0Fq!&#}x$D5b(}D=9kxws(Kfi18c9 z>>W-G#rJQdsk59yTkc0qy7;*bAKwya8ycr?lKX2+J7^KcPP#4dL}=xQqmMqYjc9$F z)EHRbdk4GGR?alV1CjXbBwU%ae#H7frf%lLWm4zSfjQv-3zNz~HV?gm8BGJ=tFHm6 z0E>vbUM+@rbKwUPM0If*##pR_oXAuSPbWi=`TUgwB^Z|F%L}^p|KUxdlU{52nj05% z5!nL5d?Ib^k+hhG2?wG4lQy=~?#L>T?AjeWB5IwC?ZFr&^LSt|Ol)il`H(Mp5eYw# zOkqV4#s-!4Pe@i7DG=5~ zaMyvTKt>t)7CJbAAM+mjkY#frCwmgvmjyz}Z9`fRDf+&5HF&Kcd3_P}82M^|`8(qz z%dESaG5urYE2jR-TX_xZ<-W5#Hl}Sd^WGbMSd(5GF^jku0Lntsb%ZmZ?fs=S?IE4112teyR-v1D zlr*x)dTkdx?l@7*@%S|ZAY}foKq>C3f+xKi*0S?taXv1JxC(__eYS`nAW_4t7KxcY zBHZF&6T8n9R+MLu_7;KDi>|U zimd$BQ4m)U#hTf2?=+hq%=oL;w=4%U6H_JI9K1jj-KriwEcl+`k0*C|AA&Hih2fU& z{e~KDjC0imZoT&2u13X6Kyme9qz&y9NfeJ8oPoHP3av>qYu$;J0;iJX)LFs|sV(;m zL=tT!&RMG$@&-Vfg17ewS7Z>+V^s|{Vbp>Sd2Iuxv}2aVp+e~|#LmmJB9im%>%obW z$nJRCjICv&FbgD~UBs+M)7D@MM!B^UVmS>Wvai~bFutWUzZe~|Dt1PeSYr$zEU&N9 zg^~$MH>l10;PmKW4Ny5c))fCl7R%c$h-F4ZBv7M47$+U1B;|GPT8sEuZDxFR!I&5h zU{pH-SJh(-W{l9Ef4kza7y+h2Bv-dAu$_sG-tB`aFumIyL`URiVC$O4}?jX~tu=`)G9w_># z09JBJ8H?_~^73@<6@AP$U@FN^HNYYchT~+7>+)SDB2+k}?UOr{=5>YFfe>`6bEF>r z;TW{14C7j3XxA*Xjn6;?f`Pk1#o;Re{NSBneu-&m{jj=ky)q_0ZvdJFLmUzm=zmID z@A?fiNyv#R6pCW_h5O!Ot2oqbaoDcm1}D1+C;BOkwl#HV=j4LMUG+1l8`r4*5g@rZ zs23<8iis-L0$V|db$IlaJ{R(aWdP2DSh8N#T5V<9v%?r+R1&rfalkCDb^ni= z#Sn9yt03v2-CgpWGi_+h{;bSl^c_B*=YR=UHmj-9@0y9D*2WK_{i*O9(TBG!VWEU=2 ze1vn8l7)4n8~S#jrFv!7gtLc}CH&UP0$&DTue9Mf!EkXEJB%GRBD(ajKBaTlFOSbI zmBrkt>Wv2v6t2rO&xwLOzWL(wv55Gu9a9XUTcny3tfamoH86WU@Ipa-O%N)U*L?<0 zbw2(tr}?aJOhaGCkG!Nuc;hsqp72rNVg>2|RhtFXfs$v_%f3nb6-K zHmyHm1l7Q~=79%t7j?WrI8esR?Lg&Q3_>q^Qu4;r`6@`~OxYqb5mM-#_VNZ?G1`>W zj#amYHQ`=>8r6C;G~Knxi7l%8tOU(maOslEKm1krMI+{%Ev@wc)-(xvoKc=zyi7O2 zX7kkQHFoa z)NwbE>fq25y}G})lzLxn-RiT8(1{!W%2rD>UiK%tGK=+(QtW9w!KgI>#QjZsk7%hP zd-3DIx0CQE5=Ie-mkyqJ+37Jj>FG7AhSI(yWW$jj^x+XWpC}_jjJN&Y|-Mcqa|Jr6kWp^Qo`&JcFj$_>(HeV0hI z#~1M{DzljoU3|vb_YAlMQ_n?|RB^zm@WB$-J$OH8Vn3n6px>(}MDu;5_0&o5|M1(K z9Sd2!50JB1ta5i^cAntS&}K#w=SV`j@`V0rgQYn#di*jOu~=txK_x9uH?6_W3a1i0 zWDA2Jz@fuzLu~BPaJwZJKFAm?m4(!>WcjTtgEKeK9n5k(o)}urrrBOApliLw6b0gU zCanbF+$F_-`z~fBarcM9-@z5z#|NFpK8#N`2UmwMQXsD1sU?2XRXMwD$ch51p3&u( zUl%Q;^md;N1h;vWknl=9R)hNJ>*J4JU_BN9Nl3i&o7KknuQAcRg4YK+b&nQ@f&#A79vV#Ig6n>N`*1AyuCa+>t@!CmN zUs6o0a{K({2lNdCCF>G|=kYDeh|9=0n#=8q7uHQ<5v-%+pK@mw)K}m(VAGVhPN|ki z)u(GR<66R^aS-pGw;cAn12Z~dQnt-&3%~^~sCf>@j?F#Kwy!;q%uh3PJsi#vj5w!L zeYx;<-g@1H73Vm17y8Cd2UmBZ>TgDr1xs3=1o|#Xx=@30Y+ndIh#LOO&UkJ!ADZww zfH!&K;E`JZu=eNfJ$v??g?aKU8W@SxnHYYxM^|f|xKNi%M_vCTM{mi}S}<0m#Fv{I z8qg6FFBPQ~j;}Hydaxi&DBsM%;^vZa&8jtz%|IYSr9BG;t!J6l73?c%E!LN!=N794 znDgvtGH62yJjvWwv)-4dKdfr+lg~fT!`gsJc+!@-F};gncoV z$e0(Bp9H`SBo}3+OwQ8)i&xSKsuYYE@*Xfkg!Kj-Ve&SGn5bC3K)bs_(5Sr7R%1Wc zvWq%e0ez^G&5M^R7&;=c!d3f;FArbfCk7Hi12VpGvhEf^CQJy4OaQS_fOeOGb76

xq34Td70Hx7U6Q_bQ{>S}Y5anPNMw>#*7 zRziqqn#|~uun9T~m!J!ZBNBWKgwS2D`p#}NdZdsbID9hf#hsgH!!S1%x>yGYM0oxA z4f`;nNe(a3yc+^;4BfUUCjYT4tLp6NHucqq@Re{o8)nH}4xOMF}1-PK2>=VIxXUvOYSzhfHdEY{$wxbU1w3newnUwf(?Ohs$mu9@6D)m9aI>6OuG0ayH9N5SF zjg-L`tSi3j_w#GZ0yA1p!bog`Fyd&sS0X*+%1xw)B;zB{Ayz>>~Lf~!=3c>tAk+b%w%Sq!5pg>{c_<<#49J~5F+Y<5ld z$9v^o%%2Vmulu}3*UQq%O6>VnD&^aVywWavG+{(v2Uq}w0*F@~xMUF8K~?ewm|ijr zwXa(EeAA*$_%q665_OArw(E;bHU4e;U#Mf{j>Dp8*B;*rCg^R|^??grvL?RgcQb1I~t+T5d-U=aLf)5f7Go%A{oFU2>l=APh7~za5(;5 zk^1*rwijnEuu{Av6O70=y+2fzwcZ7L5&cd_Jp%=`d#Jt!#Krrt86hSZhWnwLz+2@z zIcY-b6{38%nFbz-BASO7^mHvT z8fyvtstKg3`lDmCN1Hnmz@dl7N;(xo2RXqU2KvDt0U3km?WH@x1vHn^ZB?-TcAgRG zZ=b(oyRnGA`80bJldx_#m>>!qkHca6z{V7;^^-4PROe{xmS^q5ef~+Qq^Nn|3qH&| z292J$0AqR%^%i!HWNu*koQJpTLqvqIX>yv<@=&HtNz;bJC`Ty8P=6Jnh-RAkxD%D= zPz+`bOkq)UFGOPc{GvKiVNZ6+7|c@zwNoS~qc;fk$O$OEMJcAZ12`cmO7XjKbr2C# zd+B9P8G}KpiS%HtA3HY5lo@B>$P!yJ;QY%(lYT3==L&-bXk{o z&&ri6@BO5zy&3T9*{YiW&~M%cqwfY)H&slhnjQ2&3+RMOwFX%dHrdA69yp6{~u-L;k?qVn4j~{KeMO&m``jnSOPb1-fgf1&T_JWNB0ODF~sOzH+L8I5o|x&0095jvs0LWo<`NPF#XVE4wnn=JGzQTSbq0iUK_0o{j6_uN zp6s=IW5qs}7U*L1ihqDV0iQtbAYbq|K{8YJ>VY2qQ+cy=9oTRVo?v`Jux;{w1!WFn zkAKBuBQ)oc_>JWkVe3BVBJxAL+O^oPl>yWx5gvv81|-@Y>zlF5d4^Q<8_!OefC$;4 zS_MqM>W+bp7Vh1eR!3sIz^QM;I&y!5%8%Uz#dhnun* zrq-LG*#q!F&ikFLSV{>wb-BeXhE6B>l(jAtq^sCi>l-)adsmB#ni!u+L|hihzA_nE zK%mp`l!BoeHeou()?zdGiL6c(F1U?ncpl8WqD15Ck7f0b!4rU?278?>_Kzo_f}$Xd zChg_^O->l!?asKlS@G_OPnngC`MKnG)_3XO3fqPtHpQhGK;c7=;WcQ1{q8|ou5`@% zd4VfAgZ~`?ZJHlP=7yz=rwo!>@5Pr-@(=t9L|WMPZ98`!_lF*51b+;%M)E)2j!bPu z_hLaCav$Gi?{&LtnT=G+h;r=ae?Sul_X?ZkaC|W&wF1lB8`=J+GOfdl_qlI+tedq9 z{u^e~U}RZYrSLZTreDnY;@Hzv?tSFmdwV-z)1SMPDYU$Ll>xr_L_*IYej(k~9VkDl zs5icAi}Ok?M)3j!q<+3$9(cpmxEoi~#IYOWp9xw`Ied1U>b0^?_TD@1yG78HDR9T2 z=Gu(Apbj31!LR-OmEPOmF9j$T@g6(G_$0#xMlT9Sxm#OgrlpMlZ=P|e!lpF3-sP;T zN%IOP1Ig>pq{sj{A};|IGi`Jzt0J#C0D$6+BG-?pa|=WG}~_zAl`KuQu| z&Phjq*$%oUYu#&{Ltv820GuQ#o?GD0BKjEhULL*xRp6_4i*WeV@(Wop0};8gn`^bO$?1e%5#N_DiMH_XzZ=Y{0c!95BOsB zZ@T3Z=?s_^-CN%voH0-VmOA!GfI~yzM&Lv18rnnlgE{xmIZY}VEhZ@Z#aP2yM;nRA z&f>u!j2o7){CqSb|AY_`eU}mZ)0FS3_xcK`A*ZUzvnN*!BDnu-d$7WRRoM8`d2xOWbEk-D80K>Tgi4|X4`(q+KL5Q5r-S&ogaiusY~nMl5F`Wn6W77}iNBGA zbDe$PCd}Yo68W|Oso25ay1I84DlB?}(nt`qK8iAE{d!bX8{TeM=-0)U5&q_mZJ1r6N(k*egjF6TVNo%pIa{~h}iV&^vcr8qT+0b=K zv|$#8UA)3Ld5S9g=FrTMq3`a_)JHK6k`rd3GsQx@Uh??y-;GUIjv9ignIRnAQ!dso zLZentB3QX~$roe?C{Yvd2tbo%&t;+`z5 ztjS`XIt7*W4^@E=P2b&3bE&HIK|ED~BZ2ht@(pYf1GN0(F*%>FkUyxgG+*8;cF-J} zkv?dqi$UFHYHz&`H*_|bKAAnT*?NdWYkiU$Oi>Ca=+?)cBYy(mv zD4qY_#DMQkI!O*cC*DmEbU#60wue9O*+0WsaMLbeJw44Hx%_+Pb<$tEhB-yb?BHDq zO9uyP^AABv2oq1=dKj+Fcs=ufaNDpITXz-|mbzN=)znEkL|X#I2z-(Y1x~^^QZ-Sp zEv^Ppr*{sD-+Jnd)((bi)H**0;GmtQUT8`Ol_etH01TawVt)x%#|@+>hUtJQe;thL z5v&FVlkEk8x&Fv*A&hv?9*uB@{m4-N|2np$O?n)fInv#qhU$W#eq*M~l_>BP+}dU$ zcI8?b76=sH`*5>jbs+#D;t@qbilG-_A`KvkvYhKP%rGfRdSVEwO(mHa!9e~a7keB> zXX-tWOFc*xLVPedKRPAy7v8WRm=Z_IB9b3Cj|>wA-SChgk-#Dp#T-Pd%}CH)ac>sv z5kSl{wKk{((NGox!+MZ4j(hHlh>ZXN5$3WnQ9OP$@svhH_l15J+RMd3f61VA`R_{S z&z?mYNo|1^lAzT?%?E~23H3XM2xWp!{n2g>#T8s`r;lO<17zbmQ@dEuqeKkfkYWL0 z4km9}Zv#A#Ktq61n1B;)Blw|EPQ@bzEn2wuPU!1hV66O=?u zV0hAy*pX_1zMN7m@i%by5hNl|kO+Y#2$q-joPL2NlE}!)uk^G$1W{QudorV1v*1z0 z$Jnm8BWM95F~Tt!D>hLcBGc#Y-zkEDioj_)Wg3jb<%tj5SQ*-ovUx>TO$>(ua1_cF z6`0<4j5ndFE^{<=VBC*+6(L6mCxb?+>MR5?CO9F=kPjdo>+JdSMGta_=*{* z=shGUB-9MoYl~5Ypq@>_8d@8?_u3xST1qu?_@cw^ReH{zrG=ZHGTQ z5v|=8HgM?_uD4se_!B;!xG(?1DWW(Mdm+_8sH2SPMZiV=ajIDrk34fahEw~2= zu0DthM38X7{Ejk^bVi6a05eWE+;<<@nN>zVhTOM0na})U;!BlquEVp!JIV)GWA2*Q z2RYONYgq-Q{0-90G0dznXu7@bEJOE6+#&dhdcbhItSXGd|ja;STIi!UJ?e) z^WTB#-LgV9+0*U7=#_E0zq&vD_<1jGCc?Arcb{X)SF}(kp?f8U*i!6!o8`c(xD|9t zeZ>IsDn56H>(Q-{-`e>=&OoHSd5TI%7?_4l(A}i_uy$FFd;P%!@$J_FnCT)8(?=+~ zNHg4{DnF9T7an43j94d=%JW=!0xraX8DVdSL2RK+*@;oTZy#6o!lZ#By^U3nF1ix7a7*Av!v6$&EI8JQ8t zOAw#%{65mMnMV#Ci14eJYZ3?`P902dq6n%un$(lGB~0HJ8cKTZ%C43mY{UtgWUE{C z_hb_SsMKH5m6mk=QoW5>3ZWj+Z;!-dlMO075-V?Vx{Mo5tq#35cP0)9jBn(4#eok` z#M*m*aoh4ub#;R3^6W@6&_NQ^!NkZAXM@lXL^0}XwIJs3Jw_vhju5<09#k5+>qJN? zmKUS?ASHq=g{~f_5SmqDCse^Ua!dbWyxuPvLL&o{C)%Lp?`$agk-A3YxDv^G?=U)X zcr^M!`(FX-Wa3V2!N!7Rj(~a*Tu7)L<hN1fz! zBJc@4#Iaoo4TLW}xLKh((J-4Ms_=)u4fP*@;!3#ePb#JuWL}oxti=LRqLF{9l}Nmp z20Q+sXnm6|ZEkHG?-3qU<0!rfqjt=|g`+VV!(9Ok949qo?;G$8uXD*Vf;aeK$mw93 z8?5ZV5~~-%4Lh;xE}coVoB{m)2$dBa+>Xg{Q@6SiTM0Ul>qHhioEE^~|IgKcIOIlR zPs4t4K-jr3Xi6-jEYY7kZ-n8WpK-! z^)&|s1lw*FIuO+j{9r-N!QF~1)T6PhL}K@y^qu)u1EUE+&XJaShDmU%tTiiX>7jl7 zv#(I0{?8N9j^jyPJGdCAaS$lS@T<~APjCVk20YjsN;@>^%Qe3@WT~G$m&?5)^ynwT zTn4DmLQq`9pH<{C;OcmG*ZlqCflg8 z-WnRqkNnSFWl(SDHo}%_;)!{qJTYfjBZFxT}jPHB_7XOFVp41juJO_?wJ9-fMB}U z8(mNO_}Y$rzSk*iRc5qDwqzpyo63!c+;K%!J%nM`l2GUWkHOdI->=vADSTRTe0cAt zpXr3dMZGIJeqRp0EEntSOKoX8+aL6(Y92O1#~qKr15olmKevDJueUwC>$d5Y;Fn6R z=?jSa)*p#=q5plHPN(L|_F zQ`cVukR;Y#kAB%U!q)$I&-@<$*TohVrIMHdkc>L9Ob`8aI5hF_o(+i}au%})v8~8A zSjaT4^O+s-#9NRiSJdShSUbz*PrZ~YezE2>c%#e*&>*^oY}78mLwd#;c$8d z1xFtM&uu~V!HL4r%%HA0qrKfpH_5T;%Mf-AhZv4~se8M!VE>d?Q~OJcEDYpB`9jD# zzNkB=3nvdDbwH@h$SDIEA%f-9D=L>ZXOX2Jl{%dvEAya5cKGzl%HsDkShpnG9uvtB zM!Z4N<+T6^VJ%t&a*d9o-$e}dKUPL8l73l4L4y2<(Tiq~~Gp?d#renAS=v_=;O zg~31OmWxHjR)k=7Fp0ji9x^~?Osz^G(n$aax9?`#U%29(+VM$t=`uStGi6vX8?%F> zHzjWZ~FGd6KLv#lWdnU&P<%s*eCT_l)LqNrsEm#3@;ntBM?$}FTb*~GK&qPepU{4 znGhYi%yFo+vkNA!Vq10*DYE{(aZ0~Czq`A;RwP#mV!ox@Kh4X;`rE#{&r?ffm;Tzg zAsl@n((-{jw_O2VMfv$ZO-)Sv{b3uvCqpaP2IeaCi~I1s+TT-CZ{f=k)&h-&($Udz z9Ox-e#P|AUL>nxjWQesh_CgY5#aZ zgK7kc=UM&Zi|;JF|Fe1w<)gtiG_yC_y&GIiX33&OlK_l?pMdtdf?fKwHp|7-3yS>= zA;0#EkBi^d5S;fo6u1GW1Nmrj`H<|ZbotAinDW4o)Q-tGnZI4yBQPsnk70c`oZB!8 zwvko?@c9FEmZOzr#?Wz==5ZiPo;Y*7%WLb0Bfe8_9Kqu1m~6XzQKrTb(^!9*g8<7R z$p`}0ySQ8%fIo|JNFCE-QArT^dHcm5W#L?b#W&kk%67Du3ubjJ>RQ$w<$JH(Gk>F? z;1kf>MEnzElmX`1uDSWxFpG+sYU1OvQZ4=*VIC2h(Co>Y^9BYBX_hxIOLNJetnoDO zKg_<5L6A7d!7Ubv);L+tRL6eg+Mhc`^0KzFj1MDkACbG{E1iNe{Dg*PWH$$&W;0=%Bq*N zvr|W_Bg)0j{Oqk5XN#C$*xY3Cr$-0hB&6BusZp|RshDVCK`LK(`ffEH{WZTF;Y<)c zSoHM|Xm(Xmx`J)SY?K_4BkUQSqrphEY1DllW(p>AibuGPGLvrLptmV|@%taa`FT~Y zsF8Tz+kFKzmj##}=&6fL;W_E`IJ6bUTVnVV|#J=9A6GF5a_G&6)HQ zC-L&zkXzkuyK`?*(LSupVr!wI((Itk5;rP0ZL8Vkl=R_3lFrtKgUU2-BWogesL0os zm%GzTkNa(Ebqmt$(!x+01`)#1n@BPG`nAUs@6TKgY=daQFRaa`2C0?S?(K3?UjnJ`Ifw zR$+Z*jn465kF>l#-WSZ3IevGtCZ}K`7Hi~GC$ptF?wnw8=mYFwI*~8d{?bNTo<#-D zpHa!l$(IVv2?$fYu=}4_?#DNCiZYtVLHnUT8g6;#l2+bLxOd9pSsNBcyZq2P!o4j{% zojWdq?04lYq^k7zy8fw?&dyVZNbZ0?`dtQ}FSi}#bBz&>HYMiVT{5D%AGP*Ni0o4r z`7Xzp^g)jQeqqPB2|Wp(ANNkHtGDRu>$j2W@{%rXdEW;kjO!YTN*(?fHc={e>}H{RMEQGvh!;$~ZauIE z^5TshC+e~@?=N;qPU1m}Y<$`9Kd<4TYnD>WlOQ-GKB}rR0B|;>tIkCE9{1o>#5_O+ zNrah}JOCI{P_TA+kpFO2Nqx^`U~zHr^zr(k;7i5pwzo}NyncP24S+1uK#toCQs8%6 zLI9mv0L=LZzE2`a3f!_By}gwHQGCFaLgG%#w?1M|aXgr2S1#s=%mC9pYUje8*xzBA zat?zlm(9mqf7URX_E6Ro#}yV9-UC%@C*lRh4E6Mi-YlrdftSM6BQqsr+5>xkV%9i9 zG`~=@-a;2Y{-_3ZnpH5EBlkQ^nPwGvZwZLNS&F$q2fl}bJaJj5T6vQks;hlYYHGf9P)qEK&iR}?TAhWsG#leO!^Hc~E&s#ZZ??%8YqMx- zC*9jCDk}T^HRA<_PD9H7^$H71Mv>hrq;ZE#{W~oO?&$aVXpm+(Hxc!rRP32Nm%DAT z`34v%U3&UAZ0CnQqC{wgJcb)11s_E_v12FMietJR=3A`xrRZ?7skq${j<$w8PL(8X zV_e)8{mneNKZ>l3xt*MXP|psPr&yh{1l02Lb@N_>+~X@?C)T#0V#zL;*#D%sSR#G$ zbf7gYiSU#l5+tz`O_HiWoe&bqEhKTLc2l$~X#J=Os_Oa~F4iu2HD~GEJEO$!w^CD6 zFOnaUJclA1yv8hRFZKBfctTnp^!~cFU zIz%#XywFt--XDs19M+U;!Iav&OnWZde_jwUc*$>l|FdI%l8(uOdF=Qy9mcC|R%LqU z9(|%kGz&i|Dpx0;o&Wx^8d(rk)J25a-TNlz-xZoy34QqKad<0?i3Y6I-+-!mh*S2` zD)@;(dgO3j;ap4gpMuI)cZA&10quEi9J|9w8VdezQIX;lFyh3V0;_NCtMn{U(VA&8 z)z={W+)n)53mdhlv!cY>_eEMhk8s{uL{u~X>lGH3N}SwzMU4r%=qnl%UsXR%ck)fS z)=v3v7qxQd5scUjZuGL!;umX*(sNxhaN^Np$@Lay`Ga|I&aZkNWz{t7+_WKo{t>D6 zhe(J#EWlP+?$oBm;+>f z*Y8=tJ$p_X{rxP^rM6FPIje50{__As(`S*~{ldAk zPD`(%5(R37qODvq$7ihAA3P~2nAFz~j3i5MYp~>Q)x2Q5unRj}e!(G%24>JCUfI;i zcTagqB1*A4^}%KuegzV@iii?I`{rYttvaYTU7^4Ls$&Nm+afwcE*VXK>V_u_O)L~Y zXofXnn%$N6-tv10F=sV~v%Z=2DqS*99@zI>82`SX;w8)!Y>Wq0X;Sv8>ClmYm0!LN z&Zn9-3mgBpL#92t;qh$3x%TMWRkRH#eLxB~Wob@>mMjyc-Es<9Cx6l}k^CXT@=l#t zd(|hIt=z3|4OqU5*AH#v2uCBHXA$uhSI-JRMyR4-2pok3O?%FO%|uw_y#bL{=?IzT zIp$~wORB4@PeF95)%7@q6tpXx8#^>85=>ZHBob7=Of9bPim%o&p7g5V<6!^aFK(*8 z5>VJSVVn)S|1cQYWQ_a59&H`VdMS7j8X~o{DXxkA&&^z1OnbmRG66N4j-;jjvkk@e zE_D%XmHSCM5RaCqKx%b6jVb(PXf)t`^X)4t@*bF`iJ}mk@ip?k;D;t0RJ_-|?8SsQ=U=6_y9e69yg#S#}3un8@vyJXel78 z9lBw8XZcn5fqCS%%s^jjjiZ-&itkA{{QtzX&)Zlm`Q8D|{u4C4@slf!v0p8%EL=%e zKBzeU=e4S^Pf=t2&0dDwrGo;I0u9V(|wB-w0piT21j>#9CE95#Ou;evrX;8GXpNrZG_$`t?(B%1Z$( zzJqFsLB;i^iT6(n=Vruj1KkkCq#I1HI>GeXMgo<>oKCto9<{Y@*JI|2b3#eBy^lz& zF!g%A}1xY zv!WmT={62X5ihx`Uwx%g1>5sqemYhgOT)%G!$i~r!^4gLw_ww!z6lN~j~30(q5=nP z%aq1o=49Ku_UEEUtIY}XWm`ffto!N4sUzq5zf3PM=IYfS?fJ+m)>@J65x0Gg`oGr} z(Qxub2Tq12Cn2#pwL=N%9+wxJg2alRNdDI-hHYuGATHS-yRCwRAYY-dd#|>7$Nsdp z2FbSch3ucgwyi?!6Syc=*G!= zug26Ri!S`;jk07%TTBxg0_*8YxLbO&ep(iPAlc`a)IfV$leJKE+g8dH5C8x9dK*E) z5p7nRH}eUN+6@GZ-JPQ=TxI>gr}0(d9Fwxh9xQaNTQ*&}lq2i~K5W1f+pSj$dvSq>*;?;PCoj-#v^}Ft0R4Co>^tq9Z=cy-C}t%4Fe8Q*RnS_ z0mI#Uh`Nx~%DY?#v;ZAVK(cKs+oyiYT0Hsz6}_vz&@O$6h*+V_O(GfDAU6#yO_t>y zwFpm~aT!f6n5e}7@_xme{*rU`$$OctKt36oRPnC8B4R_#agv`uq)L5T^^y78I=x@r zvP_;#`^lnPHm1~Z&d=!AyCa{H|L5Brq2O0-*;U$?G1YV+vpAv|7h!{OJ*n|NjOYmd zY--cjYEalSte^Ck;4yQ7YS{3Arhj&6UGZcfB!WDG;S7>uD{Z)r{ZlnwDP(h+4uPZ5(>3@YF%`2OJV*w%g)1=WeT$c zc9+k3nHuUb9^$=M{3FymD{a%wW;3Ji{|fQpkO;uJY^0p5vql$ydd&JMo`A1a+CgWvX(Xr={dW>o!3qZysgf-sMIg~J3{bH~G z{hXYUXV9$;j4iH2fvlovW>i;?lA;wA_)?%!T_f-4!+WuZP&*i+n2^*=3~Y9&tl|ro z(b{NCDh4tGVVeqQGZ;k@#2|Q0bDg}oK~DPcE~0;dYV*9b*p}5R=jEPTD9h-@v<6Zh z^NgO1I&-v;v32x&)a%j<%ePDWOe3EC&vMLNN>*-=D#~+xhFh~f>_`69utM-cYFjAmy-AvhB)`nnBv5yVdhs%72xE1iplsUNYb1pmDGY=XR*vttocN zOIjF{dskdA!9qG!F6K|$BsHtIciza>_B;K2-0Z3UAhLPCA<*ke&{UqLZ%@eY>T)`0 zo|H!3&j6&t-w0956Fqp+&cb`z#`sf_u=;^qnYV6VHj}=D$G-(KfHEV0U zi)1YZVKGsgzMMy41Jfg?tiRU0$f->i50+_-ihIAlTosgkYSdNpFV1domzQwa5j(nQM0}`#1`aw9d-LtaFL|V z^|%6PLz-;}CIPGp8SlUyoh-6Hr*ONyyW^)v-M6{r!Fo_F#GY2^>pR2B=ZKhuL|vCd zr56gAt^D6EqUj&HP$3Q*StV8u>zB^>Xh!sbFwTt~mn#8(BAc!7#fjP6Swq7pc=^+smGs=H=9CHPb?Mno*JwlC zeL(Th!UYY{`mLmREm5QT359US!lN{eUyRQvbGjZZ@OFx99{cRll=c5s;=3y=&o2J< zzKqD{zH<8S!FPx*3=VHIZExi_XaG#P#qu5*+z+4B9v690Nbp|o4_fusVA~MPaI*DrFf;pVGVtQ^O7Xo=N-*Z_3q3MwELuDZZRD z^2(lza@l2^oK^Vf(OUV#hYu^>URWVD_yL5>9hwQIY4~uIPwI%_B8=u%71Ej@Xm;W<;Ym<#qWY(8(SDR#mer z_F+MR-=>E1qyns|Z$@WTlXNN{JhGm5Zy&+-A04|<;zCS_r{@z>a z17^Cq`=vm5+Z}#KB)6)jrUsO^ILOi*EA+P*8XDHO5Vpym7txm`Xys)Qw3ueABM)@) zWApVUf)KS~+SBp+zgvc*26$No&}QQ3+Pi+rc$g09t~PTWIB=i`I{%BQkKzRI+=`0rJkg$KP3)9pe}Q^`}G+Wi4D=K<$)z;bGT<>;`hjo_@a zdw<0Sk4}AtkK%fMuT$~8q-p27%d&u>lh6gAXNbTQrKArrq;$x*i!k;FIXGX>;m**Ei|a9BOP-vsGcYui1w_gD z)Zrr|zgv>m7%HQ?H8p;i)bb8wTd%a$g@5}<{OHR5DLbHO#fbvqd&StW;~XPbVYt-| zn9=!iKWbZ3%oz*x^anI(>>;fQbyAJ(bqbQq&~m&`k)jE4aX|qRq&^f;Z{65>HRqz8 z@xs-jy0`VL$+qZLT*|~8+d1<>oPHJdI-NY2H0G??wU@+z{_e?I2ETkLAD|-3mshtD zqODGFLp*+d*h~-;4rR0;wwvJbyehvA5+OJER7i<`#qo(@-S*cR)ps{zss_hA*p5_{ z@XLGM-ZFD!Ln^aASU^i*k?xDxtN?rR@x9x&SdQP?c8zLMa46tHmnG`ACYrY86|zb~m*-jq;f0=`pZ`=n z@xCE&xPG+bFMJdkA*Vynn?=i?A(A_ZDwA<*R1>rx4+{(Z50Gij8<#xV1|_*Ibk%sH z?bf)zD;SWBC4JaND%RD%#UIX%FsP!^OaV0|`PgUI#+M7RHax@P_u|E&4FRl^DG%(e z^-8?S~A6!l*Fa`@>1ZFNmR$G6m# z>V&Lmr9Bz1HCtI2F9e{tj%ojXZWLN2bhOY=b@?dMK*@C5ws&{@zTY08_D-T15W_J& z!zknn5*a{E>S%Wed-$}9mj8iM@a3Ls_mV=%RLPEI}JdI&hJJ!HsDTHqn|Z2VW1pqUCN4bdgS}gC@>=#nRYIg8%-NGOFIm%sIJj zRCgsqa+5w3fPNgZl4|A%q%Rd!Rlyvi<0q$l$3E%sr&$bk>7keqR1~8e)M!++5Bz&&s9MOWHi^B zoYxG?`ZAW>-#IDDj2wEt_SeQW)c%R%t5WVatR`a$m#ZzMO%jY1-YC=fG(KKoe;q{A z<+`_Fc2kj;-GcEql8GdLNSjmRilpN8sFUZTnt7ko#fUGe+gpGSEHY)Nqw^uRrsiLu zTB6ypF<%yR7M3d7%II`1D8GW~Isl31#TV-S=3D_Wh6jSN&#IBQ(`V%@2hqViMxx>c_6wPS@(6yU z&n@9-diXs>iAMj)ZTdP)b?lY@K^x0*rhkF%d-%d%y`pKF7WqZ>>iO9zD4O2OObz%b z25HK0?!+j88s?bm&hxM*5g`(jJ_2<7WedpB*YCW|sqcx(nx?WEbphl44k=&l&o(B464o&T0c}mOGG2`{6w$iZv4UOgNhO{@IANy^7 z;o1GeuknCubxijC?f&QYbbD@fICNur?H?t{K-`pO-JCo5xCp?Iur{lvSj~Eiw&zbu ze`K(gS+#8v7~S@nd-{dx`gY;mVJhY#Mz%Mfl>D}AjFOeJ^Y?l=8`rml9qF+JIETz*E{WwDAkI23Dp_@=4mmzOilb}Ad$fo;~Y2hVZ&Sa?T@|2JBuk7 z6u$aUBv@?COp{|Vf0uH@)Z|l>O_^=|7CL42&*?lslpKSMLRDs-U+p;JvxCb}a*Gg+ zRLUcSBk@yJDm7iu5PB`_um1m4ujGbEJ~ZK*w6^&pDzf#u{*K-&AE*X7U9 zDk(xI$jA{F9R&~6((ds&XV~73(4i5$S!CYlfP#a6ci)o z?>N#yD6fpb4&n}+3z2>+j(6T@wlZ#Y`x5um*Mg61Bn_Q6Uv5&5X9hY~%3K+BnqD=U z6gmrP{%?-TuPzmy&k;U|(J-ZhgTq0xvYDjV5%#6yE?iBKTOFa^>j5hpA@k`a_I+z& z?Gl@ZH@oKY(OB#2?_g1}*EcqP%KrpxEkhs&x51dx(bspeFs?e+Gv7qZZ;F9vE&}>I z)e?Cm(7Jtsg{4-mjyJq@4?{AxPx;leUbPs9gK70|a=Q?lpq|&Y?7l1EvqbwJQ?d3S zY|lnO=13mjeAks#wBe3Dg`gC4hfgTuK|L6Nvw4R>@p)gW5M8WD5Cuj75lAQ~fX|wf zHT#8VfKoE7F-mEw0+E0kEny+9C&!(6OFfquJqaR#{xCOV{p<2yO4zCtCd~7O?md3| z_y#n+preK9_(j8!8ziOX@OTjYvI`s>psUKNUFdV{i|0SC(cIje2Y(11{T)dku7TI1 zE1kl9jK&x_Bp^Zdz#*=fS)~&ezc?7heEU8>ef<(!_6usCj(Ul-mrG98m}%1dOxy9x z9+xQ>#1y)yCP{EY90Lw#*_0UtBU{NGPJg^M?QTA(5j%YaHajYyL&5_%tbQ6z9qQqD2_hs zsSFCc{J?gtDTd(~k{Hn1B-?81>0u7^XXWPgC|1zkF*`z9 zfrlwb*D%vP%d%(4>=G}?@n?ncuTbV&NKb7DjAo6@(6yDx4;cNOj`1n-;JZ{3z-gE6 zy%yQA~{4DWb$n2u4#C8t$id9z?E?x3YV%))<4 z4*p6!&e*hB_Dk3$w)s=%5@rn}_mpwRrS42C^IYpF?KQpF{k2*tHY0d@TGNdb-4=^? z?QxX7cG`hr2e;fGAG=#^Klf&SIf^g*%7X`GUd=kf?sVe)9-)N~_jzbWbWgV=`nn7^ zT5{Mwejt8sw66Cw)ARQ%>Xuasr9t1NpUc^b1({VNoAyvE4Fdjt-YJu>tkoOUklkS+rry-cDAtV zx5sx=zVPhT-ZQS>I)!%Kx*BTh`&)27>+^ZV!*}F&^dBsGH*<>SSQPWKk-od5ZYQFw zXQy7o{eFLSGW$XBT3}&ENT=@m$vbN@ajdM6$~Bx4*+ZDcg8p#c*wnOEy=UH# zBs1c>ZV=P_0*#%hfLiLF=#lwQJ`GS7@7Nl{l~GnCR}|^veV3l_0)~Ev0rlk>7(d5! z{S2tZmpcP8f^2wvk8rLgJfV;hPy>PPR*dEdvIQ(9)v(wYve?SxdW67sO`P+_pt^{u zZRNS~`#K_w4f_%W9<`a~Vfl^*kQ)a`w5Dlh@yNLiP9CvU{;+Gp(U(a5j|>X;Jo$s1 z7Lks*m*3r?*hAiDEZp;MPRtm>&nrjx^=-5~SCM+9qW!SjVGqiXf`VP_46&F7Wlhh# zzZ-T=M+a;(T7CVw!pf)D1_2&inq65tGu8*C;;r)%x6hENz!rE|p#I1}6e2fhzkp<< z78IP)GcdUHXF1>umhZG3D)0cxJD7F@RDL5d1Lw+fr_1?|0`c?&XeHV%4GA0U@|PJx z3IG6Ti?v%prUKUECs==ISg}(pcu%Ke`YKr%TvxB+74K{h9o{vu_V=DUqjMl$2PKYR zM5;JDJA)Q{7~Wg;#TY3r7nM;NHyYA}#v2cm8-#sRo28eEMnJ7Xf!3*gq^TUY8-${N+~g`n8QQYV`J(i5ke>U__UR zAqypaN3+EcS*24=WZ;EcI!1cR$J@@U{}e!}ylc)P19=i2?L&7h;X?&AEn(fy4Pk^2 z236axedA8_in28pjt+(DE(b&yxRVNz<7BV?{nrlUkkIMO65%wkgjt>4@|)qjtJpD$FlU5N`L1(Z zhFRnY{Kr*fQr%u4Ba!%Vzo9$Gh8Bj%=E7CL<&DIK&&SPp50g0Y6k7L_aOUsip&5L7=KN?ZA?>T4#w z!q>lZ#V_J#JNQ@`Sq&7b@3I)=(Tmol#%-Cd<;;Is5*x~zM%lJh5*uiJ5LetVHgn=Yhb%wsg6Q+8yI$&8}O_0Ha;QeibYckRZjt{$lk~ zz5BdyuCLPeitgA0L&2MWK0rbQ+kgzW;gIQ-iw{{t+pbS>^;rB-GTe3E@J8lshK2)+ zdPlVcIn8dLe@434c%Ywa<-@qry!V;kp|p(?8N$!%M0a^|JgOUeq|jjHX|Z-@=$O9E z7dbyVKXcFiAkFK}T1~l&<>QF4+4{l%h+$;g^EvH;4UvJOFG_~N z4CEI_rA*H5D`g5=pQiUwPaVNl&^RY}v2Qx&AVYho#n4LP#wCy1E0$tIw*xOmkvBCu zseI4AJlpjorgQb`!teT0QZ`G{gp|pYPt6~RH~woWtB1KIL%z$sYNH|zhfj|m5BO*L zd~sDqLv+YrC)#l)BcyPe``W3N!7EJWZ{FDc(qc+|G96M=F!j08R*Ca~GbT4}-Ik;`J=hQigM2YONQB>@afJhqUxl zG$Pi<$%gv+c}dh@Mm!XLZKIaR84!g&aR8jvJb0lL38;-u+{PW=#tQ5ep;{={?inSo znf1)WKO!hR-V2kXCuiVNdUEXZ={FbVX3NF0#MM6Jz53fd0|!l%mwp(?%7ck|lE%2B z+{q~UI}c_^?G^Lsz#1B*G@^{eJ|P(DASyLWf$hsiJ@fSLeo3g#GGKXON1&(O(;7rut(Cv|&Br||~0{&k{eKO7O7dY(Y ziSsT$_o#Ir!%fV8($NH<3>(2n6m)LNRyWU3zH~BTc2Ddov%!Q|+h+fUky!Fp(g%Rc z-{EAlF-ZGz=;ieKw-q>qT55JpYY1$oZ2I~;1OVybCMi+OFdb7-Q7y;bP@j@8`6o_&x6g<(pJ8@Q~LFb7;J;#zZSN@ zdbQ5w=|LKP3Y&Mc&A{VCuAQ(_N9ZDqpI1mupF9Iqh%065i$BZCvG0>o(GDdA0P<)w z5<3_{mS#>-^Yq+%i}R+cz=MyUJ{>mF*PrKWFYjQ>jA{(B>Cu^vkbQ#cJ zue>#_`>l0B8h&4rG`cHpFO%}nY|4D$&3M7c?CAYs>aLe2b1jLn zLY~K$E3$^`dd-_QRs;S%|0YN}s>r!1&GXh1`GNWKe=00ljHfmgjv6wFD$}5)_i3b3 z(NfmTvn=c5zax&CwcGNY*8|?Na((vWrxj~$ntpxpC~9g=lgBOJWN%weY$Y2)1;b1Qb!RM=+YvyYiGZO{RcDauYzIK?p^JwAuICCzG;9N$~&Mez8lXaK%#G+|1D4 zXtgn4&CotJb9ePX4#!)Lw5F}5UD>bH$dPY@&7U4Z1VDAGn3vu9%D2rFtZB?LT+=q? zpQWeB4;tnAnA0s@6pK&Jw#N-^BE=qhKwsn~Ts_g?=3BBogMDZCXM?VB!6GVBPu;Nt-AMC>X^r&Mz`~))PHh>lOGmL zv~!$}PhpZgBe1;!JUM2~u09*tG|bjOLzFjUBHf!j^w*qekVqu&neQU$Ee9M1^pch!Xk?j)>zYdgo*+B06v;;u(=2dbBDSws9{39bSj}nNWkm8JLQnD)qqusrcWknJUt>{1`4r z=N!e#$)#>z2M-|Mrk4F3ieb=MNNwy&E4(@PEvJ|5+rKGWgDzSk?@w#Ld^*Ax*{@TvP{v#31V-2ga zS;qkpl0poo;+lU)*)=&;^544J)W1Z2JngYvYx1%@C$FDe&R4z*N_+V^3!2^R78-w! zo6*YO0uJ7s=b{+1KOyt=zOXdpE_hl@31|pC!P<$$wdmvzyNG25$ey$WC`g zO;@AN|Kj0a%DP>5B(_GjSv8B;nTImhn37(QKk7TTrr+jmJu?&(YcbD=LQa!WVN+g) z|7IM)W)^vPKA$zLr0mM=M-oOc?_pZ=j$k(4+EqCKgl-oLz2s*8J#*EGn=7>$e75u> zx3$!FD(-iZOtbOr!SMZ()eo-7zIAc?o3GUQHM}c6+RmWEQyqhchH~z~ATQ~IMgJIy zN0IGsJy+5u!3vJTx@Cr}H$ilvy`l6j)of8by^Q^`MUB5&MJ=oP+}_sSS{ixVyY%;l z-L|5^D>;HuBVQb+8`P9mc5%dAxItn?b!V0~%avvaf5rC+k@01)=CPnNwMg z)T8$^+4wnPLcVW4Yuc1{M(d^Q+|cpv1XFK$_tPbrw zGeKSWHoDJLp>wI!pjst>!Cjg{eopxYn_%3Dx3S{Hn|}DVk%Y?`D!QX3$KSN{WYy6> zYmYg7iDPrrvxkjt*zYF09$2iWb?~zmAFnWQb{nib?&@)yNA=|{@*CEdyZPVveT>^- z9vF>_Tzxuq_;Z&(V2Vhq=_323pZ(3Q)wR_l3+}%!?Dx;a^*Y(DVHV{U=a%`L`r~KW<02-0zyCeiP%8GW&Wap+Xp9AJOY@?) zzuxT0YAh&W+qEq&r`rB9cktNLAD7=wku_4RTX!8(RQPHpTz-8rGPX5(&)n?vWu|Pe zaRZr4gLHw#bvpq}$=8`HGV#7ikMAISPyk&7VZ1@uKm(zaah{PF zTS16+3zlC7k`V&^SS8NWL|K=FetQP*jNkC;vz{G!L-qGpMaM}5h0nFz+>j2JQq4;= zS{#*i^@$#~_n>(^)G6}8bE`obWz^1Iif0E)jz7G>X5`h;QW~~Rndal;P}`WpuU!-- zKcrb+t|o8oKBg(E+H9?QqUqvg4Ytn%W+#qU-dX&&-{{bcGcoOS4QL5zomEVJ=fCCj zc&T1waflX%Om2dW&NCLdaG)Uwh=L8jZWG}6*8J2ns_TQ0R;duB{22X-iTG?3C z9^y*|tgnlHF0&VR(o(2$Ho8$VR(EBy%aJ(y5ohcIE%?-vQ|}%b&8->wxGq&1&A;7c zROAI(t%}yyb^qdqUqXA!7NT+=7WT!ybqs!Ka^}p7D9OWDB*)&g6u7W{UwP`h*0D5l zujev9V7c7u9f&nrrln8GoP>X-j-f zzk%$^%~a7GImKl#S{OIfkmGIs65lc9uwBXQ#7zCmu%?ox*ClKhRP5w6ZeD6Fj8ipw z^2a1ZN6%#_oS@~*4W*W)ZP8@R%ahyKxXsI2HjySdH^`EIf}tj}(@%ZR=+fVLyE2>@ zqt(VjzFt>*GpVo7I>s!1K7TU%ldEGFcM(Oa?D?DiQ)Q&gG*`)kkJc%$V~pS%HojDl zXz3UVq^i?dD7!w`1J~9S+>8yC;T#rhktl=}=vozL7gO_}|3^=S@-8QuuJ-oV?Lg zF?EmdmUj2gkN@?2i`Ptj^4iCj_JWhy-0p)VZAnjK(y~p4Cbtzlibz!7_2|`AYDWvt zn$CYeZZ15ezs;eR;&wtr<(&2(9$ixD#H5PPROc+m8TMz)(dkYW44sc<)Ke>8+4b~R z`!x?OXo2Rt<+E`6AI^&2FDH}#4^d|w71jQR`;l%?kdlxPK~lOA5IGYG zbO;DYE1+}>h(m}-x3n}U9rvBzU3c9-&hjip9A@_Z?l+$2^Q>T{TY$(b5x=V_IZ!n4 z;^evFhB){gOCP}RzUpW6umHc^XHcU>SWV(rQwJ5F`M27`OxFv1%nomGXC+4cZOo2PD~FH@FTWnO2iMG`+YmoQ^_rHO0WP3sMqP1{FE}!YW@( z1dJ;mN*@4?^L}*x3E0iD!TL81%5cIO{R$@Nx&>=Enq)}Aynwy(74nT(qkew?J^L6; zXYQu}g!h?-hHG^`Yn5VRtu|S=1t=1;B-W6R)j8-y-9Lbt!+67;ngf{wD6uqagfUod ztNSb;z$@f>sUDWVtGK})mf(1)->~q-pd-h$r`v@wp-TV_JjCj=21XimU?N5hneot0g;X@(| zp0+lCQNbKtz0r2f3zk!WxupuxNdPA~!Kxwn`1>5}rF0uy@6iQ`Gb#Cey(w?{>w~%) z*@~CNuWNj$^~CDRdy53ve=L5jgbKj#7Y=I}4}oaT3~fe{`F2zxkKWOrn|?n!csR@# zMYkqu1rLRg`S46kQ2gqZ>Ha>^WnEA8L6S&P;+H^O(t*Y^CicYdF5--N`u*7gwBMdn zDxJGC6*afe2&GDd9QEb~Lx;;_`j@Qs#WdBoh=jkiJOnUld`)-h_~^mSUcRNBj>?M7 z8;CU{FNy~y7X+c~IWjIIT?hoK5P;GJFKHC5$XM`0l~e$>vcZ=gZLhZl+L22L#QuLI zHMx}7O~}x)or9%%zM+BfYs(|eA07d9hjXMzilJ_s-PWjX`oYfM#5QKz2lp0g@^~6P z#z}-PFMkVLwq(f{LG9KvXy>rT327sb?&qY>OL~nZ-JRr#JvK?pIPMXt7+S$;{)jkM z3B(Q&oIy%S_aKyBDE;AK@bIaE zQ~XmblxA?o?K;}l)4M0ycTtRQfAqdn=L^2jlKHjMbV(C2cN)>G34ykNo$_MS>WPm& z19<-bTv%{By6a-T`(N*J^uCp4rt!4wZSIu`NY=tD{@1`5locx#6komBw3v5u+$u)i z(}rchqs5uq@}B`U{8S?>d<4--|EaWuB2b6;m)@MH&4}1u_3S2uUg#`TrD4zr#6MlN zfX5F4;h0pk_^F|7;Yz5rTTX~La>O8n%f=+pX>%HLH#k9QYNKK^urngJ6&sP|a-~h8 zMBXgLxAfTG{22cbl#i24wq7%Kw!=lFl`UT4)B?!Imde z1qo^N3G3HxgkjHtHLPDR^v)AytgdR^R&Ory=1Xx7L4(lb=6Mcp;<=sy=ap>6DcT@W z#3N;P@9lj>=jNA4r{l$Bw?K(VRck3ik+&0^72K%)E&sV+&t|XXmrlK3I2olOOPDXF z_uQ_I3$3OHp~@$eIL3ixBcB6BOa-UCj(LYz)mrcH_~E?VgPZb)OjC;|j%(TFQ6YJ&{m1^U10A4d38hht~n|OHJbI4torpr<|3>ZK$>bUg z$NzD{WVdGNhu;^H3Sd#Bdq{VcCaYY7GAjts3>%VDPX~P(ywy7t+cga zKspR4uDgCSHn{=hfS8tmV>K9pewr?;ltY2j{o{*+!QY+PJBU3%oSF@0i2$Ip1I^TUe6`*mD8>*4!;E5{t5bb?7Mt_^e`63e)f3Iu$hLD7W zJ6UzsTyc^_1Tz*VJmWPsZZ_?+C%w>fonwFQd61Z51)7=I4Ei`p9pWAlojJS+E0_Iu zO~b!G6a<$uETURZtGZa}bJD?fs25`2n%Ic5sH_J{V#TC~bWPJo&*{vD!Qd%LYD@@! z4!u{vKiqcm3!lGgK$!jRZ5R7fQj&fu+0^3qY&|M|^y4!|5e!SY1FBlGqhbj$@?M!d zJFj)<*?O1#s=odj7Bc;_erK=$#IL6FU0d7kobBw!<5xrd-79tRJ+yCC*FYci;tbPH z?+Gt?PpX?WIwC5AChCR--Q!G)#)V~L3XA^Q;~(dLrhhEHuUt=24kbiv$4SxZ%!&}S za5YhdnRy~3y6{AB|1b3eM-gJxGbijqU-XI;sm?x|f3h1%^uK=^$S>yfad&9W zU?5O?e~dCu!9B^RJq0jojob33scdMvHDKWNI9f3KAnz_x@*GD@@DfIvuj$Ey5{Ks8 z{KpU9y-z&dgkkD`Yd!4(p~IU|(jP?)>zBvWebC>HEhZzL0V}6XUACa-{kBn-$Rx-Kq4ym>X>JBjobdyVtG+mEI4ky3M_O9v%z} zxy-8Jdd2t&N*#d`>Xp2VY5J_@k1{*VVN({(Sd?navTx>T7(b3hjfjNlsR`fbOS-3$ zKBP%I&HPevboIv%pdds+{fRuSpKou8Ylgrd29dM6@ViZrXH*H>7keJcEkztQq~IxFNW{VA>mCJAg~AcL zAW#h6Lmse!Xczo*w*W|o|B1uz#e=thGE|D2K-j-@;{vwl+o6HSL4egL}~JZo)R_6Ck7wQp8fh%wPisURrSZey-+t3Pf@xNU?(I zDiGvcC2~({R=$IrS0G!(3slE8!ZdMp8T@wD9MrKnm7Bif&cZXd+uae+S zWhK$!+EA}qBy9wQE^Q_CM&z@uPkds+VL;`~;zwU=z{c9K_0-yZ^Jp`A8rXe%KV*r` z+F#z#{b<@@@|<5?`!+-=K`yGY@zOGMwvPeaXw>xs!VF(pA$i+OIQ45E0V?8V{O%6h zo=F&m63#Q!ur2qIdXnt$=`Z7S+!@Jt-`HrTN;y@wTo?jRp3?;yAIS+Oo6fUfVv8pK zy!azlNXTb*LB+2ej-1AEs4hE3wxvbqUB-25-uC*Pdi!aI)l9-o!h3gUdS!2$|LlKu(T)ZUXz2;*PG$m#pfwr*_KeYiU@vpB)|M9 zOf;IJ7aZJi5X*!ru<0(a?zKj=zmdc_7Nzdk)(cl)<}+9!$dKkIQz6Y(L#i2fH}_^jXcr(S?rwXS+g0Csb1M!v_I600RI zo%HAzWm~^3wgqLX-Ojb0I}xA8vtHNbriVBiX&bYiz*rA$@`?-kbm8ERsC()2@AHcW zKpM1U`ekbPXhQP_VxIeovg?dCvY376>lA%T$QtH)MuaVE+Hn+!HHOt_^Yf;*C{Gxs zEfxlfce-9Z^?P^GQ==BRr1xR=^KFD$lN-`epT{9yf)GZZbfeQ{rZr-RJ>^B5agWbQ zoAQ0nRX{{4t8dl(>Q^m+oWl0MtMMAM;*d!+l@kHEfPf{O(a#cdHh!UIdV3*kQgIxD z_l1pLX2o=Eu=RW^sag`e=C74Jdw(H0EmVn;21J1FYc=*=m}PAf;*qxH`ec6)+#6^x zCnsQ!a*gj@dI%nK8%HsQFpxJwN@TQabQ&nkRdt>{-i<-%Q0=>9SX;)xt9e_vs#)=AP zn2!M*x(B#;3n*YdUMQR0f=*C;$O8O6plxb@*lYQW?2R67JMd)pAzHoT`GC^Q6`n>3 z846VEHZ%~1k6MdD#u$;JVxXVK7=*gfcp0+%HQo4G=nbhOP+J}QeBPap>0a!F;foBj zZfqdBJj}Gw?vnJU_frZM-| z^%=t*mT1o0>XbjC7&QX)o_XKc*7dubGin*vD zPGrmeDD`|u>@r7&ZQrGTVmY{}|NbqRdxhDv>iIu4l_ei1jOt-4M>EHDI5YF*%BETL zGmX`_S8r(*Un9LA6CF|hasqk1%U^WL>jR4QK=td3Pf~u@Z_9^Q>SDgN1#psyyuDpL zaIxJ_-QsQ}FMjJQLu6gY>~YJ>o=7?(gzkCQEz~Uf#OY6Lc4lC3<)-jyd9-P@W#G<`k1Z%(nBa4@FvXq=GJD{E>9>$Hsd~LC@J>{&+IZ^F zLn<&7wlWqg!lnK$H={BIL2a4$4cE3b3JS9L z>SC!*+NB(6^(AY>OK<)dXl}npVp&H>7tQxnWp@Ps2fkx=fD`|b#SvNWk|xslUgt19 z_9XFrOw*k7V5@`~A&>)nK`;fI`Xp6JuzJ5f92Dc`zKZfq!iX?CNH@W|kDh80D8gC@ z4D~0*Hp^8JBq8piv04wpB?HbD0QlXDQsa|z^0Jp?6fMRI&7B}UwBYitZ2VJt;nMj=NUJAjv)5)z0da=ZHtRoS8^m?N^$XUrEX8~c?3%&ZE(+kL7vOuGiTqZ8qy)9KSq{Ap)0(` z{LQ9lssAX?E!1G*T!uNR^x&Utq!KxM|5!|p(xrVu`s3ZJt^NY0UAY^GVKs*%v3|=( z>Lad!ojD4GEo)lsei4sUzW%75eYhv_?|*i%Y}J7UiOH zx8glay*punIAeUuAGejf&#$JT5N7YWvMXWPa@&>76SD@Bqt37C-%SkCdP70JsODp$ zE?1uEEtruD@ASLf^ftQzRev0lSPlX)(R?J|EdH5BYy3yK_H^^{w+QX|#U-7bM;uvt z7vg!F4L`JQ+v!D&XvXAe!dtijZ{cCppszaf-ptp$i3TfL@2l~Lk8t{e>cSX!tUQ7d zrq10--V58i4|fWO?)YQH_>e?pO~vn86O&ZyFlnmPQzA58+X_}Z40YG<$*Y)J^>7ia zG>+TO9Bcn$%erd+WrBJ=Zuz5_gzePtaTl$bT9E|Rj_n&}IOdCYYimQ?Dw}WW%x(3lZkkV>^a-zG&Qb>R%w(^MoTKoDvsvs9Ci)f^boz{Cp%m=yA@-u~8Hiuzrc97 zkAP8tM`4`iatg>dfz^Rb9o>o>fc6EKuXtta+4H+)cCOc#$DvF0v(M3tf+$x%{?^0h^DXoblMR?M5?$YpVyS|=6J;~ZCvE6|7dzdt{&GL;rI{WKXe?AV z!-wOCzJ}|m(h5|(d%lUa2jP*352a|@Zx;6~J0DTgr{Jba3uXnAkb9<5R5A($p8U~d zo%~gRsywfU48&8%__HsUJFWkFW=e(#5fHmsI{W&`0JYh9guuzxECs&)q?eXO9vI{^a3G;ryT1+H;H`Fic-KC$H{?a6f9(bLRZEz3(o*Pe{o*IVZ7Zp>DH%lJV#AXP< z;!~>2D|g`Z^Q^?j_1RRoEA!NAilE-bF2CYhk}#fGR!gS&i3Ym~IGv*>)GIC1tRYj}IpLiI2T_kkY=v7BRcg zQR*bsJEKz^%FRRlJOfWI<#36$;v4LjQ7Rb!liwEqA=xI3j3;L0{$p>C8`wNJZ+x0z zS;ZOsm_Kn`!^Kyz-Q|CPzJ+<@^}a`MJG8REH-$Uz-qV!-nYzH9{mQ1jo?KYFGgoK`n5 zI8XJ>*b|R8YVur!cp^ElQy^DDkSoA+13~j(TSCL^PP2|YQQQ)kBo6dE`>pwe9p}6E z5=K=U4!*M=(lLJioZi>z1T~=xDv)Qdi_dY{#D5>tIN;xC+f14}&m){0$bE0ePPJb< zQ+Udn`|T|{zZ+i5!qV)XaJh&4-&S89)G!o!AW#MLruELt6l)HlAq?F|od4btzO}8o z6$Q45{hlOJ63Pi|SmH>J3n-;J%JXZTr-oHsB!R^Y#7GAhc)q-J-2q<4A?L8~;hvGY zrTQuqg!Of;}*UvBc5;CR*tmyW%Ob_x4fj`^gFf~2~KY7^F$ z6dwbYsLM?n$fpG_vZ|K2?9fWl81sXKC~FKa&S zudRePZSgf0b#8F>`Pnj?-&ATm)DWktzY`zRdUMIK1%W@GjvGe-;dIJ|&vzX6M7-?M#>hk_5#K;VuxzgE_58)944Mwd znQ`UH$>t$b)g}m@Xv6k&Jj49XPL< zyDu3qBI(`?m;Uk~gtqzRlDArRh?%gV@8*R6>`L^SdB1kCS>rX4xRf0R%d7`g&#dPS zN&kKIj|9g}(byr~5u3k%yGuT#snfeR|#ZLfhAu@8b35Zh6Dq=DWI=~L#_bD z(BJ+t?F>=Q77#gsii6!BmZx8Y;PsyGZ`t7%`vh{*a@|j8^MU7_kli97{`ZG$JrtzF zO8Glpk8XNG)~WG_Lsk~G*zGN5nGtC}^n#yi8~iuOb$06l5m5No5s zM|ihUEU%Pmd^bCD+-#6N{EF_fQn&Z&<^CNF zeAM0E6O_aVnT(MfzsR87hY}Z*O%hl+LMxgTJ)P2s^x6h^Vd1?<#}1=4udmx{G~xH$ z<}na|8&*AGdxZU!Nj>YwQi#7Fdn(u0`!%^ejc<_)&SEZZ8(PBEA#dTyk4Ng*hQlo> zOb)Ab_)&$<>7)y+BiFj=+?L%HU&f5dfMB+IQr~qNm*GDvzR$lXo^pnfpovfoUAG8T zBthv0gYt~ygNa&e%sC%Vyyu=TdhA-bk$$ z@}`^>_T`PDrpH5o2qN|2eq10N#x1Wf?Au+%9clg{V9$hc@7}d%Zq1WO5OzdZwrRkT|e=)ym z(Lk%0HM9BKpB}MLU%4iuGjf*zJ;H2%bVg{eewQ3xHug-Q=jH`p$?<6D@Wr>I1WjCb zk+pHb*7R%C3JvW`#W}9B0e|nyhr}{G>3QE2pfkeTX*z4of%6sg^OE(ZP&)-}{I=p8bWS}Jwo8ln0ay1CdtL3ZB zCmxM{i3MPiowrv{=!tcQ-@C@Rv20Z|qz$jl%JgjLj*7lY-oV4+M?89JEdiwDEqtU- zQhZcM@&JUQ2aYv9R*Ww~-d&i^m6s@P?UTc06)vCt7m1<{2`j*->^Uvfp{oFa{ssuE zfY@Z{4o8KTAtYUub{C6&P z!Fz!5H^>SQHn`Vi-BPTa9+0_!y4HIk82h7T-z`KtD)|2OW9R=D6au~LD^xXsO3eZP z&mQ!)OKBEy9jL7NX~!m1@}VrudYpf$%LgwBbLf6V+!3@ielPT50tEwp2do#h>izy8 z@|?AYHiI;vv^R%|(8+41(;hgF)@n`-UAk}AuV&c4v!fPtnv02-Jj3}`gbhxzJ3t6~ zbBzkK2BWlaPT-l{-7*nZRNy@chX3J!(5byEtVSZSl|G5)#&2m*6`gsT{ zn-3HrfEG^K1>o(XBfMLT|87rHfX*IJ^G}S7XrEQ+heN|IU_Z71+0{e!>ANe1Cyzj- z1}KaGDHyf)_x~0`NfA}~(<|0icmtL}dVaFL())3z*Xc*&NMF6z0aEyQiow?3woK`w zB~j+V8-x=tgH{VEN&{M@n)_;WKIrvWwu;OyyOSJm&P&Go9j-KrM0UYTO*xU8ekM`Q z4!6HtRNt}_3FqUgr*2Fct`cVLE4udZjdFROi~Lb5XYZVaP$60PoBYmdT4nub89l0E z%AgseeyxikPZxkjO+SVAd@Q`ROTow``h*guNLl%jKQJs@B5&eNO^arv9J=D2#v#b>;KHo(XP5%S z2N5X)?>lhO#P2D)_}3i{iO^)Xxx9!f|r?Z_GUET{OV^kY4e_gHSN?4r-}pWAYHk#>9ucc8j@X7S{%}ka>30 zD3;mKQ>=ycB)^wD91ws9+Q)ZKs{(C*mEY5N?R_Ln(YfjzYDSgD=?Sd}<6#RiZ9HjX zZf>sHAq$^woGdKYoHd5<4+B^T(32*k@>vF)&ExTZ0vJxYLGL)41wO{+glcIyld2C9d3Q0u+gP2vQs7#N(m*=my(ar{x!Lo|$?1 zfLuS?c_a;6{nv>abG|yiNcnA=%KVsmz8e`dQ+W5?rRXo^XCmQ9dh+0=oCazfX~ zy;+L9k_RXX3P=cUB+F=P>pBq8%w0`1=frfa$iW8ps~mbn-jF~Y6DLw_nT$xRlxe10 z8K@8+C$dmS|Bub6U{gJO{Vy9aD#cltNt57P2VJ*1G;*~PJMM5~GiJFzGvNutM7k^p z)4_HUrAp3!uZM423IhQ%-svCfKT?E0^@c-hfW6*`g$8nM*t|fO8SrDLenc9lX4(m3 z)T6#Rc5k%T>F!O!F3T?qzvfaIvY)1M6#rPA&~)}6cUyG!>u^Eqe+Hzw%)HtC;-xHh z$Bu^aS=>_1y=Gj3-o;l(y?MyVOBiek@pRgihCCV22MM%qm$_TWim>js3ctf7_5FWa z(VG#oscrN((BhW%cVgI}7A1tS8CQ!3fw1w(Q;h=pau6$iD_Kt66&E{Q>a1>opt-t0 zu;RM`W!`GBGdxH<@CS5nPBzCY)S=h>kfwM5DBkEB6COrjTjfapS>J7Lrdjff7=--) zU}=^PG^Ej}{4fXw$;@XJWh?y5l^PxWm=Og>*P7V5;`RNz4t6S6_Lm*jH4QN7Z+N{{ z5^h&>75ge*cot~8giNG?Orc&>YWI{~ zF_7sflYcfxhA}IoN%#pqiKBT931+5a<$5?6XzSB;+_2p9&WWttoPdLP4)PS6Pku*( zh2D0&k{0Dk`X#lK+hNIT2If=m<5{mykoOH_{Eiobs6tVAEz8Zhhsx&eoBv*#QNr&p zLiFXp9YMSXn0vZRm_;s@6z9k&D-*_vtWstLU7dn0m|dsxD^$EhWus9L2-&{jE~CxI z{RYC#P(?2azX|9w_)!)iBoPah)kMH&-47W>Jqq`;!`uc%u&X(M0sw$Bu#KYw@(qy3 z(x8;>a3R!TAl5SEq5!C3jfC%CN;TbQ-~JzPDuvXbAMTllpj2-@(naVHy>agPkKWUv zB0MAI&czIm9>d6SZV;sum(fdE{Vz}2f|(Y%9Dm+@ieKGR3S*b0vIcqv&?JiHPT@1r z`=FOR-r{bUzfxz#>~evJ#k)o#Ih7EYAqWLiV1VmLk@tqBfDe#L+_mLIIc0hD-q}-Y z2@sae;u?*=c@@E{=S{-}e3bXM-ti};B$I?#a^NX`2CmR2u{`?+Q2fMEJo2D*eSn*& zZ1o_GLv7h|>|J}OSA=xuO zmbWF9c0Sz65aR)5@`AFpnj-w0d{06od}`G3Y6fm}@~CM5HWrtl>yb*2+2^K5lp#5d{BMiLcEv_Pf=3t{I+IHA=>6zA|6+@$vYozV>W z%TG!gY^gXmNXpAXO;^_Swy&S@zBCRTu4u~R2RRZ=(tO6;U~MAfXWx3tkj7!EOJ3s5 zkrYxl_jzd64W1pwJm|?X>Xs8UDr$IN3mDc*@j70Bxh%4%1g6$$6-2Bh816fp@Btn_C!sNq4ezWapF}#xGkDWBwkYq0^_e7D!aJr7?u~N4lfXB( zx2^PuN;97DQV+&c0Vc|r=UUYI__xH0Glf|rKP4DBsNoT{WO9nO^Sj5VD!bpyGTc-U zb;N4lo0sn-VP1M@Ylqp~mpnl5I6<)|?^jdM9}8ZCj?8}eq@Z6&S!vJYnB2@A<}$BA z`&m#aj0r2Ob=RYN^?pC`)A^^a&1iNQABT7(0S?)&=k{}Y61jb!s&PLfpeD!%wn-)Z z>}zjFARq=YO_Bro45A{O04a;>8DxHi3o6i|6`w1PvQd3OKscEM*byUu5VG#sl=+15 zO*a^eAV6rB7)8|9OA&xXnc2puOcku>*k2b;#lXxTG_$lna|SqRI4j^Z>T;-?jX=$gRV7cE z;l9rwww)7(owz*Id{1I1gL8uhzxp0;O_EBQJgIqi6()6`tjYh>bp~>!0jUJZx}rc^ z4;8#-h0_sBfj2!YpA}B0XJrC>E{e>LYSP&tWN+Ze{z<3|B-z2RwQCXP6B$JepJ9PBo8HaN8QnDuQYCA4 z5DJn9?LElJq)ymC!J}bBKN>$&u}^*zg5jediCuYNuq-Nxq$~S3CZeEojgvG zkLJ6Hfu^OGOkRY?u3SMWCRN>Y(?fP5%No5q_@}$3Qpe|F%Z1)M?qnX_}Lb zOt}yB_n1fmpeFS-_GdDGqjX{vKNk;_gZ&kC}`!tO-K1RBTd#DKVirWu{JMF!kaK zA;j5}w$10>ymcOViX>nGpZE8}3w^~BJ?+t+L}qO(3F0{*`KW1Y7bI&yG&pby-zp|1 zdl|r@U3S3QEl4j#lcx7!X{j->DSP2x*$6XlX_6B_`oscX5iV#}c=TE@zCpaCWO$6e zK!y?gt-AT!?lJL;AD@)Yb%5BLiXr_-TYIz&q6hSJZA>V|JYPTXl$?%1e&;b5yt1ImaEHGTcY0<)*sT(GS#<{>yNt=vo{kpYF`DzFzB z6gQ|-ksdA}Lh5#zp(TneaN?(y02d4dSybI_AL2?a^D#0qx&|S^8vgVZ=VSF3U#qtq zl7CJEl4vO_k6GUF7KAY9jQ^{;vvsk%d;8OyZ>0;V27smbo85;@#Ia8ju;sT{r>bba~~O1wFpg2Z?_O^hkXn5$^^mc zHTkPb^n=PF_v*Je7o!o-On(HOT)8eUJ^p;oYtFXvu%`Nlj&F+=(?)!)T5erc=W>Hs zG86-^Lk23^3&$6E;vH+fFc`%LrDFZ^aa$x<&@s z9}}XLb-g~{Z6uiIx_yB$|T@@Vn#A0wTV&3{sDyBXw{wAE9#wfXJJ5x2nKvlW+OC7tWHiz zG2U?&j<|Su&TBi$jud0@ zv0{l5&TDl=FUV0ub)B&(5b@L>)&TaC(YQ%L za^*R(f8__KA14JLO7Y@*(bdUdd^+3~6ePaaj*cp20b*jvr89@fXT^j6g<#)skjl~~ z|BRWg?ZW^NZ{0K@;GtkDU<#vHAmO0=1*mxL#?-eBQco+1BR(xJyiAC><6U6QsV=%5 zKtL&hDOil}Bq4$On^59m?fib4v!4`d6h9YqWyaxe!_s50jzi8Y7x(XpyBJLS3`853O~Z7KnEwm=Hm*hP>uYNo!MqE5NLw@GQZPv)+CK6K|P z(D(sfK>gJB76#W@+DnzYqmTjH*rFD2-BQnsH{3N+vMdDOaLI<8igq z+Ykq652-Y3ZZvh;Bw?rEo>wl^SQ|V3uMeoltr*T63}5xU`)NRsr3#dgSuGu%V>D#- zxQ1eGD)UA}r*B&%Ym^P7j&qh*R_0LSU>w}qhuhUegeEQtkiqHtxv}P zZTjR$JyTD{#$jlxUSwv2s}ywSPk=7xle1fFM-54Fg{6PW#D`42xy;-Kq!UnhN>p zYZw~`axyHNE0#o>rB!4U4J0$O6?mot&^3hvc?4`)cZ3`VG6FJrTAG16AcF&+l`tgH zfKa|Hj3j-j{;8I>5m?`kp&B8Vd6lD5eE(@;Eh`C5Exe!fXO~w;-wZd$Z!}{rKynfp zB;Ne;xLkzj$Dsk*Hh|I9^jYc`*-^vYTEWiFK0dlTpMwJ3v*C0y!5t0(n|`DD-ynCf zz4|(^!dx!}hA|o?zj6l>ODP#`Y(TNveQ;&hja{bOG{O_0MaHWwLzrz$6Yz@SR z)~c#XOCdA?0L!SUA{=Ny2k!L$rULoRE|Bz`|KS5GeTupBUbPe*%Lusx?UpBnl>WL| z;{CD#v(IACxO}ocy>+oSTCDr;A0x`oAbEQ|=WdvE(rU$zqmvPovlmRk>EN^u&x*16 zs~mIQ-@YJ)UkLfkYa>_We$rBhQ40Pbf!{K4!~#4DB+-c3iv}NgI*OJwk2W5 zu+is$9m%4>!H58nZ6=ryG&72T^hp7s80dE&v(X}5vXr$)$Ka0if-s3hvot3h20LY# zVJ6mo4y1>=ySTxy(g5HvF(l?N!tK@8((>178{B1x`rQC;y%*yfVHBPoXogO}@O+r9 zBBdVW*wO|M9?v~*aVcQ6Pyt8VYwbHEq1^&qUIlj-1oLu1k`4aCO+*zS6`pF35``rk zBGPafdNmcLu+=t?&#P=F7@-ysZG~ip0w7O2LYUtO9`c&)DzVrQWPvRJa?WXnY8@Bu zhyr{hU=Jn$j;y^k^?8#{(as36lB~6~wIv`H_>Q=4PaN$u1Y-uILD(J)I|i)9_$dkI zgdq)X?aw$8Zn6}_It@`|CTfq>#HJEU#a9Hp)l**bXwG5&inh_`mwG8HR6$=&H6Vtt z7AgbSG5n~_+o;u$`0H(_Z z8X6yYpA^9RZFuhr|^oAfGTor4Tk($b9Y)J9x)!wArL6tzd=sUl9(mg>79kpZiVM_HL=B+QccROv3s zw!8pXlsGn|3A)(-M%55QQ52e6-m%;Oi-j3o)Q}|CfqvQDCwHQ( z<2{|oC$*F%hT>bWqP>b3uzD_>QxZRcKP-SCS_1-U5S9UHOEz$MhF;}LO^Tk?z22tg0w`HOHt?xpG0=; zD>1$~Q5pZo&Q?eYnOKkp-G~d1{kn!qICp(o<{CiQ4sxXoW8JtH_0i*-mmD-iLwU)L z@URF4l6K%7C0EOTB1ovXY#?ljl|z2j@Er0y3?N7YjE;Q}C-Qyw7#nZ%b^bbpXxNmL z`obq>aD&5w5=(qYHf2Q>IB>H6{uo0s4LtZI2p3DSYSSRIa=lBErc*W8oBY!Tz5)p) zdkbPl3FkD8#5J?XE}lf@3@$k($#V`+{knsVeW0-tqV_7FdkGQy3L!(Y+0w13a zOvJVY70Bh(-z8TfILI~Z0Lp1Z%S0=A_!C{Ry@ct7_8p1EF1L&(*Xo6m@~ei1Z4Lh_K(*jycrs2wh+lt z0iRO5fWy?^9qCZ4!Wv_a5x|AZ0mWVjjx=#}1Yn-&0$t=J@3@5n?)Vfx3f z9bQ@Tgz|r(NFCC_vnz5OhGJ($d>P8D9Mg9qI+k;#$-29Q3F(4nIL}>dBM}DcveJEroAn1{^HG9S{~ID;&pnn~NrMz#49)Yycw+sOrK~;BhKa;CCHwGGsWE^;u@5 zDHc-3_gYi|1Xhv+hsHp6Xzj4Yo;SS_&fLt(O*@3bg(!Vaw!u4YPR`qq(f6I{4GvIv zQb~UErz;tW#K|(>YA6M9{B3ozVn|d$M^-h`%0=w zr8cPUAxj2YXKn2ulwN=P@bsB#8jo3kqbGwxqK3NqCtw!iFknCu$Srj;dF3qOhg-+z zCV$T_nMhxU5w@=^I;TC)u%3c)I3S-@k`bp9VoCrKP8rd6CkQzB4_`_H#BR`K=85u1 zt0iR*(Ib-@>)5*r8Zz3Wr7=(0k0Y2B9wJ_dW*eITGZ}Xha(s4<&y;Hi~Tt|V>nBLq4YK|2b4Q8t<}Kff@KUHJFd=m|ni@Y0C)rna8rb>|Z6 zXkMuunCKGsS$_}n{C;!y_b^G~r}IAQERS9IrRz z%F#G89w}1Z4oeUMa6Hf)<1cAoXn6%3yKv-+E1VacVF{a%Fvku*91+Y%l!R%HtO)s5 z%h&wP!oC&*vG6iSvcvSFjr8oiT;lutmU>*gnFE}%d1@IX)x`~>kQstmT6zR@Kg1q2 z!~0hx8q7#n^+8=mg`a(p0U=HvT>*LKYGC2dV^)}j{682ojqQs|Il!Jm`NoA34wWp9 z4-A7I$b2)*S?g6(97jKas7eYP28+$jD3u)X$xfdBkMIPfWLVxm3lJljIPZTh^)C54 z2NGaavoUv)e@gQ+O`M7p^5finzj+3@YE~fbouM+Cci7q3sK}zbCBd|&3eU~!&t7XT zD%#`d?L#e2eMn?Z`i;lKT$L|J8>7!FKTkc!EUVYD|2)db$T*nT+`!F;{_5$dWS>2%qS}>?mRB5(uddR<=1J5)6^3}X=Bi5mZo2P;e99uSJL4A* zEoIPEvLfY;(2>xyLohhKUM z3JR9gM)-AhO>}g|^=4;hy{IGhP2qC0^YS|0flr~Zt?fDrFy}^ycRufEsjx^Ng&rmb zqRG74YUKJ*dZz0JXAA~Hd{Bf$Ch$MDmX{j7bPSdc7?xi>|9nP=ST^Os_qFAx2EZn^ zcaB$$m7Y;pLYc@KIpT-2@YYz}{TqmrR(hK1lAbEM2Vsbz!(|4C%`I3s5uvy5os~bJ z=dTN*+i*WSf}qX#&Boq_%Jau=zb!TIUr8ApD2!kA!b^xF`90gCRi6v#vz41N4TeyU zf9$Dk1msITD@Y=HUEb+(R91@H(Zx_Wi2ljt8{+3S$;^$JJ|NsVKaODBS1q@e!C?p! zw1Q}whyv5M(N&LAj;sC=UEinT6+yeYc^UG{Pa?~1S`eUN3R#DN3W-2TM`!q2@{dYN z2Y3yl_egNIj4Pfokl@7p&hjF5Fwu!Hd!fwM0y)e#3f&)zX6Ilcup2A!A=BJx#yzrQ z^S3Lqx^eu7M5eiMHAAYhrpB#|iqBg78>Lv$r6sO7)mLUhaNe^no)id}xtIKNUN_}76!8#KLu?si^hU9eYjb^l-6}@nMaF6fK6mq8 zwGxZleT%KG!NI{+tp^^HKlY6dPA^I-Dk_)^$1g{V2aam;%2UH|5zX&e@tgk+T6{cl~r;waHB<2=2n!RFHCox^lA@G9ZDIm(a@Wuvz@Zl{Ep0#lfB+r*`hqjbP8h&_^EB9$7o1PatGPj z+n>XQsm4J*e_N&*3o-q65@A3W7i+_05X|MET=jRB){^f?hCM~7@B z!ITFF(hF97=H7%Q7#Qg5>z|*WJ28hfi@limSm`ghJNYHRnZ zHhd?}6ToG-OM>(0F3C&tPu`s9r4hoh3?BgdxRxJM8`d7KqDW}$C`YrUb!?uzBD;x;K@YgeHyG zha;thS>eX~G4bms1*sI#-ALGA-b6GX&j(%Sf`79@%I6vjcDOtksiw3_4Nru_2g|bxl=5}%=GB!Xa88*D*`x8{O#>GFQ8$$ zWJ~JvU;T=Hr_;BDXJcbSPrpQK2Vy=ZA(}+>We3Nv>DJwOLk{w)+6tfujf$?S>A{eyVGOe?Ws_>kY)t*3J%$BiDr2 zyl%7`eQ}t#)f@X4me7W1HNqZ`OTt31=h(clFn0EErduSa^b=jrgp^yuOb)#H4-^t*l79w-lNe|ynv@U^ z`brYCVg-F)ag|E465&Q3(1j%sJ51-8>OG@ayTC%!8{q7JT=Q{bfBXF(!a17cHxuHd z#bH|LmP`&yn7DR{R^?jOP+@YVi+FA~PfRwn3C9Pg&4`yKLUmQ#CgGO21g$|=+Vln1 zknb*N?|97|N$aWGaoB7DD-w1<=YtrMKhgT6U4Chp@)S}*&=IfvC4)NFlv5Chi@ym@ z9HrXWN98F8I;T0uyYwW*!a(d$sj+F`62RRLNz}7|TE5d* zDFs~nq-qXy#03Es=}oC&52F$O*ci%(zkM0L{({H3Ivj@ZNJ|Zq$wNvEg{rag3y|S) z;zF=U-RIA=2!!*1!1x3#9_igZJOWIokLLEhFXkYg@IA8v2?5r%EoIN3a??eLmUauI zrl9_w)UD7OQ)9TZQs7p&2gv5BM|TlfZaf4`5QMe~W0KdEoAHq{3?ei{LF}l%5-#&J zj3l0LyF;BTHT8CMHyoDBW-_m|fe3BIN_GZmbHXM#pM;?LP%L!CV)#EqeF;>|`}_Z= zP=jbB8cG>TAuY783`tRx7VV`%yBbMa-KInvX`x05MWwn$r9~kMsgRVWjntqGskHxJ z<9>hVf6ux1+|xRJ=Dj@6YkQogQU6DiZ(c%$jvPOvQO_elJNP(jT*hs9NbMWe9?dAh zS5#p#YHy0r%pH{9CE%~pV|&|Zk=rPavkmg80@Q^PjC;*580nJZ_usclXyrBaPIYvZ zgVS0~)7XCWS!9s*%#RvXO-;@DE8pV_;&Jf|VYGw$yJJkPCQ9 zHY7swY+7l|O{syQN3m5i-#ZI_CA`zMX?--<6UHBWQ?-Azyzk}2KN5zew@u7G(N}HS zhZQ( z3TZla-)qyAmlukp&ucW{65BPGUay+ots$y={5abTfDnidT*_zCj&nVpnc5>V@Juec z6Zwu6X1N!puAX-j+zH&&Hn$~>CscwsnIc_JZS~EN|IJOj!7q*Nt*ob11bp?vCP%U6`Y9#|qC(EvCvo%&&M@P~he5XfyDS z{Ap_q(dr<~$ys{#?Ac8UrC!n>2IB6<#>Vb2HJz;jx=>3Q=lydLZ_Y}Z2FClyr8kKM zVlbTNsj#uYk1%$HlVy?lQHc5Wo|~?yW~v^u=>YwGZl8Ej@j&^fex=_n;`Q6lq_J1f zv{b&{2-9-)M6t+tGjE83dPUT8nazSrwo2ExAHzP&?58lQmc0A+TMS_2HC(H#=t7Bx zA>pX86uDh?HW3alpmCOxwtjf>dTsm8^ai=;;S&n33Hq7wy(+A+v9X|ht#kOd z2TDYvYKZ3LeeRd*`{pjabZT^s-Ssv1sa*8s5|6kPReFDOgNN{yvF#CyY0>ihS0o0K z+5WA`nA?Y3kQYUPMs#&fH$k2kajccW~RP~-BmJw}YGixfvO&%u`hj~R<;!UbJj zU8Sn*5OD?q=C5G2pT@8G`x1n;YvlF{(B8$1pBpHjkgH8|!6!TdF|hT`-q+qDW?K@A zG7jy#>^wOI;$TsVBd%jSmAxYeKb=&;UbL}DlJ)f5L-JtW?q5X8h^gz1)3*H>GC$j$ zDE@7ssj05i#b0?4?2MOS2Ji6F)J2Oqe>Z8C%~$i#taa=sf$63O$D=!2M8e4JDh^K< zX2f(n4PR=v2JEv6I-J9%z7_Uz51YAoMNT&16W+hfINzTU^B#c-nQ*!M^efL#m(UZy z)Ahf0fTu2V_t*UkS8gH&EynZzk%59a#@N(X$Req9G-&?o>^N)WIqW!(x<#V?{v}Fa z`10jbJxV^#*vp*}$rOCm_p7r$Syp>ZE@Q=%&l8vM6;lH8e2&L}4Cm);G1;Wc0fxck?+;{F! zeNy3K>K>92S7<85u^1<77)bG0+)k@&+jJWS+9;eSAbahUnx<`1U%ju5m=5V2vQbyk zW=u)m%7lg^YrOjS>#LU~8Ik?$4S%1+Se;z--I3{3kbf9fe1iC6h?OBgyJ4Ko_ou4+ zIc3^obo7mRUBc$W|Dcqx){8g%6%?{x-vu?V9Z5b)-poDLt{ZFl54!GNPGdDRJe
&wg2bQaFNUOiJ&mOD#yF)^~>@oM7GesO`9xU@tZ2bb3#IcJw2{ey&;9=N*xx5Rs_zpQ~N1?sanC}cm}xf|wMyo5QrigD>4 z`Lp)azq|iF$$>qKLRAR&)yvOA0sC0>ynd$fDq6=g)$}KnfIE|VU!#&yCcCD5aAcJ< zsFnh^tMl$cRE{L^_`w2+5UG9=`S-iE$wAu_;QdG<4>k^z4>D5 z78*$py&7>N!N(#=?7sBYW)^F@|B`Q)lFyeHy~(n6X*fOhlRlPbX7&x_n&p*88J`t# zbiZEVlG*(2G-mjgNY{U6vf{?S$>4rzS&$7SqHqt%Ta^%zVLZG}_Sr;yS*4@;(YKQoP7ZPP@OX3wbI(S<%iA3JfYSA^3XlGD=CgdzsN zW29NHwL!CCv9w$2A*uJqd1iK)b+>g}eM;I(r!?1CJ4~;zQ3o?E4cIPZyTNF5|G4kl z&Q8tFiP`g;GkJ*Dyu@|7Ry^59oH#_ZF^$XP>2oPADUwfmCbgtRcgo?~>d1IBmaXl*abpC9D?g+{(7Tlc03|@9C2N^kep^o3BJ7n$WSJ`EopR?K{TVHAK$={cpyEN;O*U5X{G3`CDg90geh9&$W+xqfJ8LXMv>)Wc3Tq1A%u%-V5;sg%QMqPt(>c+{VL$||cJC~CF=Lxt*WZx z5Vl3q^)C9~==lTVi>a~DogBAF@?Aj{m#qI)#vHZToLPb4Dj_vJs@nH)Ch#vvWDi874 zo4H%MUQJ7I$vL*a-;t!ThT1Ulc2S<(oh6`~QQcpho14=FNWhd|jk8NT!gwu4%Jjdy zB3ZYXsxCkqz9OEzov+#Ur#VJo2)R=8hqUY4=b^vr3aJhoyoL8amJr#y&n%L zm9P8XBBC-r2vHY8TQZNaeif^uo^PPu7H1s7SXC=bAe@C?OZdM16YgqThhN|>c6Cow zoWJ+k36KZM+gSi7ju8&e!pS2>`uCZm9}kJuEF`MLF6Ukb(? z`)H*7q@TB-PL&m0((Q=CWu~LahjUdTZB>;B+((*JbA0U*`|;AhPZ$0@Y)~z2+Irn^ zBx7JIKhyYI=hXTz7e5nZ@c zONUKjSIQrZwV46FLphj7w#NsF;7WFEIFRRl-&-#-B}5ocFz!^kvmtjR7>9` z>1W1baqy#Vn+6OUH#|ISM)YVBcah_{F2AgQElZAX4H1mD-B31B|Ga#y=rC@+14ap@ zDCAetmb>3;8m$Du?rCD`tIaCW<=Uy8c}lWzGB>(#1MwJclsr!V`VUav{eZG$@iwZ8GiBKJo1W#0|^5 z)q&mG7s)>UDk5E}WPpp|(^d28v=`Z*P9B*E62q@>_*ZQK_qov9E^C2J+Bt8(md?3Y zEUm2O)#T(3EeuWmzKY61H@+gA_9?yC(xV&H!adink%RGuca9H~Yds&;K-GBHEcdbM zgoB*JhT@VEjvVYPfYZU9>wkc$cj%tblM=jHHL@E#?SgYeTgj|6)yb~*D(~2r$Sjxr z2+1z8meF2Dfaxh+v2pK0ErepQDUKDmatm?A<5W;$&#WQ-<+4}TG1?3C>_wkXH?AW; zrIFF&-@bn@&n+$0Y_!)~74Z~pcwvem!iSaW+=?>ABhxQ>Y2DETDH5V*j& zT@&4GB~;j5V5!+h!TSBJqxy-l($m~@OuA_l0h2zBuoQzyz+{ZCJruYR^Mdg7lNBZ~-tR6n<~XI23Qlw?RR3O&yi zDnRSH4`h<|Vw7>|JlEr2LG!AuzR)ftt)L|t>nHm(3bncx+cql{AdraOq-pM-W^N{! zsYCkdOM4-w7jK4Nb>2Ap_b7%?<(=qaAG|+5H?3=G>g&M@u1foTrflwrrPzsavHSro z@%mrFj7ygj-n9mG+kN-%+EFzkOJzu35qHTRnLdak-Rj}V44gSLKkM8AF!{!Ab0(4? z9T!u*^}lK7w0!qskcTiaMq1YL!PmE(S+&{XdNtu>7T4pG#ETWU5u^uFa17Udeja7+ zx582=!eMX~1Wza)WrrU2#tO+E`L}0f#WD{m;qebUXeS=^9t?D&9Gcr?w2#se^JRx5 zHS9%Mb!I^IulxO*{uZ*|B7{BLHj6Bm&2DiWSxQag;|W{o&I%sCeZ{bSCGmlBX$6i} zA;-Cy$#?a#)iY=J@2^+JR_AYU+8*K-`;ij(vv=)!#@rnd2g#k0Z}yI@dLu+I`P^)y zQR>XfcES##4Ut&W$;MrzU0n9vmU&4rdv8^Ao*l?*n*GEdLg}0l!RxT&qrIqsbcrRp|3ErW6YB43IltkKp8&{H?W6?L zKtc&&dmK-PyVuPVG z;vldi^!{tdwLYR@#77%J=1CJ2Zw!{qA3d;&b6%}I$+wd6hNtD@$4LVnqckMMSCeTX zvJ4f>n4Ca4B#El05@nv>C|&6orv-rh=wsDLwbhnH!>x(>hdo$x>cqqO`X_)6R^r@g zT1X7W#gV68Vdci5(5Ww)IZ9_8N_bbjcf*SBDvipiEKV(ZPj1(Vm7~r%a@W*+U8R6l z8j1@z(#xz<$lE2?#w3B5^o$pum4S7`TuQJC?AD_g_SLMibwo55(KInD5nVVDk>4!G zXVW^SLhK9)32_1NBZ1-oUEK#X?9b8BDxa@S%__S-GVN&pW9fJ*ird50)*&gV&y{qH zVmSom5RN)PD-HUau85~LY>6)X^`;G&RRiE+8R7=_>QHkX^*H?{QbtuoTPh(GlOvAa3Yt4aJ z-)i36M4?C2auZNp%+}m*(z05k==vTD;ZynW?&!jn3+d4R?uS;W(>(sR8Fde|;u@Ot z{@2#Guv(NDm;&0lkkEZqq!Eaf6HE`NK??u!*gYFU@IIVR5B&9#U$Hb~Ie7dyZ2iFQ zCVFE!m@Z=oD%LnE*2p=5eEdPTY0bb^I&Ze9q_ng&J16J;e5+%09#b>mbR=Cg7wp-9 z8sG<7|_Oe;sNXzmAMl$IFjL^^Z60ChY(RX_I1XlhYrazl zh+7uVdvi(_7qPRk>}*Bf7at>A(L!( zT7>-vomTv0ACewDti{ld2UGUf{C#Px)<`)NC7IB_6S*KKpuMrDX7#1LboEvQ1Lt zygxr%1lsR&{C=5_J4BY-*r~x?T<8PNWcw9Ts--r&~y@?W_N7{vYxB>O>9hA7!qmwhVe>c)^txK_xY5Gp~?!vOX-Ujk2x&Z*LAj-6uo9gEy{%njghHy=gu9j@B8Hm;rT{^ z3(=Vgrxi;Erst-sMgX~K=en#tlU9nB?;}vB(>A3jkTZ!Nmq(^GnWNmq17ANsUbqR+ z(&KHtpOW=0!FVyTv-yFaM$^D2rgoN;z7$Xt>-gIq_dgC!;;%8bNYXv5UlZXF-QqjD zi}qq1y7i68^+Vv;8o5K=K`FLC!6Ug$RTGy&_-AGuPpIOSC9M(0Q(ml+bH?RC9MI5! zUWff?u47b{*u<8tM}zwE*nPH1%9% zSqXs)J5<@F7^BV4)suW~=T0HoO!H`^C~=0D$iMF~?S~Kf*-UJsz1Y?;T_|&)xzZJ* zRj2daioT$5ASueaowRAqll&!YdNJLVLypzR{qFBe=ivA04`(wzC=TC$KVjry+qIrS zGSbKDu%`>7nhRgGiR5v#%4yXma|mKL1Hd|1+qPpjD*|97FJ4nMx)}9xG%Rk?1%A91 zsE|EldjskFEab4-%g}_Cc-T3m^dmGC_SevHOtBR507K4s&7=n}BMw<41yP>`m*;n5^6dceBX6%V=lrJOmtEpeYaHSZpb7{L1@plZY_f1nDC&Y`5f<@(=LXfKYo`reVNO`=42pUZOT z{=_uLy|TS=vU|a~okCQngT$Ge#@WogobPF%G4^iG0|3IJI4ZA+@HUg9h%~8|qT;T?iq2GaF?$bJTy9a++@;H}*^gnYI@P!Bw*H z1_qJ&pkJl&H?9?P;X0g!5A4Gi(@yNp(T`uq9Nj~&LEW?tKzjFt*V^*HC;u#}V*8hZ z^2Z2fR_!koGOLM5%cL>dMhosRzc_tjePDO@hl!vbW{4&f&Y}3X zinD4W^a}RJC9+SvxZZ88(80AN>MrWSL;8*l9?KZyH3XE+!YO)(g*$^%7!rEi&?!L} zKoD@jY%FaFeV`tBXH z$n}iZ{Nc3e4xjpMsmi4xDV$mXB`*%(OkgI6Q!Bg)S=36}CdH(eMaX z=`{*#V`>NHMF+Q93dPl38LM7#E~CD6QRXQ+(RPhoRFOXb@NBtT>}B!IiwRwL-8gdq z!sU+W_&`~ZigT|i+r%|}?>+KSKEmCuyXhhM=yB?^M&jQc#JxGIj+PchSfddXG5AEa zI+;F4V$VDVj8TCSy-C=c_)$C+bIwshF9n3QXjX#gVEOP()DbtxpOfW|DE?L?{wQVs z4!C&pf$puh=ZPMo)mI4NkGsLWCyh3JN2Rv z(1ZL{Ik1Lu3Ga9VyLt8EvlPuM*F>xs0tci3eNCgP-S={tL;B|C$vbBoVK3+|mIjbF zqLkExaAks6NOj6vFuQ_gdpG25z#YrHG}|qS!Pwacb4<2KwwDBQjzy>OIZOLg~cjlzn+>i4E zYLOEoSfX{_GM=7)6pEyEA;TtmX8V;WgO*by$HB>rdX1(9v=>Tf8TQo383HLSEqU-j z#9Vr{*wD~W&|%WgvhuupOPdvBy}Z1{c!*noP>IKNJkie4v#23$Fgr|eNw`1K5LizX z8h+*v%(_0jOO| zoEh^F#ZeTED-!4CX5vo-xElyQ_sb-!%R(o$wf@(tG5YdzZs!;>-ehrs+09rMfg)Eab=CkKKQ+4WI5tK&?z68@t^*?aiEbim1#KKKKpYCH zI<$dtGL8PW4Ap&sVY-&)2arr*@~2_rVUV|fkc-Y;<7j?- z`?dYJMa;&As&K7!f{G9({*|TPJ~SdJiZ*&HLnVw}WiUDy%#j;datz8WM|@_f7Vn?&ip(yOEN<4-*#Kka2!Z(|%H?1l8deWOKc4&>e*tGJ+7 zBext*z(Z^39sQkX6tiO(!@i(sV{HqX){l|Y+Bn`tTM}UEdEvBw@?p$zrpEzVS zO}Kd0L^yQZk$UA{Y`IKO$3>ZMV`v7!Rr|++14^4`QG+m5UW@5@umUQ_Y@T#a@) z9n$tm<*qZmY6lx+7(Fx+E`iSvg&NTJn3_&pcz!elj$37@p~bK=2R3JF-#!0=K|Zqo zX?O0t!KIX>f*p0sFb%xl@Yy>;K0eeqvFupDu_uz?UUIY@gB zEg1SLbd-F;quT!3$r-mqB8C5|BupRh<8 zJFRxTs~Q~ID$3xmv;2;OAJ4Ycd4ji3j9Z6$kxYB++v!<*q@<83KRy6K+@qyLU1N&k z*6j+S5hCieuetxNupIn^4j_hnnWx-0Tz;s5A$lw0>OwGyVKtyJ+a_JHpx^r`(#z&{ zDWyJAr0|KZimUSiw9#5$R5=a3C=;dAN{Yz84|GWK21$Klty{O<#O(wSSb*xZmK|7M zdwN}+>LY8Jd86hB>A0|_9Shr_svG1_$+|AdXv^_F?rH-YKER?YQkl8{%LRzR&Go;; z^}mS|S3ADm+yRf$Dfqk-ohQFKZTENEaQTP`Ucx&C4>cm%(>RZ)o2f9{9JrB;6dQ}Ll@Bqnw2~YJG zXX{p*w0+|Fdg21DT#u__d!ajT z?0@(Mye+!txH+@$j7G38=aof0A4c7lY@}bkAWSbYF33fPlrf(OykTff)N@WC;8#l> zBhl)~{?xioT*vHAr2m<7{u6Rl*{jQrQ#8KlD5L-Vcy%g16m8{M*7t?wRULHRwOFme zx0BN^SNg8|T>(uhw96wWQcCIoy%$Z5KKyhuN@nd_h#?Q(`sdxm?8Ph>DAX1}913K$ z+^yKMbJKOh`MW>Ez%%dNaI?(nxB{6(umfW@f7j+WHO-sSew39J5w}f}@o-FZPfJGq zx;hu}(;qCu_mM1Y=L>d|UIMd$c06wOC(wne;^131&jANyS8hM`ed^fK)zT-4(5Wgr z?$Cq!9~8ztd?lcjvu?gyWqp0{#HpBgXa5-SkKsbLZ8Q>l@$XD=vc?LWC;*X*xKOKBopPUIs3+p&CU4R*doYvuP8U!f~EoEX}&`Xm}l^ zzA;_f?kp5qTI0{PMV8l8&y5vWJ5KdePuSUY!*sZ5pflWz`?0VaOPNCUtd+>TjC}73c6SKxvR;)BU z2y;WGiud&`CIFY#pyO@@&3DJT)#FZrmbpwa#<5kvCmJ?TZUvu1tMB!F@xOyiO$!Rl zawo{NEd6+Kq}$L>{PS3j&wx}YPT}*!^x{pmM++;^RhhwJ1ut32LEK7EmzDW7Jo@8= z!QtH#e(!y4iajdAjn7t(Oh-xRsoAyq;!50#rmI_#&ykY(TL^_ej;BVjT-DW|FK%^3 zPkjYdSR%+mwfZ6%_h`o!EM6Os$PGpJB#E9Q#9g08KNG<|$cb|pm!ie>Mqq=)W4JT; zpxN-?fva*)P}(ddDCjDb!^wW2NrK+sCxqn>c(Y1IHZhJcF7-P6h^yo$>M4$j#Cjn0 z>+5~q`F2hdH|*kXuXK>R4DEEG^A*X1B@X|pzu~AkU(zyuut9#9m05QoJ_Hd3LTE8C zjF1nj>6BWh@P$2UxCvM#$Bpzy$h7Eq@e62{IT@UaidSA*zg0;_sgra@LklG03_%QE zcJ_aW>Kb<15mrg3^6jUKs1FuD;o#Mjxj&~_T#0rP+a-i8o_;y4Hr%)Or92Sb2VJiL zN&VWh!8@Z@1u|VxVz`IdJ>cIZV9;y^p=%QPs6(c)s=R0%t7>Gv*0=K%+Kd!5azxJ= zz4+z0I(t->x0O8VMn1ZjS65>@#Hzk{14Z@X*QYnB6YCKq6-r+Y8T@s5rFuC&B}m^* z%B*>4BDCEvU@OMZ*x$da^-ME8AYKBS9 zkPS%6*UojcDK}0Ye(B`H4%G5O%SL1h26mr6B()IVxc@-&46fG3ujO56u)Cm@b%SD1 zT<_49#D~a)z4G#&d`-LqMHhhn^yW;wJ32{yhZNR^V>8C~iae(T*q_hOS^R*2K;*p%b+zHd`>Cmb?lb0Vx0QAX{MHz;v1#2H+xr_7&K4`aC@0S_Pe+6h{oy9&n~zfSf}mPRVfdoeV@2M-S3HsCRGqCA!-k1 zn<3w@fkZTo?SBgof;iLS&18WGv<*G$dppZz+A2w6-*xHwr@o!MDO)ADh^zzGiu`dS zhLMYQP{rB)T^{o<`F(2SPSIZQ6-H{Jn3GE~^Bi zG3_lr1Z5g;PCc;cV1ly+m2nB&youv$GNq%=9wKFPQlSu=i71Ho6SH*`#}Kb)!0LnQ z(ZH3DOslV;MUsyagsqRw#7B-irME6Fd2gI(5J<(vt-ivEN)NPHSkYab(S>syc>kmO z{f1b`*FT8pZuSl8u6FyXB0lE&YIDN7yrAy+(vj&>0a^);!cQ;|m_e~5o~VEA=NS!C zndFII8conv9;ZScJnqPWK6vBBavnVjO2$o{j!gKI~Gv?@7>!q zci3^{rpGV&f4@6+Uamf~go|WMmKY(Gw{8vb@Z>0B=7fKmpT1O{OLj%pyuWJ}_!HPMCIigg?Zw=;%hQWZtCYq z@@xNu$T7Z!?C1F*A$6EFzja;d;I;l^U=L3Om4lmG9`*cab?n|-R{VT?fsa+Ip&$W- zSI}PaNF%)|S<#^P=NW6B(A#YP)CG@AaYy_^_How`O<4Ib{B{HdZ2f8$MM+sed3!=Ha0B`o!xjj za?oEC+Et_UwXM8_DI~Y8zCFy{5HQ|Jn0xNqsg0ZQXLi3Y6s1$&IjkYxMRNO>*VomR zSCAI&MWHmbIn$2KEH%Mk)B>>I`%x{)nX z_0>slL8hQPE5bNip%?L~&p+~T{w@w3yB0{piRRs+{$DOv0GWstrBF_IPCtEF#Fuf1 zTo8{) z4VdBAyZJRApp7heRdgVz+sm^HR|=@da4UYqoBjlh1t|SSLU1ZwhU@Wu5R55~TKu(b zY0S~T6tBl_R8*K8IS<<^q-wGD(Jd*CN(*b`q}YLJSuPdrB|{6tp19&os|UmF5<6Ro zvq~WP`BBIPsw5dCZYW@WzXUL$g97ST|DQ2L_FOYA7h?x*cDz^pmk{O%rZfvP^lC4E_3&oR}pRj6rn96Fy6 zp!c``_pmc!R&ex&!h-CXa?OIlEYAW4t0>T(6{%VN9ME^De;h^dr2>rII@mFM2st%Nm_g$o^+$jG#bpBD zO;H@7d`yQHaM+M^jXP|yV5#cx=ytx{SDgI=GY*|ek}t_~Nm0)~Qn(?$;aHuKzL?7r zNpl-t)19QB7A=uqAT^tM-hb4j&lAq|hBL2;qpe!Q1 zCadO!VU0GA2Uc7HzaKE^8V1>dIJ1P{c;#T~xMtk`gwh+~ARbOeT8YHqbk)#wFdo^< z9mgAI+N@v02hW0z@PH6aWFv!gSU=f6ZNjZtVV*?Dfiz|~TO>mUv=3lUBv}BzRs~iU z#u41rY|LK+7PdB86Rw{2nrE8+=V9utr|5*m&*IYisAI;vzi zYY)1`+C?g?|Q$A=S)51FXVtRM`Qj>v)JtLy}A7 zrqXL!lXc*C5ti~0EuJX#wq~OKBNs|7f|cDR>We%qJ{Uw7R`Au_phbQ>x6|H@KyF`f zB;6JiY%=kN7*& zI^XAo7lj59_F~j$T8v9J!s!9|13ZhV( z3PPp=7+^qbw4yk*SwI|;5|pAkd08aY!Jn%KaG+MzZqUCg6`S^WPR^Xmi!HYZxhUiE zJLJ!c8Yiv0ClZ+@$DY@OdVmx&P!8DiYw&OwbtEta+pz=CZ=j|!S z7sgE>i1`A!sFZxvUGrS2PVd;=Y9-cF`?1%a#7S$^#Z9VWJYjW@&XYgiohrJ{Mc`fE zP1q|FD)1-%OnB!8M7*JD#H-K0>m4LrGkk<$*b|1~!3~$MHmP<;(dYgpf7a29kG;6} zR>;8MphmX0$bWk*Z`t3Y1JPLrG7kMq^c44(=x6@2{7dI-t8MGY>F8{c1O-A7AhdM` z%`?05%vfs4_1mDpxI%F}PY_qL{B5DCx8<|c0EY6R(6O$>vtp-R1lbEiz6*pCABNSLeI5cTEP)o!dJhOd0&={!Pm~LkR{! z1d8#j)WAi~DoH-J{o47pg|x^e#J>E22zxp26#&(O;>A0Kfl9^gy|vWWrn)>$kn&n^ zh97A{7%Q{Dfa5oGx_!0@H|@n+w62&W@krsiVKv0iBPz?uG{dVHr%EPnAkX4} zsj1iU^EDGVTXk_Nbi;kCMkGL7vDQ>RwpXFn?x&VR#d^k{Hn%V3!X`YyAty()qtf=jgJ~^_kiUus z{<>c83-n+!daAR9HV|zALgPr>s*5*IK-qCCh30oxQs!06A_N8U$;`Cz|2WuFV}CPq z`>6~hIkK^rE@#v`$bBM9G6;6%5(1#gckzZd5BK(694L1tLha@Luuu|Yd9eKYM<>Pb zuXmDj@nQYe6uG;(D`>bFv%}uyFKzU9Z2wZc=>l=XWWIoxKoiO!r<09gwU(j1SO*bd zDfp6DbYvj}kqc=W4zuxk_GleMi!#KCBm@_eLQPTew zsh`Q6@Xk)^qR$Pszb?8uZbGDc!tQwVPjC@-peKw#3HcBY-6wzbE#R2UxGvtDu{CYU z1C8VfCf>ict%~@UMA&{|iq%sB3jUM*=|WWr?6<(&oloi_`d}}s+BQt5ayKAdTMH8_ zEZcLy0UvM#Xm<>+uf6#EsFix`f_U+D>!O~Y1tw5CG0XbP>2^Cs=NSqFt;Wc=k!cok zJ|qZ@^^7xJqm?jC!aOCS7jIj@AHjP2?%lgo*ayBa?Osu)U&!$-BTA5`$=^NU7G20% zR`c*w+rJLYNwRjqMkv1eP-b9s!Q~OI$BJp}MS?o2Caq&aS%%kLTS`MtY`A>p1VqiX z;Nu!sTe)*VQghs(x$%L=bb6LcBSH;#SXo)!DX=X5zDF;9m@CvqD)TzWIuF2nDRmDU zeBhwDt-mub(WZlFO;AJy?OV*BCU zJDST_e88kQ2qB$90lqKOws1}^_2Zs5Gp6R(JC>f%FJs#eiS+5MY zkSVTID!hqc^O~XfpaY6SteMca^5qBvk)%G;w*UA0mv*P^s%iok_NB3BYvlOW5&3U? zHQ_TTb)e|jw9ZQ~{#+O+C&%oKLovS$A{R99r?K?n(MyR7E*V1-3@|rz&`HQblAuMp z#Pr$-#fuNYy_Jk?b6y351*qe*3j*{sMD3t8sTzB;748~O^sI*kbu5r8%F7^geo@Q2 zciV*snFR!rk75vhhkQrb{m{HX-E}XnP5{i}lOxjOdjQGYDGaLfwtd~_Qvg5lVVsFM zM++aq>!Z2m!X7Axh6Wr;6ZIuvh}Z@PH{Se0fN8f!rr)%;pXDN$C8xf<^MbbQtIJD;nzkQqJ;WHGPEkFjrCQvids{=}49!M~}Gm0NG3uyt4nDW&`RZE-p zf9GY21N08iz#b0Q+xL!%tTaJLP;LGk(C1Bf0!B zXDWyj_jI;NxieHo5=1DHhi#_&m8<=i5F&=8#omp{?IoJk1qCDiL?{&7$ahU4h@6{J zU|D@?+tvYB6azco=P!CD&D^poaB>?bY$Db0&cS%+NnYmYdO`zizYVA`j0rbkl|&J8 z{6tko&i55aA_^j`c76ZO`XlT(=or=)EC2w7acL!pXF%Mi>MVjPu>neI-`B~h+!){| zdMXIHX!%2veMdvLf9%2NDUo;dpi$V()Q@ef!g)CYmQ6fjcKxQNO5mPY5Yz8U1Il zI^prR_3p2~DmN+3$<>I;a)J1C0prr!=t6fo;Qqh;SKh%k3Em8Y=Gv=#vvFEpD6Okj z#_XN;wdvk2xMUd=D(ewfbAqzBNOkq!uZK%1dHyReA8got<(`Hlqs!vizy>ahFD6mv z-}aTr{bdi`~iqYV15`E6gQIs3bL8+3Y*pzt0pcUbz;wKxT-67Bq& zzu)Ahus8)1R`3bOa1KCXIKBkpw(Apqi;$9u?J-&cV!5Wbz~CUKGrp=+oy&o>;6}! z;WFhEY99aeYp2GTicD-WXs+J6c?&`Mb5pXO$_OQMGgD0OCPoR(f9c7~_kdgc@59W9 zS@jo|n+<-;8{)b~>(_7V`6Xn#6V5N|7AYVi!Zoq|;r-zM!dFi`6s^G-dEM#QMrq8(_2c2UYb_i-QSzaT8oKgf>?FXTJPcb zNR&fn2keql0mMMsot5L73HgC32)?BV7^^s+VnW3*7M>`C#lTSXw{SDPjgYfXs<24gWHK8v4OozuYu`caKRxU z!j9Lr-H)NbHjX<>tXi{mT&US8$&EOLbx z{L9%5-UF^^GgeTaMd9|$FUz|ou7}K!HN;+Mg<>{c=m2|5*ar}879{VJDk5`L;(9E_ zIx0a#uB4qdGaU;ceuVYc`hp?h;N2)C*+}Hvfh?_0HRlhmqFE~`G?sXP9os>5ibh!O z_mQt9u%sc1XJT`vm7;R~*2YPWilBh#S>HGbCg>|Njgz`hHu|~8+SsYEc>x!gGsu#P zrGHnH#{s4HLYf$^NV)gpVP#(4kPs|F*(UnQ`k8|4N+_aEUvr?CE7ui7eY}6%Tte^S z5~@IhM+3#N2_`Fk$LJv+3@CC}@T*wE!GCU5l$S@Wpf!GGE}(u{THy3&yM44~$H$Ll zu$h98>VWS26Ytv-CXl-8!k{*YWXI*;5yT`IM>hH^L&&QP+E5M+8dJ?TNAbZ>)KiN3 z6L03|^Pb=4hV<$3t4bc0U&Nnw>V3DDp}EL%qGG!i^1!iH1Gi{co_dE;$b zS;!jlZd}(`wwrXVfl|-ksY`?+yHOk!PZL)U#$Vum0~k(U+vy0k=c;UzEkdYY9s-eE zLEC_2Od_;FHJzU@m#bw?&AnufIyzZsrO~zE8@-uO1uDT86xe8L>9VjURcHztVfSOp z)oM-rehtJf6>ML2ytq-F_Y%;SIXU1MTxg^V2yqB>2{&5Voa;W$B|$hN*M^-501$hy90 zxR<$7$0%zhEkZq(u9^AUwYH7D_LL-m^Se^7vLQKU&vY|Ktsp6+?aQpF2uR(V%tR7{ zH$WNKuUJISA^miinZCowChc|)@eQ*O%plDzY?aO$n6Z};ms%7W@>*PFQ?gWrzAwgD zf;;=;1@o#)I=q>=(?Aa>Mfu`{^^F|Y7bQddi>VmbF|va8s%oTZQ7EKExw?+SgM%WO zF71K{m|8@vB5aXNp^W?U$zDF<@pB=&N*xuEG--wklb%naB1{P-Wt%G4U=@@IyL+`` z94O`FqdC&`>S#m36xk6cl`{nebqYo5CKW-tpS`EQSrd7T@#LF{Gs;y3kb;9*gRoRJh&di-*IrNYCb>9WgX+v zkY-cG0%B^dO%ytHew-f~1Z5-Ru93bF601ysx24X9oc?=f&58X5r6~|a{mmf};zT~3 znW#L$8kfi)_=kKGbtmWn%&n7c^_^8}ob=2eNLozQMR}=?Yrg&bz0-gjj<>XGV{)M$ zgf_@>79f}{e>$3dHI3%qo~g#? zEnao}gMzHZl}t3k@b~njN}!91h~Z?F>~xj32G%pOm9h%8Oid9LSB@LtFoSH=J)!-D zIRf#FCp1fKib`|ybF8NCc~Y5&eQnaYiENBlAlG^CT|vtNH@Xyeii!TWR^cZo9feT* zTXs*Beqt7UW`@8VeIRP@tsTNPih#3WVMs@f6_dtZ_jNk>|Il)4pct}(;NjgVi3LVl)0E?IC!^BQ;k>%;|r>A3h z!g+a9t!iWeq)*@mGRdER?RD4Ht&@RhS`4ku61K)uRZO;@wMGAUBR4ln z@iX4n61epbLL3zp*nMyb^)}=On3SVY=RJ4pbG#hEERcGo2j5HPDPbKWnFR4~G>as4 zt{!_zpyp>p3PHi9STz!tU0oZ1=~Z@%sl#c2Z2m2zHI2#`hzkJhRzKOO{Yyg@3a7Iw z?A^J~6o`j6(xkcc;>Xua%?Z*(xWiUSX#-39=cI~8%f#%5sj+#wsi`EgrT}Vp4ovG3 zJ$!ocjfl^q(Oww9d$K$JHdN#Mu_CHPHl(-9!&;w8;9+|KzZsbhj-huUM1Iq^^0|8s zlz?R)bvcDgM#~&a_7m(AVk>EbaCzN>!$T;Ag?D~wv1J2KsGmsP8VD+Fmo4N5v0R*K z?2_P;0&#A&L78>1>guR5#o*u3BC5ZlX&G{sB&rHKO0!9L*uM)IcPD8tx?(CmgJwEq zBbFug>IXXP8za-)gq9Njee&m0L~632aLWe(>WOJYrR(ZG7Fy<2iN@6htZ@w3UTcPQ zMDuKuBJ0*-R5{-ef1ykIxCKdjO9{g?2!TjEBR&NXDWk*%p{W`}_5sC?z7|NA?dW*x zc@BS_#x92MUV=uNPBgc@fN&vSn^Ne~?0g!}(TBMS& z%np>?70l7Zu@+l7h%lOw;5I~%p`0+UJ>p|;Z+})pR18Tt&T?nc?jzV!m{k&jJOwBr zian-nTYalVmxPz#@>Pb@hK~p({}Lu%K+d2jN?hU%9d-Dj`^)yio||_dzN;y^mj{eE zsaM4cAq6a$kKea$JkZ>MNjYw?^rYf>2Q({G!{#zOW-a31~lT-S#s3QqPRBG0wFMc^}GrFh>*ifgm%SCJ2 z%l(|FKdDmb45`sKq%BV=0td#iTX#0i96^y^`&$<~x=T>M48-;h=H_fU**M>RCLID? zhv>po`ycJ;i3c`KAn76fIVB3-#epK{dKx(9YA_h;oUuH^InejvMXp;!NMe)clRqa| z%ap<)jx;f!pb8zLt*c~c2L_{^Nvm7S+J;B4n3_FRUV^e|bmqwt)mM~Jgk+Ui=FN5Z zcDC3wQydYHJcM<`32z9N5`3q^o<~i>if{;Y1Sp1h-bKJXkgs(N38=}yuUldJ_^8le z1icork~h*Kg_qU5F-!m3n`EegVP+_aryA!Vu3O*56YfKSOcQS6IOMJiXe2a5Y+L`n zL^|-9UrYGr?9bMCGo!w1C&VoxI1?*7aQc4T;IO0C49nXP2cz?7vmFw{a zM&P}uqv&C!Oikm~QYc4Gq#PST8c86fW4Ip70vn+W?3q23${JhlP^8rEhg0KyuSTQ) za^gKM%tZtR@8TZPnuDY=ByMOTKGYWRAF%`FJ2%fBd3r3!A=-JMGuBK@(oq_{fXmm6 zbj-Dsp*khql+p+Dayb4r)7b*UM%tg24Bh7_#PZIIdReS~zg09}nXh+wjhyGAQ13+j zMTGr74is;S$l)v?$~lPcOmenCf@n1uo#_!wOE$%Q+psqoboWZ)bpR=-0eWA9YVPWbqtCR5^|MX zMU=6w*p&xJkTjX|l^x58p-={cTOJ;J{$p8exsBc!hd%`A%0arw>W2&N-~55cs@fo`q35gN<>3*9-aCyG4FddL z*RLXfS{Or)g4sK2U%_N$q8(w`8?>DR<`D!WCdow4S6T*AFXYkioO7V~MT=@q9iu+` z5ZfC{{``9?S{WO&ijdn4M(dPKh(+tz^LX)M0b0)PYB#xB6l4>J^|^>&F+(530{0zo z{yKRbZmpN2mH)4`bN`1r-{bh#E#q>S=puw~PSx^DeE2VY{KcwU93N#VO&5} zyZYsb$hrE2S8T7o!? zAp~Xs7L3h_Q($zj@HQ4mK_usCHTme|B#0b-znVrShUn zsnhCoZGw=ekSa!OM80N{=v|7$BXqXuTlT+g66_pMr|fHXqG32ynMnN0czk(@)JWUx zzElbk8}2`#L`;~5Z-cnfj(~Xy@e7>qcUt_+#nq6AKBm!n?R+&~OF+XUkU)UfOC(?*g?tAhiCHfrwMV?_HxofkA62|`6Wv)!0;om;=)z?o8?mXqvK zgMm~uW8Y(LcYS*9 zmNYRnHPwQuP>SY%4z~6tc}#0OC<0keMZA=ZqxU{qJQoBxTB8XlvdyEaRT~BUDGJ(f z%i9z~6ddncKU@K7p$)yu)ZN6>z5Pj*NB*WeYT%f5h;KdZycZQ`u7wew{0}GLU)$qf zB$Kt;j%`(6b^a`$g#|d{OJbsKyeU5Be1`%_gWjt@&Y#dC60Y!*(h$$M@$3rzVFp8F;Q=f% zyFXkDJzHNa0&m4>QONe3(?)fc0)7;Wl%>*-<-NM9x=TqEb2+Etv9YK7bwhS+`1!r7 z&UNP*u-~=Yc_@*4Wis{H^J_q7isSwh3WrN&^llgvych)((%D8i{A4-TkliJk=I_mm zKu3y;kplW9?i|f0be4aS6j87yQCxp6V#Yv%ds!%Q4ZHG9K(Y`F?rdR7D%6Mtc@5l=QVvoad4S~i_TDTW6l{RNlXY#+K7 zBHL(|s#7DjwK`bK@Z>YiiBl_`Qf-4UNBXV;8K6Xdz-A|>KV}`gb;cY|U0o?#K6vNf zpQ=5l-2NFAaT-0Tvsjv#Nrgm!lT|Zrk9JJ(GcGr!Jo-Ej3|?L3MMu39A^uumm4xm` z3vv(z!nrdTNP>0S9_*np@6w1rIWtPng@F?8&tn}G)=`O=u&J+^C;p?(98rDqZm8V} zJtTnINMGzZCA!L7O9RdNJ47d@ka&_JkRo$axx;5!Ix*4F{^smVOc|8R zTuFYJ~hIi<0TZ+IOZ+cTv1Pt8%y^~PsMHcbHgC1cTi$;ri{pfP_wY6nhuoFwXX4kpw zxVYxujWOEY8rbXMdNsT2kY|ZtQ(-GT1gF`h%ZgYxxgn190$nmO5+NQ3wdDm_)GVqr zqK~km(cqd=Q{J7Gfxr&0!F-=+92J~G;+5auDr`po=(l7qdD!UCVgC@T(UAr>N%icl ziBJh#1N7nDL{cT4#VkOa~Mt8;dN{C?6h{HS{Jym-WJIM6&m5$ZXyMBTDz=gu} z@fkYJQo#g}J^sgGmjF6FZ_hwg*s1sW-G99*^g3gpfVVwK2h|isd%TG!0LB5Xf;H}A zGI)O6nN+84y9{|^moX;*(DXLoGoc_-tb}6 ziNcQ9&5%ni7=1M9qZ?biE&*)p^W^4L^&e!-gInh%yrp7yPAjsTLfo|xJu?RYiiEz@ zW*4t5R88Y$V5nciz+vrc;|JWwuHkdHHDrQSx&G{ygRoFeI0#3R|J6Hy{M8o9QOthG zfUow-n75=Dyfyp4;*|r2`r@?RtNY6oU-Q{sf0-;51{<%ta5Q|dXh_s)Hc%}sMflx} zdOY4NY@I91rm7LKu9S~~gLhV!^_DrI(a6_i0(RQw-E$l67$=ZWTqsGuF^jbTEPwse zcafGlO+6_eZs!O=Q9B~FF_T)if^@DdblQE%J6(q0TXp;aq?j(Ju=V}d=$;NT@=O4S z7Sqi^EjDF;9tX;*1|XlnS`3$ZP?OKOAx_lRugUIIXVJXPf#bb^mQ=} z9PKDfy!X&e965T7B`$qs>ygl-3%`a(%Vf_6RrGApJ*glzE~C#stADR#$JbRP#V_Z? z`ps~@R+#O)GNC{&?PM-P75sU;DIdC!HGe*u@H1yOP6NY{mhqOEQ52r<_~{2=<{1Sy zmvU~xOLASi^Cyg}e8?>BgiWr|&%D))T@5l6mE+AR?4Xcx^ez#i^Hr!C$uh(U2HZ~I zDJ4!0rwAA74H!&fiy|2dtRE-~>hzz}#gk=U<}PHZz%|ebJXhdg+Ji$wzZFkbDUc`a z_|wZ3v$K00CLh>Gep+T3XuQl~*Fi3DwKIrWFJ=^=n{Y0c>y*zDgG&Wi(n)L$dFcA< z4uQy2_H$tjJ=cb0JDV(=6V7FzV|pVvV?i`mWD#JfV*gf8h0uk+#z=C?$(Bg$(4|6Z z$D33wfl=}yGG$a9JU!ic4RI;>VNG~86_+DdMr&!Jk2Xp!R9PMs77BZikJ<*_2c z_L_w^zTtWOkQ*gw4{lklPI*I#jAo;Vb*Hy{Q+pp`5=ABR8^9Nd1G%s0 zrDy$WhFG4-qgg=PTF`IECV0~TL$*eQ!)kHphalwGGNKaOl zbHwJGLIVC(ha!xM&t-$|Mvc~AI5T&@_Vs#4-pb;QEE&DRV~U*90X ziR1clghbuD_{QO{T{Sw|=_kgy;hTUl`Oju?)gFelY4_^hNgZ2O*-KTMzYqC(-2eUC bY~vT1h3m2n%HDRa1ilz9-#cHUhaCGK1~8{+ literal 359085 zcmd?Qhd*3V*EW3g(MOFQy>}8bdhcEI=!S^sz1I+(A$p76LJ%c-7bPSJLUbZp5Q3=h zk^6q0?|c7-*KhpHoH@?fXYIAux~^-j9iy$OgpWgo0{{TNin6>e0HCV_0O}b86Fg!X zik1rgLGjR4k_BofXm-F4==L%iG5}DYgnMm;0e;4IRW|kj0KxA2UljkA&@=EKq8*+X zc^YY`i`uw2@mkrsSR;6Som|1Q0YF^J*VW3#5#dR1jj(rcmS8(85%(1Zui%96w4(QQdg1IL>MOzc@4}+s`}@Ou zjFRH+wsxYr@{0dG1NbxfS^ejxw9fl2=72>7hvyZ^P!y_x>kx(H{`q&}eSxYIIm0pONc zMPBBKulfFxk1y%hORS?qxSn@2LT^V|>nBxD)O>r<{O7%<3`ZrrS5tj#ZWuc4T?&a&c0OhaTdpa;n$YNV@&On=jAWT)gCHw{B8}ZuTJK1 z5QPUQDflmXKH|G<$jw?XLS5EGawI8U2%f{Yl1iGttT;(gq!Dh%(+*MY^kC0Hlp|H= zA<0^Oi+y!=x5c%bUOApAK8v1n6`shE`Fd746bv6C*`mTgF805D5Nf{m$-0H?uBEPb zQbMPksGiQh+qdY^`5~`&d+K#i4Qk8!7dvQkr7c*Y;cqrN#Gn7teo{aU;B_`#JtyvZ1f9eO-ahmnj; zk7AeU60bNf)v^7{B(v+T?R;^|VRag~^%-RO(2J7Eag_|AN3(mSuh4&OaQL^~TzzGD znUpl~gNfTkzAg80mdbE19Lq)#FOv7YQHf_i@>|@LDfWNt*Jts1DS!xlt!K#3$8P7{ zI6t=#>KIUkEM50?EMF3tZN!`A9l(bdt{2ty+%J~g1j z&`?ld;Tnd*Us{P&R#2p2^;2h##_AT6nYT=hr%jc(Ej3N!@j)0We_6aD%p->Ac=ZnS zq3iKjcG4{Pxmiu=l_7RnU*o zL^y$Kt?1dS5K85{OBJsJYGJfeVhIR*fVIuzFOW+BGYEXTEuSgGP{tAMgX>JGO94Yc0$h^qMw+AdzjToNTI`k<|qm@##NfpGFM~k_NL1` zC!yOEHB^Zrj;FC^-rA0`no>mWiF*%$tAez&t?W#B0G(j7%R=z~7?95)#H9yA1_m%k zH5(a_KADZ$BJ6T15M(=xgksZlObGA1^HQ_>W7^_rP%wiyKwe$dn2I{iFB~3Ls=t`t zdBweS-#jb?UT-C*M%^t^7%w~3~M$NB9 zTQ6&gwnSh`X-OV=X2Ccc6fD)~%mVWQL%rB=QN%d_sKTOCdtUwiTQ)I)ks6SLxl?Sq z|Ezy?Ot`!gO?F)_5dvoxWv>gk3_c?(6$HARmypIU5o&h$#x0(9A(@^)usz&K8ef)+DAT`lfs&3Ehct&H0oGNk4kk-CnzgQ`UGvNNB!yi zAm;7-S+BpGywjnaNuJYDalmSq>xlW9g;DuP9S1Y1GgSA_BfCNASLj2Zo>O_BnzT0y zus5*%jV3iKhJDw{RHOjtRjAatM4MiWjIW>N zjvIG5dHbU%T7iisJA=_=xJ*3d+ zlf9-3nb$oQ7R@N-a2aL zoz8zdH3ZwI{!j>(H7dT|e??Y#>vt@dgHm|@Mr7M{%#SmL`2n}b&H{@NdASSQe=y5? zP1{UJ-$T5$NZrqDa2rhN9&Xx(^<9gj>7ucz#apVj=lgpz&5_zqC)Y{dX;<|K7gwK= za-)QLsXct!!+j&6;tl{5(=t6t`(Ca(!>7#-CU{5gxGN+qU9o7Z@{UuXt2_g9raA>thHyK2;ga}6y$M)!w#$CjGG5Dk56l=oZe!nSth07u+-HM1De^N(l#i% zPMXrgS%B4FGlR<{W;T?ErnZ>pm{AzSPSSan|a55e=mqjSOJVh~d;CRtd@&o!VWF7?xPF z`ItGeLyaPRTe!%(FX8*$CwH1fUdKFzbhsE<)1@3%Cmz+&X8C#EK*-_ptaC(VL0bO~6Xohm453{8{=wpYVqwXblnbpa~^76UBUck#=q^N)0Mi^hQy{6?O zi8>5Ot=ak;F`S#)r6Jo}xLC(cA?z%GFyd7C?TFkDR7cWkjUX?1nfHdlkp<0HyPgW{ zETTvs$|xwYKfV0YFfT~9kQ9U)=YK{cSOy)xiC}i*Q+*PY>v4uq8|MBWH~5$RUPg?@ zj#FNt_YB7rqS>{{najXT{?_1Zqm0{7r5Z=T*{w$QR%AJ^SZ)@QBPXL=#`L7fdAqO zD#~-+yHD5xj|@Ak>pHt|8PcGr*yw1#u@d~$b<8r1(h0`mnPacY(AyPN|3<{-r;%-Q zJwXy;p;)P7ZIe?7^>Wjq%ahGB(*k=2ze( z+lanTLX3FfqPXu7E7?9%p5; zEk1HzI&j?%kgk&xT`sSnG&Hp%9ghvuCCjbnx4BG;&+3M9qD1yJ>{Dh{>~>Yp6)t9f zeeE`Q5z(+N*)J?>IsVRZgWt~8&Us@zR#ye3(Gw_f-1Yt&G4!3CYgvqk>-pr-Ko-Je z%}|}mKLero!)=0Mo7-G!*u&wrP(Y;I*~KA|n(V7bq{BQ@JWR;PSn+2;8D+lR?iWLf zX&)lSFu`A{T|atE+lXY#16ZBixu*1? zNgp@4)TU>DS7bf4{bAA=*e_CWda$RZ$-#|6i``_y9tq_ieh(5$1&X zdK$`>HfAF1v2{2jJZ^6s>pIhGUQVOGA z8N{enb*-{4q1#?Jps}REj#%?5=HDefRBKhmaXpqg_V5FeK=+pV z6U=3trf3u!xt~UYg5!GoxvjKuqNydzYp;z(2#eBglZL=MWOT34aBbd(`J3BI2j=B+ zoUy5gEacSM&9-(SaPqw|^&4V>NJvU=mV>>!z`E9w7KyiLvTOzV#M`AZqV_Ri#&f~4 zZU>zMpAlW+e<=QH*^=5~9E$s_HjPES;0rxp*zWGhSFGd=mGTsg&1 z50w=ID5&0i9a$icZ`{0F%t2Og5Vdc&h;Uj1)(O)i0N_=AT^wVSDTj20>%UWey)#FE zf9PoL5U#EgW#tNMWFLpDSZ2SFANnT7In`2L=(5LPH$wVZgMdfQT`w*-ZGm-KE@|p% zNuIOoN2)Ny@4*GQSctk)YN6`X7u8x}*%M`Z*!NRm4JI^zo@y%@0jydK$nJBVzcP!P zYFSE+YWc1C_4_7`#6S;x^+;FV@$KQv&sxLj#a~iIr{cCx%n#*;w@FEtzrR^cu74nn zyj7^o{qzB$HPR1~oz zy1m>se&41jGgxCw?!laH^M2UhT;moZ>tQACvIyOsWOIZWCbRiVlT*Q%sx$EkB&ywD z`dd@M4VS$P$yU35pT@n%+*!BNAi*g!F}UE@b~m*a6N>d<`3BC|Q+QA7?bPL9rmaMI3Y11I8D3<62UeO1!x3MSqpX=28Fn0c|IJz`7`$LY5Fw- z1BaX$1fX-e76C#tc=9DfZje~{8aE6K*Z#647gwG=f`9I6z_FBhjXk`^0D`ZN3-I^S zKlx*)!&7tv>^STOfz^xaFq`($UtAz*$wWA_vPOY?Ou%6Yxw8R!tLWYK;Q3=ag8!-y z0WAO28+iy;=%2B&5bLb^Bxbm|^w;<>VuzVfl#Rwf18&s$gELxCd5KikAXi0%CcoQU zAIVaHD7!0%OV~yACj`Z1C^yVbxjmwGPmP62BlkHX|4@JuK(93oGXB+<9<|3ViTKC4 z?d|m>IkI8_!zN>!Qq0j?fb_yU8eU_jLSivOIaWZqy59}9Ms##RmPRbiqAiRXgIb1d z#0sBXD=HXVcDiD>_$;|4-c-Am@cpbxbvt}f!JL)=RcMqk4e9vt9WQbU4OD5%Kqt>T7dvtXye>@BD9%JoYjqj#Mtsu)WKa_FsCbm1${NU|n#8Zup6t zzJy|1)(o!VIy!dQ8Gkv596h!S!;!7&J^qTGi)ZtlPD1t-9{;UpD(%2)o}g!gZ4d2& z^Dac*Y_`t)K`(iIE^9Ea=i#Bv7$LWt`V_6}HI#A{FH*IE1^(llqvK|(H=@q*=3IH6 zFUCqEof4x$xa=GRseACBXLLInB%-uBo6I!*`z!d;($bI+@lIL*I(S@!P~3y0^uR&b zCrBFX>Mz!FE4P-bWJPIMVgV|*9ikJ;M}9q>{4Of0ovrik)L+2n`qKd z^hRW+g2Pz98z8Osl`z3;J|6$oP$_qoZchzI)iF^7Xm^Ol4dbr=!4Did$yy*-=<#a1+x8_?`( z){ObEEpoc_MNwyKv^fFKhx5s55Yh74_f~0q8ZKA>wPY?W8rvSRF)M(=zJm^6U~Guf zTo(=m!-RmC+GlOi>24DNZqCE$>;3MrxH!XsS)dM_GoTA%J~gPIV16Z$7Gy2qn{Jx8 z6Ba%POh`IrhNR&#@RfbduEfQe0}sL*7C%2+M)Zwiglc{3=@U-)kjg5TKOvn+-TA7R zrsfJOQ&b{wh(59Y$jmxdyL#~a!`W2;C;UPyL2i}3M-TVlagjXc_R!G%YS+3Hm4V*+ z#>T~I;i02?MD(tPsH&;0WQzO!UeTM=$`}9e?)`huww1#>W(vGpG4R)8eIVvQZ*d>D zd*v`o$bN#ZyZTnjb%Jm7^1Lpsny(q{4Px>`dT-`eLTQ2Y<@9x z{$0=_m08T77fh2MdH$jedvC0IZ;b}Y0!K#1GbaOJMzi1sQ{>Br(nfQm7TX_jQo$jU zan$LbRC5kq>a*S^MMMy*Am07XZg$9$-||KmPGwt%=c47dn9R(qmC@&lTW9^mn7G`r zqAL_cVF-1QcKe|$61Ba3P(Oi%2<$SSR5wP&L;EbQYd0UC3jv6fmfvKjdJCOyy5>H7 z-{@1Lv7&@3;pF(*-{5b5t%vs~&%DlnwyRt-lBUK{EkU@{w|5uL3M~BU^ac*SDJ*%U zOKn@Dr$Nl)Iarlu&ZlHbm=d5ELa!3K{suDFP?>+?BbBjyJI5Fqs)_?iIUo>$z_#_r zr=2eQT9BGy-Zpx42sKm7W~sxpG4vFEG&o&?8($ zq`$A8fr2QeUH$G*)Y~<5X*))UJr-fpa>K5qs?L%UGr6(XLl!j?EHMvN6j0uN986Yg zDt_`v!XSjN6o+|)vfvvVj;w7k$V+LCt<^K*Rc=MNE*eq;nv8knBAobbyBZN$J{t*2 z%}FDN=PR>i8aZ0R8duT%YcPZ9_a}lvLKdf2H-@Ov4h{|?8q?{%;`#Li(Hrs_(}_Oq zOCqBU*T3Q^g>rYU91~U!AEu_JHd-wZ`*T+gGf?oVDl5a7 zRd_J-L2?t!L&Q%MDTSgbFeqq)$v~QGnvCGVB5uddeIl}x_KS>RX)7v-i%RY1&}IpB zj1?Kp%~VcPJYKr3Qs6ai*q|qWBFoNOVh9F|;nDKRm=9cOe|Z&F-7)a$c&92lT&Sm_ zxe#7T-G{qClhx%E?rpA+<*+7Z%rru2A@dV{c86S(Z^V5o%j%snZ_x`6oMVfch(kmN zO~3&Pyj*0;juXkm)PiK)-=D9Z@t~E4X3G#&*3-Ecn40Gz&rAVvem z;09N-oTjTc4VUB9S+4ldm$rg z`^~SmR`n2M#T8#Q@$8RDE7GC7BKgKZTFIP>%GXO!?|t^=;&`L6OsilyV1K@zXLh>n z>Q{TaW`Shqc_cQ8NgzMJ)581a>2j?@GZT{qmLRjLsi`Sv5;n~j0&?863?-%-8X68T znqPCDKf9{4lP;+2FIbeLggRs|!M0BvpFh`(AG8jVee+qQ-Uu(Y2(PNP);Z|MhaXXT zs*9)@w?fwS)&rv0yOZY6%zRaAV02VI^nD<~*@+u1vtW=K&+kFVDnPI_1% zbbma!yW99|w?e0wX1YvcPr|A%vY9udI)Qu4tZ&Vg$L58Zzo`r~@-C{ik~mT-^tw-g za5UDt8liX~N^Ex;F8}6}ZH|l$T`FSx&snMy&QOgil(;z38+cl~xJubcCXCyRmAAAVYWfkcBm`K>+5w(%BA%pbBtdwXAQzs{o1 zkK3GEu)n_ho9{6?snVsLeRTcUCQs7A|9xWb8)A8zKvO3koIuIj57P=*Spo!@nL5+^ zhhpWw4VOF>R-ETDR0AJ@5Lw=XSibK?jN?G9d_rQ$QTSsmm{6&s^&>p`(0+n+@pY>D zz4X)OtLj46eOr|;sysrds`~s~XEz`VAy9K^5y6NU;x2vypU+>IL$OnwFb5fo&(xyV zLs)YHLhA*_#S3fhr$&FbBtA7*_!CfkRWWEvS-lpO-CBl*(1B$=Qbanliex|kEdNjx7HU|56`>#8NdGGq zsRCRx9Wh{CziY&8plf1s3EdBsO`P88h=aN}P4A>_ z=%-Hy<~lk80u*@5wB1Qsin?)earT#iT}5qeqIu9MCMe{EQ(JV|b#RD_>W*0M$wZni z)^fC0wpyQrwB%*pL`tR8*M^69sGqTFMbx^sUV%Qf@bc)M=&08ICZf^=pFWL4FH z#`O0$dzT8OrKJ|{kNoSNWk04T#kmREov9qNdU(?R?b|mt14BctZy!9i7Y`Pis^hU< z<}*eX&mOj@P2E` z*bizK%-pdK>14PpIC8q2ThL?zZ=P2{N|g(`GP8EGJe?t8p)QrSgnrF66zn<^KlNdk znWxQ_{vH^bH{Fh=nO`Ku^+eOy~y+<)^88GI|~ebIe{(-9rf4Rl#$A8B`s*7C}@gajFD$+ z-`|IDjiX1H9okO+9v6kaaH*MNK%r+VJ7jueKdIwAXafLu--3hY9|f`REw=ueGj8AP zQg94uy~9NH7T>Okg;K^F7d{yg>{kr-4*=%hxu4B8#T^!uW{XAh+jVHM1dx(!)1aS1 z0Lb)bZ%yq)`D5b|lp(hlmt8<%VOK5T8+XHYC~p{I@Uuy0YHQFXHF;#W;Iwf2$A-WT z?lC4(1;5dT8vGRHlHTo;KMaviBYYWjqWkMV?|9NZNKo9KnVSPO)z_5{3lXVoTD+^d zB>MU*BO@apCK_r6|NQxrsxi$yHZlU&N0wXxJqu|VlWPm;bKOhp@#L4&?S`z=<9#Y)@DDoy>?>0o4t?Q zEGkpVDo9}Upc6w42-V$9W#(X3LG}xO%4Aj<0|E&Odk?(3^Yik8;vBN~cK7z!cH6qd zg@imPN>2-3J>;|54~*^?Fq(cJjj~S=-EX?&>=U5s5T{q*F%e*AYkT^dxe_>&6dh>@ zY_y{2#Ac`#^V*%!OGogP&Tx(Wem3#9>X~rWRbZDaU=K+1Q*e8V=D9d#8$fYhcA>ue zdf=Uu#*7`AytPxu0SP}^xioVr#E`kTJDDaFY6&$>(jNIGwGf89IS?VDd;98_#}bL^ zvF~p|YeUP|%thmS5O65iP+KF!yiYo z^t=bY$|2%Df}Ia)u8H%Vz1Bu)6!^Ati9NmmUEH^RH;C0+f?@2=#o%|hR*Tzz&VQQ( zh7T^gWGIml$4`ECEC|jDjR;Cz;B6~Bc*|QLJW*k9Nuwn}(f8y;B zo-FGAUA)Q_R}b(TUqv&d8k8=wYhQ{yQq!74AUI#sOvtmw4xU;ta{lc#r?#Zoq?X;+ zfsIeeu1Nvq4v4j*wZmr@7!xfsJIMp!#dvt_pmpTzvFMclZ93 zU9C%+K-2Csp7B?W-Xk>%xyCufVKl%iLS?x_nUJP@VP-HiY@}uLQGb|OP5p$}o(jZI1J(@k8T4){byCB{jvX`PEjH9^6 z8W6@ds;a6{P}$}3v~Uki%Ll}HyC;9$si>$F!9Y#@+|ltNx;EFCAPs@i`;wB)s_);w zGjVZprlY`EDeyEma+8tkyN$t|L;=ujo?qqs5rAzw@{FN|{yKh`my(qg1BUiGaAhT> zBWUX!sMt%>9kT5)P{aBm3~H|=t{z0w{>=Vy@^`bXt?fa0?ZQ-m|Xf+4uz`4NKjd>R4~y^FaQxhiHG91OJ2Y0cj#ODJl7l5C{lj zl?x%v$*o{ZY)xK9YQMiPW$C6aE^8lRafT zp8@UWN2B>f4VW-$XQBc_itX`iwxLi1r4m$tV2myXO=1Y%gDZQo7)<6@elVBvSL4B% zPP+>u?t)~$)Zp!%_1!~(@L;9*Hi~Y(i^xqaT9#(-2QQ@yJX`H zYOVu9X}?z5o*B50KF7Jm+m4dZ!xur3?aZ~bs*_O=0xMM?;Gij-<-=3hO=YHNCm&rBt*sq{Mv0<%X z_DN^{(|9eTAo54DY?l~`m!nE9?gl99o&8S1uw{K(fgG;GNc@HU`_&Sx2Qi-0Sl zxQY|DJ+hA{`w?+$C6ENJ3)fV9AP?FH-$v^&=L31iZzK{awy|h@ysF3yg$UzLk;pzC zn%nM?#F`TvqF0%Uzvw3PfziAgv`)fA4U>1OtTE!oR6!bi4?15mPMgxJs;=f4YbXPx z>7k!_#&oUgDPY?Hqa7sZG8g*)$}^C4t@bm!gY)wz#C^YBuN$*EWPi%;_1k>}>Ht;B z4I8A!?)fY#3lJ6We5SEl4}^-CMS9*gN9?wHUnxq$B02>I@8h>Wsf^wFYhPQ3N5~*) zlvHC+>{Q$|Q%JWHkelqb=?*?6l{lPm%U%5QdwzL5baNo3!stPyRrJ}5AX;nm>#Gx& zode>ENDP0p>8*=z{2NP}#!UQ_UDFd)Mk}@vq`7Jg@-PZC;Rm!eemfKyhQabDDoLW9 zr;qhn^NJb7ycDJ(uT*=~gR4C*Y70oNlJ$pW8qf=@=FgGGi_eSTKcN|Mcwcijl|LEN6F0eF`8{2u-mb4xm#pLm<>)Fmx7|+tBBj%W8xAMV?Uj%hs=NT1R zXo2DQJjTRfa)ktSvKf=ot`^HM?$fgB3WwUY^be}Y8A97ZxD1juNXBn&U~d*NqjHwk z^E`~Z@-7YA_-l^@qHJ&$YMdw2^CT1`DZt0?_nNxp9W9)ts?~NxfJ*+7mGg_2xOKWp zTA(8P!KW+~74JTNS<@m#X5)a07vCZt8u?#fC>#Tk^TTSJk`0@I=ncOgs(5!W>il_P zw*$OMCKFL-I(_jCiS>i!i~-VDX8>s@xx-wSQ-^J%$-RNHm&Wbw?p}U)%9~NUC&pe{ zO<_|FVMqm|^BWL*w}50<9r%lnk53<2V)vL7MneD?p@~8GBLy&Jl~dOjkjytUaf8wj zhwbw#LO0R8?I%y3>;p&gMH(t9BdHt1N!>gYc;@5qf;UxF5xV|a4%ueF>V(+ges*5o zjhnOcuUFaG*@zV7%}icGak0Q?L-p1~+iyMkb#P4h|06+1b&Vn3;9X9Gk|&Ec3K{!T7wXE?`hQ$yBPxdAt=YBe{^7&Egp!WeTuvFyeqxBe4(+|x$q zof_=QE7epK!muBVp&8+BQ|tST?R~!kQv4k=n3Nh8MIkV&;t$HO$V)B|SY zMl{k$W+}DYtTX%Z#77c2{x)6UQ^MQm+VV(>)EX9R5q&($NKUmU$I12Kf z{ig$sPstZkf4gd{cxjJ((J6Y~k^B}7xgY*Uq=TsXx2EO`CD>iMz}Pa=YZ(?LY8CJI zOp!xqv~>JxD>%-1-F{oe8nFW=__Iw>-;Q9VoF%?xye;)^SiANBnEefXcxU*IV_J%4$k%9AKFD2FH89S-i(l-n|z>I zeo`?yI=T(=@x%|Hz%^IaHMBf1+26b7N)crhhyl_y=40Qt{ z4{ftqVcSgrKd3T^tM0J0)uQ!O2X_~cKX{;(FY2}Xy;85-J2Fq8QGhBT+(R-H`rEZ* z_0PbC<9mikQZS8C#Pmd0RRu}VC81iHiBGdcEKK(_O4D0{AW}blD>_$`jUlpz03G$w zvCVx#`LzGRo*B}I@@8(eNXWKm*-l_ggX5dCpk#1Aaz_dz4nM!RsN3tO{<6*WL2Ffy z(RDHTVY8Q^amUDe?Dh2*l*Gpd-#1LLF1XsqG=wldpg~k<~NzDQ;H8OUbBgI`sXP0 zVE3LvcHA`+`H$?XK4H(UKB6Ukd0zRre)2w-ZMtI7t$Mq7d$)-gZjv$U`5Mkqr_=Xu zV;sm#2OhllF~*T9aPwxRfM5FV`ljNGir*E}Js)u&ECp{4d0K)Ma5M?2IDC7J|1 zK0=B8r#G|>$sbr^w7*2gWfw^die!Q1S{UuwLXtF*WWc*($=n&@&!zFEd>K4>f1ghq zqWvbM3=(}k)9o=4<$5F@S{*q}0r?vf+VODO#^Z6Ve}#BZdt|uGMj}=(S!5# z4o0d3#XL>>XlxSJCmCsJPhY2|o;*=d7_<+QUTp;l$%D3~Uo2$~pkBZxFf^-z6pj?| zmS-l04{y0Ez_z&>8X7L27#em@Py~R<#3SdiQxvHE?2Sp&yQ;gnxrHPRH!{)?7)Hy! znGmuQHg^O?DBkVB;_01JFmcVy%mgYx;JkE@*8aUe%ch2gA_dTf*B-&~V_Q^(Rh-YC zKQDvImZ7kZef;?I%jeI)SVKD6YMSnA4^8!HaYs-%d%MiU{5|CE#t9_+9>YfyL05pmxkx>e*Dp?kbuG-X`17#KfOy|HKVB zxqa1IR%R#1Pq9ySXROlheQ>?CwZ)ePTGHU@)4IDq&V7CCzFtm$&D%z^H>_78UHy zHF`h0nG-(}Z{si~D($iwUjMLT^V@VL_{gi4J3FP9J9P%>dLZc};$AZ2qh?V+RO#{D zT&cCxA^O}FYzdp%yF(tE$Ywa%)Q;9a5N0Na!RSOf2=_Qlso%;q#bS zIr8tc=ZX!ls;W`|B#-?&MIH$Y$K#-*@|AWg-4`eB(a?YPd4x~~cUycF{zRLgxQB)! zmMjHQtn%7D6Je^i_$BCGY+CtG3)x3y;stoeeh+~j&6-8+v+P$sx#f-@JK20Pmd2rn z^b%G~pxXL5U7l3k(INgnb36V2OwHC9ljKVTcyoI#eN160jUbe~(3X9bAwZ7v zQr3~U7XxTLUk>Pu7x>WJ{P;t{h73%s+LDp9E>UIaA{wZ?{q{JN?te=brSv-Fe{H}q0raJ^i6xpVRZ#Y#yN@$!ss zKp~kbrXYbYxmUPa(0b8jqib*KJ;y2sI`ISUlZ95oB)Tc>9HtwhI=mF!HJE3v< zqv;oqaUcZIOge<6fGP&2h z&X6$@tSuM=bk()B`GStKHC3nhptNcO{nwb5#cw5RQ9k__%o3ucfb1J>{Lpf220qO! zL4=!sz<)=1rv!%NVR$#BrwOd`Nid+Vxwek;$5*SVsdcN~KX91VzhPSUMPd(wB?%EQ z2{za6@#Ae64;iV@LoZl5-~OkY@~C_5{Ay7dDI5lM&zar%HHn5ID0?sHXXsD^G=4<^=i#-hm82TokkZw7YPiH-d8#EH8q7?w; zW}oRr>~PoB`<6Rck4Dbpw{O9P0}9o{t}q%wJR5oNHhrhw|5@{WVF<8*5)GqCBm{HU zqB8ST;ohZ{Ub&`O>ar#@5^dVTo0A{(J5ZC*@)yrfOi><)9kkw#v5fimBz+J2&3u-c zxXI)4h+^b-mz-OobuX{I=FG}VT1e2>dYHjnj zbM=5H`|y16x?we&Tl?dW)#9=e{N(;y0(EQUM0%RSALCiYFP7T86hP_+?&ipT2)2|E zqS2ROlmA;f7iigFAVe*g^f53qTXF{ehDjH)b|%n6u*g%a7$H~{Z%teB4~~8Phph#G zO!jb=|Hitfk;yS{v_GEuclrUmS{C=Sr*uDifCA*hQ+<7T#uOHi8b2(rd+?tXdJ6-E zPfoKrDI_y??unX0b2mNB#l7f4APu@Vb&o*2094jo1-#I|8(bf2t6I!>(WXtw^jf~N zzh7wt+>DmsfXd{AHOBB>%H~2D?FNbd^9&^Pk%2_u4D)8FHE{18-G>JnqyJny_e7E) z8ZFA|zS^)iJ@?-&jUnRq+-3Wk?Ot3x%;+))rz(y@gbjj44{EqtV7V_3tnsOVs9^Q< z&sA*5g2D#nGH#D6j)ZUweek~%uQxKG%;A z)b5Aqx_ZB=V1P!J;8x21{(cUad?X1mBZkZBRvzp(2AB8A*%PxJ-~#;cp8xDJ?0ZOq zLQf*ixXR$jWF06@+xFbtzwxHtb*(Q1mN#KtKK(6A0CQTn_k-`kk)Z-4jC-sw4FrLQ zGhR2f8%a{Z-u~@DTjy66qZ1Qdtql#o^DOy&z5f}V=AY3EIrPfJ^HWnjoDhhuZm=;a zW@qm_D(K7k;nBxQk}Pduqn&CnmZPstjwqnu7(JSG`u(N)p}IvUA35(+m;@J>V@>Ve zD|yD9>X+Rxjp;%MDo~4iD7F?!;;e4b&37Gq=K&TgGwz`wDITVl+CP7in*qB@(4Y*= z#}tm;5!v;1Sa_j&{;>e*A4Vfa&`qviMp71fDAVnroO#{dOniRY>bh0|nyVgEV!$)r zvr{D$)z%V#7Z%|LzmvVZykVY72VIoyz5|M_ICMqfI}(lPjH{35Uk1Q>uo0>X90kBu z&I6XTB>$EaGpqP0FcKN1`>xWzPZWsKW=A(pSChOs)f0I1j{Z94;3VS}xKJd|5Z!cz z*TTX^_v7j-p#IB8zBZWvJhPhurOyPgwj zPJ2n**!Q8tC*L`t3zNr%>H0HnUxx(HgGO=wr)0{vBAQ;lPhzsxM}0P9KGPXEIfm{! zv{+{G2(quKY;EzDCm;Zyr=K^cGt>s^5c^pil?B4P|(-ISSYFr5-Id8DME(|OWyL=}x=~|{F>#Q`$o1PKO zCxiWbPyGe2NZ}~@l30~?ny+Qp{8&0ICSL0Bp+?RI&1V`?+TWXHSKG(*VbQ2f{FPP~EfC!OpcNKIoZ%T*~<+D*y0_hZpU?*|Mw}z!} zgaQGv2poRg`FD?^F8L!ENltfb`gV|?pGOhY`?PuM;o%cu*2NVWvSG0&V5y3e8Z^Cp zEu~N&tcIuWMgEjZVN#P5^N)953J;o>!^XN_paE7^VQ@wm*xd_J2{Ok0pLGmp%W!A1IZcJL44WK;GCI zK|hb0sjhFlfu_wFwR-&U7QYJCFe#oj3-EqS*Ih28&Gr1r^$ucW_3IC_(~tV8Q--Cc z@1N-?!f0+)^uIps=bthZN%V~5eOewyquJ~QqtVtU1E2)aseg37JGUp9 z85&}ZHLM={f^h}>L0)a;%IWLF@-*cci9qEhR2QdU5=#~W!jj)jdOE6W?jJ&Z%O#0p zgt1|+6JT?s4981^F-Rglp%TYNFK*P9@o@*Q1_Z@6HMb3 zb#++VG?E2`u|>>DYbi=}y+aAqZnuB8H!e1kwDM1$AerC1d4obN;w*o4c94>h5xpYF z&N?QD*IyxmSG-+Wp5Mk56y&IDH}aRC0xv)RU+x)p@$mxly~s&58mq-Q52Nw!xCEy9 zcjD#CLaI5<#i%F<8cOn7I{z8+>36@NoH7{_)gBa@kp9Vw7Y>jHdHw+PfbXsd>cR>1 z4q`j0CR~z-JkbtK(2vTi(q)EyNc(`Ipa6`|euCPTgA{Z@oj6(@W-rO8iDAimi(BMx(i4e>luuqOqxdY>|B~&>_0y{tVvFI# zHMaus5qwwJ1|1Q>#~fL{4wo`KScyOn+gi*vm+MB*qLm2^F@{0MXWSqx7*VyoudO*t zzKM3iS1G0G7WqS70#b`b%n&Iy+-I+_C|mR1HvAuwt^z8mwQCOzk{6VamhSEp5TrvX z=@#kkM!EzkDFNw5y1To(VL-YY{+I8+Yq9QH_cAl*=)8MB`-y#=#b0yi6}R2%%^EG1 z=JNb26tnG7)*WK}7HzFV?5v+%eV!WD`&WYKwT+ij0FrKLTWwKi$e#SF2KdG^i*TUU z>LsA&$-p4BLsvQ19!^t~No!)EaSj6dSq1QE3$*yo<*2i1836&9&M=n=kM0;@k*!^< zTVd$=g|(t|thWQ)$Prenf=ZPCB~kZ|&prlzC^|@{9T5?RD;qs9#Iv;AdqDLeCh~=E zqsl2We|VsIrPWB=17Bk}QxtEGuH`(~M>NE|H}$}gjI~PhmBFv2nf!E zGj}TXBS#F0$){X%!E>2Vv&6>*BmJgJ3j!)%BGQqn*0T5dq<>dBxH90zLYnnRYzU)} z3MLn8f_nc>5iuQ5{Fh2y{@h%G;yfdCXrwQh@Q=i=LZ_GOe|h7 z){DndI_^y%ND(`|euat(Amy4ZZ@F8Dk!O3TwEIE`Yp6xHR#}LZL`{f&t?dZA#lL@ zhqR1wFu%CC3k;&jlbm)(_0Wy{?5J_lyQ6mS58!O(uO+`esZ@Njc_1AcA1 z-oZ@p@nTX*^^%9-AHz$>hhDGh&3jV;tF&Fc_8|_Q*@$Me$hTh>$QI+Fo4!87;sk#2Q|Mx$M&vSpYcFQWmpC|$gS zb*R-<-BDY$6|0FQ((fL4Pi$s}g+M&vh1$GEk(MP?uRGqu6g|A|D`LbaZUc@MjhQo? zN=KXCL#6IDd##qHU{Q-A;V= z*IS_%UAzigHdp*`u-0>`{uuboSP@UKq zoTc+I3^~^-!DeZWLb;Q+xvRR{_o=WNHlMxkT~@tyqk&tw2AUVWoJve^ZxHF z8~E6xl#W1Gf>a*1J%uA2*Wb8+ZRTN zlW0o0I$9uJtlZKGUdx$4$ymVD!7`K3I(n+f%7O@fvF4wK8t!*Wm~s5xb+5FqtK%bM zbCs${ykqqNkH=~pu&4J3a!b}cfZOmVw5}ufdIPz?7Wp`6oQ`wLZzY@ul`hSx{S{Z0iy$Y=AqbG+D}E$c?Z>>5SdxL-<o z>+2wcHqPO46V1mw`IH(quZ-42FimI!is@9Fmk1G>%Fz=959>#@cj(Qau5I_7+jgxj z6|g;Aft?1z9#;oFHo1?0))Rs#_&<8)qi7~DfU}Of3Ml5wy?qQ$plZ^n$S}b9wN+j? z`8c&&v{8zSjZay*u5E{1aPb*<2?{)7;03X@cHy^{2q&nYnoclz@BQ z@#cV6lN%bB4zKrlc$nH;hRCfS?n94aG#(`9Y1Z588*LZi1Y)i3TxQ3()q_mz9x9hV zIxArJJTT#LP`kj>+Aaq&xq5mViLSf(&}h3WE1YAr1Q;$R;JfQ+1br0Lw;M#@OA@N) z7}L*O?PGtaV?&IN+qe-I>O20|HbacK@=%2a3 zDe#w3wnOA-E`nxbc8G9fxF_4i39*o=jlp2MkoCy(D-CYRt8D)N>Yn25*{L2bz6g72 zRzC9NDEm4z-RPo|xRtOAKb+1s_XhXY^bXI~ztF0UW`9fyk+dEzDUJbC~1SCCnT7w+xt zZQaf`(>>?gf>lnK=i~!BK2f__ak>T2Ov>Ew7Bk<)B<@%*L{!5ad!*`81z90mrZ2u z2JZtALniS!U?Z~cBbSFIiKT33MbozHelyqL1XeQi01h`YQ#`nXQ{MAtJ=pc}aux<6 z|TOh@N7v2N>`2xe)Z zcklppVg=A%&rH%20}&AsE+DTWz-ST|4Xhcxz%YR`Qjb9<{PFo26Z+8mk@AcL+N7)e zx&wdlKK@jlo|##=S-nh`p>zF2EpM`(nT6#z??qqBtzE>6s7L{TaNyW1^vfawQI47K}o*_{=B%#7)!+!~piZ6mZTsBexEF`%lHdIsWhZ{(REssda1t z>2Wn&{APF6=?bS$&rikw+SIu{%$37%^K&Aa2(J6cFu$O2u~3``f(Y+W;LX$DMdSM&j)dFc3`E(Bg*(8 zha>VQF(_qZwCCUKS;bn$GOL%ERMXj4o+hFLG`j6>9?S95!GxqV`%&H{g?le;*H}zc zsAF%#?78xlGt7?sAzI?hg%I-tIlP+LykhZn7sYyZv_%SnY>!SXu&B_s`J^BC`G~B* ziL|6go57}yk*f!ZlV43janpB1cyon>eRA+xd`fB5T2y_{qb%GkI2k^w(Y8O^bN-v+ z)|gYVUh5qFx52UgVB>VOMDTcb*%|U5h3&jaA`B#JoYwhh2}RTT&N5sj3_x0AZfW3` z8*6m2p$+qYc<@|u-!J((nT!7VL;I|r5BQ9i^G(ad)ZOL>$EM!~iFnKPN>SvI6QJ@5 z%0|leN=72Di*ZrUyG7L^HDGgqKt8K>0}PD>*_|%JySqMKwIsE!B_#E}A+NDseg(l7 za_0oP(O8}$DH;(kR=YkHo}MQgM87i#U8BjBjh&rcNmn=OrHUN4J_`f*f7JTyt?hAG zUH5pk_yXLi_Y12eCOIF#M0+#a`h)=CJt*(AeSW;&923Xhy z0AO*DF(QJ}*aH_va5fVpky5(#UsQ<0(@d#OqYTi9zL)NUamKSQE6ea3P@TQ1 ztE-=tRjb2u&CegbeO525Fkk1I%bfN-9y?56%CY-mg1^x_;9lhA^xA%w0RyeE87JaL z>R~#h=yE+Trx>~l)OwlI?>*0hb2f1`UjU*b{efcDOH91IEyZXzU_7wWIX(} z<`4K!9(Ks8iHNciZg@3!bvhKa6a9^E)0sQE zd%XT{m`~I`L{Wyu#9Z_BW#e%3Xb1&zYlTZ|q12xVc*ZDy<|t|(3#QXL+9x}OE8XDg zLpr6utpi2!a^77eUjly?3;coSVhlH0f)h~s5gQtxNSE!Pg+P3M)#BI}KVA}`LniP{ zhIA%Sv^y&wAaP*9YDAQ%u;i~UTocSl>1dt(+hz8K`@RlPXYYDxYKb&gW*cTgEBQx!FObKnm>5zQNcElSJa1 zC%sRv5dgcGYi`(!A+Y!Z%OA8EQ*jY|BVZ@*8y-f0c;0R&zKE}J$ru3aCYZcWF!2Nf zu&7`kyZu(5@%XGQZ^W-(Z>y{paTW6Zb{kNF5F8v5hQwmc)io4A0>lwFcK!2PXa*c4 zO8D+;oi;P;45vd3TLN0)*7o*#1jmS|iHS+&iG{d0oC4qWPviTSDsbp*wAmBs3O@U^ zk0N?4h|s#NsH`Q7%VK6NoTa4=sIL{4^X!29|Ed0M3y=V=ryE-p+P3WoF_b7yPELS} z&o3>NR8YY16xNG7zuQT%*@zN2Y6C6ZH=aR#V_b@~JC)BN_V4chP{F@~yc3}Fip5fX zj15%R^LoS5`oP{3O&a~u)yK0wX#6!r*LB-|BbUSq6H)P8o9qh@RJccKb$_69Q#9)y zn*eFTjhHwe07qal`E-aiKC)KCR}EYCh= zYhBII0(KAwX=!O?&w4vR3GfvhUgJR@mQquR)H&aJy~9VliUdx8Uc(AMKhZXC%kqp| zkgxJS+X6A2xe?bw13M5d!9Waf0dn&u@P3kvjEp$L+<5$Rei>14!3To>$pS9b1zes3 zxGOxoH*czMhPhtsYcDqm>?T9e8J#ph+CT@E1)0`WQc^)nLO%OHpH)=s!Ml6r0>|94 zKI_fIRKce*BCc94^@%>lsGQOhQa5}q*%s8+Ggn`UN7=V@B?%)EwRBCVk z?v7;!zzD=&6G2uH0@~Fv0^9n@n>kY*EH}%{PZyAN-O3iy5pr&Y=5*OeFe5m%HqcXF zlX@>-p#00pyB&LGOy7L8qD3b2gj1HP9Ubhh^ zE)W|+ZnlCEr;e?299VFsRFmYNOyQot25gsIcZA`&A8k&cGUy$I#FrbT7}NU)NDK>Gr|;;dNOel`Q=A>e9$aPdGc#(qd@hvugN=)tigmKZc!Z#Ac+4 z21q5(y~0m7MuK;{*>wOqpAK=1LLfnyq){MsB0igffg*1#{BUUF1Gm93CwRLRS9dWX zdpc(nO^zMfwr&4@*2!|w6q60W)c3&j_3Mqvw385l_Bdxre+Ry}vom*;;Mqq=+eUYI zg;6htYqM^#RxJi0wc;#0ku(9GK~FX`xC9ffTP=_P zZhIL7?vGnZfr|we;(0MH1^TR93&w^fhoJ87+5PI)>qKUqu+1m|jK8MCGNxOMS~X~T zUYFA(e+__RWB;ba+J?oRKaCa5$Q^h9*t~KK@HYV$?&*a5C@1ci#T|If=Rg?2YLw{RfO?zlFu{5ZYByY+0D zCy9pIjohYAU43P|s)}>)&IK=<+dLf_8XiuY2MECj_+p$vsJWdFnqcDRkdD$gGD!0x z#M6OIM{B8Z62EC4uW6-Yd7i$<96W+5nCTNuBGl5~*XKI1f2o^Q_%E4&fFKcA+!Dbg z(JD_$zj1y4;u<*SU}ryaYFsuGNE-nwod1>qhf%b9^GY5J<^DIhUz`Q9&rgrfo9DN> zV5LKy*klz4D5l-ua`&2=nsR_o_G6ZnrluyLs;b`bz`$FJU)08$)^Ul6JRB@6Hl_eW z4b@mJ3X9UE#R|rV{5V{!1rt#`KE;78FY~)V&-+%4)IcLNqvwRhtpc;P{$gMChE1ENHyvpIoK`y+ zPaSifJVr-$`Yb^EcBd4iNA&I9FJQ$@MIq=Txe4nKi8;v(2b@O_LR2^wn5;>o6)O`N z35eRdq+GbAy;|39(2Si!@MI}Z$s}YsG&S17GJdUeVeDr5xn8j!mdc!ThbhHL{D{N6 z?wEaVRUh~9OL9Rr7yKHv6z#+ftToMdt!xjBE#!{lwJHih+noVliE>k;*Mzk^hpD-5 z?W*v2bkk>>(noYt9g~oHqxw4R%uJ8Y?T0iq>%O_F*^{RBatwZ{-><|!*z4b97UIG= zyl;qci-DWhxo+8VbZJ|D|2>vU+)jlS(yukR9pzex^5j& zE|vGc3XS6KgXo|j#8lUfP-J-6-KBaC$nT~mq8BPMl4m7kU_hp?GL^BTu#g^nA?)-3 zdtX@i3gC!-6EFpU@jHTz$YF?0)bk&x2Y8*(^K}5=VKzGLUcGwt3qcNRlvUc;ozkD6 zKqa_|@+mD0%YR}*McZMRtL|#S!fwvs>hFLARxS{_`q>iWm~RwuqC{f`pm(@b6o{2n~}i1l_>e{ zhd21QnYy~V56BTB78W+V1Sc+F+R|KB_OgGr>|MHhsHv$j=a-ZSabSmD6crT-ROIIF zl-wXR&x=BDPBCF@>r3~Mzl*s8qg+3zUMw_$P4O$xME?aSH zv#H4fwSiyeXE?A9(ExL<=jNq*87OGQ6oG=M0yjT0X$Q2xNe>1-+6SdipE%xu&jdh= zL{m$vv19)dLqDSVVJ!fY`mYl&6bCq37t}w0Zc@?GT3qBDc8-saPvqg`1c#G|EbwOI zUX4oHoeN}VHRR=o8$iix1u+Z~Aj_Cd1DEdhrF)~p2oxA4az8!)7Uj!)765 z(q=A17Ep5w?xvUyY<%2Z8Dy}|G?^Z~t|wVsuXjl@$jJ@Na?EF!zP2amAaYwpY(OKG zo30JeN9qpo1e^qpdK~_)kA$1KN#F;)X&9%XGTMkcemkaQ&56=Cq==FQy5mMjfR3}I zw7+EeH>=li$BR+Y*H*pxbXu%i9hJsNqFL~Hn3o$XiwkfSxUiH3sjnBgB~Ht1Qf91M z9;$|#k*JW{e?`g*M1H?rXnDrg`aM9&_knGp@8)I^(Q;w_=It#K-`Yr+*&=esa~vpB zb?3jhoy!%eZn&vvf0fGDMDXDVbaUif9Xs5O;9a^NZ@+4~cc0cxyw)x2@UZ#3-i*g! zw?EV)HiZ%2w*5^gKcC(iJwK2^rgr@j&)MkdfxhWc?afZB&iC8%LzDK0Z%uJ6O*)aa ztA!m|W&4K~vJYQS<2Q=7GmRznyv>kIS7ug5nu@~Bs=9+056@%S(~g5OvNY=HBc??p zV+X_H4L%=?Wp2~g9Va;VUtb*lW7TzCd;+`M<}|r+p6WT=QtOjn@YAB>?&rWv7eyNC z*&|w68mo&C)~jGQ8i$QOk?< zMiKkD3dPW502M2dVcrchxD#-3Oc?*2x(X(7m|>LGZG>&v1E#|O=-Z%@hzFs)2h9!w z$?$pN0|>(YDjA3&Z>?(^=WU6){>ovOfqf!l#po~IVqSu^zP>(44(Dw;3i<9t4l1w# z{TJRHlWaa)9V(d(MyeMg^B2+i8+C0zFo$FT%quDwKn1jV)TydJTD8^?pr{lY?}V8D z0vF}6+yXdGpuhl=x0s|PBIvDtuD*1SLa6>Hvr`WP_xnn7c)hFNe566AjG5dc(ge{b zPzF+g(_RmFenk=z5?VU>L~;rX|D^!u5Xi}=Vcr)!&I4cYGFW)hLQ6+S@E*b5=Aqe)KXo9QxddGbqrt%G2EG9YmRtaRh7(g4(VNTo+0dadHULs*? zup`3v(SvUgYyc=ODOm=d>TLnQNJ82aAT|yoWd)#=0epe~Sy}nV7uqYl5k6#cI4bFe zan^`dhOo-<%Hr6&yE__QC~2p#T`%w+c3N0i?1SwVu~=t_zG*LS72@FSWMy?&Gc+`0 z4H<#+oz?Xire|V${0$1^-VL6e37Ap@@zI2J0kCofF7M6I&8IgX!A;hIf-wSC2_Y@L z)IuaasMV+5i_^~7epSI6lx<044H$6KE32T3jP82R|pE+~YiNTlB$v>s;%2cZ3DwvLf*Eh?( znFwo|2__shx?|cyzqXbt>$t@C-e3D$K0oOGXHh_4R*^k&O<;>ea-S%ggBW#AK3_gf}yI0(#dHujMDIU zX+QlEbBP0sNB*Vn(83|%5lvsphx648CZuHic~sK1 zGMvSLYqgAAuQy|`{jfJf?KQ$M+6PZ`x)JYxLb$&;>}P~j&vu}X7esu}VHdDex9v~l zd8WbR7=@%l@rIg2p&!6#d%6u%3!I^4xh)Gh!?6+XG^}~c|L3m6(Y`gty_i%A_ib~c+oP`0eUg6 z=?5+V15LHFRnXezYODygnbqTbCEop>X!Y?S^1fN`-`>rR4w~Hu6df?*^In0y(ynPg zY+4_2i_}VBH+q-9IEQwwf1J^ruQJC0+TicPt5w2iMZPAx&7Q58WB}i}QCTU2DC|a3 ziaF5WfVg34KNL*r%?r{h0nUd9WGN<^H-LYM>->rhRNl|3R%gJX8F#NJ64Xo{$cZ9B zRiijmN&!6LDe%0=4sPmOYM}<*744g~&D0RFsZ|AGysekIqqi zSUt|E`JT2{S&*4&pu~tPK;Z>$AK0!D1n3{-frt2d&&nGexJ}IgN-t?BJ5R1fBDvT51@!zm^wPI6t8Z+L|!O}xVZn$%F6l!qAk*bUP?bq_}ub(@b|4XaTx~( z;wble0e0E1WDGXe@ZKv(eDx(K0aX`)YxbYZFgN$I%SYey9T;+;)5l-Lh*iekoY(QSZ3m819O61q>?M zq|WOvTEjW$7FG489`_2tQC%J2a+>sC$T-7@n!4;7xud29J^ne&oHY7E6x42z>!?uK zguT*mO(w|E9R8Rodsvr51SOrVYWt^^F+G30D1CYvreuGo1K~a9)tg-BEzjoWqw99~ zq>091OmgSElNhJrRApf}cU0v^QiHDzmR#|FJlG#70L6^Ex8>h9~|G#+a& zKa(^KMm4QC{8g%l7GYW)X_yCX4Z}=&95#F+gk~AZ=9q_TZL#s|uK#5}lTs+282sCH zX2J}W4Plc5x+XN&`0nuwsrRR74oNEsr|&5F@RxLc>cgjtEyqCBXz2fMnh}t-d09_EWmWtoB_1@UQ{m4+)5o zNygm0FH)fr?UbcPFr_rW;JpvG=W#ITV#xLm4sqQ|Z$7|41^FVrJ4EHByT1ZwvcA3^ zSQY$p&2PYQD>vvwd|?ZdK;*XLv@1e)OKyjezOaq$69O1J5Bp(;2AVt{!s z_kO(FipdPX;Qt6r=kFojoseFa)9``qJqCRw^j+YocM4cNK2B?4#zIaCy?5x*nCw8j zLTDV}l*bZ#H8IIhNvacTjyaaI#utk9dO<5P{R|w>R6i-wQU#dq_^M`HBU8k6b6~(PpY*xMVgCfE&~x zD<^;817aI^yA8rDL>SPSsVO2YRaK`>0OyY@87({jvC{+haf+ZITx#8d59&e~WEX9K z&mlkAl;I%Egc~kjTvnEWyq43|V(_dh{lGCP=zg;5)(R}$i=Z;XSr-%*-hm}SUuEg4 zL!xJ$>b1ca=hOfU3-Y)lr@R|neg)ef+5aWxtgQGM&mCwpE6E*C^lip7r^>CzDM`porH~f5Dar^0nM{nQ#7P z=k(}*KiApICw+lY_!EmQFx*U0k&8~+yapUkm&Xe`lkXfLn(R-P2I!?NgUlC+Cx+!5 z4Hu3_&2{9pW&dNnS&5{1;iirWsr$XZNC%K7dBbEc_%Xns5K2Enb2AS7Z*9N>HL2+0q?4T%9 zKb-wswY*RSL=^GoBPJF&_piH)C2r8ed_U$P`ft(dNB=C5(5^TS#@5D&;BA&Xu+kZi z0@tP7#>*PB=Pjyh&~^=;)qfne=1&tE)jcOK`^t*dVnlYLt3MOn%X4sG^xJqdJ~jx9 zktItxSn<2^95 z+U+Ep!9rdP1!b*I4bp1J(X)^F1qISG*GYU1)H@?-;aWD$ZvYb@DtO+>DUU|X|2hB( z6A{Arr3zVH$LWpfXnJHXi3b|bswc;b$j_uz134uZEJf6-q;1F`Uf#t)LjGqHe%nIRYq3`@N)b_4v zEf1emFSSP8ezI}%zm06+0BaZ1fYRH%)Z%q7452nNHjXF;M1&9)vL`QywZm#A=*@7J zl$Vy4#%0kS0;v)>*hxQw&}Dq*IxWZxy(H}&?(SFcz}vggfHZ}xlhY-7yS}XgK;`&} zgIobv{$OlC&bgQYM9Eq0Gzm~9gd-|k=yH}($n&JK$DIIKxyWn3^|3%PLpTHIO2q2Q z$`!2X?O7n7Dfz#hcq#M@40^vny+?CypBbrS%qXTEAP+JaLWhSfqE<+q)19n3gvgED z&PP;4!}>wa?;q)*o?mozR}KL&>%p2b$;qmNublh|4#G58$bkk(0}C*` zK(;0Vlb$X}PKIOmih#R@VNSFFkZuvZ6CcFyr-s2E%At6Z`c^Qefh82RK-MrXIKCa# z2j$SDu<%NT*qUO{-jKeXIHE!-O#uoA(qo(g0v?Vak&@T0&#I~KVly}E75*`SABSsi z50j3aG9tMF{jY9~>??GEx$i9L2L>(@p6p={S|@Eqk!jKQ*4Dw_ML5^8Z4UqB0C_MR zrM9LvZ+JMy_V8xd^(^fhnec`2{sU~)egT))uYrw%sq)D(sig}J+&^;T_ow1Ib`vNi zLk*$_G<}^mHw4FC({;m`Kx9~ZZ!Jphs(-}%T4+pzMSuOkns@zxk<)V0Zo6yPbyFnr zQYu$x6&J@QoYbdK4ki0h3uK+_x<*M4qq3vVeghm5$H5a(&x^;A>-eojsJ3@qJX}u= z1%?RTJu>uD>1xPm0{2Kc$ALbNuum>h$jDo>^ZwY=P8W3E)1D0FL~MMwgAt6nr$TN~ zne{oLfuF2SGYYRqtx|uTH#k(XL61g6igoum{w^-v#ifz|)c`<#sswBW|NHhg`7b_gfpEFN?SBUSDQg^ z8eX5i-O9yE5z!ji7y6K9yXR!(Yb9y)!z?3EE39_y2hYK}cs9#GJKU2(2h6&xJPyuKn7V=XJr({=lYHF7*5FXi@I+YvSb*ZuWUCcKU(u1ez*dWW|l zaAkj2=opU6GypJ|NI~JR##-_UV8I$pWa$M7J)}9zXIoI1L6{&jD+`uFDk`va|HXiL z`fxtF*^Wfobuj-I#^WnHzvHeikY^0Xv$m|1Cr;Ko*}NZIH4+e1Rh5BPN}o04xYZ{8 z2GkJ`LGbFY!0z;S-c<#-pbW1L=KCYCAgbwT}sr_4Nlo z1NmQ8q8M6`b!k$f1{T22rR)odLcXSh?q7ka0W-IPMqL`iqGP6)#i^nVbNGC87U| z(*Qq_yB;fk6UQkDu(kE@J--7b_oI_jbvNIt0&@SQd$U5>Y`E28jTZ?T;^F&RA8cUK z+es}0)|os5`~tt&!_;%oes)tEk6S!knhux1-=@z)E0Wynu(LFNcfC3iF7zvO&)KV2 zg3KsI-j&a~*SM^79@Tga*B?=n{+vlCd9FIkd6>GKNyU{8bxws6ADVxkER%WrVb~f@ zh?!PgwJBgySiMKF4BEMu0O7I1Wp(p0#Y^>;p>FdlJmhzev|O5jCX}xadv5IvI7^AN zQ>>q}{IflfCyWz0)0;$0O#cOKERDs>4Z4>!dJ}oNziZ=57rx>-rUx57&fu z;|yJ+W~D{Vw2rRDs{zUV+Ie9%TnIt#-+`#o*`1X1xyAa5@keCD+K%VCWwZTpd=~3BpFlx<&mTqv?`#c%`}H~=ZPeK;ZvB=z~}xC%lq#8a-I7Z zquz_)JdZVzaPhiFgZnSpeekjw`=Q>CIv!=yRb}3Jz97^G+%S6Hw^VYIT_8&pdT?Nw zl#B8~`DEE;)c|0{zQMsxWhvtC;2X9ML}b8<_l#wVgUV%~i7Wj4;J~Qcgb!v{AZ$t* z7?5L#JlH1XhIX-0<|01J zsO=AYxdZ^&gNGL3b6esA&rTh+lk%0sOZIxS?6PRTjMe#Ij$1h6*i@`9ImqCGED%dN zmK*lQ1&By+z;3(*D*&<20y~eXaKoj`S)xMCim}Pz0U=kRaS$&`7DLnnTF%W8V4@{Q zZfzllDCE;WCtm!t4ljB)`z5b_YY(!jY8jYDks+8y5z?_$$yk+RmBsU*rs4rUD}-?{ zju-ms#n;~hIP(lpf=8YB(-QX^Fk}k4@Qb!mR{?Opx)n?M29{4G0ulUOj|S#QeLJ5X zJlU2bzs~sAi)~S|6PY`1j~A7^ppaj3)@gtbTFEFIQ855yu6v>DrB9(Zd{?f5*=Snk zWLO%?V6n@e!opg%o~X6ddwe6r97hgvV>+XQN1zm9nhyVZ6Y6pxOC|lyNK=hQW{(`K zP5MSDeMkQ`QkdOpfm0xl!x}hikQl<)gRs~m*b+MNIp}g0k;w;<$w@U5F0IM1zG4?< z@H>6;=+OXAUp5}8#}p+dH)bQ>Dgh*WE$~l1<$el$Xz%85R0C8d{1eiTJ_nsVgmftpmDF^jtV1vSZ5fc5nFd1}2&InpmQ%I%f6XEh%C z0=;vB^oFDsxoB>LfNaf13*K8e#%KH=-|JnvGqCRen8L(inmTox)7&0Jxzt1~!fCN> z=Jb5>JRY{P>t!<6q{j9$V7+Lu^{UKvl<>g|!my>vnA>r2*1v4hjmfz=f&4K}s57^p zNuy4C6arpOueB= z!xzbD+MC>_HunFRlsWprWUgw`nQKOrHz=sMi>=~{`2p;9MJZAbV%-|Zi0zz>+CA<_RJ`<+I5gk^nU-AV zo+EU&2(Pb5@04f$++4NDL}=VyU!(W0ovbSMcZG8_LLkDoE=&q7)zSpKsjm4*^TH@i z@#~Hy21Ir1OO^urrZ%1MIFzvAa8lY8Fe1g(9B&(3l$3EQmEJr*M&5fcAEhkWwdQ%P zWUtccgJ2t_bbnS+rV{e$`le_Tt9cVeBw)hw3x5$k#lF>kvE96i`jQY&3!m{oumSVy zqNd!;z>@1ECd>ijgYuevy(qFDc>dr|p&w*}?5PT7e}6}m?#us6_>&Iw9}sid>af!We4GqW3*)_naKZ0%?=K%* zruGJF@m)Ese&kCBu|RylnJwS*Jd;iVzr!woR!iVI(FQ9?zR@Ygo`|3)<-PN$TCIGx2E|EauOCq8N(JM00!=IUZ{B!hb=TMW=* zqj(aK^lZIeo*d7NxbPo)$Cv)$$ zqXRui=0j1UxiO~iZ3H=Ug}_?D;T9yGdM~S+vv7VQhshpOX(nQOlVbO3yvoWgq0x6W ztpE*#p7eg8`%WqSl15WjQwv(}45q+G%K{sXn)ihNds#Gde!u*(or@Ffd$?}g-`{6J z5AHnA0}s5IBeZIN=G5K^|%7OIE>{ z^9~lC;Rx7fkN7gq(f>`e zek#mQV9d)X@gOGZi@P;6p3S=OX`agoy<0`0_Q%%RtWc1;p_BTy#8+K~AE0x7{|(ME=}W@>QS}ZtUTsLRRz|nDpTkk(phOzIWL76`ezo;x8F4_u>U*T_^z;K*bx1N3DfSv z(z^8ud;Cjb*Zz+xnYJOX-k(<`+LEIy-EZEY#_j(nC-+XkWwE~TlH8N;PIMd@J$kAd ziSt@4SO3XDf?Svfd~0EiVm=r?nBlp49pqg5 z%>0h6a?3%Udz>R?$8_ZFx)KeuDHUby|9Gz4(hn00Yq;WKBr~~NQO@Ad83#%0lPx3N z9OOzgq+#-6iRy{$cuzA{Fp84vQxuV`qZ?s7AeHl`{m*ISe{!6$ZBtL(=BtALRJ3XT zW0hvS7mkF~?$$JaoUz*}ZJ^AD9l}@gzbeUkgIY(($DxXV z6EBGD@2gg{4e*o!uspnEg*gG)Xl!6#o$ntSHqe^EfQeI^aUEO?oo{j!=y{&hn29E|Wrs=gbqz;`)~^to>%Z@7L?-GYP9 za{!$mhTT)}(U_OCHCZ58HMetgATn7_D}BH}-u$*JR=6Ztl)N41EFOoVn|h;({u(EFaI5mR8^X|6v?T)}yVmVw)t{?MoX!*^Ng zcV9YdNfY~BSn}coAL{7B*5y6 z7(L+8d0uOoGFNQ*{iDuBqc5oA)cK%F|16KFAT&BOFRec z*IAqmEOXqZ{DL^|7tJVM+d=I&e}xTzVcG=rNFnzNeegUD!RsXlV>7*11EoNNjm5jn zlm4)cyOmMHN^mi*88oWIEtX!_+cD5@(w=5yrl$r1hgL&><{N2v2{kSVFWzrKsGGROB^0gdUcUJ8?M$L_-v3@CB;#A6I9T zIlZ`5*nV91>&M;P{6)POsStQ_xv{nzo%%0BU*>zcl!`0CP>+kN5thNxYqjUi$aAu!lzl}$&Ghm_XF z!!Y4ms%Xu?{WQ`+8Nw~a`pKs4?nvgx8-_A%7*!$mN|6{LNtLBbGBRUVxQ>$C7)YUd z*zYdqb_Ttb5_y~u9ZH71t+FqFe!`h|v3&ZFxn)JjH%5{!TDZGk|Lm{CB=`9hIllO| zj8XjSpl=3QS!c&k$!E@j{QM=zlXAPn>%M`jiv4n&GEDmT85|vnIDrHtzt9p*%I(x( z9H`)pC;NvEs*TNyL}y%tm`B^SKv_*JJlrn%gz^0@SSGddk8AOKP7~dw;tC2nC$T>c z#f$!c?X?4wNF3O0`ONy9um29o86Vwmc9n@Cr+l0&NixB=-c7** z4K~j6@y*bM$ywuH`2S!VqrNDI!eBixw}_ge)IOhu<0@y`xG7`G64*0h1tMji`sNup9 z;P}XqZ`7!wV&#IHZa^33vR2cnmqPr@pWD@VgQ>dSHhME0}8r+|EsbK=BIcH^} z$Vs2f?#nFAR&iL5Ywpj(so>#Zf}f9N4GdBIzDLQbF@Buzk|As7%&7cr_Y|oiwk9z5 z7>25_Qq}T4(3kh;BTw&teJKP@x;2Wowa+$P*s$+>mox53*kPUCP$t;EImBa}{Z@0- zKiRU^B0DO=qCSzX9wtKe(`4&woc?m5MwSXbvxteI6VVs#ADyzVnDbgnyFd&6AqX=Y zCHkMBxA1{x1kT`bpUcQP)f?$ud*M)@$F$Awv$y3ZV^CXDr+jgt~(T>mb~~`F7Z6BN>DSUyocy z)BYb-Ul~>9y0s0sKoF!`x}>B;Nht^rWOsx)qk4L$Hi)o-ig(l&~~Y=&Vg1juA-}} zTWne}UaHG&Etpy#e0fVOBNCT_hY#$SSa_88o;~B#FA~~%dMVatc{}U%0Ts}qi(t5F zdGV*ULn}c%b)$^)GpF*Ki*p&UcH7LdBH1FFajZbE+O6MTUpxRY!OC#%RS5U|10E#ZqF>S0v3u#P4-&V*WspdlGd!3!Qr-YEny{u98_%A3m^$Qt%GIpXrsGhj1o;_;A(< z8&W|dxh z%OIVUUf*d*pyO1;B^q?YIlbF_zG?=cMK#Mz=c*Q1vYUEDdj; zjz-FxCPH3wwj%A$bMo`k!@+;+XWkH1xbs6(ndXOGJ){Wo_#SDNRe4y5?4)J ziY^T6HosQ$85M>5OZwho*Kvcb(2X4SpvC~BlAGe zN8h|(!)MhA5hN-=l7l$Spx&KGno#B+X!|9ytwsU*9I9BGsNw}RX_ILg%Y6<@r1Y+z$t zPvnAW=5t0X!|<wj4JWcXM_fKx~) zMAFQy7GzmMG=sT?#Z!Tlv3if&P~2b?R2*X{6NU8A-|L zgMWX2ddvk`VAoyffVZ>ABYGf_92<vwy&AR`U* zT*|rf5pYDujXa!WH&C%B(;oN#xeaM%P)$eXX;RI66(W)~4V z_n}398}Xe`Q-i=rN(5JF>3L$)>T(y(&6I=Z_74h`a%|J3^(e{WH?`2XiKhj5gVkyr zYI&<#YQ=q?=#)meTSR6##3bq|8rNbtQ^y<;d*Z26=NH!--&HW|jI2}QS~)dfv2v%DkrvzkU}dgGD6`p~XHEM}c9wYHg)SwLS_J zDrXbIk+1WHM<=r}Ik$Lm#BPAaWA}9immG1nGe7ND-HrUpL66f08bZr`j90FrM=z{| zsPrz*IzJka7s=0VhGNluGEUtiD@tAKD82aJKL+S=r3Kn&y2i6>k1CT5SUCf{}Afs7w3NZP3X_v;A@E9=uQ z`a8#7V;iofW@gA!B;(XVf&iSirfG|`AH*=piMVYV6xS+*Q#Qky-VZmD2c#H5sCxZQ z$Bjz6DQaKfbYlUExl#&-;`xOIupAo$8$tkQKa*T&G^k+KQo*lBW?7K}0niR`^Kg?x zepp!g;rXv8_QPME>%fe}76tm(sC&-Nf?&x-6SN(RZflc;8y$>?7TvL|T7}vXaO#4W z1_u{+0j^aULe!bJ#kO!-|JlKWeLaAZ*#1q+Sw5<;OW+s^r1r!NYsnYtSMZxk_E8W8a0U~t{N+sVUy6a~1cUj*?{_>R=^zUHX zS+h^67yXMpL9l#udPgIdCfwt0CKkasLqRkvdrRMXdpBOHS1L)5!N1xM>iCkLcQX}s zf03;)Kly68bMhhAc5;E&nbs+v6gT*SHgs2i!gO|5y0q5BaH4$mxY_1nY@zGrpP!n= zZzB2aJ9a1I=8m^|Im_TgAC(koN!@7l`rZGN*dnlMLUvEJU~Dq+R=KH1Ovc7Sf0QcU z>4Zu;W__eifRTUFja15+D!dpur~P&lLs$9+g)EC-!W27a8G-#XCPJb0)1R@KJ;^nT zvqnSZnP=m&C*EqRoc?7J%kWh%dB57giQ4wGD5v$_{5I0oa=5^*CzEtq^P9!nC{8-9 zSwS?8Df_k@haKtVP7pa73SGw)%X4_(w1h0zEjTCI@U8W(53vedZ3s2UEFX@BsDO~E z0?zAWG5u-6do8%Ud=9hsz&!@%js?UUGjb)QYZEt%!S?`D2O6m`r==c#SlYm=TTj*A zf$4~V;{^ZdPrI_+FFeC%-US|zE4bv`3_LuMa59#?K6R~iS(AsjiQ|8x#vb6{hZ}qU z@6Rx{hpBwee{qA`T@8K?6=Z9;)@6WR!f|_6I#tjHk)y(H5w!V^it0o{K-?kcYpmgw zFnsX>nCt4{HmwJd0}BfaB7{IQH8n++C{}eV%)audnX%0+y|oDBJDKZ>*fC`*iMmx zE#XPDk1QX5==$B+JlC}QTI?SergY3c5Eg2xv_5uXn2odeO6T<5XHpanyD^bM@u!wM zv4#G6<{KR4OH@q=(WFV0)CNrk>f6`HzGnW6>wR>Io@QF( zTkb%8IWB5M|0?q)bwk(f2)b62p@nbCxBdP9o_J_b(Rr}1$I1mMnV<69J^XT4_T%oI z)v;n$FuCZwHb%=~m_2YmI!7ckRvkLEG6`ckL4Z4AMN|BGK$I=u@@;=4{ zxmH^q38`NXd-zHAhWs8uia*p zNvukddhwDaS(lq!yV#)nopbtl$GV@Iebc@o3KLsEd4$=`qS(K25q^nCYRoLF>gNdmiHBw~Dg^}k& z(UdOc5(=3$@gOD-Z4D;ag9t{ms)=Keh;qR33`(G<5ED@eBevisfr7yueyCo9=bbB9 zc<-K%7KhVGq(V?uaxCiuD5$bPw8Ed(zrQt;1#Q+)rH$sa&*f_f!|Q^wg+E0FDY}pf z)ZEfCh+EeGO<*8+?Xz~_x5j5(eqeh8yUkln_z;B>=sF*M)W`=JyHG4kU>lA!;n1@$ z&VPR(<%)Wt_ALliK(;(MM>LC{doffsabAeYcfm*F5#9e-OY zt2a1TzTCr8@7d^Ac5;lT#91m*52B(3j@B!bCZQ)Yx3V(clhGkWLE8g5vFvuP5`oHq zAZhz{j@QF%JFA~rY9qy35A>Ek?)ZK&am(Y9#&3%)Q}${Q30ywSob8P29V+#E=r|}% zb^l<~<#==sO(vCmlz=8x>IT2NoMFG{wr{^rpqI_4&hsN1w^9Twm= z-W8>ezzSYCxm9}c5WoVs80-&e+kVX?I-1Ho#;a{Q&5XN@Ga-hf6IK;+tfL{f0n83% zc|-bU+}3ibCt-b}Dhg~hSNN<3XgIY#_{!%#g;6?4IfAB=CKR!|)H{-=6xVx=4Z5RT zgBquB8qrv2xi#usUm&eBlqh}W7QJu7!pxx6g;fPZJnnW!4dZ zl*bw~cCcD+uOQ>%Mb2>0^Z3BXsglH&9!!FK&KowD&M4!v`1jn@SI~_J=v_-M!I~BSm;@&Zs-HJaHWiLCa@Mn1{>0KDn3Bixd$GhjwU#qlvGv!1TJTGP^*XXLiDzO7AFsPn77LOX6+%2dUydP zaOuRxaj|25yIoaWdb$c2RowS?6!gwUcV}-YDkphzk>3fNQsaqcK_J;km|nwrsA`jli2zEeOj!|kIxoQBmAmy zb9|+yI_>Woito5zfjtF@^59VMsRz6ZBDidY%*u4(K!;olug88emy^P}9o63bui=q)7iu4&Wh_5%ON5sO@)M z482a)*=p}1(~EC4lUC)h=Zvo1@5H<$zi|V%39>*|#w%_@i<)cR9g7FnpMYlHi48V3 zHmnR)1!d)H;445zjzH-S$O}|Eci>aX9%JU`=ZC%`mHd|eP`$@XBsK_MKszpPee?sb zgVS?RV7-ySknSy~>B%Hne}Y2Ad|+=6<-BtzAulh_;1Eoy(9_O?UKTB$TaP%PnXq;c zG6Vr?i5Rx-WBrk=!X`-l&~N^_pDURhl6Z0do_I(^MEk-H14`h8aLl?Z<&L79+|swe z|D4|xgqYv2@X+O(eF?nE-=HtM^t(oRdhME@+3tnJ&E~bmlQiNk;sE#KK0az`(GCV9 zpP=Y;Y+nk_`0tMVBT)c3OA<5XS#ibHZ*P|lwQ-Jq^i$Fuh&@bB2X+xY3#Lh|cPOdr zl}|KMZ)6Pnk9*lv74gZc%NC40<)Z|M5Azq*CoU$udpLhNIS+5tm#i?w1gd)C)>fHt zU_*nVTG09omw7ek?obd$ifg_UdDQnm1m>wpT&wrd=%k3WmtGn4?PH#>nEyU^H-8(+ z6T8-Uu~~POV?`9k(h2HcpCckNs9vcxFI^3YcTO>F&%sSMFfML1nIQkM3H{rcDSw_N z23@@Ces5mh@msD>x5lpAJThAPW-ym^oG=KzRZmjnc{|SPS6SZ=4%ew7@0nej{nuSRNl5n|g>&nJl)-gqvI3ePnZUIcZN~U& zt_2ewd0St~ZBh4a2CM*dK*9Pg>z2sFA;JTSrp z#Mp+^IU-tGc)obFmk^4>=eci^+J~}%3o~bDlU}s1 zF9E#^Vt(wZ;H-lIgLRv=xEL}$@J|gt`ywkVO9&_ld#D#A?=r5%T%~hID;QA%q*7)y z1I+bwQNi%e3F+t}e?D7+if9&2b4I@qjLa#>`2CNI2DvAo3x?@zOxPH3gc1@Oh0B{M z-b0{Cd-d(l4`FQ^D>w|?nt40(N|cc7FD^pzlD_Ig>kyqVccn1?Tjtt5 z{1p~aoC_c6xSN0j-qjZk15C=Yf>B8$J1lbcpVO~`6~$c(vqV_^0ECmu!AIHJ9B@_C z=RzDSfN>`BNwojp+R4|BDX(x7Ti*B%Eo1&zs_T0lID|UsB*QjsoUN_!t@S@-?G*sH zeczrj`q^z>h(sP)l^Ik?TrwcpdT^L<`YOaYNFPc|9$D<_A>+`zDhvHU7CK^4WB^u){G);t`vAH%L9crZUv$B9% z1aLnf;wugtN^UeTe_4cn6*Hj%Zg{LnQxR7^wv&ArHU#U(8QmMY<|V zXj_*KmW}qQq2F6y+|<7MadMp@II?b=O78vOgZIjN0c{eO6bY%cc^Z2G&UDVP+`+~~ z%FY;81Fzg&W|ew`MVn|J5@>uJm*%Vz>8i^eoK3K(t}JfgDSqDolooX8a0&s7azo&$ z-FP`GM6KX&_+nD53B$Y+_}C%ED%@_WcKFj{F0h&3hfC4}k}UuiIJ~a6h-!~Tw()2~ z17NV(<PJIAYS5%#ZZBKT3@0m0}@Z$6cIGIi9^)Q%xd}yBEe|B|xSkj7yS% zXd+curuUoGVSqGoJm9v70syGA#GVz2V~Xe8{M z@6d1p>!t=-YjApzj<(uW8M{vIx{D))O2v3McQ4IdS3KYd!LR{sHN5N1>IHnntJ(EI zJr}WEBk>1l*Qo{H`CMK=9R&4z4m-%MFaa0GHhiW~MfBcuDg-J(qWPyXk~B zzg8T62xBgoN`J7oJBmZmJ8C)fbd1BZ-iKO+c#b@zLBY_gPBQM!lk%6m19(goI(cR1 zzHubW5~N%omkW$3{E=olKg5r-U7^_sK+kL>gpz?oVwZX+eIFEBuUtOgPlc`@zCR7{W=Ml{#HSPQf&&}M`T+ES_^;hIiNr@9yNox!a2b}P z?P8kx){ls#QqYz2e^THNx8!iUW}fkGvZMx0M?&dQ2V+l;Qh0Z)H@6A ziflkFq4SD%r>$u>?u#BQoJb<@JBR~7;7+L32`MO` zm5^|U$pi?D0)yoK!1h^cr!Y1Lu{|^f0pRw4?#cs7Au52}kynizi#Rwq;gtOT!1tz2 zH@qT&mKHo1h}pPImmBtR0OSIJlla?EwWAptILTCjCPQM+7IwCOKQGyVzu5+wT)?yq z8zpT5=kEGs4T)aj>aU_3aERu^+WJxSBndvU-R}>0`Q5iokgFJ)6*Y-}q{vwcFFE^w z6FaK&ewk_ehez2Ms5emRz#^-gQUxUGXfaNWlFtMU`Dg*z?2y|-T}r7&an`n?{}eMb%1+Z19&^6ri8M916V}6sR)OVgO zhTh4ACVOUHC<6=R3zJ&r(RmL@7FMq)JK4Q>jQ{%O)u^A3UHfnHK3tl#yIXW4(Ci1- z{r;Yr+X?O0(AUrlvhGYIR0d>ZMKx(?1gjELe&-0voza>PPFWfgDiF6YC6hYc60)3s zc<8V82UJKwE<8OkC0nyAJv}Uq`hrI;y4z-A@xyX^jt)W)Y+PLC+qdJOk`Htp`l5demK~B)2aFC7 zPK<;E^ZIU7?KJ4rUp!!>4tmus41U~VTq+cm{2?c~=OLDg=vASR{%=-0OC?lj;jbGg z)${jf8^4|&D>xk06voCRjP!6=c#1Y&*3*#9$MZAvG41>fi;4b|-~N(_`v#dpmW~I0ns%KY|8I>f(-Bbg> zK*rhGnSE0ph6vmrSA**WT$KP2@fcJ$o%~x9b(lqia(PidF(cz4kn=DhkwxH{AT@lQ zqMF*bKZh%pfEs<&DWL0=g0cLGA<6*g^@!~vytVFGNi+u$)pL@t1M0e~WpUA^lePL~P50D#2(K-{(69uyCN z@)gqfV8dfUIRVI&;)4eubRoHM1K|+aHS!b{s|8Y2pjM8IxFL)|2!9T}4m-B(b`9#5 z6ex9oKsRghMOR4|iGh!27mCweXqo~iZJ?M`feO+C;sW+8K6WcPq!${0lVnc`fwxNV zf(c5_O8r~#Z(0t$lSYU?IHX5l%H^ZU*z^{!M}kBsKL`!+W4s^42X6y8rNB)Ng*Gdg zDRj=);gg5X4X_X*Dk>#_Ad0yvQlXsO=zk%C3F8N9ewI?oebx?fa!YZ~)g!{LE>9O( zey=Ech2YmC^6bJYOXjNqj(_DMX&uWwvdT?tPOK^OPOTq}%E)Z#wtOZwKYGiZds9+d zZ}M-YUp0rG_wNSE?xxXDB4Z6T-DKIQBqsj93Ehzs%&ie4*u^O(ld(rfN6{uSP3b54 zpGlpdgM)?-5OnE#;#87eB5;N_TMH7yxe3CNmC+JP+UkpvnLRd{)fDR zf;h1ET2mV$jkvtLJbRZ7Oyq!a1ZqS8&zJXNGBZ`7q=P2~(ceRk()X05nRX51e55mgX3z~QORF5v*a7Y?W>x+3zSs1tngJ0AKrq$k8i zeJp|td8N^RK55F1pq;L}uTK`gt=Or?04n&vwv67VRTCbNmkVev!mhym8T7`T(IlU$ z-h1H0|FsBj^Gku-x9=|_FC{ZG63V`=r_D~RgR0mld4diVWJ7W2SFT*2az_?=!y`nL zm9Wi^!!{v2@_fx^7o`%Y;rVyc zM&o<_iMd7g;=#ghcVAjkU(i%2R|nVi)}c2_%56$jcqx(&9JrIYR+FKRFtkEOnRTz+ z?GYw2W_WA`7c-YmG3uSxM-8n{S{yn(-Om}5ug`&d5#t>QLE?FhGk^Thf+80@kHM{u z=fAE60`mjIxw2!0Ct5fB2bXhUqyQSsRY?IT5%?xZAUp%ZJR!CKWgnZ zM^sA*Zo2tfps&TMON26_ig@hg%-dPnR;$B=JF~95n>J*t{Sxkv$67H`Rzb&;=PBy0 z5t8LAXFe9c7k(xlvTsZOkPauezk(=TWNU8X4%KXejp#N@9sb?bBU==%LehKtLUt7_ zqB8;2q`r`scHT1!@6Lxbt?ytswpU(O`Q}`*fCOoy+HnEFeN6baLDD1BVknBkJ(fzK~aIf0Y^X$vaT`^1Kc z`9D>leo-PsI;J9rEz~UYu60zRPAo_f1_7yyP=tWoOB0R}h+a|q=6w$OpX0{hbmlKR zU*>n*-$q2_1F{WX^dJyHE=sVpefi<`rE^)1R1Wxb*sO@22qwL(eiA?jCgAM!e~ar+ zt4FuE@N@h#eK!Lpa>B=stA77BK+DI72$yZZa9=+!ILWJ7^D zR_FTBhmJaTQ%mV4y?;E>iW5tpI?Rz%hTS9Ti(j@OF@Arr|G zl6zcEJMn45dt|t&lDBeo_x1EFUu&fr?)8j((Id6O7ZLT+C(<#p*M9Rp!}Q3+nnRLS zmx;8qoz&ry(@>?in3uEE$5D=Y%0BS(lj@=iEWO0Caa+j4@dvmDW2o5hE~vs}pm>E8 z#-{*m#&hZ5`yx^n`^MuSNZNe^1WI%?vGW%k{mz;xJ7fwF6wLprK=rj&t&oe+u4)h9 zCyfSA7c_u!T7k~$>g!u9uG^fiS0RIKjww1)cxB&S! z7!4lnEFjbufLfpKMuztI&>-4w^%^_xsl@(pR*uipi4oe&+e#4d?^P&be%icIAWJ22 zeN&r!r1zJ(|H$BY9FGtdw*s}4Z5?T;x-WNKRv49$Km{3Q+eVCD!>zQzM#aZ{n*rGO zs@tm71}nTGm>TORgi=rGN;zF-%*E-{y7p6>SR6qMh{Po{34YZHV8E{VtaVLU^HgpA zpXYtc5$TFQ_5S@EzJtrHmK94*y#P@mx#@a|AJucQ9336Axe2Ri1^g(Mh>eW5x2o6$ z2-$WP2v)rsnXdavmdL9AvwH~-M%847h=R)Ru<>WyTu)iI(Wl3E)XVDWo>vDQ-4oC2 zG?wbPTS9Mk`Nx)(%=2A{f4@MUrh3nmMT<4z@LxNF)ZH{ckau!$gurcw_9$Bh6Cq9D z>?cBH520oF2xnS<(f8b6@#{9v0fbVkNS_P?_uyR}(!zn75S|%QV*uf>GTm@Dhy7yX z-{a!zjD(|5a^K#!1>CgDkkwsf==|pL7`Zd%HLRNRn>Dl@TF`5DE*y7G$N&uYOCHfW zX%(k|lcfBayy!D+!TFsYuLBp(6f21yyVB3*nJZ^rC^R@TMZL$m4+2!%X$$fqYu!?s zh;*?nPFBujP*#nFZ6C=Jj#lH`=fk+hC5>nuLuX!E{to%UcfQ9mjh(zM45l(7`}S#K zQ=BFkBGZgu-d}C0AnJ)xTs$3`cYYw?7k#%5zksn6y20!agJ;kFUb<);0!9i#=ueND zMger2(x`i*6VSOZ2YoWi1TZ56!O6}z0!8AVpj(?xsY%NbPnof0K1fZzt-V(^)Fra^ zXR5pBRGmpV1heue$=O>k1K)35ql!ihUEx#IXh$aII}IBNoT)H!p2T;3mIKCGrg(3e zD6i+3hA^@37<3(&T~A6D&LMS65O&~c?*$jn4{Mj`r~>0Ih(wrVpyt`MEAg5NJ$R$X zO81)0-%VdG@bB-^+fT{_aN>>**23yfrftwNUp4jA6U@gyKiYfoX@(Rk^Z!WOkq)8t z3V}FU6IAClFl)pGhYebt?jL;D^6vRi8KEF?h0hexQ(op@On z*c;Kd>a3BkOoj+sxqH2!bOA|r`rEhIpk97`pWF>NfMIKy9BoKiDYn9lPXAmT2RQhR zkxa{wLn*a++t4JYr^HgKkp*5#`2*fr=?bnr%7jZ#u2&F;nO{0mcxE)EzlmK5eMW}< zi^abYEsTK5*01Tax0E?1Mu&ea)ztuOSE<7(D~pNrU2ZDF)Zk~yjvnToQKG#e-_jY~ zXwd8jNZYIUq2)y`W6(jOA)qcyeS)}tARNQx7hG_PL{F`^XJi<1!2*Ej$`^nF$mV7T zd6?;Vxdo`}*S2QIwfX zwaG#+p{3p*kDiTm5KJ_YjJ3zio_P>>ClU9uB&W>V_T;bZlLuh4#mop>4p-0Mm{Y+$ zVKEn+AA{PqI@x};^hAbl*jf-!9uQi>tC)w^g9cJ>P@0!KKkQliM@&X02gDPai1P{| zT=oD-g2E#bln)>{28N8QQnw}swbgGf04iy_=fhwgFsm4s@&5%1o*gLH0YynpPQDRK z3(J+5miD2F3LYAQ6I{ioVGt0A1)UIFroDjsqJPl(NQ@OQck=r?yOV8JKorG$G-bSZ zJp|+K1r~y6X@{am^AlRwal2sz!X&v}Umxm)rxv_bAo$5=7CBV9P^IF!US45Vkg?D? zmGnLCs$;JfS0O?Bos>(nTBgsW!`tVBtK3IyWKk^9PLqM8ALsH9hP{N}R}x>ML_9hI zv|pOd#H2;AD1ll?01#3%5MY0RymLU+k$IU2Du_r=_m1t3j^2%|Dn5K@0fb;zxeCaF z?l&g@644LJA3McoF8^^fB=}JO_mX0BDqcFfc<_s{M6#fII$i0Y+~F~GGVk@V<2Dr1_ZOaIa z8c^zP^ID$fH@;j-FgdVPzX%Nx2EyM9fP&ETU|k6yI59i+Ji!|J=&3LwEzTltt(gp`%>9>} zla79NLh>>8zbt|>|3#&hf7qQj{$c%^T`QG997o^pokB=!ew#9Vvvx?$dq{X9lR_EDge8{8r%@egINkCqroQz1IaL(M{0lIYG8s1gH6>$ z#@cJ_+9Lqo0W|<^{Q|IPq#PP=pjsS({t~;IKs8P1dWNdo{SMauEr!^%id3Y#+UTyi zQHaY^U4AZpS{fnX*A%+vqo`A^hOJEFhvmW@|5kgR9j(%x+dzqA9hdu!1*=i>z6^zUuEh9BS)4nbi4i;xogHaK&J{&nlcO>nU(NzNZ#79HS`?orXnbL~a(N|| zg@~LSs|j@4h@A!!kmo_35A9V5A_0QZY$n>B9su&ABX^)w?&m8fpp8}8(<8hKkSgGr z8Tzbun?gifXD^2J`>r)g~4>cgtox`~S;%sQB5ueg7P>4t;0ph2{ zt{5a)Y;+mRoN&BGBF&>P-uGj`L?@x1#?^6eL7|YR8Dv~ZW>yk?mqJw^JVti9v?4|| zne-ooSl?>T6>KB#HdK~<-pr-h7$1q4*1?^8-m+??l11$7DTxs$y?8oPada|@_bK7$ z$b7d0_Z;1=RO!!Hd^zDgI`|=)Ct)?_d;BHM&oq^0rNlyZXcPi|98&O_de>_dd}wMfW_a%94lh0+;r@?3 zu5M}o&McsY`2c4zZ4X6&EC9kNdcq08z2$JUO#~vL?%M8rk9S6tM<$ovcN3n_n10XW z0NCjEj7Kbs<6H|Sz^Bn`ThgEv4;Z%{U>yKkAP7FjJ6^2rcZo_WvJ>F@e2}_0Q4%MR za!9#g$4Qr5{__Kqw@jUSK6H%-$JPS~X3>rJZ52K{8!O)tzkc<3y7(O1X5hCYlOGD= z-)FNQvAu<$N|TCl_Q#({!5$MKe;@iUtATVzDA1t)e8dOT2g^W~lp5TJpmYL3XSnnPIty2Rd@?urvm)?j`jryHznr9Rk#$4X zsJ~kLoSj$APO(cDcyFp!Wxnlt}BOF{Dy#a>>B=>GQ{QVF%qGZG^AM?rLpE4dv6dp0n z-OWE2OG*U`#2h?4JqO?){C7F*^Uh*1 zEI38lH#}!7^g7^T8gQ#I0By;*Exuk6WN%H`DI_kfedPm)Y<=RAVq@Zq#mK(<-ydK9 zsNJQ{mR9{2Nw_s5!FPD|_!!(#FI?-+OH~WPdZ-A6Z-Sw*!Kmb##3ecm!G-xZ4kFiH z`(RfWz@!9~s7}ob;Ii0{I?K?AiHR*0v3LEeZ zhtb^q!_v5e?oDGH6cqJF+H#J>mrLeR-!j=6k)ECirUGdLHgywj^{$FZK$hQpXx!+& zp%#ixuqEF&-so7P;~K`Ml;_-TF$&Z0^jXLdpVOqC{Gb{er1YX9n38R$5|uU%Fmc(M z1MdwnF}|JI8nkN3jKjEjDdxA6l{sI;fl=7_ml3eB`31vT6Mir z`W%=x{y9rO=ydCg9|1mHsb<+YBb!QkpM=Zvn2d~!HF5AJuKlwXY`&U&qhKV3mrmvp zpUu7(SFKb^Uzguf)78nv+0?@eXFtadddP+^Wybj3WF^_2 z!Y{UF7y%))2hDbzS42+ zzoqcynPq;-@*)=G!Z1K%gb?C@8P5U0a&$@X(e1Gvel!0!xMJY6yOGwPxOK?)_J8to z1y97e0rGQe6b-%%5rD5|>$+%^RWeXlZTt`|)S@nonv%El~P?dAM|; z5?`|DN)EH1FS`cn8yH-NgG%MxmVBWza(>b+;Vs8|%%U#dZ$^v5qpJKazXkvPXuO-% z-U1OYwEca9%R9p>ha!9Zv~A?00mf`xy$WSF4|czE8M+q?J_oVglD>!`E-*`wa{9f} zhKn38B=5MFe|Fof>NLdLl-~X;drPS_>s-tAm8fC;#9K<)j^9XVbanl%kwwhLIM$rU zdp;u|kQ@$u8gg#m^;(acd>~Vz^W|Wb$?g-jTGzLa-(aT67EYfY+t`cGaHr7{>lR;) zkPm1#%~lFijj!6AJ*9da|6^P`M97}j>Rk11@VAmY>^GZhb-XG}n|SmwQ5(6&Y1UW= zJg!QJ+hzL2@_=@*E?*^S{}To2a$yt3_o_FGX{b=FBcmVB@s&X&L^2beuTVhQwe6=j zf6Q|_=2TA8o7g8yNSef-@BpOc2%$E?>o=prJGXfFI6>>X$J7TqU&zYB04ppYFNk3b zh`HiDt&1tJf(}EvD!_^lfNPM9QTeZj?89xE|2@Wc>(m4{+!C8dc((f$@;&~1bg=k7 zaA|Y%pDUvXr>D8RTEo4pkmVyg6&q>^a_{bIEu6#om+J4muWCvjN59(q_{tF1L7EU; zt+*L=4G%kSo_ifg>$PJjVw5mEsymh(5M4NS{vMqDqiR(7L-FQo>(QakzF|L*Tz1uM zWz06zs6yGObbdk*%*|blDNP9OK=G1>9iD;3jrAwmm@ggF&EhEe{^BuPvF4Ms@k1`vx0{&9>P5N(}r^-r7 zb48K?qAu1~t|sX)GI5){cuG88ek)CEgJ2}j=E1aA?y`$TJrN4MYN9{>{7YT%}Js*XpLsKq;Glz2vKyw2gvQZPc&x$i08HDv{nUSKGsE zctiO7V?R~Dm|5t%kcu$*9gfgI98!y-3#+kCS+KFB2OTOUHJZ;~7w&pt)pLBx(v5GVv z&Eq0&n!syhh^vL;@uwdy5saKwLee^wkZi_h^PL53YS2#Pf+OwN;PK;TS;XXuY~M-$ zGiLt~mf56t8)&R!Py3bSd&ovV`YRcs(6hKJKta-4Fd4q09q(30p=oN1no#13-iWn1 z>tOUATYTSRct}V^<+q+x@`(fU9w8==k&4-1q?EPplh)lRE|XtZC)FOg{v)7$xnq9# zO~mV0%aqGs69K9nV~dXDNtg41+%J{fm(>{L_akcsG3coD8(+R@FRb{en~%@WKm0$l zl~dhVt;-Gdj{vFe=@bQ4hS8mZ$GNj1M0+3blH9=PgDzm8ADn zx?GX6y!OM;0>w9fV(%uydqaugiFJG?ql&M~B`+(JD>-hQq$8LBS2c-}mvAFw#guX8 zXl_&dPl4U)t=EXOXVg~H){k55n0i(l!ZM-hmzW||*_3iinp z#qEfhVV;i?#&e6?q)0w{EKqK)MPs47Mpl^Z8x-+y{xoaEM)-)5;`^867Ss5QjY6-_ zm&z!=^*%wt3zeY5w{sZ-WhR6ClFN%?m?Yqyg~|`i&aB`d1Ztd!jxN)F`19@-^GeVc zi(Yc1;e_{rr04bU&3#2r<$|))Zss(bG1;ve}QhyDSYb2?L&{iy-k|v%x``uO=omL36VJ{+=@57yFJY0aouzTcgGsES>>Z76nw6mCMZg6%>_r;(1_XS{FdC}zmzj)C(mDw`TaD$ z*t+jhbrE?kg+F`1n1j&iAiAMLWIZ20emtHO(7I@VE|1@Ohyme1KoH4pSnFI|x%FR9 zivsP=V=X)B3!-M0x9^gFGGk#deIgB~#1={uUXvjdC0uSJRqXbR2-Ct&^c9CWk}f9;Idq(ibKcRU88#j3JSjg@CjZd#Hx{+jSaD6{ z@Y#dXC_{XOch|iz_m>JenyoB|$%x*UnqsTaPx{Ff>K7M_oQ2*9xfC$PL04zps(Gf~ zVKq2YPa^!*#PaqX%Wj{fcXH}a^)?X@dx}&j5aP~jLe9*{Apt-eW*1A&G2O|HUeLm> zP;N{3&ULrG8B*M0u7oK^pp8o|u1$?!QZS*46wU&56}tRro#WBe5M7gr~E>Tl`)`P9G zz3Fhx&r_RC#rihOt?vR(x4!SGo@)H~U^75Va$kE=F@0RJqffLmhh9S@Eq2eA3@roe zna=R8>qP92y1~S6*Z*PgRH@83TBUsDCJW{in-ZGzDF}%=B`r9Z`ZKxk;NF;|&#%_! zxshdOI#=x;qk03;CZEWe^i5dQRN0}!`%Gg`hI|-M@>>he?;Usb^gyf)Q)u7~H!BMZ zh>QR;Av9!YASs1|%y^PiofO=e(AWa+2Qm&mNY+64fg z;d=BPI>d)?9Q`8lit+RXm8C7vw3NR<(EM>LyPyy7Kk)78RMEw#$9y#sN{Wg@XpSD2 zc6^jzcr%&}A6>hZKWu%CT9m=z^XS??a2c(z^xR=?1r&E=WaNGp%+3AW*4EZ5dHCkd zo84HJpX4ZTL(1%eh zfmwy#scrJ>;FDz0g z!c5mNC}K5|CEOT;4_{cEhdcc!vfvrRSYx}!=Gl-5VD}yv;0fvJ2Vqcr7iez5PSx{@ z9mOrD|J7Cr7;|7NaFNMsvmi5A0G(FHoWnhQMi>&}eg03!`*?{0l-Qv&TQ?;oGht9n zIDEpC(BBcW0oVgd_9bw#4?eb11?-{|3_L1MP69C38CHZk!dtq}1rA9(|Dq52*-q&6 z06_+o4dmSbfjtKnf&!Hk0g$sSk+^}MZO$PY^t|TgEYPaw4kz~tbgcaY83S++(D`?p z_qaqbz%)6q>~x#MV0sWje|Ujy@BoMP z#s9JvB+x0+cQJyB?B{v$H%1systoB*NhaFNRTCR=7J49H&tbp@p{%z*!#<&0*&6`* z0y@!h;D?#F>-R!1KK^xDvC8i-U+Zb*xNUI1<;%|yN3^_m40ITbX0BwwehjKrUXCzy z5LeK@;G^sa%^4Hm=EhSe1-=M;g_-dz-5Yzys@m`|XP=1Mzy4oQ5QNL-&y+0w+fM3K zv!~2tfD~5wk6D)_>5|a}2NCO$?5j^34Q-8dp{={B#4xg1(6aZ6U42fPE&LVawD`|# zedDOJtm5=%ctd{n`t0(K{HjTO2@3#{(GW)!qNPM641tjQ zZn-_%jD&#@QgUJ7x}h1p+PH&oaF*}OJ!pxD5VJO7Hh=jeF^$65D+UG+@qq#p>pC^* z$tj3Zp(-bZaU4(^z`6wNT&?&eIyi#CmpfM$Ye0M;N#*w4KIrvexmNiYE?Lv&1xG{{F_u(5(73XrR$ z-geL~gSH0RKJ||ptn!gG-JxV$$Rs8>puT-tcE61o2M5(P!M^ z=RAh9&>8}nCNMKAR0-dBLXa4Ci@iUCIgI>WE$1F2l^bU7-PLbm2%U$C4uf3SEn-c1 zqib7`f7S`1KKcjX2mT2uMkgd0sye?mT$8|uXMrhwukdGVM#hv7Kfj}E=E=jRAP^b< z1yPdKx%Z`$G@&ZSPg!-ZVfbu791sWU>;)+pM?c9M-g7HhEMEg+PHN`-LsS`#{ezPW z4o0eG7>sOo1iK;8OJG+Isyzmc9g>%69#JlbIwlsSq+{PDI9tl8_|H6otx^WG3^FIfM`rQV|K6 z2}MGZeCAA*%&8RmulM}^=lZVeoX(eR@BKc{J*<1Jb^A&r*(DESeoD|@%e&<#%l%Cb zzmTBvP)$oyiBhRgJ$v}aRLsCj_Lp;ya>e04wm zaLP~Z257Uza&fIcwi}}Ajio-vKWl!`n$e;LPlKcb?k^xG!mo>{u%OKlKL0`-#t4cS zfbCOK0!;P>!_+q&9l00}5~B@_jKDt7LO)PayFv$_x`MvQfjK*Z5t zGDOG0h5%JU7moN&_>~d=9;bB1L7H#|`wHKO{im`XKoH}lN=5MWu&3`f1Sg6DI7?p( z@PgYwg~v5!5z?~)XNXk0)J*TY)I?2C^I$8Ki62>p^G^cQ7_%Le*UKr06K<0zu*O7@ zQ*2QZr{OyIpB#`>pOrnuZeGAw^~%HxNKWcZxrmx0$WM}vQk?I+>PBVp`Nb0AP#J&*o0A~LSh(jMJ6rl#n5~JS4^AIcaOheu=DBf zJUzRF^G$~H*`S#DjsrdtoAG_F8XCd8dut7B1n@`kV|B!%w#$`6yScMZ2T!gZINn&B zO*o?=mM(#26V|o{JDC-Tl~(X4Fb3X1vk3Aj zrV&a9kriVgcyH_qm*WLsp{I=z9BAQG;}B1PFgxuXhWUXl?dqqCaeSR_I(kJAXkqMW z1qrG$LfB*T>O@EuQ?aqQ6ds86|F(Sg`2V)18Jw2(3Z9 z8+~~j4a}MP91JhvVNP{@+_|k$$zIcSjc#}Fd*>&&?y6P`F<|s}^XuB50v^U=54H0g z5dGh!b$<`CQ6GYfS3K~yXss^Ds|(xAteC! zz!rdc3Y%#Vy*H3PD4!6{v@n#bdwXNw)a$29r*M!H1^8zpig#{Yc9Fh$5NsXaYwY4p zR-qWUefuDye@_8gW<+pUyuDIdtZf0kN#oLJB|VbWahv)cft)J#vjZV#yQjm+wd{Ln zd5(OunW?pXvH03V{J24x?#c8dz2q6{qQRd`g4utggZG=K8nKLt+EbL3`My1Wr}?H> zAM0XlKvgtF_+;$`L$9Z2wOZArv2K$)vT^8fR7KbjoaX#lq) z8KBW7#IA`+Ns4Y$Gzk6B5AFUwQiCNY*>meuln*iXCJ5M1l`yh|rWX%}Nh<*YHkkhv zov)N2pa;AbG#J;R3-Rqf`ad5O{6A1DsgIxIW(e!4qYVn|`)Ui75;_UQcDG1L{QFOz zOu_4H29G^5F77f|M);+vFcN`K_Kksf5*#;=r-&0lg~BF{AmV~1S9Yn38|G)FgI70D zM&DBn$bxC3@{ir9PB4D_-r10ec4S7v$mf~txNDbf2`F8oUJ}+ zXsP@^$a^92B9o%qC-v&o9Ws}`w<{Yg*88fodHtW*YhQMExqvQeE#WArOXHAaM^>I0 z{C`m_PAdrwLnz|W){`3%z4o^4??&9e4o9k9PR;*wfxRc%S87W^bB23;YtAbo*Yix1 zMXOp$;JNZkJ8wVFN`)e+4~x`@Gk|(23g#}&A+*C@vzdq9a)YUcO*CI>YDN}1C0;&d zGh~G?=H*?L`PW-kYgMb16*kU}?0xf03g5H}K@s{ZO(MLNATqcLdJXIWwl$1(;{g(0 zdOA8fR-?6EAP$s)omuSfaftr^Tpq9VCx>?|SbwY%jbEV5(^*SpG$_x#)vYS3S^sNG z+nW8{-}6Gdk7d1EyR6GfBgFY-NG3`imWkt`4W=kl#X~={an%w2o z85Zrl#s`Nk78woR84LYY;e3$UCOf$uxBV+b@{27&(PFJ>AdvuAN zs(2*i(pi=1Z5dHo3%8PVl5^L5c9gA(=aWwrNvx_ z!s=DTPUYoSEY**hoY*ntfX_y_xg3-YN5LrD^3eMq?X)H?cK3hfUfRkPSI(`f7N375 zNc4;dpFHH<;xNBCYvC0d%^@j+@dzx|gT*_Eb53{&BG4{DCg=j=%J{iAs80x0Ic(sp z7KW>pH&dQd0jXicnf$*n&$688M;V z$J>;>@y!^TG&S7ib2T0Zzyi;?xj2e>UHl1sT&X(mHGUMnXc3@zS4V&L1^2hmQa3SV zX#OF5uE4ZKO&HPdXomugaC#*Y8bpP_I|!T(UyC^0+|Wh5hqnoC9}KPth45|egHcGJ z;~q5##SACY<#Lekh^FEHw60P(!rEXb5&B=EC&8|_nNSW3EA%{2V-gZ9_&}^9-x3o0 zr>`@AX1l+E&&8F@tgQN-ztve=v$XS`MRZP!N(o<6`|U~shvcVo>s9%r%*-QK5PbH| zY(C~C^f4DbJv}uW#u_m2lUDpqU?!aBQsTD3cC-UJ&xbQA$UV#*(Yc;Iv#XgeLE~Nr z<#@`c_wSFd7oju?-%h1@r)9#PkEYqXVa&4MO3HU`+4H-8LHT#sXJpIR_uMzr&U20K z`#P+IV(k${eMZ+MAHCxKZ+9;41F8>E>%m;X?XBE=W`X0J`ugWMSFw_LwWbN^F2(wV|$+fY|Yg4x`iE)L~?`pr!Tx+p3rtUQJ+gBFTd>Ak6 zA%7~WK9GWlIq~c7xd#6u5ETB%$jHo$>7Io()M1bBI`CvA%-PDsEfbjHS%RAyL6swn zC{Xh3LwA8*3hQ;kNDH3-v25*YKb_&m?ho)F0v1y{

A4imhU;D}w zK4|{RsggrDg{k!6dwaRIh=_3o@K#OFO9t3dv^pJ^2Iy3lit|&&8>AA{PC+-(=#bv3k9*rkBy!E zg|M=+Z$huV`Bj-T_7jP6ii^)gEB71AidN-2(1V}{&iN2`sl2*}HSXW3ppU$JQTi0l z6CNYj1+Ou-2uTROS%XTa3)msu2{;^gUHxgMyW%nBB&(sR@2BBHZJzf^ZJ0W`mDzFe z-T*LSyo-GVvq{?BTuT4wyUSoU^isdSHELqJ?zyo?Y_`6bN0ejWO#U=BLqw3v`3W$* z_r1Lf<*1n0v)_~Nb!4oVmZ&x&nx8PIl=9Hq?-VqN5ROk%P~&Br%3oda0VJ@D%gww@cRDDUO4<&r+0R@RDYd$*B66ZQV=OJNSJo#4P>kU+YNngZ-wVg>e&d~%CwH$k+o zsPni2QOXllH^3PJW`M{?2wi$851D%jG>zRj7*J*r3S5|W6E4vzTfZ-o2)6=ILp6h_ z$gb2wPDiN32y1w_z{N1V{+66{&u_|&ANKj?RrfjVr`UebwSbBbthOUnvK!1-!Y8gw zd=L26sRcl;o?u2-2-?g#7bybmw`}2isyx_iadX)_-x4~ zT()~hd{T(w5GMT#^?#j!0^+R_3V-85gIic^8;hj<4DcX&MF&{FNY*ZCygn$5f(G(^ z!aARcjV)9-N*rWF5Nk7WJC|WKFtO&1z`{ToHV5l#1d(nZpF=U@A@k@w7*Fz^6k@^2 zYMony%JJ)PwJapuY;<(8K(isv=i`I_0gOf=mD&asODH`D!77G@SZQgg0ZEO#rU-IoEpqlzNLXoSfjrXf%&0$fW2uW|`* zqs)0sB}M#PP~X=n7!>89S_iLoX?-@k9Do9lt>XxL7{%@I8ylijr#N5rfQH_hIb*<1 z=1ORy-RBA+t-|x&bP}qTzBdwD8y>7SUbr;+g|($|qTt+C^k-2Q`N0;JkvOA*N0AeC zcSgqElqdcEUL3vQ)p)CmzxRuZSW69upo+x~nT;x;;N@teFDo_z2@~9-JU%Hc1s7n7 zds!znS9zpXctP6z&eIw#50VZO&f&zrt%m7a#?5v(hI40pm zvkL;u{^IZ^E1EU3m581HQvt!s1#l0>l5UX_>bJ%mD}dC$i)TBDDjUab{qw^qEyk4&hMdfapAU3S0><8D5R&s9t=YcyNzV^?j@eWWs%Ne6lu>rrj)OdHhm)>Z~< zWi^}OuYzm1XD^iG@wEd6hD=(9jJAVO-jgwhZZ`!!f-ZdbRrw4RF|jMo_>HUUd>#wK z=jK+|ZXEz1Q)c32I1#HW|YweX)4{qWdx=D>Yd{1#il>Y-1aFSegI7NGz=(_R-U2jD1Tl0}xWd8c zPs&gPyzlE9tiHZ~8#SZAfdkv~dUc@K#`0M!++3ni#=(KG{9SL}F)!GZ5tjYgzALn7 zQ~04uCYEAg%7W;LDuXck0v1X8WZ*%+wypSLl$Ep2yno2 zhRNzUP7B3-5EhIdn_`{BC&1CDHpqbX?h_HAMYe38%&~0n_x(K)PV5>WEP-G_Dht1F zxXxnLgca;#Ztpr2i*i-wVjBbDp9FM-@S?=|M{jW#4?e_q6p6bLrRupV7e0*S3H*$O*`Wu>F8j5HUtVtNa^HoGC1hu|z@w08fi!MQ*<%It?Kh zfH1E06V_b#g0cHt7%4}y#G;P4{BWJJ1?)+rCu|%MV?6BJ$txxyM#_?t%p6sU8QOPR z$fO`*ugBgm6ed?+Pjy<#{{CFx-qX0F!LDpJqAcQim978pebHxj$ux;eyKE@Fj62-2 zuunhz2%G+(=>cd`CZFv&rmMdK@%yR;_1M$ysfxIFMkRgLTc0lOSL|wU8}Y~rnB#1Z zd&x?_vra8_qQL9&XGM=aZbpwI!d68r!n&&(vwe0mJ(`*WRZ6oAG1O$s@kE>A%Mm)ktBuuXD?3OX&D~C-NCeYl+4??AFM$7>{ z7Dr?H9R-nqap3>w1_3YtxkJh5^l3$(pW?WYb~yNaoyAyJ!G#8F3Nq%@-# zjs;Tzb?phD0x-heLlQiGhvu3Jlj#@givg4ewlx4FvOPCL#7XeTFQf7W5g`I9m^ch& z)Ehel&@N#x!AnD)ame{0QWo(5(Htcs(Q7uI$(K@>FK}V{E{k_e$nfBdLU^9x(!T{} z#)U%$s|t#7xGqf~eCLb>-q*p5ZZ{o&21fwzCr3;lu}FmWoD}8hV|x|dLg2)68~5sc zjwUq*bYJ_6Inc-M@M|9r@PivzR?z$xj~XadJ`@+&x+mMEp#VWGlrXo4QXNBTS5yQf zq*1d%&l|EBF@93^TpFJ#6Cc2iW=onNUg`t-j8Cc`WzdJEw z`R7zVC`6U@D<1wYGi6dRZE~@Ji=jStKwB6MGoK(GgY@FMBT$PA23eh+NpGH;8Gp{w zI(F>XBDaEz)vi0Y4@tJRwe3l?Q&i!lDdEAdrl=e`B6aZKnhwfnQ0jcH;)fdq1q8&8 z>*)N}N_i`hKH9K0 z9w_%cevbKY8;NOGzV*;!H}MS4?%pFF+W!7znI=~4_g3Co8r*s^X7V}fkq~3HhwS2z zoYZm7A05|~y+5AZtm(RX!7QPB^uR4`W|xnQ1Di&)>cp1= z&=z3`+a9`+Q;0!*Z*MPZSa85@angL}aX)n8!5r-n(}Pv8Wwo2O%ycwmZPC zDoy$#6}k)|A)y(|eRpZd&fbHEjSH$NG*qV$05o!gcuGef!&wZs7T&1|2?bu&vfMFik4>(yB)$2dmMGwia*ZQbxc%fu+#@s z;602&prg;c!t5ZQ!FAA)75lF4;{j6mx@%^S=|LV2sBac#988~d<8I%s&DC{5MNZUu z0MSJkYgZXjKaoHsIc%Bz9eoJKmc*PN=SxlPhXQKDQ94RKlZQ&n!ERYzOmvW#m|X z^lbhp z8tfeClU$mw>o~H72H$ zpe04OOpN0eJVZ%>pnxKh)Tp`R$SWLmqF;p+n!xo*8|a@1`*?3>)Pg6`@4`#Phw##) zX!AiUk2(=nU#uid8K;n&ri%))a^Ve?v+8^kqY8)7T16BoR>)$+a0^i=%wHDpIl5$` zf5-fQ@cl&M=aRq5i3Na+t*LLk>WIA?_*X<(f%!AK6oNlR*rid@vrA#*@lZdr1EG&N zTfo01mJX$>m41R}sSH*dpjq!gflWY)_*@8y64l>4YDQ2Z$@u+oCmc<2bK!MDw3a}S zc7Ag0zOhM|&SOZwqYf7*EHT~qVUkwN5 zf14D}K1Bp*oLq*X**gq(2r0Q{Q*Sr}S2G6H=%MI9*v?rTglHQL4(L#yp~EJ|K6pc6 zkIkLzaOo>ie-(m-_3P98&*?x_K0fseHEhkmE0U0E$GI>=@%m&Xbq8;(V%X_s1yb+; zF@`+r6-Uj9&W<;=^9*yuHc`4D18CxnFy;|WzI#`JZCddM+Ce)C^+K9EJACDpmIf1X zwcFp6nS+59g)zrlfT1tRvFBmsJ1RFH^IFemzF?75AQqW<)YLs3Ta-+VkMH4^mNr}t zK3zR+Xn7d*iUyWE-cxW5f=YnQAWwTcVG!o+?S0Ee3*LF)xx&0H{4r}#{Scm8wfW%{ z2|ZeITkx+?#PM-NA?o0JfS@)<_s;J_O_NSHKu18^i|UtPF_555R)=ptXuG0Iqe0g= z8KTaDY6j@+wfN!12WLtKPUDZLt5M;+dL>-5;Z&*gylQ0zodbWVjtMMvkMj3q{y z&nIt+5!n+>p`~xmt0156&)V%jmGW_MaZ$dwU;#=OL4!jhshQUs@;L(_put}Htx8mw z_y|eq>BrH#Xb!-*ue;)+GfL>rsSbb?)}(&l)AVrp)L&*js~$^bsI0{$v=yQ_9Q8cSB7 zv9NTSV~o~)Rmj2DMXkaWt?rL2JC7z*pk?(QtF7ruG7=^-hn3wR^ zdAG5P#w;EdXrVw;u!U*GPBCd4dx+uzIj}_!XFgSH8lDXTMoA+5`=NVO-FOvS+}t9c z6KoW72?Fi{ib7-x!t;IFZMwLG*X<=GydW{2Rxvb(VIhX&`URNiVAu%Kigetxg*BKB zjvpWE;$gsZ*;U7ie$;vCrAN&XR%$`XmudzJyiM|qvH4IhD_VImyN}RrH3;YeSprZ< ztA^zMRL8U-8B^;|8whpvpnhjeZR+J)jbbz|i)`@j1+LTLo4@BQa1ragI+0=A>LODA zUWF3A{$BR%21BdHkTmd$BLrOqf9K!Zv=!6opX2iPDhRW%hMxaza8qG*om}7 zO9PwW#;v77f6kZm{oedJCa>&^u*%JLmUb_P%oy88vF8-#aUi?&B4Wlz ze@c#Dtq)?EZ+@+$yCbpkB<>fcGtV1&U3^_^wr+Nhr)X0(%jx~&58Zo6j6OZiyVdCN zy*f6Q|>thX-e!f_>r-P`_R(C#Lf5!dSs(RPeEP4j7oM_v%v14#F!` z^vt6>ol!H#Un`A{iEH2HbXAs?Uhd$w0CO(PbvmyBH2VO$^1-(_@V~K?iA3}uz{>Uk zV{+zg9PbjUn$zO-yoV&~Sg`_>g#BBCpBO$p0f6k+zo%9*fWn3ej@}sTfrEKf{(Sw2 z5(Ze1cm7PPbl`k_WAj}>8d;nIKv=4YGjW*Qs4G(=MVDzjE6mEldhBG9$(D4HmS2A zVzFVdX!8Pd36kr9z9;B^j0WGCs{OsU&qi;ypV?d!6VbJ zb(-EIqnBnjFJ2xvsjeQZ`fBrDIkk)*cn;SWuQv!X+x|Rme@@lCw(p$3dDRqkA}8;y zra0B=_?t~}mu}deRZER{cq94Crn}9D_0K(}^(&9w-K=9`KZ4#gE*5Zyo z(4HGwYfqsbXi;(uV|LOwau>QG{Ktxu3V>SR@%$*$L~J|SaZ8Qhn2`dr{r}L$w~mV4 zaAu<5bMqzP${iE9@e8C~D>+AE;Ns%2MESb2g#y8Pkv zDPlQm8<@+)tfESfI(OshsdYP}58^&Qtd4m5-R+#;Ya#STHfLhI3?$W8sHcf-rPf%Q z#P+j#*lWvym5!2F1w$B=to#DbcpK>DMc{>m12y(glGlt_T>5w>zHidwOAi@o35D84 zxIS08e`QXQxDbWP%p##UQK0*$NOFhH>^~qfgm+y%Tz6y!ZJ!?E3{zKEC&R>L_qq?D zBD)TWg9q6Vsslu1jqKKJ;PiGEGad5BRMS?~3|Wt1ocbq`&KkOPCIwRs)i>!bMW5qW_RLp zqYK~R)AJ;nY%P&(iCS=Y^E205{f3Cjv5xi+MX4?WlkLyG?ozV4zmHugDB^XUdABet z9m+2%$j-^8Jen_+=Kxno@bCuK1y@Un$#;BFHUiUsl}_79#14wAfGsZuE*XLMds4>! z1;mUk9z$15A_4jg(Z~rjDx%;M_*oORSIZF7OuHbre@UuQxtK2Urx=}G$rGl-Fgr9f zl(51ycw%-CSnaQdzJ+!yUewT&irl%c%`S4miqI`#%7-_u4d4U_Eq~@xX_4EHpA-MW zmG&kopVpir^ZP`{YsO0RFZ*cq-H?;bd6nDucFucD=7jHwiVz~2EdoJQ{`u)*74{G8 z`)j$N{Er^bA3n|x`lhjj+o4a0*mFP(I$&cTgqe$0St)PFfj1c90n8yuESxm}xhH@!x#Wr(^k zp^7j{1cXHld-mB?!d!-^IGe}ga|>VB)tEn(`Ng*Iir&ycj4w4~@M;?|(?z|H&Cj=T zg_VB|Ur%7onQ&WsvEOLm8n|8?~u zn(7b2Y&m{hbi5OL=*jHY|Ct@6^c4Ky{Ggfc$^p*jto}+9t>qu-Uz;X`KTx1ra};TG z6nWfT7!!7oO(B(~QS5q|bdMXE$O*S+^Ow(l;TBZm8{5xE+E=q~z8Eh&kgmoXh=wHD zp+M5SiiDC~`>5^IkGVMI6&-=QKOyrVi=8aRs$(#X$grB~RJO_&kk{?g+rU<*E$)v( zFGB8E!QFl27Z3Wd?FD`39$vegE0Yx3u-$rKmj(?~+q<8c55k& zFfcX_L5diURa$5SDioiZ8V+(pH zHC^jJbF+;lXC|Xh&Z$i)aGpKx`S1$rlvF<|hL&-dEgt1%BDVB0<{L#~bgh|hq+Vp4 zd;dNOvkvK>i(^<0LTr`CX27~ac$X7ECISg@p|KW)szvI)12^!?BVa7V0%FO-v6eU&YoVV&H~`<_X~yZXuOa?X{&;om)IqV--54M1H-Ra9>pNcYZy^i zpkh2WweCFhVmmsos`(c~#9QY%>`sFTY8bdZKn95qfa%a4WK80$5O8g3CuNG=Gn`2{ zHq&94u7%LXtILeXLd+%9^Nl7DRiY!rCRT^tIuF>7)~>U48#60PbYDCb*O36ozwnTN8;&gC@wSe`Km>=XRlSY#kN(GfAwXaAp0=teC`-(n^25`XmJ zH+=L$;ins$`Yc|3b&xAAVW?i@wXHU~$I*C5^|0%TMxi+O2ix99IdolfVq_XtmGlbk zZP66_V%ly@`-zNCd8w|P2(mXh8>zsujfVMtp6k{F4$G}CN7W+(z2As0ywnPRMWHzV zEHu04r$5|4dH>L(N1p#`ply4N{qkuU(`N=+l zwJsc`LCgaAaU=+!+T}|R9~>9}X6IsC^UhnEMaG{|j}Qa|v}ULR(a;A10c)Ce)B3Lg zuZP`Oxdcve;vhH+U>s-`^bxBs@R*@OMYjG_afO4R9aK1m4ms6qMT)*M?Yhpn$(dO1#tTmm#9riOqm(Ti+VL>uf?)!l`Y5=Zr$ktzLhLKI zo4hk`TUCaC6_o}Jn5--gaW=!AOd3oy6fR%i3z8yCHL7!Eeur3dQr%K(+(|>hJ%TI1 zHv#b&WE|0Ff-uC#*WiTUX_!3q7^*zhu_NkjH13;)9#QQj2w7A3b^}4v=IB zU*OBcRj<}~PR)JKE$%GNn&sv%ZSK$?{yFTxV==(lb-s|SA>{t4qwnj&mp+ZBN^MlD z3u6k}aGA1s-qB9SFvTFb;+C~~tli*iHIO>B|H~+~&yAPO3mLi6(H?13+|L_=RHZ#E zB=(mvq~XBC6~@ZJ}`UuE#i<$K+oT5Zyf42UjTG=DQ8kFMCj5d ztLO%LK#T$ayy^DWkf;5i^*IwkU8AB_8^zQ9!S)KVvORJaQgemVnG!Q$i?sfAMU3~) z9gTx)Ogp-&>zm3)6>Gp!^fa_)mPlJ>ubM zFA~u8?z575#m2$W-TC@8jTS@9ElkCgIKxCfdu_R_-KD_3zde)Z z9L3c1^aaC$9}RST6o|`rWu2u*E$V5i&!@Mrwv!y`7HhM^|4l}fF1Oq?DA$fDj;*ph z6GA;4@JrF8-&GVTE9b#;in_&Jfvp$Ma7sU*8fP~&rc z^x$TPt2uT0!?9F>NOld&8+P_K3FLea;+e|&CYSZneGIQtKZ7SGl8hAO&pA7O z%?gIV=uzZciWV=^YyOZQ<6bH<6*68cQ-9Bh_Pd8!n~AW}K_NvG1geJA8u_h8(TuJa zytVV#+<9M5N9XQQ!w)W@8ittKB-Xr^GR55n0*r*6x=X#e(<@nk43wCivgf?j%9jzn$T;+ey!QK+KQY+#qDri zviarP$gi^RU2b;ox!+-D6S*_!^}~-!Hy4`kN+&I?Tok`_evaIO%!)SZIK_v6ajC<@ zA9s~ooY>YP^^EMKV2|4dCw=Oz1KIU)<=JwYF}Ct@g}uF=j^A~Cm+Hvo&Pr+%SlcJ9 zX|r4d;rOJaV051da)FZypjJP=o%}(SR?G0dFrDn>?)LQlPS+nJZT9~i-CY)8a9Y9T zQ;htnd#}D6>$&LQlGIL32A`r^ z{xTKq>H46XX8*Rb|8Lz!ZjnZlK>D2eaE$AG zb>fyG(Ns;g6&yG7jc$E^uE_W)2Sv_{tK16|h0Ag0 zeuB`LaYppa4h@Ov=4A>LjuKSKcE+Ioztm~Q(}FHM1*9IbMiY_^ zu>Kfe{hgh2)8h(HV<9=BP=CE!)qf*-XmD&CB$9VlQhIG=t3O)CAeLg%sw=JF|B3~uCjgJEA1_jrt{Xgb&n`&ftX{eLYbWA@eSYDp7 z`LR1-&-V1^nu|V*K^6tU!e`_LHcK>i(n(bAvh=Ilc8qbMb?CI?=b~dQq;TazL*^+C zx-T+Q!um6<7aQ|-NrY6X~^{G%N4I11|dQ1b1ku%V-o|} z9#*5DzH!PvHygZ_lhrn~$YC>nCt63}%H@RE_B(pQfeyFlSjq3b`8nCHxkq`pEAXae zF@4I>3Q3B*qlR}NDm%o`@&OABV`%vj zN1XnN?AteKyzZBa_0J_DQJ+uZ`6R8(J!L!dk#b5QmOsQ7%ZL{xMMb}LNxeNp5>9_O zM;{~wrs~A4jg1XO`HXAkp4k~rFC*tso0Ih%&o|lxtJptLpy)c-7t&UZfikCKOp0&h z4PN!Hg191dcD;zS1cH%RbW~KzenG(_X{o7|w`SMLRx0%5GrG701qJt0s{dBanhnr+ z4e#8_p>|OH>tU;Iqpz}l z_S$-+eG0!dSUbVku%yqAF+!s~g3O#D9CaM%3V&c9sqDK)4UNMvVt^A++3?AI6ClF#o-$SwY+JR=D^Q$f@vjM7k0d+>Q30e(%bU9rteeG{a zit3YVuq%!E&5{~^@ci7vJRZYlN6E_2lfxDIVfNej)2G^vA{#34sT$HebgRLob*^N) zDisY3%tH6(H$(^oa6%k&RPj#Rzi%%&x$Q@oJlE0=dlud_ACzIpD{x&3+;u`#xLLAY znTBrqP=srpp;BaPV3syRmE^+BvyZ+U*WRh7Y5n)9QSGLKL~Q1ovFG=Hc8&s4o>NZ+ zl>HkNjh&`DCH2_og{%+7+->a+2v*}=DoBYVPIA~}mAqqxclOY{if#FznN~@;srWA$ zhE;|@kC1p~-9(kLp?UgC-C2GY^nOAn`wS!lF0m+^vm|CML#5q}?ced$W<`uHhN{2_Y!dV1d>>87Kjvw)WQys3Y-J;GuY zSfX!Vx=fH~CnQMcZ~VEUZkL_faJ%1e^OL=tihbqK;%yHO-IFKhvGJp-sI+wHL`Ij# zslmFy4Q-r%#{GfV6d9BJ~P; z_iDNAT;e{nCoi%_oEi#z8DgMB=tB>#%op{!L_Xt*<&T$Qw0$0U5A8os(c0FtM zR7WS5ZX)9@hOoB${QO@VY;!wMBgW#oyq#Q*06*DHfv5Ywt1prf4(LG`E9so316BcZ zSY@!&MiGl^E%JIF+j7oWG`QRL*&)6qTBm!j1SEjvy6edN5>?WmB+{Vzid8sBu7oj5?L6IiJbp(glo z{ND{uZi9@w27xCp3sMS%il4myfH#HCC3y!8_cl37``dat-xZ6}#ifZ$FmH+$`IQw2D&yh08rSS{I1^4gYACDS7XtUviRu&iufpMaQ6S(2) zWfSyf4J6FX!zwCU#A<_;$#&=fe!l^)8-@a;KyJM28CY(Nk(BM9nM&L_@?NzQ(9~YA zxfk*HZa6r6r6mzUt|NQm`sO`U?<6EFZ=aHWZd5c3HwZ_Fwq{7e_Z?m)Yv+-5mI;fC zf7diL-mI#sqMQ(*%N5qhF@T%&eI)amq4|YFet&*6uM9N04$F{kVo$`+Ga~6OJZGb= zIipRAcbk}-`|i*(EZ_{|derw-2rtcu6k})s4ZGA>)H= zV;c=*?XKB)CF*8&A@R=i;3g{$jn{HXZ;TsoJ6iUi2=NJrgd!kvLF$pJocN9CrG(WiQxssr+Dp@VC;jMb%?84hDm2-osU{|3S#= zMa{s;OHzY5Xs$+1;n{Nr`%~<$e5gSb3ZXa3)s^r9o*!C03HB*|H zWlAY`iSwbW-7|^YP!@HjnG=a0-rLHo9S{*I@@^7f2sIFj7h20_>`VKQ8%ZlPAj#8yLza_a2qQ8NM6nUBidtuL^I=GqCgH4c#0B+f zxMFM{$&>JoL<#dJg=qysgOdljx5Fs*#n|F62f6fPw0wuvnHaD0{5m&SPO#(qKA?fY zvhy_o-4$tu)eG2tGrHs*JE_O2br9dwePL0K#(Bqzm$7<{6ddgP$~hOh>$^sMkK$ zfVK6HZ@s^AcL1XoPeHH!=ys}L3k{uBP(Y^-I*zy+&a0&4C{xi$deuNuWD5td4g*9-Wc&Eo50TGhbp>|i*6xEv;Kt`aY zZ1wW;N<+QpxxRMhWU~LQCnj_4jun64nsr`u?19-6lPlA#?sGJJnxfZxtC*W8a^^1y zCwFi#Pz9&IE}HXIvCQJ7ab{&_*S}C|b*mez#~=6F|AY_tCNk8u^^3+~tu|iO02s@~ zPFyK6DNaCHm^8aCF=5BkUV#MDfbZ3u6yuq7(@Z?28!>L7v%5Q>^-_74gwGu@@c!$e zmH9g~|I)9SkKIq0Gb4{|cxnD_V_-Am?%Q$+R})+2xLdt))1QazO+f8ZT> zkf)1`FO>`^WzDWDu2et5I8!!P_#no}}& z9vB>{;rZrrVS^<)lyiVO;A6d-e}KEYz2DnEkHkd>b34}euhr2}fJPoo%m$##BPXHE zC$mS>A@KX^?Oi(*P51~)8eixMi3tRRX$*P2rcGM=h3=X+pq4!d$mrC}^z^1B&Ysf5 zG-?cv3bT=pukP|)uU`u%UF&zO@PAeIT@y{|w&13o?%CC*$z}5g!pEKExMOmKgY3@T zNl95?rpQt4UR9*2?sf;@c?DaR^IU=$)tLYpk5vrKYoaWiFEbS{f!WJ4!UL05FI*Hq z;K!{wSvfcs`9qXnt@6a)9*3le92(5}!s6D6Yzcgzl$APp(T{+0N{$Bu~E@yTp#DG*#_jDQ&;5D`<*Ilpp4DnJtagRM5-C7$BM)G)-S84?kV)* zZ@-Jo)uG|55Ze`JBAD!#`%ea6@WmMI)%!#966E6r4liG7=iNd@eZk1+{WrHFhZ19{ zTUXmO`+gir6d2*xeSean#a)h*0qNho1CmsXs}?|*4fyG)ObwPu#>(HbxT{}t`W?fK zPc0H&`vW-NO^H3+A$u|n^q6&s&7!*A*tV9gs zwe{*tw;SAq|6fSgr7K2ns>5X&`ZSnAbd|en>{9Q^k|Me;$%e+%D#pr2=6c3HbO7*L zco20cosc;|UrpTKl+8%16)Yqf-iNRJNZ9gK-ckjJ}2n+zW$?Svp?=)BKb>W~TZ|@^;O{2lC>bFBqxB zqPQ4Z)QXIo=hkMZf}7Gp;xpj=a`B9#HGe488H>umYUkPUx+kHq{`p}Oq8qhsPqi51 zBvpUlsLnR0XIG<(3S7UJ?&>>h@ha9*+2ulp<7$ca6Oxm2adUC-m7Hrz*R)cZ(@DpJ z*0NbY$NZ9*colkg!FZ1#z5CU7HpBP-tJ;+Jn@&^vA;HTVQTK!EAS4V|pB9C(vDQ$6f@=2VVi;&oi16vrW(2~XTg|keUN;;>boW%aa zn9y$Q+Q(m7+0w12RCi(Bhwe!|JJdkf82yHi{-K!w;tsDW~zo>X0E$Bgy*#pk(1YI=AL+iG+BOm#OfnfpA-Ed%Nlx z1B24H@GZdAHL@%+mN+AteA7pHPpWWK9u1!=yaz9bds zWK#Z}$tr>LhvldoO%op+0EXa<;Gp_ybz?7;+O&dGg-NmBf75O^K6|OytYN9wKS?-Q zUQkzWI^m|*s>rJ4aMX|@&;nJRpzfbQEVIcmfYkHX8Vbj3B*>>I&fnpf;S5mXYk1(` z9clLD>7qx?szZ8~skwQrMM0k{NLv{O_yFil&*cjLq2ZHsluO@l8ypp31uffI>R@%? z7hWOpXL5zVafLm@=e;Q=EPS_db>m9!FWU;Uh7WUVM>6#pDVn8 z&6gs*bDmiZF}a0LZmFqh(iFj>=!n@9`8aD%kS^rw?|C-4-plb7tmP)ID*PF)0Kz!5 zO(8x$e#z0_f9pK*WA~l0pT{Ga^%iElwyqTSr)S=~XMR9Q$)}?0(k|O)rY0F(GX;pQ zTarhPZ0?SoG=kwtI#2f`XW%61Ht8E&Dh@M-@B93yd3B=;-G52n*Nxsw7+DKP9DNbj22Pe)HrjqbLRa6&zr*DHSgaHa}r59zz&M< zK`_^cRcT+|fwX3g$o9!M`NEE_Qk=fQv+K&Slk9jg!!z71A%dkffO zTRa&vK);4e6qH(qH*ovZ?2RgOOdvg5^Hzn&YuueN)P?Q` zGrGpu+1To?mJiOj@o@Y;?$QVjODoK**QBkIZO#kET{eR!L*0Cv#3j>dlPMBm$68KL`Qwn8hiWQV zdBRg>Q!;jUaA&6)aQY`Pse7EvG#X{dxX#4QOoCUk}}7iVRQO~ z_?E_4L&;!91O=hg*4n!ND>%5V@9n1tfSe3%szGItDsqlhaW7`MDjb=@Qb?mEi-W=lQ~i451nwgBJ7_n@hZ_ zV2LOsixlj@^SH!2j^!P$GRe6E80sQYB`%dW0I$4-Pp7@Hak~I=493CX>cUa;yC!-I z-pc{Xtxl0D?htyt;` z*u1*4qOZrry+zGV5&J^#5x&RYyhL~HGkl`O>bt>TSgOxcWiqpJqq!@)g7$uu$h+x9 zX2ty%pEz}LCYYDkQ`I{Z2)fy?^||l!5~YHrX>E}yr{L=EeC^*d_ug;+#wnGoxDGJN z$;J)ZJSfOwUUz|!vug1%(;1bsoEMZC7{yUaszWKMX)rulc_(kQstP6H#mrp(UaGnr zKV$k1hV-iyboW&4!=7D?_dUR9=HG8ZH!Y+Tk+{yw`p;_xJB1r&Cl*#$%duk=B!YYn zx?3kaE9Jm~gyC+AJ}Pt!?|EN#5}|dO-jyBE#Y~=m;evT3I&h8yK&TTt~0?0}kdo zCS#uBLd&=iqp~fC;xs$l)OcR(Qf^H;dmJ)He0{y9jH+sttd?;%O3VlWk0Gec|MnB% z;dLYi=O(A7(&^g_Z?{)~k`9+a(ML0dOicX2ejVROF-r0D`ami2I+x=JB~LBb6qv0K zi;iBt4^3)q>(q6;!41e&n{c=|rh@J;(>@Hxx9`?FQcGZSc7S300#?NQ&Ye43&zw3X z75U|nauWA`ApL?7y(~szd8#Y7=C8-dPdD5cwG0h8-FEd{GUgFD$aCn(y$&YyIM##X z;iVKc!Yd?HRfeIF_os_&HPZnV`p7D>0f7oZVWWNF8=*FX2l!uK)s-RGUcoPPak{j8r+ORVJeza zE+d%U+%kS)11fYDnMM)ff#Qtxev9ao`0Z6GhWWxbceArO-`ObuBI$H4K|euU@2yke z%gzx<*Tr>x9?`T(Zr_bOS{IEacnr{FBN4Wf^5^g3(rWUn=6Q#|V4>{Ww~vdRS&>V_ zJI;kLw&8Vp9 z3YDVjq0)s)%L21(AUq9ejA)QirtGV*7>mQC(okaW(^pK~!PR{Dehc3_Pm6T<40#m$9Ji!%j|2= zY*SI8tUO+AW46OMTI9fym1Y0g+5P5sqjc36nl1lX)ud86 z-$h>&I=MEpN|n_CZ}&~K>x>ESEb~f@ii7?Yn)E5pEu5oA>H_oqhvD)_MY8&%-^UmtqbE*EUNS_#a zBbyFrT|MPFFKdMva7^P_VeZcCu_YY=uuLY_B0P8&2-W>0ZpZGBx^`D0-PLWumhc)_ znj_c}h9P0PdXypWymL6Wgoz%Y97iW~-gB_m-9)q>*E)Q8qaY_48#j1oKdqgh|NiaR zOy>&b0L8|}Mkl1Q3kdH)QI=}fpfRrXW%XsNRzy7zs+BfU!9kn`GN?s#OQx5EbU7?N zc>Fj9E3L>y&^@Wfa`qEC9fn=Y)>98qkz&gm=Dv4)Z>O*h;*bGk_nQ{^tr(>Buj?zd zLB-Cz?{bR5S(B_9OEZ9XpF(d+;0w1+sj=h-5cnv*q!`t}S@BSgX)4`2^n@6Arm3#` zB9zHGE5xL3XI<2_AC1c+Xe;3ewvwSJWtrsciWE})!ep+ARwSp(m0voPTNTrkT(b1; znhd>FxO(`mN%2ajO+o`JZnt|lLEygyu^6()B8GjxK=eG?I<>&vM-XGC2G}lEGSaJS z=+=ERyN@#(y`C4sn}_U^($c&QZo7nV7cELdGUg7_n4pM=e;Jt$Lqcm~{#3EKu$bmL zF#BR`rb;R->rT7fGn&THc7-03yu-b@rkgKA=sSkK09|VPE1#Jd@$Vv4z4@^RT;yrg zLG({EWJ&BopRN$h8gJU~NDYx^w~@dH+e^J>_GmwZwB9~vH}XmMb+~aLs8fJqW{=&F zADR&Ma*teLs{7Kq0>(`CU0ZG%-a7~4Rvbgn0`Sq;auP@X7?P^ z2kR9KHhC(qH_kDAdRyYbb75)#f(TE%u&|`$_hMvZnOPEH7WwWe07+;Wzc)|38e^4g zPjMb>;yPw!l^IDhffhQWrlCPEo7Aipmh~I0sZKzYvSvx_IAi`aX>?i?Lf>VHum{p% z)&{{=war>{JGY})t{eAGc45>k@%)bo56v6d=K_yu0KxtG^i&2mS#wl!^5OF_FA??@;hy8BK!-4y z*CSkdaldOUAHVx~sT&Q60#O6mrW+HFbMyQAXHk^ZGqk22+!8_eK9AOk9m(ev(0h^l z9-*dM-r>qFJI7_88Nm2u?9orZ;$(ClmyAd0oJX^wYAM0+-(waDNzwEPM zDdV3ug~gIrF}CLSO&@I8HFFZc9#I(?&W6ArN-j0)Ht(gc-qi1q7@8=pA(F79SD+au zE|H**UjwgiGB+pge2 zl8?ltW@s$T^CXGJcwAIwh~fOv?Lo?{#@?NqG1>B1O5p5~2mL9*-JS{h7Y!brj1y<{ zSASyvo^kaQrAGRA5xcRmWA)IHMH}5X#$c;Qo|mugL6q%hQ51yI`-^00; z@QcxiyTgPJz%3%)^79h+xIvk>~R%TgowA8-8pidDsr~nT92qWxQExFK7K(_ zJ)phQUHX39VMR2dprmCSVwK#0GRuZQ1&ECoA)#)qh-^8141Od6cnEep?Q)p%O!LXd zuHe@>a(;AX9)a0=)3`eg&>4}HI|!od_bysR#C}`8nO|J`fG#G43O5oG6IEb7q6CcE zxQj8*F=YKiXVZrFW#ykmB#ZoKT2e!I5fPg=W?mQ|X9%sSKw7y~Tj+6|uUyF-3 zJUFP#N+ncu1|;w_*&XPV?tx|o`gq3Pk!&&$O$4YdxQJFZ+OHb|OsCYAT*Vk0r)VZtHV zl@9q^|86a)5P{$`5T4$lt>aanCaaE$>(Of+xK`*tiRj2E!oKt;@vqEnA(POqQuybUw@k_~giOQ8lw9&oYh02#$_wmR! zgU@xMvMq8-nEA`mx?klfvmATikGcxeM&Dagh}?TFuJ-!glb?o01iKS#+l_#i^=yxg?JdLlS>}s)qK}1^1V{=V*Hx$!TPgEWa$6{m|HEYSTG& z<9CPBL$c4JsULH3eV9vo0mKdJGVK8EU@CVd7!=xWN1NsFiO_hy&fMgNe`0n#=iY5@8q?@%S36&i`TC>W;if z49%!~okF zRxMa?>CLRK?P!gxD+)+Q+fhog&k=TP5z^8xP~DeFeSa5BSC9T{o5tVl9utO%6=fV` z8L^!^=L+W+xB_X9rNROKWNwTW(i!_w2|gcZ`J!y&$0{kfRHZZ@9;iGt6;pn913we5 z>)Ehuw&Sj#|M~K6Icy1l?fsKgOT6WScnPpJzkV(=y05JFbX5-E>T7p6Q16;}zUZ|I zsG+2`5E3pU#J1|&(JEdBpGGEeBT;PA{*?)2-F?i`q&&+sk|2XQr)+~N=umc9QPj1N z^ywgMpYRh_m|y+|EE%+|^k)^Du@~*R%m=Ms6T`;@GJq&P>CH)`=UfDiN6<Hmb|)Q@d(8kb?>GS^Eh$;-n<0S#@Og%r`HT7Z&5H%gb0C#en!d4!}Okdht@xRYe za&K2SaT2z5!*g{K?ybf{qAr5>GS5B}p%~Kww?R&lANo(}QL5p+?*ClN4E5vQ?fNu1 zc+}~1Ow5pydkFw1=?ZE7C4>o>s$QXMHZ~>Qxo*D8RVZ8IxEcVkr+QQR6_f8{xb1kn zj5a6uN@*;fz{3v9%qWb9^n>zq?cIa`Yl40dCP-{B#!aZyBtgN!H-M+14wLKo_rJWD zJ%bi8)OkFBRoqg+($|YkN8Q-8-o)Up$sxUCG;fwi3H#nu(cy)_$g@FTN%B@(HjlKB z7uLkf3-}1<(ND#>8uNPxO`o#YnR;*n@fIguGcxT@W`(Abs$6{B(&Vg~%OO>0{pw3N zV&SJuV;sC(fxbrcYVdabSJbMK+r}KciB;x|Irml7_0cSG;wK8qF=~b;+Zs#S`sjQ9 zb_-{Qqg-tEcDQL&kG7h_$m zSt+`G7j#b4^`if(*zmxCbSw~UdXEHJA+V}z=_<^Zo)67Rv(#F%zUsN~d;5g)*wdqV zEQ9lp+Gt4OFj6B;t!?wskW&BOsPlVTnz}cXP#k;h0PPU!JE1mVSU3bULS%MSe_D#c zipFb*Zj!dQB))nPk7JXo!@`)SMvfh7DVS$wiIHyAXrsb^VRjyW07=6I_j9zXh()Ry z+W7k4y%AH!;0tdifB*r-V0y2WYZa&`uS_th%zkBnvjH2z%gx&^ufB9KOy;^AQadt! z_OHyM6D6o_Y|MpNFvD~+2FUM3KrKC-8>#7xjJuv}o@8elY>I_O7n5~drndF9or3nO zC9f^bljL{;wie5*5p(Hsm;X2*CFlo9DV&|{Q;E~bvyJ9)2CV=m|Mt47d2?&Z{x&;> z4BMm}=dwT+|M;7iT!GjEoQg^$@4!GtyW&qH&mKPF!)$8F7q1oATL2N(!EisUYXafk zg7M$gFqOf&h##c~r$z0hHuYVqKDE`)wu|ke{HJxkH2T}^RP2

=~i9U{HnyNZ}{qMv6sDSlu}qqO#GMX1j;H$NzWw#P9c>D5U2ad z<$A)40Wb7q;9=klVL)8=x?aQV4m#*@Y~vbY^5~gFJ1l&V#%cZ7B_jUzS4Spg0n#A( z`(3xjJ(TgYSOW;qs- zq(+rI$Re)ikM>K9rYi|r@^5rq*XQ+8Zhy+iimED1A>%*)3d-F6wn&2d&+WFn166db zQ-)CkARzJfob>JyQtxm>Gh+ylI-7h?A>BmN8<_>3=`?*MKtoD;P=W`jl41n5dI|=e z@P)X6Lfh&1-lv;U`(1$y#XWt4Yt_c0JgFA>V9(eAOSpLm>4yI z-AYk=t*u4r{V4I7PhOe

E;z9b+6Ja2MOVp>dQ7v>haXH|eU;7=~MinagTSG5La) zTstsP4Hr}lm6$zqlRW&;48sIh5KZGBG6vW5`gJ{~iOAIX=;t!-m@|hv^NxkUhKL*a zldWd(@^-1_empv{RhNH%^TULx2!Kbe%2Vucfa6aw@31c>W2>r zrbZ^2!+s1RWE1-Y2DBdoK}lwfQH%k{cLO+G%+ZYja5`EI2qc-{&M?Vw2Eh-=N1n2> zGF$|#2}HL99Z&EIpyP59o;Zw%dq;o;GIb79Y_U%I_sBYCA$Z+5$Mo^U7QejmX} z6xY*$3Oez-RTS_nyEIKpFxZ%o-qcv{N*qq=F2n zZ)$EFW^Y;#FT%vn7gO_A7_R8NXnuktkcQ>}-O4;f7r!c|3;}F;a=x1ntu6$FhC2hr z2eH64RLXR|)PW@IJM0N|FF$xuO3q&?9%+qW=#ucQ=_^#UejHxpW03iFOsk`EXNiyD z0a7dmrx=Qla){nLYEg8WgAmz*t;T`QAHd|WHh}LyQiNk19`w4knEK-1cAA}ZeSty6 zWliR{!KCT~`Odk#Cm9q>ka!so{QyneL-Ri}H9hShUlAodqoxb2Am(|>r|^M3#+YuV2@)Xu?@s->Gp$1pJxr4q6ZV7hY=L`X>yq@i za=Q8P<2N;_Ih+|@7{FSGe#N|nOP&5;EFMo$wc+LB-fvwW>hb~|_QisqIVi+41v|R# zq{Eeu@rhRa7#@d<3Z-y#REC5do}AB=F9-@ZQy+ z?aN;pH3NbRkuR}x0)n$1-wQpyE^yM?pDahWFHs7l8v>CgEPb^o5%Q!}fE1$y5NQ2k zzn2<~5ow0bQrfmNoCGj|6BIQd-33f72z=M|{@t$gvFOZ79M&wUEqq;=F0cm40DG0~ zskS2c$Ah-VLJnE%QzZJrIuY5vofr7327q=5xF*1gpG1H8m4DVgpZiY$?? zm5oRwNJ!?;`+ObQ1@L7E)0P163J+^^u0R+yJCd$B ziN18S27R)=S+*(y?@8Jz{M+sRZF=A;q0418uo|M^6czx?d<9>Ee~*^}o_z|8c;T9) zb7dhK2QE;AU-1$1NmB=Wxyu5Z1C=_9W5c3Se=)u^y4Q6M^T_9JO1d`0h34wyVHuhud zrWg?dC;azKkrNXdIL6IAdpf7Li2S{Z(M+s?8sLZ7n#T5`R2yWr0jD#LW)f|KAVT`j z5bUEv*%D5mWJq}9{$!$M*x*Kg1Uw(xO#oJ3X04?gRwKWH9c_oxmk2-($fd$w?tM4l zv%nf`3$PPKOD6g*(OUp!0#Y$iRaGI(R)B-Cl`u+x4qk;*XdBt>urZ6u%C2M!5`CM9 zboU?82VeydCxPQ591X!d5X7H4_JrY$Gcz*C{Mn9Fj+0lXdDE^; z>XEoebzz)=+ys~#3hNJEgZM;j(n7<-iTPg0&6|SI))yw5Wt*Ct_W}+Wi$Cc~vo*)l zL-s2||3GsL$ZH2ku0x(AH~_(bIvT778+fjf{hmL#=2uVo1Rx?5<6pU}WsVawA^0K9z*hJnQpU-de>L;m9E^bh0gP|eR+9?nVR$^U<>;D)=UTo6}+7XlE%Cd$i-zXvh zA+w-mvX#G0WLl?=2z~s{d{CmN7yo#Fylz5eICF8ZO?%kKBAw%x~_e?A4D7RYfv}xo3cl7(wNgem>j3 zLgD-;9z=Y#pNh}5gVoJ0q#{Tmd&L5dS`T;~i|DO-<~|k$b%aD9Y6PX^dDtG&aI%~g zJ&0N-teB^L(AiZZUGmu<-pqZz{nX0*7BCHmtyx7=9d^=(S+hlnRK{AaIZhagu=9Po3 z7291CR#?^G;2`K6;E#3i>FFr&IoOWl8dw)GK2F?C4FJH%a|^bZU?2^59FN$4!38vb zsYmOTJ!r%@odRDt4iLzkf@Wd!3kHrgmYmYnzX~XPK8BUlx9Pv3xmuVwBj9@SI=K6x zrICRHnVLdlIArWV6vlFHyQ3!&Gz+VbU`VS153b}hg$=S)SUS<+#3!7zA1nQnk@=EU1V5gCA!p~v1c=5A6dd0Nv-1$j5(by^-`{63 zuFk&Y$fJ5}d7^$n&`^BAo&!vs7s7+|(I>>!0~LHBtzWD|pKrV>9}G-NaI$$11#zr5 zngDsc7ylBOU;=_ywS6%j_!Z^9pe%?rB+&kMvLC|AAplsiU}<4L8DWdUju`C)&M0;S zU^lYK4oxBGN)oByit*vtlis!h1AxTqil|T6)J{BeQ}^~(!51WY6@{Q>2ndPXfbI70 z(9;3PehmgyAnMD3KM2%;+po`SKpSEnRCliOUL>oy=G0aZeyODG-+XjEHhSZ>mV)3; z=lN#>A=JO142fFP>u!ksbQI`|b+G3O1&3a9>tNtaE->AHr$<(=vHJqcXpjF=&a~l* zZPhTw56T0>3)ez+Y;k$QR}g693(&c4OhE>bi4axS&=Aa_((l=+Mi9oDPp6lqA?EiN zCg!$C*O0||07ZsOZHwEZvo{*x&NYr*B@;CS!YOjwwGN$fr@rbK^FZa6LqlK1-ygZe zC{;MMp+g~(gm#cjD89s@5a+2nHn;p-uNimrJb!~35t1qF0u;({YkDJECkXQjlnpKB zi+x+yl?RL6A@b=->MN==Uc;k#Yp5#o({tjFwzhK5A&cp)==`zR@YWjk)D%!y|d z3TC#0A-!5+ZwFtMP!gN392D29?W@cD7*+Dwb;XmyW@Cf`nMkbu&0|O6w4@Yf+=`D6 z1feSnyLQe;}GL;~)l4MSd zqbG)rGC4W>Mkud8a7wNa zaVIfI_dU*xNqSd~@CfT2I#;kQ380mpEsHe(V}u=`V` z)dhqNp{yQ=NC}EDboT-NL|d5KtiZ6BC_lTXhzMOw`fZ6YtSK&+dPp^Q?l5BX{+VoU z?u#MUJ_IB63`sTnYu@EUroJ5rDUdHwJEiPQ41k@Vg@whMq@+n( zkfcLVL=@e$iG@e&9}nCp+cBgSfvo_h6-tjk3hg$65RQ;2HZ}EF!-o6{3j8P9?;DHZ zsZysgD%iiH#`KCMHBaZKUrQ7xk_JL3m->Du3{qlj$>OfLZtaKdlr}IqKVy`M_o0z+ z$p}t-bf$}D1Z_*ubRud9YF~r@{a1Txt5)J=wddh{&fB{gj5qA z95ezu1lbU)u^pq0TOAK-MoIFpGm9ni^|^~IIGI^mWfj)pqZ2ufz}N7Mw&C$5uG$h3 z5=2+V!k7sW&2JnaFCx}ig-i%$ZlUNFUV9R(Q2)xKc_^I3oZ44BzMB`X+*|s1pS|ad zoMz9#k6zcBWE6cgm40H9yB297*|BkdNPzMUhvDE+_9xg~B=*Orpm)9Z2Q!_v%%1HV-zFqjDI}GTS<0DN z$#F+Ygx!Y;0s>a7<_Sc-HVD>{mynx0i30cli|F&No?U7vHj z=W2MnoU&XcQ}oSiR%gg8P4&TyO1kXZH-X)lZWS$R^0}1Oyl!6GBM}#eY}CMC(wTol zL|ARx%K}S~`LUH7u9L)x^=t zb*Q|iJ9RL;iFT@5{1?V!FW3X$2|grd2i9=SAkafLhVRa`FASYQTl-IAnvK zf0qOEspeM%rU~EpTI|!rGoBnN31P$77eifk{@+laU*B-C1h6UHMg(aH)fKj0)_v)+ zzt%?xBF@`(90_|J9UTWf;v6_AWgUAE5s)(l0wfW?FgZ2>8ZtwnES$YIQocg2Wu_2; zaQ08P6LxR0#sFnAHuttHgOq$0=<0CL35B+x_2@wE`z%~o+ovnsGenZC(8>jncm3-! z<-W_}ftqjcT719C?fKLjlQ%fm$&!Nh7mS5AfZev92vDaI%ht25K>jP`Ti56N@)UjR z6zr$kUP>BFdtD7O`~%gDq*h25U*Fuxtr=D4vOTbQBR@Oo>o-zoy5{imiA^IX3Io^9 zpk@#dn!tME1)bxH6)RkTsw3b@BJmpp~%p(?#1+)&=VM}O#Xub^t;<{IIR8!ldjd%9_Ekf#}yu2_) z(&#j=ACkwPxv_4%&I;E6Wh9`EWkY-*<^5TwdwhVm2a)kVMC&4mi5zA%DC-E*PnXAvz}-2uVQE6ZfQNMY`gG6V6_- z5j?1Y(Bd^8{;ch03Q+%isr$UIR7E9}can_4;hAd8(|&i~YOs2?Sqc8LRbQEIVs`aQ)KyxM%E^K5PaK@3W*b?D+ zY|10>Y*-Nwt+JbdPt8})^#qNH7v$2@WA{ufM6DgqBUv~Ivq(md8`eLPU)6wI7ODUz zsd@tnk6N=9P9tKbrDMHT>clgJ{>`h_-vN~mYTerABv~C01}?tu>O_c-MJ|t}4|gU@ zNF`5 z1GY@0UMgIka1~h&%2__UB$7^zum)R+Zo3P2E&&7u6HxA!MtXMEB0)A?2JMz>0*5o52-6%Z-hcYatZiS zt_ck*A9s2hhHdWOT?NOJZgL*V^k(R;8z)s>r|l6y<6}g3Fq{JsW71KOlhr_ZcaoqR zPZ$3TZOoaDtY`v9CRVVOUZk;y%pW5HYQrK^o_==_iTUF$2ag{)_r}SQg7B2am>D75 z1=JowH#(=vX_yOF_qg{)B9KH_{k5QRJs3hY$TZ}gz9{1W)b9TaC4guSkkq3YuP;Jn zAi*9aU~8N=ylLA+#3#?ADhq*X-7dFK>Nbcsin#cU>Y?@R*8I$fAlyH@u%vnaJ&O{} zxN`KSG!J?t+v;TRhOZqd5yRo+AF~*lDAE!utRhma5*z+AcZE>i31*k#fszhxlF-*! zo%PrD2h(=GaGCv#x*GQ320#U@yg}v=FpHwA1up}{hA4+KGWMYg$2Fgh1J2p-V1xAQO+Ce4t=u-#S7NVc(vG<}EBvQq= zxCt*2<*AKSdK4slfB$wPM+a2ZK>fQKs7ctryAM)kBl)N#9VK%rE&BVH!enab=9lUb#zM9 zhb(vnVUiq0pQSe~Eia&FhZ5QI|8k^>3-@dmy-e_c9LcFk&k7xd6b`VI#BTktJ9trp z3UIY>xjiihI`C$jIc4*6j)kim9Y#1FzmIb3;iKU&sLiXlaRl_kCQRX{VvFhcA=40*7q4 z$|a9(IS^X0i4sj4wvJQ^dQEo0DYn3;vP-2-4$blr3%8Pzj`UqMgV|-u{O`FdcyLHT ziv)RUhBoC;1GlCe%}PJ7^h?t%CCSNsY1Fef6s%;DU86J=zJRSH2t6t_Ae=+ji8T{| z4+cpC$)Cfrj~6FjEr!0xt;8w6Y1Nriu-+h8chX2hYACo?zVGdW{tcFp86#{xq?(7( z5|ST~mOEUlHZ(dBu}cZgZ@;RRy-BqY;J|tu&lE~83@B4(_Qv;Mj81?^&q%!SOsk;; zfb4{nmY@@`gw)YN_l4qX1LI$huYN3Fos~pqo}lk===AjssX&UY-jNZ^X?n z=z7upaVc%M01p_B8F0ja-4jB_N$d_Su1si=WkUK$$Y+KQfbPsJNDOAruk0k-dN5(U zxIl^-fM*j)nGpfYyYy>5G%KL*DR&RKef;SYoZj`bVvk<_?le&BiN|PuBN{GSki*|b ztt>B2@*Z;B4~KK#?}Ld>2_o<{L!HYH?|0D-sKfovh z->i+`2?To7c^#k6ohDP@q&TJiat#F~6W}=MZ)BrM384rJe4ts8&iZ5YgEX)pn8AWT zmo^(5m;%v`FuSAVTqh^-BEXq`t-s$I+i5@Ep#l3X2Xb0GpeB>J;c9q9+n2T?}4jWh$AJV`~ND7C`_la!wVaT#Kfk&<6JR^o_X!lsSLRt5?q zIpK(kikd4ag5Yro!YvU2BFDe#uaNqw65r`D??BG!6Q$+Hl)rM*X^j8dJ<#YfSMw1S0G zkZ6n~uB-WZ3DGVm7F8{T1+-G2#kRUo3IZ=4{M^vp8gl%B_bu=?_HymH@^IHXAQzmlt4etfC+i8|KVjTP8IfMjg z0A|M3$Kj`eH~{a(9#G?p;5XhA%|(3ZzKX8c7bh-)uLDZF8p7k)m>6F*VcNBUe|PoT zT>yG(WvhNQ*7QDaoV|Blt({TAM+u<+`dbT=6)|01Ld12Nq5VV?#fRD9x+8|CG&%|wPd9iMImMr|eU3(VO>(kp9)k`0Ep~aZA^^s9@6oO6$Ik=cgtVlS?^I{I@S5`^O zBWk#R>#>cVM<4J@c#!2wSE(S-?_7E43pct99)7Zvqbj$~bJ;tvirmp?eut<1ziXS) zj9`*G=b5(BRj^YZWoBq_tgTZCyS{_X_W=wAjFe-*S#btjx+Xs%P*k@BUuMBB@PVeb z9qq-iP;^7MVS8Kq6_BTXqe6SohF4PYFy&}ol&rM$9$1DyS-5|G4W&yV zA$Wr`${Ow>4{+ACMnp#ooiH+r(Wj;e6n|=-y25nXdT!zTJNx2S0}~!Sjx(5AH6gBy z@1rwpj&mzTHRCsY9)Sv#+H$%)cj0fd$gi{ViS?5IW!(8JZ1DdzKo7U*40k(4zIHev z9XEP|AkWuDKi>JUc_{3)sJ<&3fX}SzJ0wBRLhBUTzc7Tb{{wHNHn;q}pwFzCXQ4BR ztr`Yg99Cz#Ec*GKXlz4P+q8&L=Fm{J4h7h(1(^?R(aJk)v+NhZ|L3#O!qaDHh;66S zXWM3>%HkNwc5Fu;L+rsz7SioA`%YcAuIfFhu0&-K=GBt7UX^E(BU)tF_vZ3!3Zw1R z-|+$*UC=Yo0{!}zj?ivpyE*vbK)jWsRD;}o4Y9u(j~40kLxq+?;y!e6FF#;*=F!5) z`{!PF;ozQI;cGr2$kDixwJIQ9aJ9(7m$wS*u1OBM z?GkH7k0Ay?Qrr`)l0!$XL%XoZZzSE=2uH~&K{|l}{>KlNn`3>b;hDChN?Q3IWqrJMQIKZ!~Rm1+{Pe6|C5!1o>u`0#V zo?i!1co#XIj($qgV}O=2Yt+z??R_~^?9<7OGXUK~$j|k~ZC#Ra+LG?j*d6i>W6-aW z>4{i(b-+D->*6L%M^hOE{nRqr(bkZ9S zZ{Gq`RVi2|8hsybqx0ds4|=_BwY0SCk<@$Zo%{apb^W`$(4gqPCE?XxqzrSGD8Xmy z#!x_|%EO7!%dK&#dEEzlkc@7M>zPvukwvB279TyMlRkjl_x!EI#6R-B*Vjg#E;*dr z19bO+apfv!lpr$Cs;$Axga7=R-g(#V-SegI?XSiSl$Mw!?#aUYoh$ydDA+h1B$Z{v zb1^aN{tBt)v>5TTmH4TxGBS=B?pnh9>e6eM&pHUA^#_%Hi3Mr6pnRH!<9Z+0vzhF^ zMZo>AVn2ut9=@JqBq4(-Q&PC-J(l;Ogh^c~_r1M4AXJrzB0|t`8~Sa;5Qy^%GPB`eMqqm{#L&YWYEmuHcIDaPPw5HzLzoX+EW%)$2`p8` z_`)}w@(~zl*c(H0I#s>u>$~q4utJV(uUo|td!_*L82Z>?A4iN1uCJ@S)jJka*B9!* zy?z`BR#W~835OGL>nm|XYV70_p3;w1GqKON=%Zozj`sbIgsxpB< zIm)?PKWx%S)|?~M#E#EQ-Dq3v*ZOPQcpr`AYYeVw#_mhL&)=fv@4M@!<&^JlbhYdl zzvM3rg=XutOvSCnfgGcdx`3te-CWYlODAfr)eQ~#ess18Z;h1Ezn}ClxbnWRDE)~o zwYDQMEn{`lQc|CSMlvPBphZbvf1BDQvv#QWz4Kgf@6_Wqmac@lfrdAgk=9D|db zRm**Tim^R+YcD=q*dCO=Ma!7W?*+(f@ILs3kux35lyld_^>9~|F8raV2)8!{GS9E7 zejsTY&Jwjwb*d!oY-vRP;8i@;wm-b)t}^&h#PuHh?)rO0CBA9Q#KU~<(x$&VHOH3S zjU>zjRb80h_tPCU2>O(`dE>%O;m(Bd!Rjh_2!=rIvPKIMK~G@x5Dki?HUw)`yCE}c z`B$s>Y(?pga@EfxB#=nDv~9x7ZgYn zq6pQ=J;zXg2Y#wVL|nxUL)hIB+BO1!%z{IuIyJv|hE?Pz)LJLFpt>XDJ^vFw%0M+Q z|NKI3|6X;Py&SuZaG>(4)vG(-e0Q@z5>^f&<`arViSQ9V`4+WO)W#O6B1glr9$d@% zcs%#L3h;&fm{Usft~#l2X!yKmU}6+NZ9apJ77P%_^^Ub7xtqU%P96bPk!VUA=5y`6 zt9lEN2P#5*^A@O+JHJ8i!5g{#g@*Ue4NQBO#}F;@VZJWqiCGFGo*7wZ05p^WGRFjl^DcFuHN-yc~Z# zX4|$Q@&U;TFEctT{@47)Y_HLf%+6IATZ@!jU;9m`r`Mn8anwOPTrIc1o=yDEmidm6 zY0?U{@mO}&v3;^>LT1u~`l9sPUybQtoZ7Ku02LV$W)j5#5sJ@~C187q{wVn& zr?fn8W$T_p9OEyov(kcdl|o;;M`xTU{{Y?Y?87{n85Dn@Gp*!%b260mK&tcnPwnbs zFV+4yJsS*^UPfOUJ7gc+SUi~vTUc7GxFTr&$ct|yZvkJC6)Wde>2&?=;-K`t!jt2c#HnsNq>z@OHBDq z0d%6)#4#r z;G&eY^i?N}l0*m19N4!ncZFq9jisFhSnP;WQ`cB*(p%N1dHFd zWxWu6-!(~)Vzg?IkL}y!YvY@e3Ihd8R{`{jG-@2{?VKsU6l0Vcck5R8%$H^U)3!S< zd(dmG&{d0w5O|Pi8|=>LtaPu*Sea6^d@NR2{jRBcrfK!SpTN^olJt>B z8E#~v@nA2X^pV*vbX&>5YrwmbA!NoU`p|I$_R(~T(y3*iFg0t|w42V%BUNsh?&&&& z`qkzm|K)Wg6`p+_l^+YHb}v-8@BZ((DTgda-qPhYqNb}m&r8)`{}68X;RoO6j-oAY z%Wp?zR%ytH*Qhly>Cm*ku6@VEx{80-Ag9i@%>4pyw?8(#&r^2wY6YS=cq{)p>5!5jJj2;BcPadWRrjNY6Z4A zr6hMGt?n;b%Xw5#cp{}8;>TC+?qrm3r#I4N0AS?;klIK|lQil7GlYA^#(m)>@w^y* z<@MZ$F8oEI3II_P&8Sr&Dmi7oLnrPI!7m$ajx=J(0@`NN?-SzFo@vnvDri!fHc#9m ztq0Smi<`q+V*&k3%Km=6kT%8XI~7Q~%k#swl+H~tWq(OReEfnowM_CaKc0uAcDqrG zFE>sopWNYoW+xavc*;w_)470rWC|*zY(g({rfVry-uXODxiSp0|BUztq&$Y>11U)& zkgR`)2J)cwzsa+x{7Y8WcX$@8y7-Xb8U`@aa}qNYw#Tn$Ayml8HIlf5CbV791|3U| zWk~!G7a1(Kl=Hyza~=nz^@3CBM*nM!YWp&UWhqOM;j_-E3`}=3wKL)z|MN-n*wZ#_ zD4iYg5b6f6??I_<>$P^HT?79Qzkj-ye@K@?V-t3LLAzVE&zlv4uXyfO?jPcUHk7?#>E|xQ1?kT}|LXsdiutHwMrUO&_boK6-?o7fCu} zwQ-=-39w%hS>+J!UtzD~VG5`z!rWBZ1&DaDi9j0s`YK#aUYzvL_Ph2Jd) z`=!CLWDHdQk)z&MlM$R*AO}hX8b-0p;VDa9Gk8-Vlv+7Gv8;7DE)Z<>hl$>gUPE^) zfNj6PvIDE;u(Y(StWzSuhe8%_Tt43fBeehkr2)^hbl%DXo(<7Lz3(jLl%=Q|?v!aw z5J*=MPa-%TOo9$g8Q zakFHV+#%s)-8deX)yFEmwm(OA+t%+lY(AF~;SFs=au`uqsTa37(uwDPx2^2+rvIE! zMEZw&oSK@t4CdAqWACd7_~X%r6)sS{A+_c3CVSpKr8go$Z%2~rx-JQaizpZff!kva zme%QaD!BCL(Dxh!1m7sI4+HSpi0b%$1+dswAY<5k<|HT+7|<$i94Jc$#YXDilaBlL z&BWByjXcyoVHQWyP;_yJl(F27n~4Ho5--8b&O@Yf+AJwK2YTK#tL(7SFHgXI?>u>; zAX@4y*vVY2lAzC&-a3=mJmdu{$P`Y`o;~b!4(L&42BaZjcy66>bmeSG^4`b5oX{lD9rKAaMbs2!YlAfQ-PXVR}(k zU|<6=1$G%YIMK3%2F*uDOOn9 zl7~4WJeT1JmUI>^CQ*A@mlP8tmkTonL__#qM zW1DlXoRfUn9q7+yG52-2icz|=ID=>N?N`DS(6$Bg`KNYDtYlK-E?n<7zw(vQQ#rrk zlP1ADl`{Uw&t^MjR{^wn`d0umQ1J1yl&XFqidr?Sakh!5yg3<+gJaHw? zfCP6HG#q=-5+l&)0(b@{z{-2RydRqxUc(+N)*g9Xm}&q8;M#aETICtwU$!csCL>nt zAgm#4$7Y1Unz{g73xmjtBrE;9t~W4OzLI5_%}IcrSr!eTig<&yjV|YL@t-??(pINo z+zx>~+DlOMD?6?@rM!IoO1cxK3piXcR0+}da=_^DjijWRyq41=>z`Z99sO@)`tNEu zDq>_3Eh*hUpKBVM8Xzo$i>();D!_^-gh{+!tn#i4NzomJu*O~ZjP@_=L^YV5gVx_OLSD;8{TuH|Fsg$6_C z1R$^|1TGFm3;l0{p8Q%j!YA)!u;WYj(a@X8hbC7*xilQ8vQ-wS0CYgm| z4@t$XYbl3*Z1_;G;gyJpXrYCk`4aTt6gRzW}_PhXLIG)+n%!q6ddT(F4@h& zYg43~sieLs%1iF*3oVD`7aZ;{9(FGujqCUI-qSxZS$)cmBjJfG8@*9=s=(yLhsK>s z*AM-oEW7;ZWK8>+8X>Z{YOjWsZPt!Qk+8_rR*)yoQUd9(RAhbDL=D_1kjl*&-Fv&; z&InxdJ&-;|$+m|bN80X2_CI4g=P6|$9uf6B1^Rd2GWd3mTqMZn`Zzffx*>&ir)V4V z^u&q(+8bPW&U6W0KL zh#yG8wK{&cC>Wg6GXBl2@#lhINzrJZ^@42KMt|0QU&nR7ZJ$*7BWT$+kXCMd?^26V zaOhjlTk!S3Z8ega8 zCl*(#_Whpd9dD>#%fIAi9W?)Gx{|>?T8SeeXT8_w_uy|Y9XNA2-@W!{^WBGn4vW@X zb}9VnZ@z25<&@#=bW%diT2gt>clHmCF8v>Ndu5%yt}QISQNm>M&gb@lO%rv$^5q_v ztamcVTiL(z?v?!N?W^{0zR-fx#$|*0Rh$30T^8^y@A4m>d&8sk6U3=5wxIrO$P7aX ztNpAO6iGPj*U%Kd&0}EOS|@3rbw9g{b7?>KG>oB@T1*FZjF{Eq&YL!UXgSAogK1Ny zW&Wa0di|Ly{>NTJ8Vcv%iXTo)zy<=Tu;IYh$779oN>h3lk_VDwR`{nigrowfdM7+t zL6QkT)vqU2`JeOsGmovl*HZo8fiI0Y(WzOE=Qp4LD;>11{O7k_{ZiUdUR-r)m;Z$A zn(br=q+!Bw&9>qGO7{<6$9G4zSLEy(^s1$mR*2qEcB5XKc5JNeJX1{?0H*`8&tkBc zKOndwv~gGYV=uy9P29fk@Sx@3sK#rL^J~3ko+*BK9*>{9^0({s{w)IpYQ*FU3Fbu!a3)8p7;H}->>^M!U6Cc_VL4{;ZZIXp2Re{=OAj-aa@wf)n;_{{sk2F%r%c1sp%nVTY}gt}ni` z$4Z?`WG%XR`9uqT00^WvUz>)=zAfR|*|OEdbrN!Qec3GNB*KgOE1x5g-(~{d)TcEL z6?Mphl@_6*l3!;^zdkTKT7rxCsVyn#O?fHsb;||g=7rP1Z{n!%}p=l$%))WiX4 z%SFw$=VH}Ch`Z!&^O*#5e3bgG^{fLd$$%k6U5F8)SNrL6V#PF$k77>WSByd}-S<7b zkKNbp?b!GDp0D3d0y_G6kCR~t$BOK=DXnmxxHjk4k69qTNM90$wclPR_$b}Ld6ltO zQAw$_Ssi^)0$!BZ)>sQ3e7n~)#h-(G9f4WBJxd&6D`HGXPY!l0K(4SFlQx?@tb03L z&2THWIf_^yl^hsKgggI!hXDfE211H`#L5;4_f&4ZVrfd1P2&7%af^NZ;E0qUn?Y?u z2<5YP+UjGi!~eJ3vw7R;T+gGGTqgUUB?Aclx!CX};@~9JE(*rs@F$7s@_bE!z`3f^ z?V=3tU!6l^VRwV!I}M7fgp140m7r=9UnjrVn$FV zvq(Ld9g)2yKtV}Bo$9>V!1?*vMQE;!xYIRV$&J8Rq58I;&f4hO4&Y)%j*Z?j{u6r# z<{5lo?{_+kk7FpAc6!6}(~8$NXbxa&gdccw^N#=a32{bGn5_=05^>@UrbufU@>*5X zUeX`$9HL=Y)yX6HRDB*cQ>wAFW^JS9>oD`C-VONo<+5BfE~dTtvirdcf&%Sw@3`jQ_Kr7YoR|2yw4io5x6w1MLPG9nwDwwK$_GCW+tnRB;|-J&fzpm& zu6~uo<;y$0PcQG}`b5X_;|yEE9t6%9uHG4v&Rs0PodX#-qLi*){yy8ACY0Um*k1Qw zbb7_CHmBJF-!G9-X}@8KjKZNa@U`EM6SZiQ^IYv4I}2LlfEb@ui$V+M&F2(0z!u#l zOId$7{v*Jr@H&wVWA*Jv6L+yd8#hizoSin{v|0c&5znLTVYo<}9OO#W2$2@yCV|@$ z!kpDD>5(Fvlc7WgQNX4KpF{A|NB}L;jP2^+4k2jJCwDkiGrq7_dfdA&XYv_EZN4LN z@!KCymbZ(&YV+C*C;vj@4an1NfCs#_N)8qPTs`98TOI^zVjgjES^!=#Zw3}2@4Blh z7EuDjgx7ww>MYLimFu0FnS)d9qEj-II+G3&x+nF)`UWOkS4o(H2g+A9;?7()U()bP ze7d(&wJ;GS{{hio(+%Jek_ymFJ1=Z+0K$oQ_rSlDl+fTM(lNH?fq#6K%KZ4_Cx2!K zX1T#b57qSh;R!cplhV@Cc1p3q;f3bOn^|CD0mjpaT(z7F-;ZONS;576MMe9RfmPZ=M1|r2|SjEX{yrY2XUT=TxJvF2>@kKO41>T|3s+ z-f3z7XfBFy6OAh^Pu=q@y70{X82#cU;c?DM_I_wx!Y+leK&{(8lWhW9;0@A%Rv<wL{^n~4Ebwl!^2*f!I0U(%;J`ft49A)r)C5KF=BK(P zmcyOKLv2%9W-V+tnDVYYWfMOaK}NUGthW0KteSfNvkn6~8XiVYn~xAx8?eAZl-f1el%8TMJman(`CPF z=JICIivz^9AT1VwAA?6e&>~Z>xv<~fajg8%$W{J2#B+)F!C`CUV7VL>m@&CZu9iOS zGFpIh@H{x&%Ii3t$c`oQjda#$ zxHWquqnP&4FE*k~9HUW*phQaIR)ZQEnkZxk{$jvajo=dju2Wxi6+>!Vw>5e9<`cS~ z`IX%u=!EAWgGQ}II06ngvcgyT6*CRik58>Rsj3pg)fZS)uee(%u<+oPsEBq2 z6}TG#+%f5LLJTwC%F0S$0$P^&gAPl$Za*#SuE^=oOY`5Xl+jQ!AZ)6vY1m$J;$0uG zH{id0R;o9-z{myMPf)1Gh7j43o3%?6wpXK!4&v^KjJiOHs+c5QD@8V(!_d=EBtrMD zLg6MnMUDuTJi!{MAJ9-Jl89Ceg&?B{FZR|k5fl$lD1Zc>8EQxeaAv_J6#U8@FPVdK zp!UfM3ygtcU_LZYp@a=J2NElyPe+tZP+h>F=!#^@x65iqM!+IQxOd2FfrxdZSE6qU zTu2Cu>EVNdNcFC;33+fJSPwv&3In`Q7_SzPYR&CuGBWaiB1;U|(=*7^>`)E-K3LzR zFkb%(7(XvRzZEE1cRt=dR^sjvx3si${Z#~a{@3jJ@`hk|$%R2Y^zNa5T{h>sJzgiY z%3VUl-oWMxL*5|08g$h_T1G4{040k6A8!HET0eQtXnH}~xjU*@;mlOK1XzU{J%MglRrqlyQSe3z`k>&yw3v#jG3R=7!<9#lTe7ZEaeQ?^d30bq;>oetq(LpebF-~g&dbSFSZ3Pf`O(OLk)QbP<4 zzA7>Ro9M@U&$NnQ7(^V-_hcZFWaP7hTmTr^TMM7tfgKGp_)5^*Au=w2n|DDE{+L+@ z^u?gFBq|+>hT*RzNI+^NJFol=RTkyEX8F55B+z0+V>M^z<;Hb|9^~P&t1B<6g#q{( zAg9Fj9_%&p0^toX7}z8| zeM(k31lvdW(vm$`R7y-L9sZOf4g3((lm zB71MRg))(fG8!&81R5i^36ZKJVr{r6Dy2sWw5bLl90FP$^ zj}2BJ&?wsxNzLO$U4)AT)*~N-h)5lO-?tKfx(Z+e#GB8xVyzlf17C zn6V1nEPVX$Jf>&TMm?5=cE@h7R|$|P!oeq04SoFz#gR&sxi1zxy*lJxRx#R zpY0J0=S6|058De7Vu=94ETmOdDj5VZ*A#fGsUVgfAltG82NNbK2YO@}xM{do-nv0Z ztWOl*oH5NtkLd|CV8ComxyKoh-=nq=)lhmMIzR4rJwG+rMwY2p|7#ZavC=ph>os`H zMIKM8OQ?inqJ#}!e)X>z%Xzl7l_0uIPUdy*KKiWj>(h8tRnbKXQMY|)i0+7B3cXeqZ`ayUk6K$A7sr9s7R)5MifL3H_xSSIT z77CT;_m3GwdK}T+pT?Dm&fW!A4G$sX3KVJ`x`jfJR&{;(@%qK^UdPeK%QQQ;5;U=Hf{OnJXcs40*jAVP{nVE7>mQ$JP1 z)#$>@1aNGj^vZyBzm>kv#L5~2&m^)U8PJ zpGYVcD8$wYk&YvZs!(dgNCiR{{1nfotkDA{8retS8&H9W(3~$t&}<|c1k=!xh`P>c zq7I8OqTKrRRUr=eli*+^=CNvuQiN634@7jZYHk;Wwhj?iA!{cy zlL@hiQZEuS?B@eLEihsfVSnleW|Cg!_fKi(Tesxk-e*l zU_X&}%rCJ*D5CdWX|3xjZ`D9q(Clt~ZXlhqxu`}PHO;9uQB?8Q-#R1B56;g_lqMME z#RcEAYjB!YPBpOKR%hZVY~46^)>SI%sIwv!y-+}LQ6y(on&c?X=c-_sx?P|cH%r6R z&es`F0+V0E>n5&FLOHxjMx3$Oi*V+(aCM#Zh6J|PFjq^&;bMaGPIJmP#Ew3kIAvZt zynKNy-^tDGuOaHlHQ=DnrG9)3+BBGm)~5;e%$o`ikA)_h0Cmr>NSD52SfE(SHDIg1 z!?N;qQEIvk(?U_h!79D7W>REiN zKs*BBu)IgoiD<|Xzgpmiw^&wkUA)MMLR^PTiS9!)gm_#a_b4i=6=XhoFRn2|LE?(= zd5Cx-!D9@MX~ESq&5!=Vc~}OLA9?24X?Tt0-64Gpo(5=MpbqZn^yF>IB_PT~MDYtd zpt3A0yjmy##HJ)gaCGH@ehbr{53hNLNn53SZToV&1ouZ>E-KiWnHh8}McpmS4)mJD{&D9euM0-(yHs5e~=j2IAJJuvE3 zEr?puWPLB~AM1%b2zu^oit75Nu47D=~QIGsTA z)(`a@F}sD8MuI|^OThI-RC%zKQZqA0LDx0|k1cYE>8{HWpb*(gm&%L|i0}-JPH&$F zgac_2g?je(8T9EZ!5j|>d4Wa}apu!b6(GpyNh`eo+Ycn{EKN2mAetyt3y^db1v>y% zcLqss%i8@|xKmiri-H!1(Dm=+$tjuKh{2?|=ep(QU1RQvBhzP}o7EHFYuLisVA_?F zY<%TAqW56MCkzsV&$et34wRd=6ieWpr$1t;(@!&9b1Zs1cl{q}cTr97%hvbqF=FS7 zsf4rTFiJ&XH%su>Ctr7ZlrCEB{iV^fmQ4_wz1ZxYvP>;?>CcY_!nX$dQ{M_2sjDy9 zyLo5JRFIm99MG5A#i`r=kgD)%bX)z)Tt7Gq%p^KKs+|sVaVTwV5L$ExJjtKI7k@TUAHoLX1GAAk&@WR$Y{FAczrRTV#50q(AWkTr zJJhZF)2G7F#NTZA#uA)E5(xa&Q1-CrY(t;M42?x-;-zHXILJ(8fgC7cC4PW?sth!o z74W*Tu`w~Fj51Cu5OSY{d<|-!8%ZEA8zBfY*%q=wN;@oNp|(pJB|i_AEh~0#b=|D} z_Ra7CWZq;Y^ELuM<{b}&ormyh89inj{sg(ZkE(C)@Si>F$HB?DW_G(-g;#5aSL=5k zWa3uB+?_&kJp15ad$~kMQ!E`KP70=}UNB+Z0%NHa zxN2sQvvDrSiX{OAao1ohX9@oo0-x_5{GShyFHE(PEkP=BDVF2PrL$OUfykk&zZ3*A zxj_b>C0xZ~#3vrUcW=a@T05CnN>@{JR}x&lVZ3|f3QjrLcFn2VFM6slD5;PgSu7R{ zA)E)ZoGg52LaD*X6nF#ezudx2r<~?<^a@qU=+7CVfx7}$eHb0(GPCCR7C&MXEKvkh zLi3(|eWT=y4!;}NdhJ(*)8F!@~gmB{3>h_$~{qK=?Lr zR4GWC(}>HL*9Gkz{3v`G_Ne+|c~E}HJx>7C*yd9zfnz}eY^y|s`^L~8B+A>`*dT64 zFHP%^Mip`00^B1#7Bwy*%sJpQB)E1l5EtnFkEj+3*EG9-NX{eeuB;Z19n00EK9}3gGy) zham(^o8g>c3pC;cDCqc6sEi&EMj`+mN)fys5L+!oTE4wJjE8;UGzzxePMv@QB^4r$ zTS$wad&LKZLJnT942m5cdf$$%VAtM*#5f-|_?kaHAqDbED$vJ=;Bd$hnOgKW2u~T{ zm>V+q>m%5#ksabOe1$g?j_Tjfbk0B4vu6G^ENnSEe7>N6;TrAdb|#wBZzj+z03!duP zfEG1>h&f-1sX$sS(BfG0U#Ya3vYlwiY}i*9?;FHS=|lwP(Xnkc=NByp2bgP}e~XgS z$A{wQ+qTM^LU#5`3N{HdDMDgEo*xF%^JA`4g%uj97LJMl4v8e+Wak%$ZOfR4a6i;)? zF%W%~SYOaa;nq!gq2{=~J4$v0Avzyxb5VVDXpOP*9TU#hF zMuxqD5;}eFd|(x(y+I8f1z1bzU+*JwHGKi>oEPdb(F!vJPAc3os)h&E2aYU&1|oTD z_D^T!YUaTgAk^STqQMUX>d*uN-^|C&-PQwy_Cc>7f!JcQ4(eYB& zysE6(AQ0UH(ax?W={CxmI%@jqEh1YbWY|ZZxf!bZKXHS<_{A8)2%#rr%C8or%3DdY1f=r*3RConrd4Jk zEh{x%m#0&npeCMf-3va^Ak$q(PI#azr$Pk+-Mk2T3u*R9QZha5jNUrkc{P2@cGZJYhswKE|zT9-&P>@bSzD1?It4>r+BYWeh9LiXBQul|5W8< zMq(O!ZQMX6RO>qbiDFX?9|D-s!e+xOuu#8Uq1&jRU4_$Mm7n>RucWYD#8E!V+S&>#8di00$-^!jpk(?tx+MDM`fh!h@$T{%y*yr4tOkLC(BpDh&Jb^YSmRn^Ct zOB6Wm`RCAqL4D^@&<4@i)bNU#5}jyEBn$gb-OK6MuPPaobZV{~lYH;XBW_F7Tk7fT zxKZhMWI6J7pwhgT`uRUkqO1J;%4vS)UIfc$uBqL8HtAWrc(83O<46o|hcrZ%oF#`t z2p>XCB7_gJko9eR$fv&TP0^iW)|&Aauk_E0%fVgdu_xz397pI!N)Zd|1nElO+v28_ ztg3O8tY|M$hzwDS zuRgnupM9yr33@1|WH`pY3wPd%Q3&eEjf0EARgGWHyzGhsNSb=Jt(_k^W~}x^X4;G{ zf|-{2xXukQ_OCD&I>XJwH6bD5m2=H(#@a}p5))Q2Q zMi;IMHfjqVy#^?@0XiB!Edxjpexa{z^mf!#Kl!-X}+3rJ_s2v+6z8*fc z&IQJ+paIxZh*Q6jJzE>v;&fpWU`mscL9aCGnfGHWSKuPDf&h!($Y_NO1@f2CVx_>Q)q5lmw`;FV~AP^SjU#c&HZz)K>=9Rnck9e7V^ z03klCzCC7RXP0rqXq&g#E%!e*!9XYcCVqk9b69J&b@@9&={V48>@<(I|CAXM=^ z2VW^fzORf1Tm$er6}l}ha9IljT@@UvfH3X?RzfI6uy=MfvVog~cs}HM&X55{4z9^u z5)yR5iDL{f`IP{jT4a2DC!nCp%?zxpSv@LK7X0>dc6Aoc+b znC$`FG6U$PfDw*fJ6(tj@EB+diE%i2$#8z?lHlS*xEdoqs)*Sh^;hP%quJ$`^uRs4 zA6#F#s4c6&b2jrDRmK)%ivhIL4p|w2fnwGzfduA+XWxCcm4HBovL{i6k>H}D2qQP} zZb9Z1@*&-MKurv4c{>JP9SAxNOj8i?D1jjgJVc&X`*KLtzWATboEvqh<`x&f(%|)f6klrl3db^lD6+uVB406x5KhimmGPo)<%jH^N+0Ln;$7U< zbm`CCB){+huT&~M^R4wtt>-41IF1C0hQ`iHTh%MZ*ZU9YW$p#ubZS{saBPJy_`da} zbTpMuka~+({O7GC*b%OFqr%ft&N`12vn*}CI{u!9bH|^e*>Nl~uh}G|lJk9MznMpy zd3+$84Ez0VoA3Rl&sOkaBJ~J}IAs-Ke$&+q&2oW$G@>|#R&;QHsC~kV5{hHn@!dO> z`)?B}?GK$rF)}-H~&nj z8I?Z=781favf&+cvlTwex1Zmwi>%#QwrXz^)U0>qXg;Yu)l*VpepB0wTDjsOj^WI8 z&W#$ogImUu{xW6R3Soa@C3`jh#NXezJGJF)9vRswecskWwdTd{lOfZewZ4oBevRaV z#g3UNKR6rm3HZ^*SC*}M*`-h$kIY&&?{|+hFW>R4wq}u!TE?)5K7ny1UvG|cvv&-L zKJsx66DUu0{nTMd^zuu<)0N|XljZ12XB&JV^H;fg#UvfLA^20xkThEcLh3$MeP0BE zJf?{bIF(8OvzXm4E&W+&;?mQU)(mxUO6+)x01%?W~RBgP_=pXj{f_F1hD z>4}MnwimOgda6vnKfH)1e3`xVVKp%;UeYu7Ok_Zq)7^Cm?N=0vtMe@i$6pR)1&X%` zHzs)C2eDcMruXUr@f(94ynM$yWlQt-2*P-aR9T3~qcWggSa9qJr(j=uPCF14d~`FU_EbPiIAbxriPiZTq(Xgvb{%8 z(53-qX@OBX3I%vQs5Y1`xe0)*3h}0Z>G^{8p(kM1dPbmsbw1j6=Stk+UHtt{s0T*3 z;1NiQB}PoMLBgPHb3TM20p;*mSF!Q^w?g%C)&F#uz8 zgCqbY3SK&h6BLk5u~rKKHiax0yuQ&XqveaLdpINgjaPOsH1?nPK+-TJtye`*ej)CQ z8Eq~}at)rTz= zH*&OciHXN;q`{3um*Z#1zEeYjTJv*@g_=dZ-AdPyCV#RoY!jqP-`ePnsNu+%+14Ru zx$#7D?YD>SM70eA^hZp4dkF%!hSrwGadvW@<$I@XI0H!JYbFI=_WuGG1sCU?9q6+N zGr6rqH@7&&=1Yr~=%vZT!mbA5$S3~%rRjV#5n(bWV*Ur$_;m?0vNe9mwBD1Xo1$4` zo@JW=r8BmJ53O#V-MB5%vhsHkJHY&TikN0tIJ`f=G zSjx3gO8ugUi8+x0Eha{?JioAbmz0(YHp-)_(@^P7@ylIWtAP>r;oF}XHH^i7LEi#4 ze6m}9qNxMysRL1PQ^$C9A> z$~{s9Rw!7{I!8mNl=2CPl*>#8VSE&96E6+4?r4ak0-epl)5X0X-i=MEINW4wKw}Ox z59-}ja(&C&H@CbS=LL%=iN2Z$F`i)h*Y-t# z24N&oA_$%5UEQCjhSP2VY3DmZAg!|F>e?TVTVF7*9c>oI&nV`n4j{qmQwq5@OeCoW ztcN6Y;RtPOlH4DGS%mtw$}i>UW&tmO{6rgjHk8`P=yCOy?fmNq3^Oeh(JopzW!1h) zy3jlR7#!=qHt#^X0AgqWN6ms2@D&74*MhX~fF#YpB7=k!a-%B5eFYgSLI3)dcM{n4 zuu1{oKw=aKnq6p-bOl&M;F}Ak((pTxTngyIv8g{{7Wm~W3GSlYIcK&r;&RwTIk9q~ zGcc}oG7qCc0hUc1KMVJl7~!!=>LnF|*CEzQUylzY?zV540l!0`ki<(km9-(`eHbSZ z!m0+$#RB>@WX1yV#d*3MoEKex&q9N?+3gI+%m7>hl-8zV`R`?SSrIQq>aTb(qM$@l zv;ZeNXM_s{e|t(K9ps$hOB4zrrof0MT_kp1iOy3x6gPuHrzL(7%!45%u!};KGl5KJ zZK4hh7qmLlu65?sw}A`O71I67ZDErMP%*`pK}cStg(VC=ixJ22Fq}g;3{dvNe}EGz zPS3=i_=io+SU=ck>8%z4ZfOdNCb!SBDIK)R%Hkm926GGnvnII@Pk^V~_71GfW8nH0 zz&HRY_As|e>f>2eN~tlc^WJu7vO^T>b#fK}r-9WNa$_2{(@(bR0T>5IGKq|SLC=7w zNjiG;641N_^zs$Ee13td7q&5C#0VEId*G}L#KLQoIn~`kW7oZZj%;shQ@7q`yS(KwLA;|J@%~h zB3%zgC-e}M%2`c(!-&J7CL{AhkrnFc#jRQ~=}oPL>u&aNCs!^k0# z;mdohP>k}&^Yu{zO$V-Gx_>55U!20uZrAF)Gt^oloR2CZXHVGNn`B3&KMWpdnQ!N zY*k3&f%qwMXoi~MAJIjrjtgG?T-Z4V)WLLLdn+S6Hioc=N@*p(EEHH~3V+2FJwpl} z(~*$$i5f{xOLNzQFw=Xu@4Sy;U(4qahDJ z`AK<5`9-bY$UhxMw6Pe0`Y4XsBEdd?ArQ?H(;*JeCMAGaP5|}Xt`f?EbDbc-=2bUK z!^T!7z(?f!(`zrB9$g&cfRh}4cH{L;qwO=wn)kyke&5jU(bqmQSv&^o!`}{)&pZf2 zp1Fo521E0bqNX2OD3DiYT6PsBghbni<|gwVVe%#Wwyx`IpN8*PgOS78puxKqhc-k$ zkd5Ih)l=0MT{t2EB2+T0b*xYi0zQ4_CZZ9pY`3CzuiW^+$IB~sA)344-kMNM$4s9snJF;GXyCL9 zc+rhW>VX6s6A)O)o;_<5Gq&=i-c%S2o!-McyKbNz0PZ8;>2n8~jB0jKbH%Ub>Cg&# zGz0D7_Cz$duP&H-!$uvsTCG6Ljm zH7A1%8=w`QY5=D`%TKJv|k{S zMA8kQmZ6Z-t&tJ2+3{GXb~ej)m4HYuaFl;`;YzH1wlLdJ@!O zbvHLj?X+{CuO!V~1m`@a%@)L&6_Q9)iVMMGSwN!)$r}Qa$;_*g-2WL4Q_Mg7@xlE( z#2`~>3nG&hD4aiC!k*t5zwDjm=MfFJ8H)SyJF|k+LipW?_Z%RTa5uq+5r*;7^nwP6 zuaQtsAslC6Rtv`QO0YWu+X)7nz(@dJ5IKRs3?K^t|9r%V42fO< zcTaVo;{wag(M!jJ2s_CY-Byh@5%fz zK?TOeD71dqqdUSsaR18uSyYWN@EMQbMHMEQD$_*2d(I80yx|q;{pJqL`Ps;4@MLM08;+q6P;6 z?R28vhZ}6vZ7sQJ1SnCpPWTRA4rzKdO6H&jFXd^O_=xs}@ITvu6rt&1ac6;BR>yig zF}BO7nf#GjnewgBgHOG^Lcn=2CM{0YOqPVaR#(XRm5rZ`O*Qx=+G}f)PI_A?ooM~m zh#;=tzLUsa9X%~jJWQ{qRc$OpN4V$+9uWM{6KV;%((lsl;(Ud~ZR?|pNud2pJfoey;xFh30_B@k=fpeX1E%!3hl1mAebu;o<< z9mp4_c2zZ`QM(#bhnCowe1{E|Pp)t0yF>Mb9Nop!C~i~=RNtQYXI9m>Z=lSffq@jT zBUSDD53~j8jMM6H0-wC!+`J49as|h^Cl@u7|K_R}X<_DwwfK*7<&~+40YYoSw6iV9 zI2IQQKm=nfWS!DspW}2(mX*=^@EX#9oEcAQGAY=`I-l7|3l4Fo{ z@dHF22_>mFDMB@0vfQ_(DTZk`40q&D09kpUg<*)I%&LG`Ke{JYL&70 zSuLtmk?*I<-1T7QifeJFw_viR!MljSGA0dl$Ke>~y(XqMJSQxf__V?4X$Hbip%SOh z);gdl>&90%;9PV(pNs;;kD#YYLZEow+T;bicA|~frNF0*F=~{D_lf`x(}5Dj4j1@O z_GAE467PLmLqo$w;72$??CQzpYBR&{FQ1MypNmpH_1g5XXUe|xOZh1yUd+FZSME;D zzYBES6M{fck$g7xA;+ij9#lTCVub9tl0oL^uv$^O!+T8)>dz;-G~6`RW2wQydQN6o824CWg1-fAWBlD2QvOow+hI2hBx8 z9W)mdDY>w7$e$Uw_X`e8yBCo36 znfD~DX8ztUm?vR$=8ms%=IHVhE1~c%|IKh;wJrlK%=WF4e(Ifx;mbkPgb;ssbM1** zqd2LUld?@SrW%ubf8#Q@c4L%S5wErF#HWHj5%?V*W1{D4#!dt13c07bi%^$TKCmB_ z6$${yi?UkmrAEzQ-I#^=)35KXI8%pCB8T$i39JPbi6i<``nVl)BXyS315c-pH8H0e zL>6YAPZbaWd>I&zx8GbGmf}h5Q!N<`GGM_8!{G~DN5E7oSY0%vHSsE6>yuyG-XH<_ z-7XVPgeCr`Sp90O+6=Ig;l}0ypBmwj=9d_b@gUV_23;eY--P;iKUX1X&x<`|_VsiX{6-0CXu}+0X(nG9)SQ zB(kvhJW1@C8axwC+*vxhir;Vbxq?2nV$lQwYAHM3MZ=L=yRNI(u3cY>7Ee%!OS*DN_Q71Qx43Pf7r`!HE?hf|y>?Pw|QhW@xDvfXid;5eR z<*!>JmLF%>1@7(%nl?InR4-}^c$zW z#_@wAEzgVN)zzBm8_N;K(gEDLWs}4%d1Vnj(_#VJxib`ZraBMZS@m+4Ju>1%hdu`N{zS*~i zk&dJ>!P4d&U>TfBME7J2>QAP-CUTHpc<;d&Dbb`i39^0VNA+y{r6_MpN{a`?b9Qr- zPBVK;>XW=a9mjB9^CD(J06``fp8sqACU`@y$o8}88ouS=+rrk~CkV&uIi}V30KU&w zQDNZ(_yQdOnWQP%BLId!92}0vB#oqCx6phWpmg_JWv0wfb4llaN}IQ!{D9~b+PAnh zhjHo#gE4)%z6a1tyT^1SixAK2zA|f;-vJB#GoQYEX_|K$NAj^5X^Dft4dlGn&iHA- zo+57=8O?6(`9e9>K0q`S^7}rDn#tBc=T|o}k{~_JlDZZLhODMcDEKy0#+Z&~YiCaI zAr=0#<@*oL)FR+@)*cq0X( zyFPvCJYW9)<2Nd)rT$8E;v3X$lD@`zPRd7Ddb6a+e*M~cleKqa_14%L)Ke9G`i4V? z2N=g+>N6S%d#5SX8J*lOM>b|~;y>BEUF+uktJLPg2Ku%0I+IHEB=<8j4N1BTQFJjj z=gW)SeOW*b8f*zO+N7FJh3@g+dKCdC@8&3CwRPIk+!^#ludwEoT330=`(K)W!2NU0}lT^zoTFPSH$=2xzN_3}}}Bk|z^-Pw=hY zucuJeF6B!+tFSs+1jwAp(lW;C&oe)n_fBUFJ~WXleJgx0BPkFaJIW&;I(C1D^%`IK z$Lbinb^V@a&OfTY`mYKp*#{bU9wW-ng%`@u&F{vl^39>$!>3J6s0 zxH|iFbtO~u<>_+@TXF`BehHixh4GnGca*5B?JqA`rQ!@vn?adya5rnK^sBG%8ok!= zy-eg1C2NG!&EEEJ)9kGkYzB-@8hf~E(JFk_uGcclxBK7NbSBo~x(LIj-`PwTrAyJ! z+(6F`ABOj`-s*2Qao00a8~%9T{_7vVKNBA~W=WeU2!j(c*3|n47(@@p7u!iv0FC)c zx4Mj00Dq(;i_FIfZPEUj5x}XRpe44ba`%2v%*%huQvlF zM45-g?MJ2s{F_ZN6pQ}Bz}20k+u00YZJmoxKFh$`WEw8<;9Q|S4ad4c%SX$JnNs^a zSN0-}fLP$`?BzzFj#xnkBh+KrTdS3+up_~C?>4CTmQXe1OvbM#Q{#t8a=}7_8;&kX z=ledlW3a?>eX}X|CB#-zx<{^*NalKdnhNOj42~5#b=K^1Xvy!UV6md)7MGtF8n1Dv z1siq#c()v6*JIlH<7v=w7@_D}%^YWfcH0l22uJ~c>y`DlNzlgwpO|Xt`-+P1Pn86v z#_0XHNUuFo4Bzb>8f0>m>ZpTtaL=sT5cvB7-6hZIsjvEDersvVfdN|MTprumJ-#>V z`Z2dOawZhZ zi`P3})A*@OE=#xyf0END@-urwsPxTzv$H5NQZQ6RUaM{MmmmriA}db+V(Upc9B{ov zeeX$=)Vz+nY8P+WvTO5lZ)Vxqy0|Fd?eDGz7lwh?Is!Y^?4H>H98IhjLG4Jl(8Pi; z^3Q|$bQ(5PavPeebQ?A8R|y6mx-2Vy{+K+xsHd$3q`WAI$qZl)(+Ko&6eU0|AUQ0s=z(sR6@zgs-FR82m^e#ihFKVJltbZ-OQ-4a#WMMX}EsffClYy zX|eV3N!pSk=zQlt20r)Py(#HhFKt(p#?;i=GibC`k=N%~9c76|6+3PtlyY&feNOJ_bXE zD$j-|L|w9s{4)1i$|s!^e^kc^m{l`=GAa$~vAb?LJ99np$vk21>jPQ`X@~fgWk#O& zs?2itN(sJ)-wUY%NczI57SEe-}xz+%6rfr1RRod^cX3H@h_uL!a4HcD$urc zM-S=!i()aCr8K!eybeI5%m)wt1`g@yRG|d?1F06eYF5Q0o5RD;ES{#6-yFZO0TBi0 zXbMgyM5BvpIT?3CKT$ay`|=_1;0E|ekWm79_8~U=CWpRyL1PEbHOso_e>D2)`S-6}q4h~sOe*v}K2~dQS=3KD z96c*!oE3jHC~!uj@hBi8KQfvg^+PJIvm!pMHHO%EFe}0Sgdl{hn7)Baxl~&5-`-S} z-N9D+oT8m*(7a4-4yET$;YgMX#Br38=1YHTv%mh118}02?Kslr9zE9KaPNttAlv)b zU)MaZIM(JIYc+5=~ga4mo$6Vo?@MG7q2X5zQ~V zt4n}ag0-Epkx_-vqO?OG5KJ@1PX-2AKPURh%d8yJ2eaW-ilkfe>P9CWOL*W6Sun(^ zLiUJZl$53R{nxcBp?BT^QXIWBY-{m~8q&+kz#|acVtuuJhv zs)fgVPC+PH;jriwk8Hqh1(^& z81q|+X>Se}`9r-dm(ne2%~>M%N$?h4V% zKu|c{Y8p~X;PCj?yiWX8O!0lNkk9TYS{apjTFBh;$l_Yl9j2?!P8h^J@gwMJ#T-V3 z=>?6ZuY=O`_%3LsM&7wyvQ5~fzQKQ8J??gO8`4-DTmAga094=1fW!k^(QdMp-<%x98R2(4k25bDGGLtSm6$Oaz$C^;6~d~ z&*ZG`yayGA?*Br1;W{@mQU$0EweWZYb$ zHL<4fDJy8B=GT)Q1_F_b?K({-W|Np$vx_^-Mn?KC^@LDUzrzLtLO+J?B`CIT$bHF^ z!=NGo>P;J@gaJZ8go2L|A_M1~YVyuxGLb1isMzwjEIf(6b+4A;R1xtvzc#d$P@V#P zFiEWLB@(-Yx{Ad}cFN%kZD)_$?bR5E&M)b|u*}C@y*KQ|g05RP`18XKAGKAsZp}-@ z-8U8G>TQU7O=L~(=}7BuZtb#{O&TL@if<~q*cH8>*gPRfoU63L&%OR+mPwUy?#M#c z%Uz`>(A!XGEIa;9{b15cBIo9laWelS;hTCP(q@sZZ{0r!F7ow}Y($u_%TIl*Dlea1 zy05_{yHhlA+)QzbK&ivP`<-_k>fFumn9!FJc*jWn$cqS)^@Q}mGPe_T$0H?BA+dQ-fPv11ZSVrGlW}s z8X1ut7EfCz;8Pqcji1aNeOUoPohK;@&TnTx4JQhC{R4pL(riY-=Pi8j*B?+GxZZkn z+OpD^1++qSz~j3LT7>Yq@c@1Z2YOw>g}y6LoC3@0iGkJLJT*3X6 z4vC8D_~g#KB=wt3xP&fHVvlsahUMY$8Enu=*Ts)aMzO5Mm^JByFOMXr(E?Z|$$xU4 z7rIelB%yTeDMxJ7%8E83s52iL@3+krBm`Ma=P_}MI!RW5BXQV!7ygQaNb(BxK+z!U zAPY1$Kbk6Ew_z)pBaaVMLwLdoMK+3Ug+VjFl(6qAUt0Jy@(q89PZ_Tkd{=9u25>D~ z)&--+&2D_93F9DFO!`pP)ytmAv~jgPi<1LirgAPi?KLLn9@V}8uYDbRP*)-7AGwZx z2>yLY6C~KKjgpu0@JvqX;NL1QSj1-(NOcw(SIz{Tla-C%qf$oa8$?qq_O(B14!*BK zUNq)&YKyfxZm-Xm{ZVv>AoousiiiC+`fc!*v;9=Fe zDwhIG3X^^Xkw=jN@fe|XiLFD1&_&W;m|=+n0R z7oPxQgpFVd+}N+r!97I=Vp>K0ZmBkPwcXYZX-P>Nwg5FgYrv0_{l|Z1XCD`nka&C@ zzPR6TuoeouaK12dhT8$4V*L##@)06jurL)!crwvbpMGSSLb4M-=1K)Ti8j z&+co!1)baPb@{?VZjRqkLkg#`#3m-Ana%5u9~#%tmdXpqOJ}Jbi3vzoES=5i_?Gqr zY-q78-vTHLnJ#9|g(5`6h#ZCEP<=v63U!ZGaOplEEh-MTg19mRzPR2c?3^Q@Bg=6&@iH~;e(kh>%&8qy7CUz1J@HH!0)qlOQdCG zy~=sDSi&uEuW%ni4Sw^4x`C@ql~5^)(pZS`G77^as_1kvD@z=6Bgnw}?1c*}J-}Hr zrM!0?8vRo_x@YEH>#Tu|+GQ^KjaF^LB%`PLtf1g84Vaw*E1ed!1%QZCL>2D77V0^} zp!U?M(zs!r%2X46`o2DW-YGruz%ekTe43s8hw4dh5U*C6`=xOpXpVjZnPE@;$#t$| zUL!E|V=*!s9Cxf4w5&8GTTMkc_GuPO`bI-S+V_d{=_w!--~!;@mqYL!9UWqZK4wwv6wjhIZ zteEf`Kl!{GAlBtU5YrHn8`#E|@(TDVz@wDKUfvePY-M#=)qAxy>_$Nk3Y^Gr}A-!sF3Eo99SSG@sq@Gx_KhAN4=pe%=eR7AV`1&S;(xCg>0j} zVtLTurP1J0`9lj^v9I1Nbhl!R>mB4Rt*k6+CO3??6aX5A3F*k@=bELl66x;=g5i83 zq@O6VOnft)Y4aQ}TFm}93$oa~n+lva(O8%Qg9L#5p3l+U*k8itihYHO>4Zzne|E8~ zeI5!SQ7I*bN=J)Hxw*&X;*bv&12aI)?@1%?yyb--;r{G!7zpIs=$#ye)Z*mg`p$d~ zY@ZGtUjFP8eIf~Y2qlAsL-lv{Oy5#X_Lgo&h+X9Oun;8(1jVf*IIQE2K<@Z+ADkd z*%rV|>$`QX#fmVjJrB2$6$Kb1oG_Kq#_3`BSudDT`7->Ea8Neo)fC)5-9@b?MjJT( z%k90EuI{mGXDss}F#Q6=nJ_~{Aw5OGT!x7@qa{NQUiIVmfM_rbQ9upt)2N=RL>pOP z!>C@$`Qi=%@4Hzr;x<4G;>m)YuOTY^FRVg6EHd#$RYJ|@v<7Nq$AlpnC`Wgv-ApX= z@9Ov+C<#AH27jG%Wh2e{{QkRTWdp}g1-GTIguz^F5SwG5PrvIcpJrpik9Q#f;&*t5 z z0$t7$jNI+cM-$eJ`NdmgGAT!P+DnQPkKp@pdmF^MD$ewMdCpi*l7v{N}B0dlw$vdKqdK?FzpGb4-hdSwla)MWBc-N_pH zyesdwp8+yt*RQ4{E^O%k(exeQShoHDw>=WFlI(;cD@n+vjD#|ityHqJvdIjElw?Gr zTP33;o1_v_36T+!ii{*#|IhWjzyEQ(J;!?_*L~gBb)MhzJ3d35m74VT*x1&t1vJ6R zCACc4N9#icFkwn3yMDN{@5}I_eZWSldIl3cQ;zE&Wk(5xmcA7Bt1x>>`HuNfQ~i3x z>q#U0Zoc?P&;H>y+jMwfkY7oYp`_m zf8djDim}{(dI6i^OVv9`4=BUz*u&iJBLAbjwx(#^eJ2TA`hs$OBFc5$R^a!~bqX+B z=R+4g{?jm_>RQ0T<5}}LteP*36RWFgoEr>cy<@7apSp^^ytYV*8r55WeZb#CW*ZkL z=QVGM%*1@y{x-=hsg1hb2;`u3<8(kh&p&qi9UyW*3wl}X{?S|BBkX6Q>N$=_*c4`V}@>*!V+yu%+I#NoFl(u8G z852(F@9>bSgbOS4;h#dX2QQ7cz1)Dr(fRUaG4j-WH-!@#W@ae~`HEr05Ez)R-RzpS z{xzS?>ugyvTnsSh{gaUY96KsLAz$3R>S84p{eO@bkL%Dw;DS`)K||5^=b$GZ$VLKU zqjNd9UKG3%P7pi5g*F4X%g7|1W&X*^FPXr3=M+Ku%?v`E(|#*fq?@-^V8rWDO#TFG zsbca!WuZGCFm7;kwo*0PmBhk7xfrBO%$dRL#6I4h&L?z-YBhVQ2AGvKoOeBrW%L-? zo~|0W2v5={5Wz6^_$%gWhkGr8pV#JsZcEBW^Qr;9tjx@Q#ztupOw}aat5!IHyTG}F z19DF4x`gP#g9npYy>nRK$-+=bf`ljV=?uM)9*F)r_Lo$J6%_nR-cPx;FQ`x|{c}dn zK!I44>u`gt($z%;^k@4!&#?y7Uw+GMH(;09EvAb~c~f$U!%Rnj4pON#QMghxPU7%XwAfl&J7;#n`K} znihgp`)T$kkA{>BSvrEr`E7F-Sm-U zR_UGtRU`@yq*OA>1r-{zlrl7u@c0pLHab^7>ej5Zz ztGUU2)mw|nO457xz86h!96G7qD3hI?orI%k_K);1Rv~ zKHXG2!IYaqi?&53sG@&W4+_)=G+6y;X@~!*Os@~XgybYtvSv-p%uc4it6fS;$PdVH z;1*Aid*N7i3!=c~hfLB9NRE+oNxTQ-j$}-{U+1(7k_7Gh8>W3J0Hd6nW#RY)*$8}$ zZt9&b(8NydN|^VxUu@X48h?5klf-|U!(FQes=)P9ICA7j9n7j4ASaU1_{+I(`j1w= zI1o+AWtNg_*z=hVz>fgO>pvz5r(t<(6NK^)Dzcy>R(c)u6>>oS#%eQ9syo{*?H?A z76T#C^Y8Z=LiQ2@6s@37-tHbRFQh!6Qi^Xr3u-sveG6JuAWKatbw5EC8;xgq6p)#iiO!8v_^$tnb+-$3%tn6M81JsCa9q{J{2x z=9-ND$KJu8UUsH$U)g*@*kxzxRa~FSgdgvfvy2y z%d-)GnwVO`O%n*#7!)vm^p<8tDRTcYa3iGoRUmhAlavmW99?_) zB_PL^+-CMtq?__r`@E)`qcxYfK-x`Jthws}yhi{&eH_i|tr~#rV0Qwg{?y!H(Muh1 z&l?Whm;Rmn?M7l15KuEM?G4-FIhvzKZ`EEdS(yHHA<2THb&KWgnynOHmsdB;%*-gM z+HE2_A=VhSm#Ltxhm_9xWiykp0gdw#b$B_rdOjqH$hHv3JTa1zO?gsXY%Md)D5Kh` z0+(o5NyVaRuc$WW>ch)karTu)Y}{m=_{YV{`Lb>_GAzG9EL!HbdD623OP&FoqGHH( zuwHe5SoA)Rlu|QG;r!dXN4C2S*YiP70CYtJA^bP+=t6GHm?DI!d?8tx%Nr%f3v?lO zOhoUG&F8Cp?iHoSjGwO>@CY1iz$p|5m6!1}Cqfkm*Q#9_x=2_yL-{>SQ&lrh`#W&| zcn)Fj&!Yf>aG^8rTi2Pvixat;MeiK|^Irax>O+k7u@E$;|CQ+7JR^9Y?tthz3p;KJ zHd$e67;k2kGR=G$TE|dA3@AdOC?RXq^w{GR+sG67dYLzUC4Qyr?6@%6Zz9VeR zZ_MG6$!}`Zh|nm-e*;RvcT!clENH_)#`M=Vsig3PdB}0(*gm{s`l-j8&pz(FoSeLF znK2=K%jooZ{WA_G91T#HIw3eJK9YO5#xi4%lJm$!ET!RJ|vzy7aro zI0%dA?UvAED-eI3_FTZo_&m0%q|lwj_6en&Yu6TeT4dNER&|$5ZuGM8g8PPk>T^JT z&jMez$Q=_XWq-N&+RdBRM^o>J?JD$_-z}iz>l)GM|D8gHU6WBS^|&-x{vdE}NfkkF z{3A0rFWDgV&Q+0J87}>lP)f&V^Ql}XlcjPdHRuCv;H&}xjwFb4TuK!QIlL=dDnVE= zd8KpOufg%L^|{>%?`+L_7ByO8Mf4^PUFPoQ4p*lSG)%n{6md?1>n{VFfWStjZowH= zZqmWD1?#9@3iYpnL*Kls6A;qP^3(M%s|g(8)Jp{k0UbxGe|rIkXL|{ zv!{D<;Rqir(0NFBMb4C-Ws)b(!rfF?FI695Jp$*?c1Rz(F|UJm)ZPcFl${{S+9mTJ z{MWRnaIFn0TM*DuhkTGpnWGMpB?^di>O@e|059j=`kL6@Zar0`eGA^kxX+pMf z<$5z4KPNDzC@)vbtT?4Am4&_dmX~sNNFUC~xP*jeI)PwT zx%v<&#}W3-hwT_S#IG2XM&`Z`->*BnO(Y^=-+$WBaISB0mizpneJT{rt;WzjG|s}m zkAjTHSpVq_%X9eJ3;{d-4y09_Y^vXRnmb02p3wBn>bNbFF>*(BvZ8fC1?HKcYpA{Z zQ;S^;E8soXC4mfxEXoPm?Q7`k>-$iqEZf`KY< zwQo-O99Hv&!lhBsrdNB5mUM@l1PBB4)(Dul6y%Ff5>#KgOjh~4=&FsccYA*TyvDj^ z3-HI%u!8v=gzZ@~(UVOOidF*bY1#FsJWV*1Vdi*=wVbwGblZqU+kBkz_2JOjrMGL5 zF>>|^nLG)VhUDkZwXZkXKSGI^o&Ju)%xn{d_!0X47v*jqu`Rb{nD)Xl^_Nw~XH+SR zmLow$3o3TDtkKQs?-)q>q({#_yVEqjg#MT=#kY=fw=)&}Zcf}UMBC?$tumw;B8{(` zD)mhHe&^J&YVsOfl598fQsiZ9FW*55KDz7V0f<>C-+T%~3-=M;VJdOz5xwNG`mNNX z7fDA*=`P%-ZnjUDYMbyf9T$7nBWy`qZg)=$uZnX}&`x+XbYn z)~=n{-B~!;Qv-4Y@KpD*v$5F()vc$CCoC`s9ib}XZt)s?(MXyEmbL-5HW$sz=$h>| z>j_3nP6UQRpy4=o%v5Xn&!tGg;G^_`I|BHkubhcy#lumQrf(K9GusY6`$ek^zC3aL zZB`lCfKX;kcvxjjmvp;60c8{>aY^>vUt2~3Q7C*bNEb!>F4DI2sFT&7O7VmO3M@3y zbek{mgg%Nya^yT-H+UA}{{L2FmY87lj$VsBq$~+lY%WR5@GjLACYnuL)Fkk!^F!DE zRqsX`jOrv7dZ11K;KS6IrMj94 zkcR*=d=|+^tY|qj-heRem?%eQ0f={qJ5unfg+i-x@VF|PNE|x104-cu`V|Wt=gy_o zDo9^;6JmI(Qnt0+a0h3ZvVcO!?1y7VnDM~xT1;zC5?>(C!*Y!M4BZ>f(U8$n!S|Dhh&Q_g8EE*@3JV!{(yow~ah-4el zfwo8p!HEn5TR$%MRD~WCA_CbCQ6$Ha#t1?_5ItVb@245JN?ooR3fdGXk^46MdQ{73 zwP8OCRFahj;2{NgpMCy0>H1HSb^jX#iA0RAp!QxhV`!*vBs8W;q z;N>flgcKmy3Nf#5XF!evHD~=jNl57u+IV2Pjsf>0jVNCTAyni6nkWxmy0ZON z|2G;dpc%4`Te!VBy7Fzf$q^16NQTp)I%|dO8nnv2w-xj2+6IhX>Ica`X56ZiFO6`6{9oJ~P> zs-H$Z-`J_3TIxCN(}# z(ET@9kk`dSu$N{e*Z2`aeF%fPNp6=I+)3b^d+`@I0Oun@T1lSel9RRk+Zqofw&v@n zaulG?h^A7xMa>A@nmu`<|D?LV*|3l$UkTs+r{&gi?Lx4zOy^q)5`{_ z@LwBK6mIu6?vg?o_SP^E8dwuBN%TQafHC}hx#ZRn#bl(t$I#b!$j{EcPy*qHA;+?x z&?5hP$hBp>Gw6!D~KY(AZSzTA1T)A?HSNKL z-3NGRi;SKb-))7Nh1!;`gD1p>!)Eoob{+fGR~ZZFV6ms)h8`Z4{w#E0g&;9;RAr7D zv6>=hat=b-c^8*vMgsXEwErBru}?iK#s`v+saK z=?-CGf`E>_3&T@FOY*4N8cff(60$kOX1r!$3#`6nRj1vX`vG%b2!-dIkUv&XyTo*G zb#0(DN<64_Wi0`;s;+59z|JQeY5`PNtX2FFJAm?T>4w%O_p)LwAP*|o;{(l z)gQ9B56X=`+-+y_pC~24!hXpb=7r){DbHiL9tjDd6_5H2#}C0EB~*I|5nDu;+wZ3y zc~9uWstJLLkcF=9JP09UsPyc*F7(|TH&yxL!&a9?HOIxGqtWE?%Q?YZa|=3dtKPDT z$u%Y8uQ5j;YFCIPQvGEw7=s`zl<0w7XojdRvY7+K#1r6kLctbXGm}DnPD1pQ5RQhZ ztClV;NgTX)93CW8o>(ZOVAB(HDzy1i+OMybdYf=;5fDg$2I%MRiaYP8W`C5fysf)v z@zd@&ZHh%Vl`UiG37w|vEML|-sb)1+6#OsPEwCXmfw(fFL|LJ^ufgs0WL&N94 z8e(JYNa6m&AzKOc(ymE#ObOx8kN2(EKiSg zK7?Ji$yH>&d(+=ZWAaY>_4BgKeDQWe)*DQ`u#AA(VkGpeH%Zrq10)HW0)%<(an$^s zsrjYZc8Cxn*q6EAgFh$q-x)&I4hNI=ZOu#nse`ESul|02loSqee!>~n|KQvDW9xcR z43z9HDDf~la{${Hd`bUHYQ5OSO5 zZ?^!1Y;aol&><&C5TFIIRI5F&c3wuKQ4tz3Wsr>~M6gN3dG3Tz1*Zeb_Hv;py(^H# zgQpZ##GncaRZXbSgQg?oJ2SWqiZ40|92yA7Wfzy+u|fgHrdkpzn_rUwFoFcXTw=Z8 zyMpr-|I6nRyBTm2+M))ff@mt=!B~Te7{#D1DHBq6QNm51Sx{? zR=VGU@j;fi^k&ijw8MF!giDxyKt~q(5^%Qgd$j3aM+}3{=^NZJLg*4~=Z^8BDn@DQJQOv< zp_dwmB?@+*=}?Hn42z*){4j8%D2Y%cyoLHh*2DS*l@vnWoahJX=^^H!*>K{CTSUHi zF{Ha-+E{j~gNI1;0C0H$g^JCjzJ@S075*+N7K#AqC&Mdc4n0f4Y=RVyE&~gp#R%7U zsVKim=lz53-O31dv$Q?72F5ophrqUdiU<#>=+!PI1X^n(5ZAm z8*MuvQY1>-9eBUYfszwo(ZI=r=ve)o)e8G<=jW$Da4N9@@cx>iJPg5Df+UV!QaHLE z_RvJn^SA7oaKO;g0n@#wzOU>e>acyFjk`+IAO#vZHpvi4?)@?q#h}k zHL#5cmc8TbNE4hcxK(n3tGj+FgX(L-0r7Tz*dTuR1!7z95}XyBVDBO1^a^?R+;jG$ zBj`&BX<5ay?KFO1y{~qmQ<>nl9yjZ_kb|>4x|zAgcZ!mPOj^JG^h|E}WFQoch)brM z5_vcVsr!2ZAqL<+s7QnjC>gXuiG>xcSqSV$dmCMov|=M3EsN5tLRC(_~$1K zm7AaxZ-RQV^2~eM(%LV%DPMVJU-`d(3J-P{Q}>qcjCv3S%-obj*!&N&AGtYy>)K> zBODqCVa{k)IOc3e=}t*PH8F2@CP1@slRcul;>ACbq&(P(0DD$@kM23)ya6(4q-nzb zp#Umb+4a{y@xx1>-P;g{NhE}+L!ke9L0XF7+HT&i5G=wZs-ZR5rM7VyMgdeLNbDt` z8H$iSVbC}Qn((b#OsF5O+XgXAe|oG1YZC4l4TN_R2`tqY5ROR3g}1^zI6x5G9XtLReQG;xSX zvM|*Z=E!1@$C;NweIt}EC2df*q0Xs- ztm%;4aGY*|2HJcSBqG~j7Eon^r6uZSXhr;|Fb~o-5t^Z-PS_YJ`p*nfE%C#cLlIMf z;^9CXSYX7LoEVyf8hg692_(kllttOvKpLIEr>N%t+Esyars&5lcCmOh!bb!doMg@tNq*>p*fA zN?y1nS?8Cmgyfh?*W~v^r~rXth-}{5e;O#;0CWYgHAfB-Nsng4*xY?ld7G0pk z$Xj)nO(0t?ENjw|(smtxykjr}PM^sT z1pyI_>|88-EJLE3@b_;3=(u2-;=&Kivwj4Xn3crv9}FUq2(u|d9-l+J?j#~6@kVi< z>Uba&B9KPOCk8 z{_j0o{%6|2;6s?^{6&b+lIq{gBOm_CxfxkLB-6J6x=f2#Up?5fG(AAnLx6fYv}f$O z?U@BZ@|Ll=Xq(p?OAQ;hF`odAXE3BBVL=9CWg96A@ul#7H(f*qPY4MT0;fQpG$ArU z8RlVpKRP6U8YKe;d>_tD^Z_g!66TT?eeaN$$D+W4f}VZH&3!^tW>9OG5ik2%5Dnpf z^nx^B*<{I|fh~#`+`z7=D)A=U{QJAMH0(6+j_L@R}hXv-k0azLaa9)`xQ&5v6qyhqF0 z_%|IX#;%UX4}}Of4@3d@y+pC?)F;jp!UZQnz4JQV)N&z88`R39$b@Jpt91}6F5|&m z!Yk>0Xd|=_cR+~hKwyHFWck!n2vV_hF%FO52ZDz02eR2FR3yyX^w59N3JKftb{Wt6 zQnOI|HHlclDiOzokQHHy6Db&7?^MzMUsJ6)0TN~@_=X6FtAEE*P$d?FqKR{fE65z1 z-58~spx|}fMbm^gidmpcogll6E}0^<61DjCAr#Pv=tP+10d*R!d4W(NMhXy{`9Gq? z5>xWnBUDyzhY9}>oCLEdOk$oO*nQQPLfcg)V0OfqhR!NOh@KKE9VAV9LPmmmUW7XB z+(XMUO8TeIHwNg9&a&_+QB%)fA&L)=xNeToaky0Dio0BPcuH;){-Dd4=*Ea@uD^$yslok zLPEU>)*fM@gqT`wzWzDl-4LQ!8_Gy3(qBAYVoxAmP!XLD!qW+vmOWOUy5QFCDEaz1 zmE4m1(>OE!dnj2bjb$-Vr;lW1+=2EpK}NqKY?W~}H8m3F#BD4cq7O-22HU)G)H4qt z#$ww#r9pRp@zvL3bK%s@zTQvvm&z_^G?z;f@&D~GKCA^pb6kThmGD!#-E&rJ=>Ye- zqGw)1+#s@P#BXYw8o$k0F^8b60tX#+qGU_R&$Fra{!jh#x)k5*>y^+#s$Kq?6@c~x zq1Qrq^B@f)w5^gd8Q-{9-b^^i z@}LAH=C&`dE{GPX0*1hh@=%jd34}ch(pnlEN6di=oYJ177BFU5jLGr z-`)1)%W#=P?OlMiv;P70qQB5mF#+Kxa$8ZOTLA`6aDk3)b!-BrK=#Z#5zPGo2qxlN zGaelAH)v52RXNn2F`gpq`sjs-FJ}G3Egn6!#pDZ9w&|RZp*pL(5bwVT>LcheygQNpdh!771lG9CNbM|=Fgl8DyUJOxN zmrIUe#Sq3+AU|UjYvfXgK)>?(K+T}Ggt_{>RUzC>Ys*C;yyZc}JP(z^DL zIq^%-H6%2m33)*zJ1q#}M3pHBnR`&i3DFuHi7bS?40=}SrjjS0aiC~z!kdFE4kMRj z9PaBjvP={xB8tg`!`CH39u{X3A+e9rALez$p-U77L?hlQn_*tCo$*&+Sxa%ZYjfgd z2c(L1h|X&RC7VXeo8cix0K4N`9Ro3^-B&KTor)@GJBfX6Qsw2J<=|f!g?7yP3o{k^ z(vfnZ*PFX!!?K+~FrbU(If%nS7a?aQB>Pt?`b10ncshb(TTFxjfDQ&Hg9mx}p1k){ z4_}oJ_BxgszOETQ``A1&F0RiXWrhwy+OLVv8+MbS+=fOup10lF$8N}Y$$kGgcXWMb zUo>km8Kz+w{uaRaAy#1OLX11v6Vb(NL2ZoA2h4R)^sr2%VcjcwkJBS1cHKatkA$i| zVD%y4F(kWivJo-`5qO<0H;#r=cfA2Fv5X4)d-%`|D#in2D6 zzm1FZ7zDS#hq4Cs1mzVyFdRBvMvEdL*M5?Q!K#P}IFVc2tKj2>@dIx6DJ4VtW9vPvGL1CtVm`cE$;{((0h$aE z9q zl6H_TfB3Q3O_F44c}}C+bxp4WzAbf`WpIlYo!wx=Jc*w7#7-%xhc-h_$4pHb3-8`F zhYQR6%I`@H{FAn2UnoNKps1P@VE9afUGW2%@3D2R7i=-6`m9f39PpjxU&m3soXI8` z!=_uk*V52S$O76KW@6u2^8jX|WfkV4CXJ3T6^FQf(| zkz-uvldl9ygRtxDL33#SkX|w=-PA|WrZIhqcXoSRXblSU`w&c5FfZw`Ymt4k7DY+< zOW^V|o0$P4$#rG|ocJHm-5pCYAs=b3ppp^~qEs|1k^jMKh>}Rl*dLBKCygnpto?pp z{RagGT&xHEzAX7WSSiTMvM%Q_9{Z-vWt+0STyogYaUQTyPB?ev{){{UO(0kAEu5T$ zIW)Wi5hwEzHH%FP8L>|eKLNVfS4kV!oAL#cXB0+;hv0js^>Eo=*{XUwhfc)6bfmd6 z#rNJrGT||FKmV*a6>Bh4Y1l4L3<9Q{FD|r9E!Kniup}|<_~!e}X8v}1vwKwm^lFPO zO8GHgq(Rgjuis`62{!=mXL;<^;Uo$f>Z`huCB5$B2UqW238#29;eBcM&d+XIdB^WV zRj7S}F))5PSQQZ2D-^90-Wnzx*a?@&_Xm^8z$`K|AvzAO>>F)t%!P4s3$u#To;4e_ z4Z;!nI)MXMeKr}rjB2B4>52?7G!`wF#8!&^{Q^ote`qcU{`G&$txhR)0FYXdG`06r zdG2>lQ>RLu+orxaY@IA7;~F7h;W2KIx^^1HKU||9Z=5QBxokBX6t&blHV4zcE8XHs zZ~c|CJA-c@Zu+j=bXxyEAiwcOlzQLM+0O`7Km9I#Q`*pP<>fj2BzV!9hsipe^Tt~z zFX_KHruXEFuVaVT#N}I>u|{JUcPO@MR+PTk$@;+`8UQK1&|nH1Lce&r_TPWJ&#nGT zG5@wh7O>XD&F$x1pzPZqD+gUX6=47Ly?BdIecnP7+By-6@SIS01tNO5?Z=`LB)W{~ z&j36v)gd)?Cg_XP{x)zxRhRE4lWb%h;KYx8Aqntf@smU(=-O*S0nOgqR zxsabhV*LVUwv(_H5-;2i5Ewfi7++%*Q%faS4>a%TrfReNW^Pu5BH8PU0-n$?Zj_siC zZ7%#ktIoMrxJT`=^@FI9{W44=fwqoTAGx{jtj>5>2Nm79;}$g>&}{&OmW!3qQh>?; zcg(H_J!gNkmm9iR&D^?iLy>SfiZU+=c|GA>cuaqPh2%=IZK*WY_tBT-s|rHS_g^{h z&IH(RFX&C5f#O<*YHeB9WP7Sh^{&FoOxx05fWt}eW%9Yj`p%j|=Vkd%)J_K>rd8Yc z`1cka+5R7kATeE_nx(cOcTp5?Wop`{8vNIZHfpr8eZm?Xi-9$vewn4gmS~#b-Ns*g zsKigy?GinDSm)HKuX_%1H~+OTf*8H5q!hz|!k*SkrDXLY2Z$>a<+>Gro{(4NKgfK< z_6%WgR&(>iss&CHRF=x2eewLCYn(Zmp4$WB=ft$}` zmO)+t*oT={C3@*oWm|K!Yvq}ftS`->9CNQis-RbKI||wO?>)Kj7rrRsd)0v(?jgZ- z6WfFPmbC8kTTy$|@@($oRCo6LUb)@nrhr!OOkH=Czdu6$@W=LXlO5lZ<~1f&jo!2SDsoo`;06UI`a_gQBDRzRShR^Y$&jKgg}0 z7M2ccY8l7KMo-c>FFgI)HO|mOG1YsJ7P?m{zn}I~8+hcP751e}S>&e!+yBkaFguH! z3@m9G<$mL3;}X^MsAt-*7m}M$oMcBiMEGQN6G%Kf{R344Yih}3JSAjnIaSqlw-Meh zFW&uGF8$t^nV%$`+)S$#QuBFTs?F=9v(-bqr$p4XZ*WFWL(S8eRI;n^F<2_TAig|; z_0feVZvFYl^_F3}XC0s4mp-P5$&%EL=r?1E?)j4KR2bl04;;7xm#Js7ND^ln%kO8h z%DtOhcu;BmU{BNvVMcMBqjgF&ZR+v;`}cj)-zAe6d6;5!0J%zm<_l9;&CnOchwKnX z&F$KsV;W>t(u1kQF94EH?9}_dW!ts``y?dJWpqtu8Pdmd>Uc30R$ghJAj?CHJTX;- zAio_0+m~qC;qnIQ?}nIKe2vW(pMn0P4myohMuamGhbv9?0S7@fFoS@+ zM(Q2#2pY6@@d>xj>*`NcML1u~ME@WgE%;tzd;j3zRS%{X4zg*Xem6$4pPefghR4Bx z8xe@^+E`WkWF>w3*yK#WkYkOh$_=e1j1WZRFI*#T1X)tOuPD1T;`xl9!D_y^B$!B-C$?=Ul#w{b?820mf zTwvPweVs)s_P3UyuRQC=Hdc*oIVypSRJ13Ija8x!8c1lgu!Vi2Fc>ix|9(L+X1Fs` z*4fzO_`~Bud5KF3hCgjJ*Sm%S59u9w?DbbquI_w+e_>p>c%KH1WNw`VV*(9LSw!*S zVF$lmk@@-bjQRO-3F5o;O}6Nu=PLEcH>2DwS2b;XWyju@nMZ|epO_7iLNw?TCclcjjvsGPEo2B2VxyxssIB`d{ zv_Pxk%}Z(V@w)glt6F<0pSB#0f%aQBuh83b_0@^#x37G3@>KZRfBKn8k>8Q&{sp^+ z{nQl4`|6#x?y%$Aw4Nln@z;%PA*)^-`Q(6Z(>UxmRfgRZq|G>Vwi@`Z15&4FU{H{k zH&O&`==s-9@zuqfM3t0^m^W_Nn{r_TM0K26QQ&5J0=En`vFim^$5mh#Fw7X+a^WC< z3RkxA%G%zMW~{m(v;f~nR8*9g^I&rrQGa5Hd~2S1=a#GdGZ;D*aq3(RFBYUfh<4M= zP(#?pB94em&Vi3n$jE+e-MV!N@mtHOhL!n~vcN$Ui-SApo25a7m~LOs$;|9;1#};UUk>G*vF?PpZuqe|`1v z_;-lBGQIBV!bjw2lzneTk*JANm#ZQ*Ub#}N^9(w(RdYWRH8JV#!L-!J@kbg?5M_+?VbXSz9{$?D z(g7>Vx0kVWU`kU&FaFFo%Vp|fqzG1O^f|{M;kpWZKIhK&2!IY*{jUe5f z#wi6YxnJoc)lD2!9m4pjlq`g64sE8-$b6GYtzXN?7c?p3WWstnh|kS@`|Gd}xnr~@ ztn>i1OnMY`1d6I&tX93Y_p-I}3orfP{Z%)T*PdLpJ6mY_*g7utZ*DI8i!C$}#){e| zL*~}<9GQRgwZ4B;h_XBI_rsF}4i4SG;8}&ctKWLxykbq6-1mn?m?X!>m@@d?@%S$# z%QuwK?YtHAH+JgQR>U@qEgj>bl*t*NyiiH69v!o9ba80$=?po2{^OS2BUk=9IfWmp z@V?QY|FPw?NSyzwxW%n=BiV2Ep6Pp=AAf{1$u;GqOyGV{jz`rJ>FyM;i}4ppa4??q zp15WqWhAA>`^-MSz~{Sh_m2sWBFtMie5!3KFm`aklWq{bUU2Ym4!{$oy24j)dR^z0 zr}!daW|jryV=mk}4{TE0DNaQn>xMX#Rn5#Mk&FzV_~VZw1fHs)kC89Xt_WOUY|GV?*4Cfkm)BI%eqWkQerA^{5-ZuBAf8|@Z>Eg1#Q@48 z+E}ZUHxrF-es-6R(-y|Uwwn2?@cN5i&9AC~X#p+{4tc`vdB50o0z1m&?Cj#QPixc1 zi}zX`twxqsN)xPVn80P}FDxvahDLw?VbKCz7E|FYct{n6=t)r?h?B(9=!N8|K9o<4x|Fv^H;k@a{~| zL%!g7jWTTs+QhGW)lO^3s!2=S`LMNTpp197A9RU24JMwoA8Qm;&x_Pc(h?5yxKSD^ zX+O;+osj>1`i6R|W5&}vR*d_r^mHl{=vq^w%o-)bHSF8(l1}*1T|G*%gQ-9x&xB9n zac8Ca;qK?D1RrE#-neSaa0y6>^H zuk~RaF-nc_PiAj!g=8FgPnY&9zMMD8KA%h`hG>s*V3p1A7yC%!H9=c--Ui*s$2PwY zMM&NF_U)Du?+oWc)on6a#`SI9cAV|M?PUTc&|~@<@~8iFe7B~gVlll6oZIXYw1pS( zt2G7a5Sqv*Mo%T=%XhtgP5$9mbDETd!YB$G--t_RE&uNxN9Ij{r`Sff>ROhiw+xE$miHo_Y}dcA#7KQuJ-Juo{D zd}=<6Mm2e!R^%Om60bT~Oeb+KaH3l`hw5?RojW3cnrHJd$NJ-5Hiy40wQD#Fg~a_l zN%g|?njZ2^J7j&}j$2a*Xp1T)Vnd6|!CR@?)4C2oBLWI_pqdrQT3=iO095*4aQ!); zHCVtbJbL!*_eTIIStaDFF!LhAXhLqA(evr0KF`YR@D$Yd(}Kcwn&lglJSReao$FS`X_LDS1? zZWgejMLj9y|BE`aDfJOR7TXOw%dO>;&vIsUh%T?KL(;LeT+$AZeRIrWsxsk1Y72%4 znQVmOxodLMjgGIbioBsm&3dMpW1a3a+2=ia;p6EUfjOUDZw*F-b32hNYJ(b0B*zd4yqD}41Z03k8s zA_5n6aI7)|Vv$1k_;+yUVG&Jvo;|Q;>^>~=j>{E7FRJoc9UPpT)B7?F_q2@8e#1Id zZXT7v(gUY5B-ThN_?eW7WUQG${P)|=(x2_5GZ zPV4~`@!GX(6P<6~taiF7R1qnFy-Zxbcp+EfC3V5KY7!DZHiKtnnR>?#_!Pt@%}gI= zGC9af<>iBcY5t#{ivL!E>jM+=KgecvETR?xo!8Q=hqiG|w_xttVUaIeb-JOX(08gL zlQN=l94BTckc0FTu*!M=-McyzUv2VI%VO#bxz>tXIoebJ)T>XY`${H@P**&J=@ zHol$HJ9KC=UF(u~n3RGS$k_k`+C-?^Cw9BOiPL7g{n6KV9VhtlgoFg!GrbQ#`@C|A z)!GVjg%RI+_ti7NOWXqLIaGu7a=9c(Gjdc3-L9)o(c)hY`S)9|!cuaHG1A_gV<(w> zmiiHT=_s8auuiX}Z?T_u{b6z0}2>#D>apjVSs5N8~^Tl^3u+fSJs^p}OJC(-aO+JBf z+}0O{`=f436u72}=*4Rbr=hlStLT4t)#U(Nk}i!s+gmj4KOX1MnO|A+!ANQKQ1C@C zSI?uy6|mqaBn#4?YaX5T2O{?G45q|s7Z<;qVYqp)Q2h0BxgqdVv| zSqi!XPAc-+3MA<^VA&?+cNbp)G0Kk!bJ!qq9E|($*GD4u9ydEV?pMPyHvsOa=LH>; zXNma%;K2t*3a)}zRu74MyZV7?1&U!fF&H$Ddh$U?KrL`>LoTeu{!2PNZ`>pMYu5*B zjlJ&~y%}G5bJJYvU~hyyXOlm7nn#iLt09?978m>N^1`kf>ax*vl24DVe)a1J66xQ0 zDCyFm=V9?yxr_77+8c(J5a(Y$x`V-ZUrU_q=e&bi1)NWPT9Hsc|Ftv;Q51dTEk2UZ zsnf2a-+ocG`lsi&$oS;Wdo823vPw#^tQDrO&?fPhNSHq?!e;elF5sg`uQCs!>LtVs zJqL%;1(Y-VnKtWolZcEqZ0}ET%zt>qH_k;$HOP9*-x~XDgDH|krcAi*(;3ZDzTMN- zM>+k4-1u`ZeZyNp;ghyQN9rx8U)`>9v7)Bn*mhjteCOWzrjdUi?w#K)t-6i+3bk&? z)9bxr)2A=;A7|~*K)+Bdg7m>K%6e9nmS>%9qUu zTfb7!Umr%O3py)g@r`;0)U}L0eTrnLe*9UO?i-h)du?M@H*M}N>}L>Vju)8Z^yx_( zaP{xmtTI2l2E6ABxzukJtBR3=a9gPH`{nSry2$y4e`Y%qZPZY*l9CdqFg<00WQE_dWBrD!e3h-}Tx&6HTzAXUwW-MXPPOp=Qi36T zyG|1*WgS5~bSE!!iD;T0Jtk-=ZDU6s7A^E17>$glPtX{tRqhqOWA}RHSFMIT&rXha zC%u_rs90279Cu`zT6-3YL|8M-I&05tUhJw)?k-IcWTnreV^HDHU`6}G zt@G7rr?P;frNxerpbwFbR_xjJN{uJid;-0{t=NQ2Qc+Wdo|MGWIv@-`ud+{;f7g01 z2apds|wdZ=W|?N=j^wRyp%T2cl*D3 zZU29XGn;oa4SQN+&Y;Xb_w^_ZE3Ky!cYo}Xl9IBUv}?AP5!7Src1?Gcw|4jZ?U7I3 z4J9Av&4HJ8`iPc0>F#~dC|Mqwoh!<>fA9Cj?n?Iy49GTLl|U6LKd#%A&_j3?`VAz zsSZh|)nLVqxeYu?T3ucBw%dG^>$q8=sV>h>)k=mWarMJ4Cm!mriQZ&gJZkjxOUN(J z_IRi&8lKt|DGoWuQ}f$SiIt2tKw4eEuGXMkxcghpL z4AS|}!RoZDI}W{rg9lKZ+8AF4j3w;3vI;0+)c?_t-3HK>rFQ74l@i`N?X=U#7_yfYxi$f{yQNRB0T)1`!A0Jr zE)oC-KxA*?H@op^hvgotT4CB<=B7-}BU_)~ovzLiXVPWYO{;Ehb$;?fJEQSXmZPU}^<#->+dN2vv_JIgrA@YjV0=3ixs(fZ>dpV?Qp$nrI8 zg<-|tqs3n3Z|g>`I;-~OQ=5JI zjUk-O0`aN{k5vp2gvG>S&%D1&II#c`Nyp4<5BPmBYA50k9?o&I)=|$#&ac>j25N+X zpA`T*7|go>;tMRzH2?}KFo{5#-HM`%z>%P%g4ob;$_a&!VXkWSw!No7^dOkXFxiH~ ztDMhQL(qg?0butW*k!iJc<}`70u#|AH=pD3%fJ<}eUxZe`?DRazN7cEYVX_D@xY$| z7X)HSW%0u?%wlniT1G|$M0*^Axe>IyL?k2<0l6`?vAGN_8h0gPIk0!W+E4z7*gN4> zZ9^2_gMpLT6B)?yeTGApyzqwr!vg05XJ4?q^z>T$Yj^4iv(nE3r1%I(!RLa@$6rkO z$^i_i^$BKZGoz@e#-Pk_8sF{EPF99U^)qL7l}jG8u?Zd&?rewmO6SNoVX}fmx&VM;pb%x!eK!HKgmRdtyj(hoA&-#5k1%bq#7nw&+e396r`*)Mw z*aJVhhR)UW+QI1$?tSS&r}v5RGKyHNoY=MN<&F`F<=#Cfm_*rk(gZRE{uI?+`te50 z<7rtR->aVF?HU{mfAz(8y-B2LTR+|X=U3sKk&*S*8!KN*>Sj*+Hgwib%)~g$tQPcY znsL72lGrm~lfs)Mvrw>~fo63h!MLQ3B{l5eBD&Frw-m1e(m+8_9$qB#wU?CEzrN6W zGFFhDgM(uh*e5aSL^$@Q4i4HNRwU#@;5%3J$r1=C1>%hOfgF_FxS44AgT4s?l6Dk$ zy2)EU%t(aeEp2qiG)BAKKHk6a;YOmd6;l0HK>0isnTP!SYWQATh8L5qJA*2*lx%#v zbs+OES0?ZJmZW2Xi45qQi9ID2RK|cg&(tm15^kLCPe)^(S4+V@6P@u#XK~1OFDrNF z${~u2@mgiv10NiiUwuj922e^+M>Rc1`T%JHz$d;SsEO#&%L@GDlrW$DS<&^b?xH`& zzDCQX`gotH_O(9Y9Aagnom*LphRB(WNP;kC-w01&)j5ZA3tY}P4{3k)hPIG~oqGE8 z9J&Rv4yR7~)hXtSV*tl|B9ttgAY6T7!~Vy=Yw<1vF~hH{DlT`FggJG5$ra!A`cP_e zhp7g~&gYi7G^{(Rbm;z+ompffx&L|>d;RYEiwD`cZ6{1`RQ~A(pl;Ou%1)np%8`5t zkJj&=w?*TfU$uFw5MlY+aB|O#^IG}x@9HJfa)CPjhN`EUKVlL-9%RcG=leqD7=Cf} zqXj2tRJZoEo2TvNPP{BO-(+^+c>iIyAD-+I8j5#1m~?l9dN4%Q3?0tBXkF&suX5k- z^!!bpLtgj8UI?x1=f=9)Hs5!I_<)a+%M2wgG~@)qxZ@8^wx;!K;-b&TtD+`D?oUg{ z3FnKPVLU}cA8Bs;hv!lhg|&^}9?^I1#CvSmSXVZC;5q`zEr^d?1tw^pP^YAIWgMU|{IUuO$;ru` z@gp(?bVPg^E2^wahY48*K=~=eAH6hDSuiuujHWJ=HU!8iP*~807kLgh6NYc7^qX&r zu)eL&Gf3425ba)M9Utg)DHms|#ky6YB>EL7djlqFPCt7~J?p6Sf#5i9=Cbg$ z19;>ZbVQz8v>byRF9U6`ijt@Nj-m(l(fdxp{o-2u8}D`Po;5vP%PMz+7$lz zT1-bK+qt7OQLiqy;Q!yQ7AP*WWK%fJm>|64kg%njpCOloXa!AFcN}j|{?oL~Wa zfUU{xu~XS66AqfResXq7ia9lyM?|=8~d7eb+eDcjl$JTGQJoht{!{KF@jtzywKR^KWj*@D`_Q|$)4A;Iq|K?^xzJPcnPs0&#^p!z#=B0N ziHZWW+IMRgx1s3xVQNm!tYbC0S3To)@B3j=bd@X0wYAoY`$$;FZi7idGFdb_@vulU z!Jrq}wdL?*lLB32fPex4U~Q<9TXZB#7mEl0Tmzdnq*Wv{GjtQ?27rsPY6v~Zl}OWI zSHZ0G<9@~N+OFOC!0Xyv=rJP!O_weZwz{QgK_=3Xtz43w@e*pR=oSY}p*^gAf~@v{ zMzcbq|Ibf7NNl|9>MDBl=*sG9@WZEH7~N~8pFDp8AiTdd!#^TgixH(wflu=m>IheP zeGxVxws>Uvgl{Tw3#6k57dgQAR}v{Oun`A*4SIGriu_iK*Cu`aJK(+?%YTe%e&iJbo?Q|O=cQ4LIF{H z4d$VQZ$Ij=#Mb7xEjt26BIpnb1Oku)1^(_n6bPmiltAsjJdanIZKNVH&_n!z(a0n! zz{AItjf&C$9$tVaVE4UaV#Jj}A$*Bxq0!Wz3JBaN$^@_5nZTOIn(rYvoJ;@!T zj$`E3+CQryDi<#rK}TEDaHjdY*p zroL%d@<}N?w0Rykws^_y#`#hoaHF#a>)VVhLL$88%%SPPV7s%9-^R02-?$lN5UD*Ofb;P46a~k7O4ZFx4qFnj4ok7~6 z+;VwjV(4c6id)_hi(ccs z*xpqscDlp#$^8?ZfA5Q#aO^4m{qyLMV;?)8rGkg3-}S`YhJRO5Y=3k`_8*hIxd#!T z|LjDC)t9k8lp#!4t1`2sJ=MsBSwL6i!;A(zv1(mk*3NXP%~MnF152>~hT z7U`4}P#OfJQ$i3B1eB0cQltc=OIqoWt~>YnefJyV{(Bk2GsYqJyWh3fn)8`Yc+Zzd zrSkrxY<)`?%xYdWE?TXEi*kAFPd{(^`wdx@IvFVH?LWJ2)vwBKJb@`(H;{D^&Y!G& z94jR+ZW42gBieXCO$pY!BEz>CxmhABQFfs-QPoQUHe3`y>zd&5P_B-FhT1QE zb>4ne>o6h9N-}ho1&8t6j~wXlUA-*yM#{rv%H0bu*X z_8KR3%8Kk<9&5korKM>bX8J(@;sbXPifHL#ol>&LPdrGgIQIvYXbEE!?ao{>OZeQ}!+lbL+4F zz2AHpJ`%OE;5;#r(~yNxU9`6xnzD0uPkLqGI24+(r850ai3#=U^Htz6vN`ocK+0@!JL=aeni;;G)O95X{?N2XEi( zxS%!b`Let**A!!7^U$m}h#THRM&_99qQhA1=I44v&0Vm8zl@E{q3OJLz94Bvu5{zj`nS~fXmK-k(scZm^TLz`sB4AZ7Q>WB( zr2LT*gi<=-?z72HfL($pn3e!93}6&U%}_&Rxrak17*A_+RFxHpQCm{* zl@3vL3SDEwr$;_W2bi$IrwnPXE?yk_ZO^_S)cZ^Lx9EeXO-eRqlp3L}`xT0BlIl)>bj9t@!=h2PI ztIzJ)tx1x0?q82mH^gBf*ujaaM)od-w*PWwN~Nz@b+nz<$71C<%>Yf8!s9tb!7@|9 zr=v2Y^-CxQ$#m6UBTuTaj#b4LH}<`kOP4JJj~N+Tj8vj}%9rN@DqNMwxrh@x>{ruL zq+2hxyadIM%*g_em|OaP1*>`f$?iy`*vU#W14!dOPRHk)yQ#*NsM)bVP!k_Yde!$3oEdN*)E#m2CKybNjy#)Mc&l3*S>#;E|#w{GBUooXn)6Fkf zRp}kOwqhM_{W{zZIFgu6tZ51WRJtW?T*YoWvltjG58xD0*@mocxlC_pqzW=bbd@o=YsfxfXUZicdZ7` zXDG=$CjH2M^M>saXv4v{HZm$|qRxd81^Lbi+!H|g;8Yjp#{Dd;q;*-u^LM%9MTBBry3dcC+>&$xw2!d9a~h?> zKdc89t)#`MbQh}uwxa=$I>CJ}-%+2GpBs5KAmj(LDBX-_+B9g~9^1dnO?{hCq z#;T)yeA+|1F%$o&U$tQK8UD_1T*kV8leg;6-^HScJ{s~lOj57=vv#Y#d@(U1l@YEe zaB_@eVRyp?o|X_JpRS8cue3v<#yV5!`Pb1Cd0C|`pHkWKCCd!zf>cNBA3^;Qv3{o$ zJ!tY^U?uZ@IbFW}gS^3zu?o0UAM+jWekZqUHaT(o1;e04>h-%282%oSn(saG5U&7%p8DXFrnRZ{G;9@8xA5hRCr7joT@-E{M4vQ-J zJb>&Ss09Dc#=itu)!W!5;&K;th2z_LYDze6p8GKjKXkd2x}iwu#u>g2C+O~SXv7<` zRX6M5WT>pQ6$a&-Q%m1)D(xhZ(GoR2UOgr-f4>U;9MMyoE68r$FR`r_P6@5C*>s&t z#QNW(vw9%vsHm%lrTRYYioK2hm&3Rs1%#KpP)QmGUU+afA1}yHfM*0ed7YXarI560 z%KIH!*}j7@6MR0eT)^00C%7(=3?qdr@&F)HowZ*PV89iho*wzlRC#*w#l2kF9zsnL zIMP%yQ$QVP`qP~CFC2sCPmpmil+wW?_RKI106ip%GdNGUjy>^$d4b<|y72KJ9)h2K zzj1MJh!XcLOELA6BNX$C&QZD@YpcPE8)W`yU*Rk=?AK9AV3OCggaHp^*T*9e8vM2$ z7$q^)5MCvCGa*`Jl9zDEy14L(Ogmo#u{_e11K$13sPZpKx?{CnhDOB)4`jX?8hvLTo0b`lnuM9> z>;jrYKS}xaF?zf+fs>0=p~4A@cBvc7TT>K;SfZU|0-R%wC03ahoKG=p2%2sAyG`~t8#|cM{S103 z&9~$)hs^t%cU%pg%+ct_@~&hNJQ>j3PJk97!Q?LYExvYskz%1 zR<67ESa(*@SZv?FXkvazLcVc5*FNhMu%#vbF}3(*oHO;k%ZP(_l2e~H?of`{n^#M~ zBml0Pn(ePLW}o=CZLW6?hx4D48(#0VXi@FcKv8&@I!8KR&a?LPwj1t_<5N z7u=Fwr=+A9eV_)MGat5f#1sknhse5R2);vXih~WbWS|O%0a{53An`=+lR?Q;k)!Ne zmY<)$@Acm>9R>vS$=u$6AH7LVMyO)jvrV840EaYWAW2e-0@$tC(To>uNeRDoF9j$A z(!NtYssT%0Wu-LkeM<${V+UCgW%0aoDhPCUVS)fF@4v}sblbcsC}I)6xFAq;?*O>X zV&tlvUPc`Yx$6X(mimebOs7ZNImhO9m3n$`WFZq*&jv|83b6fE@vDcZ6s3caUF~}r z-lb~PgHg(&p#mR>jt;1Usn5(Q$r+$g@bz?H@yf7vxkm8M7iq0n+@^)(pI&KvjbjZb z=piBYS`?)&DS3hqBkCLJInCYXl6ew<2P-G=%_kG>BoWHO=$lifrJ}deRz@lUo2>mb zo219yqGtRDHpQSVdd9HAwn)*g@|9+$izjYy0PUPQWmi{)jd>0Ar-xt!J*XQ@$ATWC z@sqZ}5Xj^X)Ede5JQ^G|go+KdY$+dN7d0(aULl(dH6}`>~i^>wVf0 zfjySgA+5H^66 zLM-(khW`P`6n)#s7TphQ9Feopx~vA6e_S*lh2-$;JidEqhJQh zOcb#*bf1Tr<#@MSR+Rc(Zf@@M*B$M4$J%|E%2ho-ut#xh+*Kq|Fawj2h(q9uIm|Xv z27$%`X-&B6eg}t!yTh;+x$uCNocrAMp(1mBiQr~{0$vZ+@Uj8AMMEq^-n@CE0r_RX zmjlO1oXEUFZpoy|@hrcizoNpNSEMo|^{Xl(9#q22M0oU+(aH&^nhCs9)P5)Z_m{S3 z6cYD&icSh2zx~di(<1aH@0zblg;wG(RUOptz3o%xK9#`8ob_X5or%-(AtnU9+g3S` zWa0B3w~5^y3M4@ZEIZbz=4V@!BEb%GDKOxpco25rI8+|bM`&n$Grh0*Px59`Smg6YnMk2~ooC+b}1>18#Us9V#B<^oUnNsEn|xKVuSCB|EP zZ@o_{eVrcvBiW-T-s+jGe!inzUVOfm`Sa>J1-eb?-UpU_m-q)~h2j!mMJ{#T za#A}d^SU)zWrYj62pH^(fw@N(7+RtI%u~Qc7TF`I`E*dex>88ZA=&5sSd3%H=U0f)H^U?{xtn)O)~{J0Sh zO={XfWish?(tuR)7hnk$cJ{XPyWfr~KW$^ehjrbLJ|jc{m#1v(7jG+ z$a0eQ3n}?r>T0}xh01$1rS9$I?L_8(Bvx^E>;<-5_BY!a(AT$4(n)nEF%p*i8q)Gr zWHdv-m}2rTe1G1S0%l`a?#Q3Vq`4SfjlwGaF~wBFZ30eTw}6aWD0-r2ZnL)zsiT^& zf;C~z-}-tBD^EG*`DDseLhH50(RC@~%>&l9oMy)Ps{9gm-J&!EOZEyov~1f`*qEwM zg6~2p&?%4YcK8;b*P)Dc{aPc)Whx{CX(il7hgZn@%3A(>HSV*|aUg}yZ*BS*U9){lt%JH+Ep2x&Biavssr7>n z``VPLKvCA=s=k}4y}Ehvyo=S@3tc=?rjy5G$NyqvP%_=RlK{wKo;Us2YB6>h`0)d} z%0iQwjr7-1Nr{ay>BVdf#Yct9gCpZ>Ib9{5neJm&K~bbx0Eo&z@`;uC=8poiA!=RDB{ zPXaK}NwlR^Nx-wqxkq;o#>_~+f=VIb$qD6M9?(UMT)Ua!4><_m8q`ZyfL{*>2hk?f zawGB$o!4usIEP`U0VmIP7#;i_tDprRj<;}tAwvQ1Ckz65P&QchEVzrqZMm7_w}%Zp zq~FMOISA(rg$y76Hi)ga12nnjCdUMfRBEm&*(*1uJ|_)y;wi>k^*{5t`JlfgS|H=o zQmd!PHQs0E&NXFE1|-$%#h^(Bbc$z=^4oVCiI2|*;}8rpp#Xz7+EBZ_;yx=y?z|Ye z%dXJmlKD~c&EC`FXC=SHLrS-wll;Ik>n@H|YZ`xi35+_{>71x?toCtWUYesj!Kk^C0){%V)M8ACmR2X)~$N5#b4} zFY^7XU)7>%c_+2sa)EARk9i~|8Sc!g9OA}Z;F#}*0_@Q3)T2I2oc+U}3wuxN^Y1$W zEWf;O&A*rCd+eYlJg@N@X?+@KX_bGjj+Dzd>}elTF9xQ>gwSKP$~=BAmvL=jOwi8P z>WTV~%Payc^w&2O(KRh+MG`6h?Tp;@w32M55V;XD*zP}YqjN>IV@oxEU z;Cw;S(`hECo72wvc2Eh;bVUg}fQcd=YvuJb3bN(m?@hAZ<*4Q>xNg3ym*c@%1q-w+#c6kuBVA+jd+2_=ac1 zw2lAJW}F;U=X`mrwqvQOY8@U-P0=#_=1@q^ho=@ZX!2(IZ|B0d?PdDzu{qFS!V%Wp z+q)DkAm}2*tqt@G?xufQVD#ChZGC5CMlLWX_;7N{6&j$Vb(HZe3 zIqi6QpY`6}9z=lLX9!S*jf3v6P$Pi}_6+a^Fa@v=1ulgreunj=bb0V~db(=M3%QA= zLxF&tQR-H9te-obBfri}c)lFcWMbu>dNHbC);JkGm`CkP&t+H>cIa$=VhF?*jqS%Bn@xUg{dY3^QOXrsS-EpvRXH{Y6^ThDZ@xa|=f74CUaCI%d_veOUd=sL zZFA!WIf;y>B~u8Y7?ss7{_uFEixB0Ay-No;_;;Qz@4q)#zfm&wES#EayV;yKjcq#T z{+zEN;ZD-tub*D^8=bzpWaKPmxXD-iiJ2oU^vXmxGoB~|C}A#`MtBnwZI%cb0|Di; zShIrg@wBTnQ28A6TY@JKGBn;le+FmOt>mj8uSjvRPF3IgSX&^NF7oH*41*`k3wOR6 zll5H&2VXQGU*kTRyG;>e4ZWb@XoV%5xUAo&LPoX!Vn4OlNo?Ib*^VZ`>Z(4G` z+{}nK{>&m1$OdI~Fl(fsLjWOWBBdt51Qe$AQzG%2pIJPp1Qm32Tt~TVW`=x7R=0~( zW4<75Sii$$LQsX^e88ta4LswQUF?~5Nw$ES0BJ1hiCExAuQ9Tc(K4lj=Qn%wb@j?T zh0Bb-Znp%)m9TztaJv>`SYy3>K2aPg3*e#x0eUoxKozysNn;v};UY^)1n;iOrazf} zc>mf5d)dLf?V?H;hKW8Bu=SXk(Js-<$jTV4l=hMsSr^Q;-xPSAuUUpij?nN%TE*bR zAPxDZ+_pmQ=2%(^JRtOIfZ{RMPYG8B9toF>NF~~PtmS{_hd&2{HThP0DxnsN433vW zt$w;(nQfyU9K7jVNJng76adUNQvFy0?65y$Z-uTOZY*8egt_j^4X_xwUt$A@qL#HI z?$*`xHG4b5oHv5*j%3czjbe;Jdh=4DYd^@Te;|safEMX4OMV^pr)ft{;Gn_E#bpKj za;VtB0$1qis2Ub_xBgJ5UXWwU9C!#oMk&*5d`Ss|3K2}oz`3a!+>&9wq+5zG<}|9U z9{>_Rza#Ul>eD9@1tg?PLFaR-e7rI`xUu(HbKJ=-tvogaa-&``M()E0BST?bbCfWw zikQPc+KQzuYMV#eU6@D#!V*T+^W`x>+~Ccu%1XjOf|De16`1%x#grmAmTjFZRkcB8 zg*3z=Y`=l+i37GL0g++g+Omm>WeWnSXIT_v7W$T}v2-4eQ{O+5n=Ay58KqG)o&$+V zk6TfNf44%#g-;$*zUbJS%c*dUlpJ_%EA?J8MSyz2%zlCR0nFien)XM^x}X$v-ID53MaF%S!#O+IPWpEX#n5$l?}zywD$x5%Ud- z8$dgg|EeoSMNhHAjFw{HfG4lQ@aKpnKu(9Sr>uw_2Q`qb*tBLMB2+Fk#GtbnDqC%1 z|7OOhz~h9`vClPuJ zd2`*HnE8a&BQ!mDZoIkA8ZQ8$9ON%Ywc;~;1_QwP+#F@mXjT=yXL!HHJ+v%zoq*ey zKOrkf^w9ECrHpG{*^1j!;53;@u;-OE*uDs~FcF=j`R5Qt&+AA+B)B4ub z{7SJJ$baeHHB6^L)G-kn?AB32HICuV$`Xg(hS1dfT!nL&yG_Fz zKU)~DT#zZD#=pDToW~j#^9%@!U=2CLpboL#%rI!_nVPuhltEUG?JsB5mW{myon zA&G*@jmCv*#Y-N`dYISgg(s)WgKvPJDuRJm+D5N~}y-$>;6mB&NpGY~2DL80kehF`46?KP+ zfm%T?x+qXdZN1LqP&khr*?m2iY~On=PW_{K&eFf_^?;b3DT!m)pcXJsVP*zq!Av;7Rel2dG#-^m5_MXIR_!3zz#(VD@K3)NWr z)$B}6vDpCZ%J*msV*=d8z(|-gl>KU`<=9Gw9kv74MJyT|;Iv@W)ba9q2hlTTpem8K zJnX=jl!A?q)w}&EDb6t~7klof;vkKCQ`TP}KiA--Z}z8bsV(Rg;usD7gkwNWZzw3yW`zlG22xoy~aI(dSHr$_<*gWmoCl7oY5Cfz86;?KXIM5NJ`u4cwAY~{o zSWh~Z0V{8%U(lZ)g^Q;pR{UoAWxlz}-G*OPK5k+~FT($jd|v%w_lmOz9Ss!>kr;08 z#J3}}6L|*GNJ^K5fk2SpdN<#J-aKA8RGCT3F)*;kUqO@IXxn*80wGlb!DMv{%BO8f zgl`;}AUC%MTkDnho#|HeugtsO?)cnI&AOjbuI{`o>19@}ANPD`&qO9eumnIzfxQut zllcZGJ>g0zu7T;1KlyN){1grvo7!|U|PQo<}38; zEw*Z#REk*dO^NkS8sfjRo$-H{!>(17hd zQTKDQd*b3jgoEu0}` z`~Bf--y%sZ@1U5_PcB4!>x2w+SDBXTFcM!r$R^c#z{o+OhW?eC&tZe+G-e`h&>-Sp z^pE8blr^SijqSOHTVaDeXZoM(_usj*vh6E8K<_2;H=gL&!QZPCElEg7$19lq1)Fk774!eT-ncEk3@p&n z8H{_p8l59hh11Gnl<|4JcV_k0yPrY);Jm)dY}tv#!KAZirgYC}%wEHwvg4W^=C9U2 z8oNV67l}~`gBZkFiwghS9xg9yGXVSIbDIwC4z`{NC9!j;@AlW1e;{~b@gc`4kj-?p zIj}$UR9lt+9mJ`t$u)nqD=f;rdAYGoN%cPu^^{>?Y>4G{)c+I-|dxl-iyJtAGPX1q_=0-8G*Z1G#%l%B(BA4*E6uK;wvVHw~x?0WsnMvY(9?ut# zA6jyWhiYSgW);8J=)U=SDbEt__Nq5r?Cq@KjHS1waG5G!U2s`igqu{HDc%u_wxSSm zJ;k#|mA@d=pl45)_R|>T|3ZyjipA2z#lbWii;BUZWUEwK+#!rzs1(d<+PReb( zC%mwWdi+#620Ac(7gs8$bOrxg+gMYg%s}zMu|ctkpL5Fa2b9_d8)unNbu_K=*7O&w zp1LJOaV!8^|GaHvM_VO23=b&#+?yF%Q7f7 z6OXPelB@X3Z=!UX*{J#Xeb*$$LBL6hnN*Gdk>?!v{v8qr*GE~zm*EwwB)~)inB6$I zxYgxf)=Kab)?vDvDfPz*6<*})#TYX!GOI1I&)V19&|e$|KM?%u)c|sa*nYbxoDwh( zd{H4^G5OfG)>_UrDSsIzvhLuRgAXn|0V)Y48EPzC%jeQrM9QlL4B4aN1X!$~T_?MN z83uN-BTBbx3pk(OfR*&*6q2qBM=%Axa zDYUr%-T1X!L=bz2{*D$bn*Z}5=JOL-$&ao}zJgiy|L5Z&(SP)EWYY3~KN3U#1Ec?U zLI3B!O#d4VBJ247eyIF68vp*$%Ta$(}Q4NBy$!lELt?ty_Ea9r@Pay=R3cbaYd zQN>wHhW=K{RFj0cKC(aXh9(Ir8YG!>vay{UyvuN8S1WhB%i5DJq@>BiB&&mdF_Q<5 zK&(j^W?5$2FECoUe9yZ(xxY6Fi^{)xg zW6WTLa}#v@%;2|atG)g7%1T>Dr_b8$68Lr^pPlB6!Iy^&97?W(Oe$+{e;+JKte)f; zmBVOiFBjk5!~LP`Wr-r%a)0!%#)6u%Rz6A7B!2u2Hw#6l?pr5A3+XhS40|5CN z-x#ghY6jRn=N7i3h#BuP>19T zt|m7@bns2hZ3l4!+DD9`g!<~*{*UY2`);8XgT5^p2EWRH=&S?EB?rDO!c;MeG6WhU zi?ap&Y!A;*l^EjTp~xUnNUQVOo_!4SGTMjbzJkb`*Z)35YUt;n81@NAa5qqqdLfN| zADlC8fuMNaS&w$80YAPW97nkMcduh|ar-%d4JRc3V?cweZxR+Ojd ztVJ=>L6pUqj~0NTO49D$w*Mpe9X``zF`^&A){d14yLOE@Z+;L!6~7kM+)l1Pa;hgo zLf_|nJJ>9tgk~xX)(kjP-enUKI!Fi6#(98r6=!})N%J3E=s$b-ff@~;h1?&W$2teY z^=_+F31=Vc`>OcV%v*8}POFtyStC41RSMl)2jdCQ=jZE~zg2?$!G8<@H8V5% zd1ngnjWT+$D#+;Ql2@|U_P>(@|Gy243YPIi*W%L;CG4O}hBJx}qO1@}qtyA19IOe` zpIi^FIEqUqnNDhPutQ7VmW&)=m-V~6MN>qTr@BL~6aMu?{-F7tTXd*)5X)LR%e$q# zNYL}X*VGwdncu1G+WDl%39AFrn@k+RW*ih3>5?d*%Rt!G z4)Ajkaz03W5QR9BU12RzGP9nU2f1Kiy7Rw7@zH<9pmZ!97ECN&g0H-mhQ`+?UNarQ zuvZ6tCNhWylP2(swGh?>k?)`wJ=Uq7!m78XLgSCQlH;Pn!b$*0AgE9k5Lm>Q7NiO& zzZTFjOA`g^&ntR|`oilKva;E0TT_%n5)f11-R;52G+vbPL* zC&8cv=LetpY`;B~e#s*v7$C_3;tPV^TNEOW)G#OGeL7aY4Dm0mP{8ngL$2^4-@nHn z;GG~y>~05P6(vlUz;1pO=riwtVM9Vj2Kc)yDAj;s0SZUQmJ3e^@qrb9WN1M)=2G8n zh{S++I>=Z6-vaPA|2W|gX1NTm$ne(caW0dAh@43!(4Zm@@E?dDY5l>{{AR{jf#Sw1 z*Rwc$zqn6MuqyCh$B`0#3=iX0oUc$9zGBBj-5@GZ{+;k?@w7HhWp$_hW#pT2q3Vc9 zC)?H#&eF0cnmgf}q3(gS%1K$Q#* z{cVWu9*9E8#Q*Rl3E}5}z4zB0cuT=Ej?zn#spr|zEmsM1BQD@rwlGr!RgRnZe>KvT z46HNI%m_e#2_wkY@DpR}56U6^1R1+QA^HMT*vRA$Zn)R@i=jbti1Ntj1JZp6grxCW z{U8C?{T~o>p-4vntXBZpfK$aw0j?UrB&naSg3J5KR4Fwt7QRL=`hs zX`zGyPP~Cyb<4n*m~iMculzsag?``-Bl#}?{SrC>&<*n;F)^{_SvU^>(mq;*SxrV? zgjWV@B=TlK0Ldc_jo02vYe)(GgZk7RaHo?oFz;D}_^*bg)zyK%A3whE*PlE0W=R}z z6b<{_x3jZz)f5AJe6XzoYDWN)_5c?AY0jdVMqDy5p@Li_Ye;&5bhT`dW5S>&vGg`6 z7+yfK**@4DRJ;Gxl6|XDzlX4xd$?+y!7>4|6Tr|5lEU^u#~|yFtK{(0py{~>cf$rh zO~A2P$>WNC5Ktb2#*%1?T_T8!Ll+ToA-)eF%$fy{koIlZh)vtW31MF^H1dVX98870 zSJG_8VGmlUg~*{{@E$;cJS2!gQM4BDJ&f9p8Y+Uq|s(gsjsuPV&v+t;@uf6G5R%xX}p}{ z8zsghb@Ao(3#U!1;r5CAOB=uHP+_nWCSlq4m`7+A6d2k zxs^`xF5r1k!`UXfY5Sv*KL?gVtdYs3S1Bq2uP;=&(-se}?Hu%Y)SXNU?$B7B8pu@F zJ?Hl&w~*pd=Elf1c=h6B`&UuG6M@j!pARda&0K5$?7-))k`21YDPtFE?&qc!78Y8W$VLS%Tcr9NT}U zITcSDgdvEd00#Kb(t?P-3(~&c_BK2qKnIuqPGH_B0Wa>oVJ-KId*I&iXYw-#(D~ni zsUfHc$npojSH82CN`g70JVX2tc-#G+d|EN$xR(!yVIc72X4#-=hDhttgYM;`Td?=k z@QH{#KJ>n;lUb{r}E>bAL$~7Z_=`$47A}z-YQ77O4jg~(+ zu8*jSY$0dTrV06M_j*?qX!c2# zzi;!v1O=ilA*zDrD0FVwl@C(s5YiB01w(Kj9x2%Lg1`i0_W3#&JUU@*^Y@ai4!35a zN=o1{hxow1?jX`fc05G#1Nt0BiW@YpG`k)NToM2#00}xXGt<=r;Y2`@1+>5AwJ8T- z>w)Y(Xt9Vq#;7ga|GNL%W8z1G$9uJtG&q+SJX>RY8anzAPiWEp+Bj#J_) z%4iaYiW=I_7;I`I3*ISHFuj=ZA#6@F`Jof%WOnf?)vC)xhDdNMv@WW1{$ten-Ih?_ zvu)GieqKzK-z8DhpTy=K3YP43A9XlPQlahVI2192ruv4`cayeq?=4*SnVxaFKIT5x z*?(ciOS|INlif8)9ZWH0v**(l$9!`1=w_Jw=q=y6qPf^mJ#(Qb%L4mR#ug`>y^ZgZ zbfpwGuTTBb81%ulniM&q(vfd`mbjKYQ^RyQp_uS7yv$%zJ)HSLKkt5D@$=rC@t}1> zyMex@6HTep8iF#V%4ZlgTM@aPTYM=`m_`cq8#nr+cK#l9eDZg|6%%_aw_RCvaiF}a zS#IoVM%m8z?@8GAkNihp%Nb{`UC{-DQx`f4+}f~4lLSo*vPdMmV!-RW?^+IUB7qeN zEOn$)0T>-ZSMQhVegHcfOz@PkgvTP&&`zvPc)P*Z7X)jos z{DN;)-5X}M@hJH%?ZA+h0ixHLz>~9nYSUN>OiY;(pSTGyQ2t_73*MwAH2jv|_w<^W z!F@T3UqB$`%goj?s9K&tFnbQW;Z;RKTAGgu#dK~Z zFcq?VIIMt2BxnlaoGzoLHXRd;bjcFCnNZ2CEG_T;_G}PJY6ct>c_VpvuDXO!swNyDqb&hS6_#dUsKY&wN*Adf{XicNL2@r3bekZfuM_et ziB=k2Ol(1Q3(G%E_!$)vlLabRR8#2S5j7or=WCG2yhQYNKreI#iXHRe6;!=DD;Z9( zpI0EPRxs!yUWKC(Nv%Mc3%rs9W0?s5s62Qou_31v{dZY)ziO&BZt!c{hGRa6D>3#z z&;hU0PN;l4lOEe+%0ib4?nLVWGl8#gSQfus9!&fccgXM;zEzad;Xh`-c+KhF{6z(> z;0p%Fn(gqk&0FRz?<+-&&X2sKuaiC-T7L7I5ocCQzZ%Cyg|uO$9dCK0doqk#g(UUR z{B}UOaw;1USNdDQ6w);S-+TV#L@4Y zcGKRE#Xnr7v{oNkGX)%B#04-o#?Dx9XM9iay7lpyqQz){3z!?SLu6&Lk;PtPLsJ8I~maSa=5yAAF?%mt|!gXxTxoJD8jne6o8QImt3 zOw#OH9vF^IgVLY{>?_H^x><-Qf)mre!Ki!{+KF;aozD-_*7}%L#34<|*g=qrheV+g zvVFK9V)P~O;|9|ERun)6CR(I+u`jRbKp$z23&xfZq0}^P%A3i9C5x*`VhFxPEow6@ zp;MclC~f8zJw*_e_2v3zvp<`N$Wb~(7yHL6%cDO0$hNdgAGsh2e&{LhOG+dO@W5ts zqO@c!5n|a&)_NcXY{*!+2>w=nxFa1c>^-f9}wEbwW^G8_;Rxppe9mD1|4QI|VnTrvow03BjlNvQ)0_ov4$^Kw&(#k{R>rZpBImoFgR!S%mGC2GK=Oh2D*Prl z7|*o9F$G7skt8Ru^uvr>DlN$y;?9_sP#$lGL5w%~*+CdTi@ilT=&%^ijpzmjf-_ODm3s zb){#zp39xF*PbmjxjqX*{@PYiZ9+8{Iwj`20T8Tp)o7e0OBcKBV@^t@w{6rjqqngi zc}%tbJ4x@6XDV^s{+cy?t_*?chN-D_E98oQMHo`7kL*?lceY~OpPNanUt-x4yP#U; zuD+g`o_>bAE{v)FrL}8hre^4OdCaFjpV9`mS7lzk=$i_jo_l&dX3{CouIs@+%I1vX z65VN7>13iiOOaW_0rdD^a*=yB)i69A+Uyq{pJ2Q}M_O7fMl?rov>#9;_w7B|> zyk_{*qIG}W1wPeWLxf?@?2irFsLv4%8j5v9X1^rP>@J+~Y^E#Y6*onO>bLQPpWU=y z?xy(caoY5zr*EcaN4X_Sp25CjRFeN!iTh5-#zsdWfd;k%KHoxH$m;Whm$4Q!vbbp4 zs`1J$DuQBTonOO*<|#}!M+rhlLW4w{UZmg+I2LU^3)HyiyotOvGp8*%O`T0@od-+D zR&P_LvS(GBrbM*ht4609c(ei8aa828&lnV#`L7wz%I%*CKlMKLziO>)9OPx7nKVN&_F5wLFpgSKL-uJ60yr0`v zN+?(X5632WG`MNOQmiddM-=)dfSYJk5`cB%?+3t54CEScgHhAXM&s?Z@h&dZXA9-v zZCZ;L))tr6XAQQwB4F@u;2?Gf%F_gh=3r47Dn1tfdNVRl8~3H`2t)jLB`{8gdKQ>* zx`|fx22jHe>?ygQ1CB=z9>AX90XrHT9DKCUas-Ic_~Pz)Zb=C(0f_=O9O(vaVfapI zSy>lF!7)>t)`qB^QPrdu-}iETQs@82)h7x{Zc~v;Yxp2#<8k3F9je=nC+O! z57GrshEc(WS~($?4pU0^`C^3aMZ|p8!!wUKAJb>GgS7VW^zahZlNx8qBZ2ijX_rdH+k z>R8A+k&w%ze4o)_4)>&FlpaYPtOO)+S^oX5 z00~WWF4nyPTvfZXW3uMcLJ|{=x2|7aC_FvC%WF%1sYU6)fPtYoDOvN9etXNt z?2fncv1B5O2+ymdH?D^+?X9z@-@OEw1@Az1yu;i_v$jL9^cE^9kuuWIAkfx10Oa-T zU48`TJU}Yj@Gav~3Yv|T2YXa}zF(MB47td9_*D{g0So^C5g0Rx>vDGCO%o2rAU;e+z4bx}f+|X99KEW&C2OZ<4x$D6$KRK%ZNff*Qn3LhU}G>N^n#7n zX$(SDbn9ofo?F#!?s|j$F-ylZn)-WDmea%s2y0{e#_5`~XsU=44U%pQKeYNbj7Hr2 ztGGE@5N8j#e$apg&QfbD0eq2~N)sp1~&Fv>6oY#nAqh*1#KW>8D!1L+%liFTp9bRAS6XD(9& zOE6V%w1qbo5i>#Tkr}v&{Vhxp-R~7ZG*rlM0WNp$NueviV(06qSL_P~*r(~%xpO~f zZk*BK2W9ZMX?^$-(Re}l?n*0|3Hx8;oe*;0k)R+nHcaE^Zah5Eqs_;ccUNwd*Y#ra zFD;?lO_~wE4gZnoWzBo~`HSDVBhBp@2}1Pa%L6c6)4{1Xlv7LBY`>Wz{wc!U^`}}< zdu?@O-CrNB-#mPeU-?$tU+!+;w+$%2*~)(X@Ritpf6SXxQf^y^<=Qx^;1Z&L9D-kb z86}Ps>bA_U3rIWMYw#7`9mb(07p~;LYQm&YIQEH1A-#3&Zh#G@2`8u3^qrIQ#@4Vw zy5E1bUaBTV8~ys;TAw27U3G-l>8E+Go@-DVvbuv`I#60a+y}>mwb4nu7|4H*}K;gryD50lW0c%p12FCdcL&t z8>8J2Bj~Urr@ZjH$!%|EGssUn(EKH=Rf{r8#dP>rb+JcHlHH^I`uvKTn00O!-Z~`@ z0Ep+eH;O)LTW_t#P+V$p`*+$du5}QvSqS2M@-r1cxoY_SEt=1U?!9fW5hhMaDJYU&*uPWlGS~}V;Tkh?M*J&|zs@?rM%;={1 z!me%2dCEWO&#^KdZJ>$TMLLVFnthOfg89UDfHlwIo+96q*Cx zb3ZG0uJn2I`qmdGKrwm8Og4T`4chDsW}45QXg6G!*EHR+n3QyOVZ`mr&=XouVcZo# z_fKMi$j|!q@&=GHAbwqdVn~xhI&T92YrT-GQ@>d=#mY8VW^-xBq#~UmbeFl zh)4>^P6a@D2U(jhX{8^MU&{U*d(WM+-VZFGIn)pI>K5oS%BaS~3WMo)Jq6R$loRB^ zNB={~8b=kU`W`@izw9t!KvEClz}rA^5y1}T)hFq|2&OB$4dBL>l>ezE({<&(-rmML zjEswk;J4ikJKIsx#KT*qBjR@`ZjfOGJHLZ`0-Lo=ND`8QZyIcDYzzaigXha@2@ zWD}yS_q@OV_x-=e(eeHLLXZ2opZmJ5^ZcBj5!nGTRLonvb}_)Vp@%r;maq20qA*hh z*3Hk-@(+xT*@=mI*Psxr>RD>!;n%#xD86g^qEDn}*?nq%f8QIMIAk5tUW8%CgYAa{ zVxwdjt9Jmf0akY4+lGd3)m;5#<>jeFp{^Mn3#AW*Gq_NwfbS6R6F0HtRAY+wOfg?X zfgDwfO2c?r7M1#}7SLJC8}_~AeC2*5klB^fZf$xwN(lnN#Z>v_%p{)4G9hFi0`OA; zoF8L8l$c+fT|-Nm3#|w+f7)FyIz&SZvqEH2<`j9I8J|6FU>QhfzPrU&XF0nwy&TqN#aH!JL(t z1_jJ<6ILg;cjjfF}60PRkFR{Oq&MoJ3b@goW zmFpDWgS^tLiSNph58s^$m~Zsdi-p$2XlSK~VDEQltL@w`zY(O$H0<))Y}s5B%c|8d z4YT>-Je}za|EjO=^-Ou*9?2hkaT~ads~$*gs>BBu{zjs8l(+`Dih1#U-(4>W$Subin=64p2j@d8(+4LKaJzuXDpGJNbU#DB9TC{P` zufCq6S!~gK9OL6=z?<7_aYc=Sho;zYE1h(c;Ki9GbNg=lIXA)XpiD|by@x}B^`|sk zkw>kG#8Ruex>s3Js8!0W77B(XvfP&Zsy0776O~YKG7*;V7HQ<~R%2Bzf8=fg%6MhPAV<<^~6SvK8|EbgTn8Ca}FgI+oj4v-{VBtm>Va01#b4>Ht6%sB@;lsuOuI ztDFCrHF+q(lP7U85CI0ZJ&+7(Q8NCwZ>{Cq)}MM@1#u-*eoPlQjIRQ_>?lTM zDRppgkhJeGPDzA*OV89)eA2mIOjAe4d-~D)sZmEyf0zmc=uru%Rp|`cs*{I*0Kk?5 z*4sF2jc-ZtIwnaYZ|NI7v8XluO{P0yFKl)zKVi~d_^}qh(uP<8Bm=#B=gIgP%*ZPO zMd+JhS(NG~6?wtvyF8jhlQv{BTf3wgqWENBItT5c@d?E04O~l9orYO1HcYn2Na;m> z{SlsS5l@|1=Y7$4paj=*7Ro9DR!Rc53rF7+aDAU77&5n?fuX@V7y7p{tnN_O1Nhs$ zN@#DtmV=^;FTQ8|SkSh&3K+$Y>ddw9!tyO#XJ2I4F+PUbVOBp(H~`9qBFIA=#GZ~{ zN=NkwIXY+Vf)Gs-1Ds}}l|t&5*v^&tp*3s`3WT9sJMI+=`AT#AN)!6jy&`dnV=%Fpa0upbo)V@@%YW;$Vz9)hG#`)oMkL@45XS4M}M;~n;-^bELQN{!+ zm>6-P66-wc(f!@oY@}2VD8E7fSv+xx!EwUvMy0dq2sEDsq`t+K%nmES#9Uw`0mniNrd`nvG}H;}y`c)pEP(P6?lo!l%?J&5LaeDW1Ig!cTJf^VnPX^ULe@~tGCfL}IR|zJTq6P9; zOKXBoI0+mxO1}tuq-UB-`BvQ))k@Yi3YvD+eICT+f2Gp-hkV5!h6iVD_kP=Qr`PXX z>iJif%Jj(FGMpy+rpNvI%7A^Nd=5M_WoWD4ido&c|L3YerE|>T?1mUSDhC}%gilmB zFXKZdpW)Bylm7l+W>jlDhfYomE5Yeb@P$cjRkSaR91*F$Mc$3-RrG;Yz71g20YPB{Sb;!*TpO=2EEE0=sg}TmoFi9u({(>iBMU}QBI5{$K|7m03ynH^s%??5vKl^lQ5 zt|DUg4~_^8VDCWrgog;tiM|RQD}05+!f&nEb8YMySn#AhtZG~lAo~ibV?e4Z0J~KT zlvPU>v_zaU&%huJeJeZx4Lx!Uy(k))ln(HJBnd%WB*1`~#YhD=`}aXZ2?e(Qr1fWy zi43utAlur8pgYfZg19AYV`6X3tc4NX|0;IlEagMiT^-6(n(D*xvtkQ=U%|-A>09Q; zFVMQ8mkZTy5xZQf>Oku-xZl3>*quP|Pc&9?6TxGCw=oe8@j>%n*PHk{PmR8dFaO?u z^hLS@3s=w5r-(?vvpi-3ML|#zAX4$17ck*Qn@02ZSwHRKugOPUM;3pSV@BR9Ju?~cDMQ zX6co3vqVZMeeC_1yUM8)&0k-%*HIGNyIzia|I#y~?XA|Z_?{iRHNVPXI#JCc2ikX= zI>Dji9JQNg{~jH`5`tB)HQy7Y*Cw^}C+rpuoqE6N7V0jG^#YF^vB`;ABbK94#7AVrm>Lvld|(s>W@=ky_eB7ZtmOO zrx#S^<%{l($Kc*+1}PzcI$Q%Uo2!hZV3!YCy67%&3Iodk7}3E|4FnDnJZu=YMkzI1 z1U(+U35H3AMwPoVM>;@{gHU_5B;=vW5VXNUocgs(IDUdHSqj)?bB5;jAWoKAnKzeD z_eV-#nFAdv4xF`r3YdAHn_R}R(Rm%XN*Jnp;b{fg=B7qQxyi{Q0H=UxIFuFUBcG>1 z%!Nd4pFu2{UL*Q8a0n*hbowR&XLbji*{iVlOY5OXr+3sfH~;s#9QW_emed#u5R9#PmOeD5=$r7@&WhQry>cQf)uyFL(RU;$p0g zgl>#m9}Yj-As7rOfxhdX3~;;V2jEV2XOb?0=SOYl9l#i^_jShUs#_vWQja6-yts zNed5Xsu0>%$AapyXk>>?XuI{*glwP@ExUt{GDIeM?o@R}(M!0qmzO7<{;qhg%cTV9 z1Vx4ym}j+v={tC00W$$gqju|Eov<=<6pdxjUk48DtX}X$MnI0zyC45pLBb9}Xxk;R z84P)tuU@+y2N)J0DoC@pcS08AD@y^aAiPWT;L?`ciE)6C4Z-j^D!CKLxq4+JxO(E` z%IY^D>RK@ag<}88ESpN8Ylvt~@*D3u zjnUddB_nogpIrLa|B5iTtBgdBF3+d*;U7CBUU@{}P$y9~SSDVHztebsd&v5z>DA`( zZOIgMP^$B+JH?p=yFfAnQ2uv$>rT!%%Kx>W@%~SGR3iYAGW%?(Qso*Vq;UuYT$3vWeEd@y|M9$ zcOf4xr^xCUI~zFKE zg<>xBt_X=N&C-t$ED69N4M?4Y^(mpDSde`F2?}wu8e3dwbniin2>iXpqm%!!LxXZs z8QghHTLL7Y*n6;;eXIjMXT}wxd(P+{!407H)X(L-8NPpUeiqp@2XTU3NUYDV(L%)N z8W|Q?Rv%eC5t1|l(r{d00uf}DVwaqN4T1Yojqvk8jOaimBD}D6H;_U=VZM3OXV;qV z*R&9fL+fBDP!CQ1G595Dr_1?E=fH|u?e0#EtKGL$)>GHwpe!#xf0>dp@$hq=LNj0k z#|02mM_27Z8(OpBWs8^N0QqcpAatW;!l{lM?e6UE3&9Ve_sS|WUO27N#UcZXrB;`{ zzX6t+Ltkxyu_4y8fhB!3(le)C%mak2avt_UEGFp;C4;(4SI^M!4~( z_&`Mkg}0FBFJ16Lq!qNr0BMUY)>AmTPzrescC7!Ud?1= z-QbAQ&=#Zxa_mom#szjt?}0KyH4Uqe_eYqYH-7n2b@xPPDXkMK0-(4UR2cKYQBVK; zu|Hhfhm<~{r1b>WAq+YYx;b9uCCCe$h)ce#7E;U*nqY&2S^HzwivJ#A=MO(LT4J_M z=E%_Y2mU2T!`lp2kH1q(ewneN*!@R^7yrG&A*TlYeJ@=(^)Uf^OS*}6il7wx?trvw zAQ4AlNI_fM-U&fC)$4nS4E#?RJ)5652QrAf7jkrE;<)!!bMtpR6PsZ7YlaYA)AkLM zx~SFyGjegeRMCz4h*G^-)h#&got+B3ZZOmhzh5l3FBK2@wWev4;QYBNQ^R(GM^2!%j*N=$l`13kW8oj0F=5*g<3aqRozUc)D$7Q9#bGvVT1c5H z4Wkcp`5J9r4e>oyHxHi8qO_kWlIALGFDqZcI_%z`pq%!7t3pnUY=28$bIej zv}vrGP$)^hdeA%|%jl!Ug%}-e03q# zD_qYH6f1Tg{GdcG*z;W})kuBmsEv&~^4xNfh8+si>)=0hKgTx$x^1wiRtDE?$GH}n z`dKdqD8%LBrGFEE*HxiL8ox9!HfI8lW@myJLAuq%XLrmzs64((E z3%G{srnd+}1^GBo1VDjmhW>FU{mzsTH0EazTGs@q8t)(@XskYV_s`*1?f(sUUU00L zap`@Io6{{bX=ae;|ZaV|;wPnipz(!kAlc-Kz>{sc$;bD& z1NPp5DA8Kf924ckV8~9xbr{gTcTOo*22^;67=&V)gyCikFb(NN9NWNvym9SSCbDg? z1ngqM=XPCS=VEi#JAkU|1b=sAb9?JBRq-~Jt^$rS?t=mmJ(M*jE;0Hl4LPo!x_jEk zgwHrQD1w{1`M7_wgzme{DpEXna@eoYlrhaa^~e`# z(yd2wWMB+jYS}FLXJ;-R?x`K@_ohugLSXzF3VbUXQ*gV&2bwSwU@e3K z$53|wneGLTa6Jf&4>l&rMr2P=L_|aY*(Q3ANVG4Lp`o#7Gy*R=o>5?4XRX;j4cIj!2ecQ^bhfhj<%jlo$>0-pke2d;QAhVfvQP`ZOZTrn~VJ6%dq;*-B zLRo`y?)IGC$>{2{RzctH%l4w5=ZB5=^4cah&Kgc??xz2>5u)KowKEuy<*&Z|D0J_8 z{&6xoT1!bmd#=1!btc*62X*%ovhB8OVSna*dt^NWoyA_t)eys_cHIaZb7=`9+Ws(w z^H%nJR_k98j#rN?>a;)hO2k?A_Y!lluldWD{8-iZO(n5ryxmw>sf9#JH(&wQOa!qLF$y>sgO7jJCzs_jS z`V5wt?n?%2Hu*1NAAHF*w8N9yJs4aTA=p~Ui z=lap>Z{%%E&E7WMgSIFOQ?%HeY`EpfR(G!$S=SAF0{!-@M0q>E&U^yqtg6-*%N3)AXE(*Y=}6|(88!mnb^XAtB#AC zyZz#9?!pFO2p@Pf*#?9`+mNNr3G-o!C&cH}PIdIa5&Z^Hr@!saK_M`a}<%~MHi$li32!#A`$m6(kH>e^TC5PSM@Tf8#mtSKx2gu zmsNP^7l;je^<#F5H7MOkujM>gDM>!3BaH+iTqcM`sR6DH%!2;syS1PQnArX`r|Lql zf6(OO=Rfaqs=GNdJe&iS+MzRSpust-0%SN?Rv5<;Q=`uH_X$FGAq)+V&Hmosp{(ii z<{P9Pf)|8g?IFn26+T9c5I_!>fb-n`xPBH70@=Y$)p~8L*at}JPkqXnfjWo=U;}y{ zdQ*rOJ>R)FCj-j0N^8&=LTo{fC@#L(IdMd2!yLaFt`OoVLIiRZ@TD$t@(0Bkl41oB zX&n%`5Zh_K4X{+2g*%~b4Q&$cdIG@*LmIZCnJ&wJT?}mOMsKPgtvp8!lufuN(8fNT zD5nOB9s%33%1n|%c5SuMO$`nzqJ{N}Y84okg(!6+u~KHKeS67f53n(4ULV-@Z`wA= z*5hnYiqdaCvgj`{ zfA{TZi?Z*efgkV9|BZYb5<(GNh0fc#dokm4Rf6gEPYhjh>c}UVVLlJ~6+{|>rJTIK zqfPE#!N&0W;iNL1ikD~jsd;O#%*grcW5UI=5sPncL~+PN_XH-|o|tsYO<%d#&-dQ8 zoH_bE_e*XYV+FN8pZ0j+xVF6Sffh#7Q{kx_IJ@{u?aXO9=d0H!SuO72QkY3-2gZ8n zJulF{D=M3k%mInlP6iO1VQM&Y{OzWIfpCRGOGxuo!S57-YI3_klx4USY#nC5BG-63IhCvU8auOwsLHK*INu*J>g3yv6lcswWWDwz6hbu6K?C1Hj$DOC8o$Yf#P z@*c*|?rfWYn$Fmlu}{)v3uxXsVOi<6my*TJx3Kx|$`4!q)RK$odKl<>;vhd@Gmv}v zXR`-wEX&N(mrTJuye^$-C)=L~L!7e*Lo_~pyHZg&WwaOQy>}!leQ!GY#PUbjYBzIR zkA}>*-P>HUPxuPve~{8V?`wTJq!6&%NZjg7hP`%ZJ4~TRwFi%{4Gdi|FzTl-f#$G> z*`LIv`H%)t3kE=H2x8U<#M^SivQU6KzI;iw4@>~zF2aTA9U4-G=k<-#Ze65=IacpQ+2`S!r4A!WgAw4i3hoo{bhAKsdQjWp{_5aUWFU z;t+H+_KK<%x?Eq(Qen^}pPc-!j8&xkE!;9S=%U20(j;U?=&Wv?&HTj=Ac^P6BFUqV3ji450AP z;7@z#1G^(Q(tZ~f5ZHMS3XZeBb2WHjc>r`7swe$};m3A?$w#*D*W3(<6{t35w_L@~ z`Nz+eV`4}udY1lPZd(+N&)xr)7-KW6;Po-kTt!7?4#qzz+9huT{Z49tWdHjHaE$9m zR*jXTzBWwLKS{uWLWFShgU$|3 z0DDJV*!`MisdsfNCJ^v&4Cu00+Pty>yhhp3SE-brnpbuM>-Y^M?&+$J0#(@pR0#Jy zc}6qfLmJ`vCJDCil@9Quv)56W7XG|N} zLR!%Uy@LCWzICKJGmn)kCD(mEUppHty-E;C9Z>rvEJf~Ljhz)0PtN@M2YSzk_Z55I zcORp#)+o6uuqG5xnRv~`$&o4LkGp<5?^`Z4yK_lt@oDDJ^r!T?&RCWp;!iBbuF8)^ zKfJqHFuAo?Y;?IWB&VbQ;_vRCPx_lOjJN7YFeTzm6vn&FL#`40vky2ZCgu%}yE2Fq z@e1`BKn#l7|`{F}1m%HzE68g`7qLZaxZX;Xi6U1nIRfmY{|_Z_xf z3`54CDaOvw6y{%|j5&>B*fbkv=|AkK`%xtaz*8r8?_BhuI>4l z@M_WlCPidBEKK%=C$#164c*FeB3;VUV>^u8z$;{a2OlOvRW6C%7Rb=Rp!Iq5owlvX z!SeLOwQ&7-lI)0EQ;#*cRG zbo2t|nqR8~%x(Q>kE!6F?7YD9+-rUMfLLSCd(p+ZbIHi{F6G@WjgYkBt9e4^>g@Wt zGw&o?a7Nq$Aae4RwP1q=Ro83#O9C(Y!ePo{2Uri7mHmRGC|Iy~Z>O?ezJ$cX13wv{ z#2{hT2uV}ll)OfB&Wj~0E5qKo((`D0s%iy zmTV?T96}iefBFv4bEEILG*hDs7)7n!*uY4s#&qyXbl1A~_Dtg^tW0Xat8d&87G?&x zzzp1eU36UT^IL-GRqN32Y=p6=edEy5TvvB@#G-LOdDgl5m3|poNiTe4^2PvK5qczTuq^Q%cq3W^ zhqK{eOk-enhEg$ae9c-o1Ie*RSu~I%?I?)U`waIQDAk;={XMrg!&B2_TJ&X3RAo;& zQ{aBqZXKdt99qByMCX(b#|6oXCF8~Xx`DD*>raxl`cg>xozpmora*t>$`}a%hPiB_ zK9K^swIHoLMTS7%j!N}pNhrI+oSm?0vc+RoDk8STIMbEc^P}O65W?8GcTA#VamPH@ z6DW9_lLKd!jPVaFP9sp4-^t6zxoBi_eWibn&ucxuNW7p%HBUKmDa}lQgGuX=PHuV? zrB+i!x~al-)-;wEW3J!ocw=70Z-)z%&VOaNbnNGMmo>dtKL2^JY+`49G5Zhf$Yb|+ z?u(n6iRs3a0#wA9dq>$#dYVD^BraR4$#Qhw;M33T>FhBgpeZl7W>{v;%Sg-#*Rc@XRCi=C-K{)&K;q~3syZwBv?Vs)0$J7G~R=2*Wdp4~m$TP&5gl~dKmChooMQjdCUn;SJ6 zj2=L-Q>G+q43>1Dd6mZw0*@>Kk(q3JxY%bWn0?cfuOcD^{mI*qLL2jI}bs8xWkZ4q9X>SYZ)BIJE(wYhHZ2 z^d;N<7seGpKYgxrwakNIWFK^KKoBkSu>38p#{Fz=M{dP)iXA)xCF^s`LN`~1X#{PC zBQ9T4IWR0UGyyGMBJfybchd4W{=#{tX{nd%F*HS*p8TX>XGckUkzw{PQJxbq z*K+NHf2H;;S)DC+rnXX^;9+q%P0UQ^YOhjXLHTSJc?`kb*G}p4{01B|zNIk@;UZ-OKyLQuw*da1+yst#EI_ z{jpGmejH5CB_KeK1kBW#0a*%zTyDiAcCajgF(kY)L1=>cc|BCCfSWzvOw*gTqtJ~AmQ=9a06<*(4DVru4Ee_ege0i`& zo^n~{vQ&Gsl@q|J+)019NV2g-I&$-C>)FHH-m0MY#HRF@aE zsrsT12mUA$z&s`tBAO9}FEaZ0{L$M5x#Fj7a>}_Ek2e_y1xY*t)@lMHY=$xK3wN+f zTvtkBZvvk9F}RMv+#jY2pCOzTK{QH1f(?q%{PDFs{jxSUMj&*G&_A2p=mJjSo4^yV z*H*2}$W6z{19Zd(1~#8T*#YVrubL-0AFYKo&mT%F2(sF(m^dGY5}AvB%|AT+7yODz z(Cy-01fhqUBsLv~YSyh-m1}W~5}}~SApr9AGj=s{<;#HV> zTGTZvqV{F?d>{)#bZQqU$KB@JFfrs|=Cs#IsZ;c7^EA`IE&WEcL%QJLvv^!h+7(7m zddwFiu_{Y<*UFmvJZ+jS<6F=F5d-kqh4kx)wZ%jvIabBQ%W^(Yqs;IB?T-z3AVNO_ zMgs_2^)r--kh&9X8~3}5^|IjKyugz`@g?=e2IrGMovw0aE?vC%(I3LT9zjka!1~fO zHiEl@CnQy6%c5c~8!3XGm{fE6RY?%13vwze%iE!&RV|wPaWnyRaH7GnNmDQGM==O0 zEHaiGR{$2W2Nkp(sN{~p!oLO{!ot)aGN}-&f`d%Zzt_9OgO7ncm9p1)69zjLM^NsF zNh?TrBFCoVpCNDdv>l6s#Di2aHl$AxIshHNwjz`s6}&F+sNO(o=A-HNmmp)K8(8^} z%SBQ>NvxKnU)BvE$t^gP^{OppzEoSW!2Mb|-lB#2N3cju3~d7sEsNWT4if6 zRD71s$~m=BJl#jvWs|#Vd1rh)bBud~K2R;@6Vq`1!* z>@||{I}rCO;=2S7$Kc;E>OhBd;(?b3_;Jd5$zR?v#P?u>#8s6YOD!pniwL|u04f3x zP^T}b#;X_}3u>sw)7FC?Ud2Cm-4ZBHF)u|o-Y5ytK@IUHvW#WME%*~Wva-`oiohV6 zHO#Ok#1LmBeWw5Kt~=o@Wqva3c+ff>2gt%=^^wkTm+SMm&rV^}owDj-NYH&qqbXr{z*juqLF# zG5<>Or)kbr4|m6_AC>e|-Ne9qp}A2J{hRGjm92E@IC@%k<}Ly zPEY(OI~bLzy6m&-)z%)vHZA^b0v~=Y3^rCd!byILlRDMC#Fb88`mc6kqltb>KGq5g zIPpNXNAVNg&iL3jcl&bF&*5c^L~XrK@$BV%&btm)>=w*jX0Zux<*#B;Pfw*&&STgU zZOu2M2|tEm2MN3DD>x|e z%kW`3I~2E@YL&)P$|d6u;DcaSQ{VYy(MiAtVOD0RlMEzyxnNN=&bfA`tz_nJgl9N| zvZZpq6@zv8S>?+P#@J3`SH*#+c*|Fhi*7f(zmrztEp>T0*kqN0X*E0ogALa@QuGx{ z*0LUlQC?>3w$kT=vQ5t{PhR8KKH8PWSJn^x3{W(71LwlreIG=h$|F>nO}I>!+#e;v zrkCKs4@N$ztT1gcYb=cDD+128H#2k7*vrBSxF#@5O}hzSaB0#mi#xOQxXpzt=Bojp z?t9LU9eXahn|@e~a|}siw8ENeTZ76m7_84jdzjVxu2QrqRn4vhuFdjjnE4+LDY1{} zWxOvCu2dvgXeWJmMGOnW5K~8;yH|yWcZY~CSZWQ!^#h!xUtv}*<6!3eLOjxalhJQa zo$A2ICr7Sx|MY{kp!kXBa5l>Nli(^Dax8m%&LBu<4+MZ!ve`0s$SsSfIkr)pFqHi8 zZ$PB>JtA#~&xa;Pg~B9j@i(priIS6!f6F~l8=a5|lCx93oFZyM&sO?2FUcosv^iaZ zULQf)5}lMBn2Ke`21uOh!;A0Js;62CO@G-)Gj;7_{8e_#1;wf)am{`;?52kapo zg8%#H0qk$ckN@949LN&=-*1w16xqOL^Z&jHFDin(r~m)`7ZH5CgMzE9?_UcdubIUF zuu0jdQ=RRn*XjK|d>*yulOV;0&z9^jA33h?zE4cW`VfZeXxfdq?;6yJLL&Z?Qb(JQX z3A9lxMMnlu~x9|6nL#Qj%}7hzjvEz1J3pJa0R*PLn}^@n1+!z;RLu$^mVllvkR`LhF&MDH$Kh= z)u|NH(U3;=K>C{2+Sckvu!87%J>fKqoMTX*6mWqgcNXmW9%74cl}dTs!{Pv9iZf{K zb<~nx_Sa@96G$w#das01&ie+5vHh%o3!sDZZ@<`EoX!d|bq*!7x34Sfea8l928+uf z5(|$tTwafg3r)cu{s?nd8$hg*lB0^(P@O%wjI-biC?UDxp!CSwz^$vd2{4PPYEc@} zBRhG?!}~tc)wAGdQ+3diatOIt7FFYGvR^h1#o#JFe6`_s4kw2$w9Y)%TJX6lyKp(2 zvmN6nMtJ|72usN>d5>;R--3Jd?-x+f?r;}(IGFwD-w&`;>@|(18)%@ zmnp;w&b(#N!^kN1-oe08?3D-hcOJNjOf0)2UU%y3XHSN2gLh>LG_)~5s&4I(V2n!} zpC0i})(6)vNvFE+<^Y_&9LV@0Ejp6P0XLx-QCgB)J~_9!s6tT#aB%6v&vK!mvW2esPFVYV zAo9{*4}v&r-Ix2Fq(`bjyqE@B!h?Gem>2}17+}o?ox1_i%m4O4Ic*7RVW%%#ydm4N zxjWH-R~P(TYHVygt1zm#xeO6PF3YQ{a>N(_93ZOgn~fG#L!^;~YExTaBsS|*_fKE3 zcNtLFIkWd$j~H&;i%BFefRR&D#{!qOi<}YM#4aAAa!qkK#C;+=_VoSymBAS?)w22u z0b2`j3bMW^7XkW!B`@*gKCP%za>}ajAyha45ML~}@(1XHaMDyl>;iCrTVb?Ua>NY} zKCl%RbWt=X9c!MJuw?Fcm*HuGxMmU%%SK>CG*-WRmmK?Ch|^w#9wL-wlGtZGK|1&d zE(p1SGx?Jnt;r5_(37H~q9iUW50za()D%z$TI9C5+hmx4hnB2zn@j znT>3&%Y81Y4}0&Yoq4t3b->ag2uARuAB&2@na0zXC~(*$c0ZRxowWfaX5@b*rklzL zj@QS#ID(wKyc;=i)8S$Y1^;qh>Da<01TQAO&h z@34p4^P(vh#J8o)QcI*5;~M*d;G@hIrPtC%(JUGAP=jz@OuJ+}F*8$2zi{+jG<0oc zhWg@+%r6nlM@+1~*u0XNQ*G?J^vGmfmn}XaoB!SjL8cUatgIM(f=mvUOU!yyR>=;H z5+nOg>T3#$y^F9IZNRar_4>)w)*q+jF*4sAu{~)4xWqY(rVh6mLB-ze@B}Dh123Qr z6fg!SGC-Ge6uBY4){{1*(`{ypv1g5t4Ie6u4OpUv?$c3Q$kv9#DJ8zX*LI}CAV>wvzKTT)+g2VO`@iG`Hv*wP_vL1@2SlQPU2SbBB{hqq)8ZJ6|A5@S2@aMr z$v?cL|2wwh$1RP&IoErDFTeyi5fmqnSv`@Ql>zF_9Slv4KXB~mfq2Gv$~p=z+N|>H z2p^4xu?YQLWQZL2{Px2Nbiub#N0Ijw$~Dw%KXZWQrbNIt1kLQjZ0*T@_y&+TEncZR zz)c5--WC71Q>P860UEWWAY-cHPHRC=(Dmg4y)aJm&$4(`O}n*Y;UpM7)qOV(Psk*f zyZnCAsjiX)?-p;Y%6KZdzS!Pzad-lJ?|Tya)1?L{#vain@hZBJ{UPzV4S9mHl$juH zZSALh_U5JIYtH&q#Uk{v=O{J(_b>-9G_IJ0%b@9N!61Pb$5C+PCs5>7e_*B9>lfWM zrj=B5)hyMm?|PcF32e|Gxr>c+R$YwC3@hQ?h{5LETEJzgmUL53{UIE#ikU-yAP68# zUs7C3>Ldsw4f7kn+L)hN>LE>Zu|ToszYNkUq5D6;m)19KDJLGHk)Pzh8g+!R1Yk66 z>(~~@1Z<$-fi{@0_U&62br(6BX3IIalV304V3jbU04SBrCN1ct$!$nyzcD8s=g-r; zMav8vO_b@UEUMNyGWE47~EdZybNVePK{?G%;9#PFOz^^5$qM`h&{7BXgD7Z{a( zi;A(~*DYZZ>OFG?*9#f?nip$#KYZv+mNa_f?W*&uYA}kBEeU5eRaIv|0)1kU*X~ZvgU~d z$aoO2(ZF%jJihiCqO4iSB0Jc!wZ*Q|m4YAnQaq!CyNNiXtSUEdu_3S#cX0BsL#zdl zflBSXd6yuwyIk7l&ns4XncsG!DjnOsQzGGQ=4GT z8y@>i?&3PQ^HZH`p6@X}&un?!#`FZw8xzgGmchn8r@F6tRKziX}Czy#4S1h^p!nZP=_9_da`46e*sVoWzes~zy8?R z05|$FhGUcavbvVv9m%+*mo+J_=`X0GyI9e-bzmgm>o;A)h1QQ<(%u>~t)8?4-~^#T zjJ5^`qs-rN)zM;kEU16c@8{y-k<4gg>=0Z{G?3y=2JgCe>Dna^X$Wv$LMM3tR{m!N zSOXLH-o|R|MEe*k7lI7U<;IO0(+~=J4(6jSkPY_j?)wplJ_`n;lzJ!->c!(K;E`?t zI86o)^avQ$cCUF3mp}UMV+Nu-ze;2OvdN7U%u}3sXQ0zgJJ-v>N`!pQO{fBNsg`Rx z4SvDPwH}>&55SMx-~&v9GQ|jcTB#DireWbh*lw+>>S9N`^2zl(CM5<)v`abRY~qBSJ+*+V zK2kBCHiUD_%fIn84iw{==9QL@YfP)4=Dtf!8tDS;8qME$tyxi&oDl`1zgEK&O1aRm zAtbRs=0%}SC7O5Cdh?P&7!J%NOQ_;lGBsb30oN~*ksO2gy|A{Hm%onBqk}gU~p5Or>MXMY?I`CBr_w1nFBn(L}_1EXz zD!V$e4@Ig!&;(nw9`f?ik*JZO)+jt4fCJ!qUNYh~P=-^~|TngJjt93-9ywN}QBxkT3 zTn9r96HmA18#K|%glykc*^}r~;lvsN%aG@*z8b(F;QBcG!x?5)k^ORqEWW{VKBE`q#3jWH2}z9*&=wjIp2#ukPR7-v3MgFQA3@F26~j zT2hrB)v}kO>cvk=Fh=6e2D-%@DB+;w0`1*}B|C-N+TlM!ATShy?drxrD-K4;weS$~ zq``GnI&s%L+`SjSS2`Wnb$~bp{lCvMn8f|^`dAHt>}lAJ)I`rDPtMLSG^WF)YPKjZ z)u(W%sP*G&UQK*Xyf zy;5eilTZVF>-)mI$N%OeQ;22Mxnbs~Ui=9mI$yjJvbKqFfJpDGA>qv3q~3k(jaMLLqROL!g0rl<&4@uqBY z;cWeK^yDdJd6%uwLqXb2si4ziz#Cf?$iwq_Qr2ZVH^Na~lG)x+75#vkLSNee}!Gn@fM{`guWk89VKI^>0 zLGVcsN+%2On+a3!4+?6zAtvS>s$JqQRD&LY2is|6WW*{t;1WDva^N_r?&h|qlRPFJ zw}h2ErV^f@4LhivAZK^Y}Ltz4r6+ak&Q6Lz$x%GJb6q>*+)=Vpy7bQsvw$`Y#FOn}MH0V&2Q zZprd$cuZchpS@X7*P3U`9FN*1e+2WXz;T!`8W=Xo0UV9TvzpskVgPb}iGE z9|O88gkTP~*hgwE6^PTpE7);bQl?nAiUA0RFfbS$YT_;-fC3s7!)dAl_hDFh3bji(}jfIHv|f_x7FM zPBpVjF5Vzy!%hk$WYelM=ObW)a@7Q^cH#zxhxrGGhrP@zzut#-+wZ03 zs|w0#CNSbiIZ06UU=R27fr4ldLo!2?BlP%L;Cu7RpbD6w)(tcjt3w8Bz}T|;kQgnA z7Sz6TP}8;o+jJSG6xDZ7sV7{;85m8^Thsc0x;5lr7NJszIkI1W?c7(=NrWEQnQ&!r zRWAlwR8TZ`boKRr-+?5IOE5OG0&B(;MyoH8Q610wmsDWot1qa`U zdK!!_YPy~3_=kFXUG>#Fpu)cg!wz^QhMd8s{A3!iyzT;x?-p!|0hX1<9oB;O*U#tR zft|p@I7&OyPWR9$pdp0{B?3Z~7hu-e4rXmaV5ATJN08?*4|%lhnJz6+fUPgV_SSX9 zDgg6EpJ7=DNeBD&=#CXoZb7($GO)$=wm=UD|7cXxuq&KE7T*cZiK#zRSD3D8+u&(fMQL1W40L-4_- zdDgM;6%Jf(;62ApZUlm}iv(PA2?8(@MRwHSV8C%9hJ*EVLz-EK1={*oBdh-P4GqVY z@H@fMy`cl9bk$(jd~ta%q>mRi^Jb8Ktov&QMS_uSGnI0q*x!HQA0~EL= zJ>oLZ-*0XJcYu#R_7D7)W+<{E5t9X&AHR`eBo*`iINKr3JR$|;MtC5f;IkD;6d$y} z{i%RzH4Qc38?|*LgWNK}L@nW=YzDdL`Wd*^97wv(w}nB$G%IXH=s`NRad^D>c4QYG zkOKHv!2>I7>QThp4loPg3&&xT8i|byQprE&Nne5! z*JEX{9dP96kJ}%JLG3O1QseTk7I)B6NjWfgCsh`NkCn?cKH7Ppp&|S4^ptVVk#C_;SFnc^!uOkG{Vn zL>Sr-K|+u@ga?l-&s6IK%qI*L$MAh5C=f+sqZpu83oIr>B}9b4~lV`Q+mpnX+=^~DS$M~ukPup z!`#+j3LlCWfb}J_`Hf6Rc8+c(u_yQ#PwQRt>x*u86_0TgF|XoN`){BMB#D2PQ24MC z01>k61H%>cThZ&BdPVezPz(GnW&i!UwkvJ5a5U`32-r>p30){uFL;Oy2)G{VS;P8M zDTz%{$;-b*YhT1uDw31nC7LENalOq=5`LDdtOuGvWjLbORg%fEvb9aNJvGe-XG7X)07iioLhXrC@K$wLI-g`8DAeSIt_isP0*+k6QaXb>o3io&Zizw4Z< zvFIyXcTs%N%$EG;(gNnKN=tneAY!)E?>o#(6-p4Na$)BtRtwEuRJfO&)1v5^2d;58K7?sla z?ZUfWbldTc|z}uoqx86M|?nynqYw#YZ?2z_zvq&Hyylh)fqQ9^Md)TYwG+J7CG5Ep}M1U@&a6*ck;+Hk=t`(z}RtU#L85!n${h9p;N* zaz$8&HSk$O&IvwPd?>oP!M(c$cV#sH7Cs_P1kxkY_agiq)g(h_E71(i1{jJVp%9?E zW39^_QwouwkF{+N#f2Os?(_2#7l?EM0j|Z92_rG)v7sw!ZHy-PUnvpu=%%x%=4dBhj+_hCNx*((T) zb}yWqw^&5i!lLO9%roAB1A;vWkjuidW}QbUloJK~&+ZG-0u2)zpo~*xwh$obIKY&e z|IDuj)(d#IQOmA+LeKE0-n`Ndz!O(E*|?jVQ2JIPNA zIX+V0Lr??LXTW9Zk(tVY?ETE4d;Y_ZZpOp8G7OGspAP7Foar?-Po1c$Cy)19C4h_5ej3p;JO53}|>83`<~72ay$w z+7Yo|@Oc38U6I7|kC2iAIT9=gUlaIY`h{i4!2$UwR@4Q90v%A2ejQ`u-?g_?nTIkAiJ$E{4;@U58!UE z1js%@fd^ZdqR{J5Fd*zKK`^X*H2Z-XQZ*or4H{!aW{ChzjM6@DKCMv?j>4Kj(S(hx z@`^oM_Tef9#egd6|FHJn@mT+T{ODzsqzG9llubg)$j;t-g|ed}g{16Jh{~2#gro>b zDkLOHzJ(+qE76dsWaK>G-M{lV=lp-}NB`V?b-AwV^B%9)bG`T|NlU(xjVb-!Sa)KG z*aYQ5gmWg2mi79P;8bxC6GIxYU&UcF>mpIVHShp8 zyEVRl|9%NmJFX#E=RlG9SBN6DK-FCp&|7;tZ+Pmsyc4g~A+>4hE+B^}nxXCWH)U(x zjy}$Ut(A~^hxnM~=|3<%9L&2MyraYm17tEvEpOVm+r6REO}j78uJ3h>V$|dP^C!gz z73E)>^@5u;BvN0aV?}GbIOwxroNVZZd7pD{X*-!`Ar$_#8Y@J zUf_*9AO!R2jOqDH?;b-fGjx{iitZF(C5Syee}05m<{C<59NkBzS3O_mWHG!Y1~d8N ze0kRv9@8*gMO+S?vJ(u^Ka`lRWCo@zpG7Chq_V)bE%+0^>=E|WX_^QMqIMFn6Du3R92Bhzr~2bievjcUqhsNSLr^|&4Q1hvh4~%2E{f|}`h|oU?g>V1 zI$x3etHR;%NuG=ck1H_T41pf!F-zeP?lzJQKp~!8GF=UF!eskxvZuRKa=uY0WTMVS zUKIyP=I!y@aSVx5;35>|Fcn=25r~q5lOtqWnzHb@qVYRss@~atpMwuq7HLJ$Y#6x< z)*KsPkBNyP1!3^~C*n=HeW12@+E8H7uWf74T&Elo)iNJMAU`ov-fuf~gxbvtoCcsI z!dol}GIj`e00FH1uw_wfjDUO7p_|EcO%YfJ;uGk@YpRIxx*G+eXI9zq;utdX0lSKp zLW~}qGH&$cjemm?DY(I+*f65gBTAw}XKUHOU9Tu`wjW5>0=$lF5*&S$$H{^QaEkmW zjBI@|#ii(f*dsLb?{RhJy-;dzY4dwCuVVNfbBZLp56d2e9JLIo-w#kUuY9RJD1h9%tE(E(-(+vQ@&WTO>o$yhouRK?n8j2LRs(P1TL0jj-b}m*ZFK( zf9M|nYB?#7e*oN#Lx1WbB`MGIY`lC@^?}>gHE{pDnDP%z9?FwM6qTtwkI$#yONEr} zD9c;cUf=x;|IHlO#n$j!Am@~)zOJspVyx?$%mzTKnKY(dRGTkJWHDju_%)4(DBiMH z*3$ETM&6|AHiZ-88>T|K_9l&)m)=ja`zgdFg=n7R4+nL69p$@$bOitYmiu7c3TlB$ z4DAAQ{o=}=^}6qaFmbS1*l^CMp^F6YV)zD$^u$1ptt1SfRD~QdK(`@T9XdPL*)vA^ zLGoW8E&u3v*53ZlWIz=Ex@U!n>R6=6^XJ3+%mUR}$$LDKbj;X*s-jMUGpa{xso$f< z{uRby`G0x4iAi~$nbd=%bHex!yvb{K$8ui{O|@l%?(<&#V$tMn}v) zk1bK@^%!X{h8FgELtyDa^oKlcq*12gr>&B3#hgKyzGiY-GxMvB1IfvT)i-pk!^})N4AdX;SA<4j~7A0VNCsyLUV~xk)~;+ICwR zj6oyTb+9Pv*j2NDAV;yoEA)Y{oB@&MrFX}OEQ>G%c869*euTe#A+^YBi0~ZWxcG=&hhkGKx!PR&O zyJL5*@uXC)ajh9tWXu}gcx)o^C;YD|tkIQthDyTpt=UC?iL6Q4&w&BdCMy}Np_) z8PR5holwl}oA~(A37puBf`!G2FM&&fk$g%Jcy89vRS!O6j?;=2Y=Rqh)b7BC^+-Al zpt^B<_0v%O!%xTMeQE(_BjQ9kB|m>yto|aD6cBUVcuLe7I*Hu9LHKPynJWri3{}4Q zD{dM1`l}3*3JQg04WsG4+?W4;4nd(61~|(FiR5BLzSzGf#bLe*^gr z06i(0k6}G#YqddR?00e+Vg-TJ1yNBsN;YMW|1OQ)NAt3?%<^VA`PJP6CjYc!jl!9a z)QoA3F|UqEEdEDS_f*bTkbfp&Px>Jj`t>cbPYYA5-mIH67uH$XRX5Ip787+tUhk`C zXxQKh7;Re1OUoj6lXSn4bn6LTOnHnzqzkwdY=VdCB|5O}0J6}v`Q86`<%s#QrbEoo z?UR&MEWovt`}lCPJr*WKk}Qsr9Jq}MnF9ek73CJ< z8Unhn_I<7d-GmZ>Ig;-xj`Frg(i8F<;Si*zfMTFAQTZcq)A}|8g;ZA#l6_&pg{?Vu zupHp4Z9$V1p~>!m;uhWH$ZQbg)kx8bhxKP88lg$ER)@k@hrO+K-?l#BL_yFh`s3?R1Lt@oF z?c7I}(e3b)LlrfmnCp|GT_`FL-DAk!V{~I-ya^4-#@UeS_b-oB_kX-U`0Xb}<&-?n z6bB#A=;Zevt(@kOx1xHl&k@D%>$?s!KSH{m$E+*5Th{9G3R0(;6!D^T_u2s?kTLnk zd1@gBG)Cd=i%G~62p}Fg?L;yCwmXSadh{L?-bYaxCjd?yCMci9ZR^#pDgW}W&dy_5 zZ-sP39V@KMYM!8+40^BxNBb*Vfm zlVy0oQml8cmf5|u^z>~%a|I{H#~r5p6>X+}E(QRy^u@I1daoLL)oZCfeN+C8zWr4b z6K=!U{XK#5Jo#R-S9pP14p-t01G+VV)oO-Fpne=kIw{Z7h*Z&^LMd@$@{%>dU$P!Q zdHf>m`N;A267(7$D}DGR1yWz{ljSzbpWkx!pI?J{<2lhbzkHw-CZZui2nks27h42W zOD5PZqfocSKtn*-#zB=w_hyrTebBb+5N_OZ8xk<&Ty7kP6CMn{Vql zfnui>4v7X}D4KO~pYb3g>PQMo93(EJxnXb@q!a z>a(;O^PV2xgzYV1dxzqX)q7A(gGGExJ*WWN`rties2i+7yt|(re~?Ufi5Js(@y2D3 zy<_uzG^<_r>MZaO^f@kwB2YU!74$v~Ng4>UltRQc$g;qFPs40l&iov(Eb5B4)0X%&cO5Q|tmjfN&^p-Zu^X&q6x=v2I2b;?tsIjM^VBO4DS%yr zU{KJZh5L;?&Fyu0(*~A^*l-!QD&BoRMqDl^7S5g97A&$y_ea?3?=TUG3wg+++?)A9C(%%~dI#~i<+br8aj)m=OrRe{(q zS?K3?d)M?LB)6`KPDA%cz&5JyCT#OhN+|MQj>{*7yt;HLC8b-Jt@R)VJU^eDTPYTK zUJHfcr2IFuxAzq4RYx16@@wpmSu_rZ^+JMByZ!PYjxF{B7&i}y)C6}Mn8 z*%)qPPw2RN=SZTHCS#-q(R{h$?iBax6c85nBmx6pBwQ#Ih&;cbnV5106IVa$3Pb|P zGo&w_1m#D>ZCYl_`W1bw80?nB{6nG0Qj2~H`*TZhy;FrmM;}CRj`*pKHTEH>L_2{? zUl_Z8akEx?QEDLKM)|tt`hZ>Ji^O*b=yChj4ySpK!?1=)oNwssk4wEB3gXgmv=SmF#ck60N!-kM^SVZ9M+WZg zM4e13Ov`-S#Odz49o3S%Ym#w02AlU=qBq`;=IlH6aEjSWsB}*7*eLHTq}9#gV2yH~G1ouk z#Hh;C_EjKy8P7viczBEx4S+9Lha)0v?*Kqz&ah3+JRk3JiCr43IZ^Nr;DA2~g$ z`?V24Q;SBt7}VNFkbaGuG>mOk$0crDkvDF$IN5HSZ{(d~&kkviK1FLQu zw#i;ZNDj(mRWOW(rO+Wi6V{X8xyGL2=bEM8P5FPsx-J70g#-wfg48668XA*h_@vV! zdghhdh1cQ>EkR@xN{c@!zF1KB$>Kw9hMC`{~fhx@y zB`guTWUyGQ{`eZWWG21~xAv~t-xfRSzxrGLeepudlZyYk2)+CRhIdK-)@pQ;tA#qNTGo?7y8ywBv9R$r!xjI0yO!*>w2ufeb|uPr}$Y*#LLA! zqH5+cbFvsiaDm~KDxBh2_GDyv@yB^p#PSBM{Op4SMLCIdBbs*V(-JQ)}hFV-rKnanQ=wi@%X!;L*&;`d$MJ z2?sN-gto2jMsF1AaA&>3&|H*%q!|P5O*>kM#F7t>!vayxopz_;-2Bz1?d10l>dUCC z3Ep%m^Xbo*`({W*h-uDO$1Vai zV0_zc{viI%;a3H8P<8Lb>_@6T$5W0skAc3n1>Uf3{e3VBKzE-%>G7^fyJ^w7yu;7< z1+-E%&;mHCS%4x~1ARi}@^Ky;FkRNuj}O-l)B$X)07_Ybb4v~dcf+R>bsmrstUw#P z6%|Lx@S$#bXfbu*Mvui=rE=T6)cd|e`JYPLTh+}Y@_{$|?KJ2&v4ri{NqoCW!^euS z^i#b+oldk`VZoh0Bqc&+N_AeyJH#PNp2NYjy1xOl9zL_EmTlImlkofUV7J{VH^7WT z5O=gfFHj|MQEU0ku_LnFGq9^GT<@ML&Isw0ZU61auc3=<$5{NF7ZO({HeN{Cm$^-%VP6^R}<=+#USt*~`2aVPY(C z{v5Q|w(*Zgu2M-=6`6PqR2$P{3LOMA_+Jtw6gn$xjc7-jp>S~zRjc4Ulekn(ygUr3b{4%}4LomrA zS86Nlh_2I>P+aVX{y*KFS>t&~-k5t*>ekIby1r0XQbTtE5%}ZfKXb%Yh**(FuPvx> zIufw~Sz*BFpP&^&1-_axlO<4>12MlTUKc!_lz`0>nrrzD3$myW&2Q{K0k&#%sbgcGdJUD)#Rh3=crdfwO&q%eapqTu7{@iDQgFa80qbG^>`h)e&JF#9ssRd!$oDcX1uRf=^2MfCkNw&Hxq! zK6=_h&2NhOgn-S7wHoQ;IupMdK zJ7^*vD|2)(70nw{iY6K^FqrDEEAqeG>9MqJ){AQkTIwU{CF-eq0v--FW_ErQ2i)z8pWKf6wVtU z?Y=j=S%3LSG*lsGcIib6UfNWY4dLF=mu}(@#e0*+lhDR6I(|3z{?A*I#)c$z?hH60 zdqommv5mQYzKPpY<4_1Vk`$O4U;OsFb-B__Z3509RIFRM_8!gb_NYGAtQw(7VWwEp znC_V!_D(g&rf}TK5Mxa_UoxJqE?Ohh&{+7+Sf^FzNs82|Zw{egkAH7T)Xqg9D+WnF z+d37(8B2u^T@7na;<%CP4;QTcWp(<~&|xD+Y{!L#rqE!djiq>2#jfM01!jsjXM6YX zCRECPh@b>mSR_d+s23@qS2`*DIaVOyogkBoiP9`B1}H~knqDCy-k`A7$^BrGfoy6t z6Xtui=OM9{cxJ)3(NY+BRmf1Pa~%^X8*W-|Ncl5288vwt97^#b*zGO2UuY?hz{WmN0Vz~mu>1Uld1p5bUclKB14xM3fG*dddBP`6li zZX=32+O9dgO+=`v-;8a?@U3vN@@v4fZnh*Z2ajGQFXor>}wArAqJ zp+qkIt;Q0d0=a_Nen-SUT4|V+C?(x-YJ3#CbSTv!GR7YZ zb%^o6lf(Q16&2FL!Mmxf%v%r~@;(L;ncCXYSM(hiQCK<(OEVioggJ?NgZ%_{HE4Fm z5_UB_2B|_tLBNpQ(~G#a&0DredlFxB+KFi~UG}nVtu&^@WRX1h9;gFyVFwDkWaX3eELP51OTl z1Jjd^vO&lc*xpaT?+zfNwJl*e%{Och<|_%$wm>0PNr)}>ep!ic%MPEMB|^APaS(vmuP-dBkKZ- zUh+My!dE5mo?gk$UJ8`4jjU#_D^7iaDjTUYEhu*WD>C4~90EEr7#*U`2yA;-DD(-- zkr(pI?w_K1pmrC5(~w@vNi?HSPt8IQh05jH)vH9*2av$0cHbVYkA`}0?6MS23SF{I znuT6e8>PK@h|0nT8k8Qz+NLI&>Fz)V(1&3d#lAiItB7J4#ZvnqFS+L@6$O+=EW#fj zyHpYf-EHd~2;{<XCdoIuz906=nTEzDy!9=Llr0!@(B!ak-Ljl=Kmib_8GB10h@KQ@~4-t1R+Bn%mkg`!D0 zJK)<$$Fd_yZusnOpqA$j_|(zi!R|*vYu;}{kV>3mEyWWK6eMmScb1F;rHWHD!08m* z?iLBD(5u6cPzym?bq6sAJ$E~+x^_WUW?i;3V=G{Y<(>`J_&Pe28;_XaZ;6N5F&Gv9>Xyc0*_O3eYQoI^etr??3vtk6H*=AzY){fG)p7xck4cBhBY3 z{in6|y*tUN$7VP>Aw($Ea85y-v<_~m}1w`Us zKk=yk3gy@^Aa9%NCchOntis;FNB^V~NKQ@1-tS6{cMuYK8bz=x=uy}>LXOrBlu*3| zc(zuj(CoWXei1N6h=wQW`MV&J%4l`rI$Yg<4}bF;-Ow4Je`jj!>j#eR-Nj5h@_Byg zXwsMv2A<`&yLltHNH$rZ{_-}7_V#u!V!=a%wC_25Lbz(6u!v-?`VVgpAK|TYb^mkY zk<&GI@6Lz!dVkdr9YlAjVziv30!Pk1^umi&_t%8$(w~MOaXbw0L+3hv{LTSS{QjMQ zW*}?z4g-mmhX1slP0P#LV+o@hY^~Ip9|#ol@01t_d|O*$H zOH^miz{4a&Ut-9xbb0A@#qFv98-w!az=szZQge2~RYh=Ys0IOK zm|=I2tMWd=Qf49VKLkO|0@|V3#?=)jF!wEh^X?oQ*h^r{1;{6*e20`EbJ^CVG{@-E zxDtqkvjMbiE0&=&be}-;b}P!1c27xx39!8BixUK2-hX$!x-FeMQB52|=9O>=E6OBO zgwFN^fUlki|fgY3$d?6o&Ag>TC66Z+^&J9w35y@xf-ecUr@6Qo00|s&G z54wS4koE4NCy7Yd_%tc){??7Ys6L2{3{eF{uJWqZ_n?H+BTn60-mf9zbM>ebD6z#S zDtDE9Y`#z9FiO2nuH$HBI8#@9-w{aku`uyN(rfxSB? zsgc-$v47rw`~|3?cVv2sF>x_ytPi2k4w^14!4WX-wisG>jL+=iC;(sm%|cEX`-N7L zT3;03{I8zZJs8v1LN0LJ^c+O23ce!=&ubLt@>9g)ucl1#PtsVqwC+9E9C2Kp@wRmW z7;GN$=m^aSZgLye0($}-Oun#>XrO_CCbel!3FuBd4m=thZBNB39!270+=`V3n=_|s zsM0;3!C>o>+H@@zi<*twl+NR$7MSF#1fg@7eSfm6NG7$s{+GS~bkDk}Rvp#l@B7L9 zgj|rvxUwz)YT}}p*7`V-a3hRN2)JPISI*Bh>)jd)1?b~rIz2u^JHM~RrLuxnJ>0qs zgpm#}g%cOhQ;{N7I_ij@(4X#up8uJ5jS6b~nGt#8F*L<~Jg53_741Jie9)V!M

z5JYLp?xMRw;r5iI2R8(wEvZZs%W%_isU+#tZ zgcY$mQ(bU>?2m7C`3-KNp>s~jv(k1O3W*Sfq_T+msu zVxy;RKy#e|^>&*Cd&d|`dTojZY6&q4DT|&begp^C9A98~HI@BL{g7jo1h3V@=*iQ{ z{MW5V&)iz~m}BF)1ggw+{OxU{g;cZ0wlSV-{))PVL#YdLq?3IuGdF;M^g2rR!JBRm z%SdhW$a%Qi_vsrLl=$%ogTr`u+xm02c3~w=n_mr#>mNaAQYVdt8k{ldvcGL@01WK0 z-+MCynf#<~1Sn+7nSckeIEXPuHd{C9EXP-{5DJ@Ak3j|E4Yo)hZu3if8Ne&!cHR%M z3?*z4MoJat!|mZzG(9DYifuB;0$sVpzdz}rk(Sxvf_oZ8zExiX_sJ3Q_n?pJG~eUp zGxYoq>z!r{kSOm3D)Io_0ZPI5|42aY23i^d$WklTA;>G1ahlzqd%5p&N=g*!mofC{ z+9*^AOXuAyhVqPN)>47oWRN&Co$OLRhnXuM@J4leJ&3LiVkQ6A5ecqnoZmh=y&D|G zS_+9}Ct-l-U+vP78J6E;)Qqi6Qndu)4;9_Jd`nM*HErVZ{cwY!!v@LGpxniK!$4g^ z_d}0JSf{hItv#ui$!bM@ffl)U5vn&@)8}N+I|8nn3UQ(3u0u)(FC;J7!`g;(3nPtm;X=23poQ4qvQyg3-t(ewvwOHIXj~S^lq3JF#ra$=BwQG z65_;Eb5hPA0N16G5~ntY38%5-Bchit?S1mMj9Yz@CfE2JBtk_=`$;$zl)9u9A+AsW z-dyaG4(DP2qM7|{YM3QMr_@yl_GSV14asgKr#7dw3oSZ6MIuDRM+G2AvaC0$bT-6|`^^Y5Dm0aOfo~!b*hf#+;Shai#I&@~Jq`7t0?#dc+Rh z0J6Wm;1(V@T|bnKv%#}=fLC(g?dFl=XX~Jxc>iWs{SWVb-Ca zVeUPv$`WU3LQC-$$UDgu?u`_4ZvN}DDCt&3eNJ5wDf)$$5Vm;;A6HAZpImn8g-JL+ z-My~7Yw}_1*zEh!xj)l*waHByR}dPt3oizSrg>AN&pYrwC#xkoHb8*C4}N(`HikV3 z+JzZ_w*!q!=9=TH-r=yD>+udy#z4gT1;LW|q(&0#WvH6V1g8rf?0-E(mPapokwN$T z-6$}>;xV2A9~z9fz1#37PvY~6#h=LP^mvFO>zM8@H1{{!pg%})ugk;RYsa)Fc$$s{ zS|~Q_VUYn};tB*BPi@Aw1@m}Ciu~ome<^hxG^U?5)8~M}Tix~P1wEr^2rEMNaCa)h zy}Y?=&O?iKisP-6W7YVGtbj&k!Z#2N zUN&B#tZNYE~d^^3#!f z@!POR3Z@ovf_AArQk1{4_ZUOc*mra`Bap{8LoxrnR|wxW2w&)afk2&l@3)q?IbkN6cx0lb z!n(&0xJkN%T_h}Se124+5N)9}+IRz_)$ZEwL@bLGrzX5(KpNsevT`dB+4s{1e~2}#equ>V~dWNyz=p-_O31+KR>^^#;w5Z5&u1kl351_G{9dA zIXYlgt4qVHQecvMLhVrl_iXqIMI3>pdT!aV0;PgGGnyGxH1RKie*N^mZZ~=N7q;$W zNAUEyUT>T}k{G{Or{-`%@?rCc8pPkIa?xEN9`fY?bpntYRTM~2ji7r>1SZRZnqG6e z;1;a;e_|S<4FI=D6fDKYxeWTENOaXh)w%{S8J-der~{q}vd0txUvSn4_(3n~ikprI zxxeE|9z(RzC3h|W1TgBU!)EYb-AT`9RcF=djGl4baDFnUB%t?X$3>;cm8IVV7!CT> z@976trzDaAqZfddpl!0~m#dT! z&)TGc&GNrQ-b*5!b{`!bY>jJU5i8Qpf*gi3h_itT<+|jVnP!LkxTl9Jpl5OglqZ5f z28F4whNp@A15eTzZSU}V=)Tv!9x&+>U2CX)f%DbV!?K|;!a#InU8V(t=s8^97t_Du zz&A>LeGEAg_6Sa}zpafmCCfcXa}`}^G10L{j@=(XbtOBSQ)gXP^RR6!0&4ifvZa@0 z{~0ALH0kT$*jj+pE6M!9WAKYYdMp^+xoK3+v6oI=jnt3T{qF^{VxhA+c*p)WYf9nC z(8giG_yVhvZb1CJa1Qq4K2*uy^|`7;at@~a6{KrSKXs`bkE@gi30QXbE?cVkuwaIR z%QD#B_3QXA1Ax>4`O^0}@qt767aXxy3q%IciZ}vq{2*Wc zWis;B;al+7pdxL-SEC;HI|PSqV_X3UB>KF`j>M{)z20~x&bUqd3mPNL7i)UGk4*$V zbWMG&OsPWRd(7l%V0FiEnQRb`7n517-_0e3H&2)jj8RI2aNGrGVyW4;D!Bt#o-mYg z5I4`t(pVFjj5vZaMqAd5!s>1w3{3_(rO1)N)8_nl`46N;CAog;^btUYMA-C;c4rKF z{K!1HaD4ee3qY1bQb;Fko;ab-rUjE_&-vW0$^BBC(d}+v9@8JK3#fAdj=E#V4y*Tj z`)6?OJwY#v1qX5xxawN$Z^*%&fu~Ty@y(G@XrxxPuw$4k+`Z`^(ybgUtMX@@_5F#C zodos9J|$pooTmBGC8vru=rzwH6y|!~0JaY#fJKXf)J-_IkZbWz8{_(v5pE3%B;`(Z zAwT>DFE&ka{8Z{H@i`8kT3sW@6_KZLGFf}tv)4e!YG(pR60Vecj=yT?`SB8^qQ}}9 zBi-)l_xFvmYN@7l8LaM89ljH;cRHFGwG71mxadk=&Y{c09~^6lAS)2Ty6hR&b&=hW zYJP)-E-hurUM5IKG)B7+fj_Yz1MmlShR;7yRE3Mgm%5+dB{0rNOzia7tSS&IpgiWd zfr>6hoEstzB1I#h9h?m$1)q^ymR_lgck^3B&)|a+q>2Q%5=H{$@a8uPiU*RZa>f<* za4m}_2v~dSsctjVzqsYl7>oEUq@3^iXeS?p?LPFxK?!BJ)-g~Z$0F1Yb>g^6x?a0` zV#8L&9`C)`0}PROjshs~JWD&5I(K?K1H&5>w-3O4!oB+9S}HzcVYvr_;WC(CBTHrV z@to3GmEkM45^qwO&27>r#Icw+7QT9m@cM=$OIt!;`~=x-a&c=?(s( zyZrpukp%O7N9c!bxGqj-_kAA3XsrQ|?%XH>V=+h*HQ5)0L)~xv8ptF*mo9_^7CA`X zEkMpVRn)>I{$(~Ok1x6h7R@g0!dH6UHHtU}8#6`XJ9k`uwju6jGrR$IFjrepHXxV< z?j<*|B7Y+@vsX9Zp=ZbTrtsb9`~0WHq55N`?U!JLq>AY!kNynaiK1==oTVK4#eI58 zF#|A{&cI&$NTPDt>bDx-hZDJ=))w1l^e%| zqAoz`qz#A_Oos)R4EaCMbDGa&m@^<`4G=7E8hZ}o(>LXgTi~7oc}d~pWCT%h70ndE zQXT|;jUVuNxPjbGaITX9%Sezy_#TXqP$HymHZ)xJ0Z2`)pKkdQ zyVeo0FiT&K(i$9B;ial+2HrUHGyY}~jzAiB%u4|);ugsspWl()*MR&~PK)GQTX z>Kv<6#VORy>M~JuvzEf}w8vud#$V{9&Y(>C^4GINX9P%TD1@~TNNmL5sKV}je9|k= zTq=2lqH(PT+hzSZDj+BKo3G8UpCSo@CTz#wKYQVYFH_#uRgJB3yK3NhXJ?2a?ES=& z?3-ikIVp4T6mHfIIEXRFzVQ7C3wPwSJmqAF2}NAjPv94u^ryDvO`d{SMv^_jQG@=^ z+mYif;(^kWIlHr*BU=u?A&oR<2{LOy*mv+fTp#3QoPKJOEby!^gpM&IY08-|g7lLNaUVla23(O0v&jUf zLohvDLszYD+pjKm(w+3EWMut#bcZKjGi}@$H_M(>GSLPX-28O!dO~{u+JyhOgU*B>V6oOn|*Cgr)dgG7@Li+X0J7KuDxC;okt z#zM=p<8^!+_%*f00+_@YhK`^2ZG19%C$#Y(-Q!D{jZ3MBD)ZW#%^?_S4ehQl%ceQ+ zcXtKp==m|1i_R`xRayNGEqaPqG^Q~c{D={j>mEpf#9z`(wTK5I_nzPAjTJQLceRS2 zuQ2kRl=smZ9k<(YTW|l^)TOrDPnQ8|FTiDf0NE}Z+x(=FXoibT8OzPhj4dD8Y*vdW zGuLNyO*Sk4ejkN|p8{0P1Sf{MY#T8$lM-dG?+_!h4!#xaI&HBfX>R@? znfiKQ|FuiIjUnZgso-~{s+3PASW1JeKTI!jAe?~)?T<$~OK->TXkOtP*N>Uzhk9@$R z)gnw8miidT(5J+fjS8BVVD)+90ES++ic49 zeH%Ur&>W5ABk3m?8?+yk2Z^~N#6-l}Z6NZL2p`W4KO1R3$#;(D#iy#u3){zI;tn9L z0W__oGbQ#g#AuE}GOmHWiO=@-RHxJnJF{0;ZDe$}K@W!tauk+}7Su^_$*lb{P|E~G z0zbxrgYP_-r+lUW7ENNXCbXe&UJx~TWkCDH68_=Jk@6;j&qDxG7`FPiw4ikJBZ-rt z&W&oZb>X?MdG8|*oK0&Ae$Q#`QMyHYZpPSi@OE=kPD0`-p!WkSE5sc7A%+j3Fl8}B zn!?_Sn!yw*cFpb3xxncs@<(xR_OaWoE?!fC4-amp9VxVx zC`Ohc+&E4Y8|a6M3q%5CvO9SfSN=vN3nU6e-%1q_<)ha?RP3HezW19GwH>1`l>=S! z#;8%;DH+fPff^V)h78bk;k#HP)@vnS*J>Btji;OyU+{iMN0nZ>yQj+o6G;l33fi*V zJE_0dHIH1nWc%8-toJVn!ky5V`N65SBl_-y{5L&yW~8WyuKBQ8f9e2Qm&?HYItxVh zzCJ9AOq@pQ%oKW-fG*U1Z%}q2y#g5M7sF6t=$Pej=~$tS^3_YuD@y&l;p(=oIix5K zhCnTP1f0Q9=pM2Wh!gY?9qxde-L~}~!U{xAVD@>DNIWa8x)cDeGC(C<2ZJLON^+(| z72J`5S?|PTmkt+tG*V_=u!-N+9N_>1135^xm;mZSq9?}bQ|MG5OpM^ir;0M3c-It5 z@@1`W7By_o@~O1Vlh`LHoC|p_AN|{EPbM5}7ZSI~jRL!QOxm8gqP9C@t%s0ka~vk^ zt01|EadNt^f(eH)@!7M}pA2;+fdPp*Pf~NhR}pf=Np;9Caq=`SuS+cqG9(fOl9D?} z2LNpYcf7h88(I+vx-2_0Ne>TQz`tAtE8E@C3abK1?yXf4eKUv}uRN6_dsKfTNsksi zB!gkkhKbr14iGh4evQ&Cc-@H5HP$j}G&BccM{Gc8*C?>Q*Y378mb&jvpsG(js{cU4 z!w852iAJcH!iJ{&i_?u@jT+Q6a{v>0e|$rZcA;Kz^D1|orq32Ufos5rN{mZ75Zi{G zTgpw-KK&6%B5O6C8DV94AhQL!+gp? zG?iJ2^>*Sd>t3+O8s1RZcKwb3g|a%Yr>Smfd+Q(p{fxW?(%Hl%C7m*}vih~m*uaqW zctUlfFTjF;TzPHq*k<62_q$edT8ddsuaW-~iv4*X-FilcPqxCT9R0^6N~sT{CB<3j z`i!Fm;%iYPe2+`poJ>cP)}qhj;G%`6Y*oIHeRfU!Lzzi-WP@C6+g%Ec->&PmR&JPs zRDpb0bQP#SYGmx82i`7{-=OzVN%(1on=&A= zU5z8LTavb{!#wp?#M!=m1<+ki`h~RM=W~E|*^&BwFn`GDGC;{eA6ae=;fg=T*N=wm zO16#Vh_knGUv<34=j(p2BS+O=aVtL5Q-}>6b?6mlTWzTSPpUMv5CLc_9!%eSiHuTf zv%yHW*mdCwLMeI^Xoxn^HeC^kErMxDu$>XX6%`j+T|S(48kPUnU4?NShC4TDRjw_X zm$6G1%$uw=*Kfo~rX!T=FBP$qJK?xn!Ko0hsb7@A`~x#a9l=fg?JLw_;-cW^a#>It z9~3(6a$BkyLq`G@HyqaD64Jww$I&%6$fjjRwUBGRxEZewyG1;boG2#|$%Me!)$&(| zxz|uf9HG)APe0W>j~SA-^qogQVA+f~PFa{J7u|Gx_{%lE!2fEhH$8t*^rbzajjP!p zvA*qJR<>lNy>?Q9J>djTAG=zBme~zH`T=*lzb{6P^H|Bn{CnWz4`&*xfdzdMRF1eM?(o1jb!%TNs=^3ef!oXPa{mr_&o{B+wc zwt-}_wWhZa#k8)&vKr|Vkh?Jsk{nhh)v$4s;+Ov zvz@i?f4E9<=74;$`oY$ zob|oBQZ}Sx>`kb%&9+sl?9Mk`hh>eCoEtCE5s&T^Cw$-MTo6`bR;uB3cXGXB*RhUP zI$vj{E+%OAvY={f-D%c1d#0jq-zSvM2Q!A8xFnjRonP`w9Qwh_CGDcQHBq}6WgoIc zAu)rtYh}i@cc-(YB;wlD&pyz!NN62J2yP14>}p0zv4p-T@F+H|ejsf^fuH^xxjnBU z?217tCg%&}Gso`7wvU!GTBHl;0nBmIcqR;2M;(qb<*7|}2=>5Q@WGBTnNvD=fKBUO zg|sLp@nB=hCoL&*GJXW6FqMJV`-~uhQiw740TD?o5GkGy{5T4ru|OoAj~+2?M|>Lo zeB3-0V*I~!`zS{*GMT}jMo16H$wMWyi#zmKw(z@#Bd<=P!&&25ISzkcLbbkp_U=Cn zwXjAG@kgGv-jdXKiRw7fsCbY#JR4Vgu*X-X5`~YTb{DF53d78c0Qn@>C2krDGwn4^ zv(&^|pp8lMdt(*){+A9FYB|IC1h{r`JL z#C6rjQZK@gR$vU>NQB7(hMPKeerMM?~B0oi<aq+#ApBBO4ssqpcdL%ryLNdPogCg4sTL$*&(u88YzG zqKQRG#Lf`&AQ11R3_n?gYR$MnB(!x-iI;IrcQ%)h)@|$kWxbeFI3AOVG2LFAZIPSxT_ydEj^zj-Ux^J&hKdzo9W5)uRuUe+|X+=f|RDHxInU|B|G$Bx1P_@dXRO9^X=BGWB~k6uij zS!EG|2k+~@OP`_5GKw#FBu-C_Q|xs%S8)zpj9@s-*xGjC8@W0Ei*-ca=L+7QrMdZ& zNWmAwS9y#FbZ}uz1$Hvp2guU+#)#h6V#H zgm{R`!HW4`t_Z~clZG4t4EcPW&G|8to*$uVfTOq~`Q}Z0E6!V&eZ}Q)WGyvSpo5E; zAa~*uHGkuhj6nqUdeVZb>LyyQ9AgVSCu_)a^IFj?Id@6K?%%2 zI2kFYO!ZBIwrYreec_#k@d%X{2bG|uQ>p)I8*QU zvfju^@s-yvPK&{Wf^=1pqTJU5oUwIMIo~y6FukT3X&Kk4O_5z#B$vxv#0IVuIe^eO zauRCc5lmZz@Pit|_P_D%m*~%021gsFPXte0ls0r1{8j6HFyv<%fBkLh-nTvUNP_;A zB@xwh)dVr(8oGlP{eAdg5a5!KJz{qzCoR#^0v%2FI)S`fHM7)?*Swy{f&MpPD*|?~ z@Ob6|m9!7B|L{2ysDjBhak#k9^M^i$gogxDn6Y)|KXEw3Ql|Dvq$usd1L5c#%X-#W zQE|VV*LZC!1e0r=4-#wJ^Kn#Ll#X9>V(w4${JpXN^1n1_(OW=TEGZSu9BAy8xt^009WfK*&{}&mQ zoPDvA33&eMhhU{Fsn~OR|3T4hQlaHnK z0LeL8n6~G7Q8^Z1kt=kO1Oz4IG~ue)*$E8@(nz8^8W&aFCoaY{#P^O^6@;1K z@@#{8z4A~KHPMNaOepZxl}MaY!eK_bVSmNFN1~8cc$N%5I(b=!laVOIAQy-gFTYPs zRGwGWqy9ssN<8b(OtBIn666RUAm?f(!gxq0pB$Dg_Khdkq$D?3Y!arRu1-bpQ{;dX z9~A-Xp(#Q;c^noY7b3TZj~-%G@XDJKwVV9Dyv`=?;Yrdv#*>>yyI+o$F~**JS|;;TV80RBMkX z=bFZ53Gf8TGN+sm4;gY!bfRcmGxo@0JYdoo^4+@rAkY@_36T6kDeGj7GLAiL(YgIegmhaR0q zWEe_@>18Yrlz&KHMGD8IjEr*t?ud^CDmqp0M(a~bpJ<(VF6ey_ulU{%`gC~xuy|py z{J4_v62v_hLMR*T;A~92;%g+t8kA>KZ>;xX`E4e) z6DVY~xMTgE`K?%eQb*rP;TffK`Ru1xH#cYTc$pK2ECZr&CNdBRx6by3(WblmEzWx_ z!}m99RCK5RDDDLLz%QJ(=|tK8&krd>0Xm$c7{Czr_m43C9@FYE!?$2UxJil;vYSc> zcBB}iKsGeF$q*d{nP?Aw%@p3npTRb)2>e4csRjwm77Mn3m&2=Qnu<}1HQ_X#HL_t- z>KcPE1%Zu~!THyY3MHqcm_b5BhN)vgAXs#T5F#Qr-CKow!8)c6u3BgOIzcCn4g$54LCTnoit~IkC@{)Z6bOVVMnf3a#Wb<| z8{kXKm{7l)69m}wKUiJN^Jn!jyaKb{w(BKN<0Mty)u5b?2qa%bmB}rgZCEH4#|=h+ z^jjFq4jmz6fkX&;_{vkH!H}G~nqk1F@i^voFw>{rU(+mw-hhO280V{OppdDI1Y*a6 zy;58mH0#2na`t}9=K}C3#39AcuU;dLsU!cirGQYWlE(D=(?IYevJXyR#ols4NJ3z8y9UA`vGcyW5@7h;dCykjRPM3RLND%QfosrA>+0z>VL%G<20GXT zuAa>oHp$Zj=1g>$+kuivW{5WooW}D+?q&oa;wH3@IG+WhWv>)Ns_`9g^bStOQ4*1c zC#(Pd2qaRd=x*GzLQo6@jym;|W}LB2FmRZen*I>S)InfbnqYUpVm?8nB?AN8&=D*v z&oOtS5T2E*UfkFc#7ac?dNNZKtAr@S@n9hf2h@{wBt?AP!@(rDFXI40hVE>*t%Mzr zf*l}*9S{f7cwd9#{{3UmMfU7s{>73T)Dh`!7gRqq9H8iElo-&Y-&}xNw=&hrYuZ=sgNABjl)PO#k|Qf z(DQdBI(Uq_ohknEJima*dx*z3{*G15E%y*$>6eHf6+EDz$de?;xTabBvTn;3h8SZM zUfHosyiSkoS2?(lzng4aQid6GZx-%#B=XT43Fy5>YD`+=SY7mTcVrwalSozhM`%MZ ztBF-a*xoI~iA#dO8cNxKDyl(_f9LS4?N=A%qJXPH zeS)45xufKsn)V=Jt7HVlVl5I52=72P0p$aTBp4Gmz{0@vVZ1$stVg0N0e%1;IrCKc zvcv7jxK58|JXr*l^ehOaL9gV35}3dBhqsFhTl3`z{LTPw3q0UbeG?}`8u z!NvH3^Jl)*tp!4gp~P?Pl9y%7cKbcLNjU zE>iADm>JNCx-Iv`Bg!15D*KO;)G*|u&`@-Zj9R0ZRDeV$V2*A&bNFp*ah0VnyK!Hz zWLsgu07)Lf518iDgd+pspIpw#DbKrlg2dsBKs3;Tckmt5XU5?z@UA(9{&7b!aI>pY zVm&5?5}phf*Yw=heSs~2fdZ_ZKj@F;vlt@W5u-jx;b&-5K zcz39%Iesc%>GS~4&q#b+6yid;aj>yL>;Vd5k{*e&MTzp^vd*?9WO?^ULzs^fnj}8L zm$in1j1yP<3lwY9%j_!$fGZz#d658P(JKr+B%yD_*-hjJBoU_JKo-RHOvDp|)gy(N z@}DO)y?SqM26uirHPyd${o{=NQe4Wx{=4%gQ?$$^8m7`%^_io~q5jB#&r4jcVb%pr zei7QVrIkcmcojwcf*R`w!>C^@#mPwRyGUmsbc zuoqG<`AR8gev)o$_I)9U+r| z9Zhr8y}i9j@H*qJdL&|VTLt&K4$Rtz(?QmD+}Dbb=S4GCy;X&$83O-2G==1s7i^Bn_);- zeK@T-x<01Z%Ss8l;>}lBMFf?E*cj4&e5x~bsbF2rE)luea&mU`0uq*Cz%n?`2523T z8)w$-yDq|j;XEr_HmsrH-h1>sG%J8Vp;aM+xKZ%yI6L#Ru&{{9+UU$ZB1bPs4wN6j zj*0$(gkP$azLg97Vhb$Pu8+rtJCe^K1@__ML7!n``qKgp^~vh={4Vq8&f5Uf*C`&rd9FUR()^h5~5WsGOYte{h0z?oAwH8qtFp-u%r z@1+2H`*($}LL>R^N^>#}z;tv|Ku@lt>b;z_^lSod^4~pjW=Q4g`8Q|6lr6vot(PZ` zq3xhEF-0z;{JKVPPAn&+40Fy`T z{25_v=A<}?4tEIh-#nYap~`FU<%yEL;_L||@sY9cXOVdSFNqpLZe(hj9qW4j{0Xtv z5w#QqPA$mGzwwb9mh4@S0UW-vrfUvqL#FF$e?x}>8_rsZj!2Z%Dk0n$yg)^{d$gZr z-MV#`1oRviT6aHEKSa|O@ zGGj}Z5Y1x%W1k2m9L<$Pr`Z+;_Mg+e`%xiKC}dod6sP4^Xhi-ciohxj-7jeWb1Z%5 zS6A^4u9uYTXQu5fhJ2X{lSFj|%%4aCt@hY+-4#*``XHTD0wv|E3+(2~ewjd1U$K=kux*kt&I@AzV z%ZLC=9MA7RXRAR2Xk0UW_BF7JP71Rcx0Zzs7EnxG>{_ziKlE5~u5Vw-$j8t3#i)591IxJ*q!Rgg=5O1y6f9d6HWeIug~ zeYRGYutfukQFj*B9=lySmZE~po1HfiLg0fTKv7NK&m+5l>4OjD)NaOZ#`qF?{&CmB zSD6l`rr&LGKwD|({zQcyg9p~NF7o)9c^7Q-diVpaF=6o{^NCdEDps{q*`0ga4@o`J zHcRDw>|~dInu7C$ouYsdA*VESZ7^{c6<3>x`x`{cP#=nB30wAfG1?1bkT}=V{+-V`=hgqszt4WrE?LWWJ;Qxp_cg4>_ge`T0U{7|)D;vIjzGC)K5(ZT zFg@~%l7Zn~rZM(-EPM=c3HvM)jw_cxMlu2(2+zPUL&}p&jO5|O(X5=DU789C<;v00 zo^&_lqXC|QLP>-&I45j+ zg_WGjxM*~TOwfgdH&4JBb^v#}t)>VXCZcoD5(k4+-5=_?yvZpHjNozGIc&WOO2|j% zHpFTN?}pNZo&9|H$flJgL) zC^?#klF`#=gdEhaQp~z82Z1B-Nyp3`F9oGoN%@GN18!Qd;9H)NjCROEesELXW7?+N ze~d{7ct>!wbd}5?{dCrUu}#}hi`y(;=tC>tPbeS&;^8^FWT_#l*I_>*{|3*u!<@21 zK)C}vR5Z>-aNhRmMc@k?VAm(lc}3Ntf%)_zgt+9%b2KINHY9adNuEiaf{ZlpPPOL?EI>Q9yi9L~DIutUS zVIHH@IWpNGo?y6_a+1_}U|-DKq%m{>$pZ+m&!E=x zH{IG}x_wgR&vywno#^sSWQu^GeGW{?wFiJkzKpCk-zuj;Z5P$ zk3X`)%%ulj2GHy#qts%>K1Q;o8uc9edu4bcV0Ib_v*q*x8l%*p@ab^-vLa5#;L`Fy z@u}sM3Z(NWF$T;*lfkW0$3`Iv4+NYE!*)!mJ%+DO0IlWuwuy)h6+PVL&vWupQ&p6uq}=l$S8J>KT7q4qBlBxk z7%jk&+?~U4^*9PvsJ$Gcs?`EbMaSpi`IH%5Yk`w+mqx3)X8ro**wMp>Ycf4o1lO%Z zebR)Uyold9;#L%^DQD=ZI0aO}d{c0-l%jH!jiO7sx=V0d09fF}{ulc9Ld)&Ew_re8 zDH=8oHr%BcQ#Ggf;Th92TGoRD&_4mAa=vqzF8l$S`}3kdsI9{jg}`#Qo5)E7gNeYy zw2>H}i6AgDGfj540}WUxuGf-t5P^pOW2IAWa3<4(4oO-+pk4Pr4Ra(-t2k*x<+ zL`c?9^Vk$vaYW+3$yb6qN#QI4W$;xeJRQ*nfMA6ce;gHwcBs`PrFQbg?j5#*30Sj| z@XCmFZcUV-1DXryHrHgKz|u7Z1}rF_ZRfa88UQu)>b-e&pS@>O)s>u-Pk@PZhUYjv zCjbPjfv!({OXQrZpcd+GaDqYZS{CR26qX@x;AtMMn(z1S?ro=(rE%`h{6#5Rk#l3k z;ix_Uwzgj=M_l11`)$h(GD8D>UR>ea#knDo(MbnLMK4+D%1eu~=V*;@JpzMJ!`7k? z=&%$a?RCuTJb1uf_sc4QrSZVK^t%tkeS69dxK%KNIsFA#V`q5hel=14^o3$JeHwie z&>%6nrJbHoukA88wkB2!O_&8osF>M&nlaw?WNr98%wz? zxo^l)=8mw3U}YD(Xa1ENSxQ}{=x+-*=Q-kh-$D7BbjWi0Zb5+?XXnIb-)Cj|G{z=# zdOGf~a@lAJzguEhA61G+OKI)Qm+!Kt6P?&+UyTOarCm(HMOJLJdCkymuOHSk#aS*~ z4}RfdG@w6Qr)EBtpsNW~_bfsMO-$~DQd`egG++y8fa+i+&??x~aOu*ejj^e8B-zJr zm;T`!78YioQ{p=^Ije+jWpTk7gg)zY%*0Vuol3i%x6*AA9eUIm%zbkFV}o78N&*b# z-g=kLhgG@xSy@vaV1s2rvzo%oej=gdGiQwCb=rKPb(LZ#a-YAAPRt~u?EF}a`~L}q zhRR6!YQYYvmodo^8(}l({`oRCHg*8J&ChjNj zKS8iAYRiJ_*T0_yL2kdF-?a_O%AK%-J`2-{yPx*b!3FXJuSazM-o0jSBKt~Fm0eXV zWNm+No~jBC(v=4BWt6Eld$U>_L*YT(cm_bk!`|kGy3y$H_+^tjd$K}7E6=9 z6vIg&?xom_c*o=trW61iy<9$n6Q6;N?yq%)OP18WxW^T2jX09HLDg8ZaigOS=Q^0K z$Z#01UXG))?EE9bWt<)#M0W_Lefh2xrKl(^mo96^rgg{o|5JDAG7>sL1Rx|z$*m?+ z*CEkxwa;`m2;juLPc{2}a2)}E^~RNM!>L?t_tjWpaSVl)d5*y5fNMaOu}q+)0yqtS ztK&OMw?ge|YWp-{7!5$kr^0xm0(gE6eNV#=W75YsM-%!dG|DNu~y{aUs*LibpN zT~{2qXRfC({f#81)cjcAXn-9G`=GnKcce$KQ~^JO?`;Y31vLyu#-_?WoKU&bG2(dx zx0EmEZh;18>DHb zY`RptogU$^D-oy(Ua!HDrq+Rr39zz%JHm!@^YSA1L`0dS>2ch)qsI2K>esH((a|}< zEHe+Tb6)0ljmGFQdzCLNB&39htOiTgB#+58!GOvcHFrJkfi_(3>i}E;@B0f* zTvO~=30si)s$Ex)Vgr>W$Z|+^Wv|C}7F!W_sUzS`8|V{{hbNA4yIJ3Wci4Hz*uZLG z8A=~qS=ue9bz!H}x^?D|Fs(WU4+GE6kHh+CMZcgX@C*$6;Va&DLH(z3n)Erd5_TwH zZ@DJN1L<~oxBs>SG}*-Y+u+n4uWKA>()}-XA7Z0`H(z2<*}Fir!6;j;G>K#ZHyE~h zECA4sDzCnVdeNKJn5;m+2;FIUY25!<4G({`FZ!`HeQwckV2Vs^nco1i-Og(sf_RBu z{s}^_m6!`%dm1MdHY=)=Fqvhov4P4_0FTzoJ*H|A9w>4zZ|{b4rK)Rrz!1M6vxxZ; ztt^o$JXK4Ar~+=@u8I1qem+L8d zkSRZrySN|>$vbBI6q08}jJ)s^1b?U`%x9iGdzS2OXiNy z9^in`*fMBO?O}9SV5WQ)rSN+)w!;jbM5`gWy8y^PF~NbMz{v(~TC?>Pj*y#+&y|Nt zERAm|@V;~6@jkJ~6A{@OqZOtHjpTFyW}d?Shy{RW zZ{Z@g4UFF+m}|Vza!ekC)t@H3WGw2%Q}8gtL-U6`+(g*nFiEn_FgGE7!-c#O=?SYv z1BnAMX6F@e#zy*pGLYHimrJAQ#Ezp6JaQa-hl9^9+wOSGiTxgckXuw|d~9s38EX&K z_Q!E4&#B34;>aExFS9Meh6~A4uGu~XbKtjk)W7~3==Xx!omgB02w6z7!@NEyBaw)UlElp=>0W#GeAi;*A+v$K&7y8 z!Bw+7nS{#J9q6r(Up%Cd=2x!=X%Ktbzuzu$rr5_GrL5eXu;7} zVd#R5M(@Af-x!OLCaQ2=$|q2&PY!dMq@|*6g`}V#7pp_12b-c$$HuXdR?AF>lJ3vM zk^yeH$fm(ogLgmXd_(~kz4{wwuvKRFaKIBe!sU20pSXY*qc03{8ML%1OAgdncDX|k znRK91;A~^ya9)cB=iR3{YavWesT^NVyDHn=+(r}FBpN=pCm}8urOZl5ITo{M2TOP! z^=2@=DAHTDG~PFSq;lGjgGI{FRxX}rMe}llJbeBTg|CEK5SFnGZ{1XWqC_vCU3QHK zV;Pe8Do>-GvvY8mHdo8l;7j-_sv8lawpxEs{)1JLLD!yBs(<+87_Uoh3cY}_ds5DF zNOj6h}Ym%OvN)sDEV>i1QL0>vyliZC%c z&i@mGcc#XV4yznvHQ3>A?;Nong}2>F%ZOzOuMR9_ zhWrSWtL$oB&l`ZAI7y(5>+%U$RUE*0Z`;hDc{^MtDS`q5r-SO==I=u!4=Jc-To|9FkAh9%n9&)A5ZY0}Oh+r?uGm-sWy+^P-f;$a&lD0WUhS4 zUk38x8F$G@GaE!!Zi*YGjMJZrQY>w3SQ$)oAw66N^JokMTt@JTTlowUVEh>j78UKi z(Aj_bx0t&i;vIqt&943v)O+xjl#EQV!B}~KCm#0UysH4Uu@9K z))r6(`Y&gl{Eq2F5D0wiF@y%Es`b}s>&#E6;evtqW%!<5FyfHH2;(K<@W|64mj|F3 zBOID-0T2%2XTaaeNPsPaausv5v8f`|s`z6Py@!c<`&)7Q*q7_&-|arhEaRJUAq1Et zoEkM;Y0@Ud^t=oH7x2#%!;IVVcLi=o8?V*r)I7|?`mvW$kmc6bP)OG zQ|Tazoi+%2dV?^u*-enXT;v*R~-0+4TA(9+U?WlfL__8=#EYOF1K#2$y<@q zX1%gCv3v{*{sdm93;XL#*N@#Xk`BN>jBy>Ypr^t~n6=epZ)?CCAAq#ZGyyKpy?Z0l z&YU4x0Kc-Ny|1Zq&RyVh1{uIO`=_nD?B>mNKFID~!=xn(v-YPw2EAFRq_ngVjn*VQ z4-D`6Gha@*vtA!^*Lr9()Ithk)jE&`HR)#2qG-D04Yy4X9pgcjh)BM2L(R zr8G5#F_Q$e?NJ$B;!PZhv6-4t>0QSa0xedc@X&$~h-p`MmoMFk*wkXtW`C?sG*-l{ z?7!qMjD=oSd2$y>$D-Q1e3P@@G=U327x(;bwG2=6ga2UN3rWU{K#QNAj&>b;@4WS! zJc2SxTiF6SwH?}CIZ8F_6Zj?%cmoimxWTD|#M@BbV_g42qb5V#F%!hHuIl|3dUBn! zV0SEH^q_Z{luuu?c<7}qnE}4RFx#gl7j57!^Y@`(#rWLbF@S>!MJBQ%a7Yoq4cLi+ zssM@}Fve(5BlqBXora}BCHNPLt_|YEa%_HX!UCo<*opv8-4V8>75YQ^9Vi2;L669h z^DOXbmEs^)>X#abCqUGL^V=noBMN$)O!GAu-JmQDK!y3dL$w_M6QXbDtz2ZgTEM!> zAtj=1{sM%eh2j@8KDkOj0A{#x5DTa*UbD;U3mqUyLI}%mpVeO`0qyBgW0!Grb9V^r zOh57|V+HrI$nRY<>hkFzd5mJyy+Wl*a&@FnB|qq(@Vw9YY28Y+4;n`ePYti^#evA(B4q`_85=+7Yh`KSHy18#f&obf?c4~al#Y%X~FSb&-ZpQjkE#=Sh0<;o?)T{pQ&foZ*lLrqdtk|OD+QW z@{NkGO(etsH~`P&=l>1B1Po@?QRWi#SiKhUXEukoAy=PPU~(fq;bK; z)n?%jXi*-pQ^a)-*ybgYKZpx^jm|EOX^6J1^2KoU0GnhG?&gmV4~fTuM8x&l9E{DU z;f=84@u;Dk0w!_=Tw#{YY%rC&#?TsGExKv<{|<5U|M`hd(&o-=H{?BnHRtu>%B!gM z!3ms%zuLIgrb%B=w<+Z_XyuZDkhlverK7TRfnk?ZmVDes^cI{T79b`q<6&L&8;h}1 zu|s0Jsp*$I_=LltX5|_VgT)NyP5}9J$8=YT#65(~RU5c(e#=>p!}xQzNL=a~|z9$9~u5wy9&j-edy>Q`Fe%iKk`pTVLXY7aX7%Ay5* zs0h18gAcJ@r$pJG%Ld`jn8MAhbPcRLeb+g2=wO=kL2mXFTOfW;LOWQl*a2zuJ2U#T zzN#6c)N|)*VKcH2-Rg0B?YebWUq-p&x)r7DpbK!apE!>%yb}BEdRLlNl_G@FV-O*Q zuQ8%8p-A%?Xc=&{s63J|Nqe@Z5N7k^KpB@kRjW^OKeq(zKw75fszuiaP)yVt(&w~) z{ZSw@{c=!;)X)NMujt8=(X!!i%v?bH6V!Td1bEmrmm6qwROR9j@-{d%dsneTj3rmE zl0ywBU7wwe%}@0f6>t;}?GuSZ2G7J>zoSz)XT7^dAZ%(Hn~M549wG!QTO9!-^$%L# z_T`j3zUStj-7_)@h-l_m!ZKU!?@3SyuWD0ykMC+W2C+ z$4MUNgaE5MVDCn9PQU5#Xqd;*$ zrbR2i7^N(_V92xpA|q=c7mWo1R|Kkxqe)r;bHNa@i6r-yKs=Q_2b@qsRF z89;&XpaP|fophPdix`{|y*W4;_S|6s^xw=)&Pfg19LI_Q?TnlxK5tCGu_SnWJPLVe zFYnZZh!Bib1?(NLvM1-a)t_emT5ZAy%K~)c1un}N_NIsRSB3Mz>}a;`luI|Nj_mz; z`k=^~o;pilCM%DI`y++12Mzg^wtYr>iG01+YiAwRJIfT}9qJNmPKMNRoiu>|Px2-S zeNNuH&>=s28vD}M$l^zWRg547gY_8DV~boHBQKlt!lDp{D<@qlls)a!tz2~9U4x>u z?6s7XVYR}L%U|P@FXa__-D*(%i4i9-;sb)>xC@Ev#9bfgGrM^=VyC1LCx-_5#Z@sh z@UbX4`a!?BPxJ-%`7O}w3=D|Cx1K#2oUV7aK{!;u)ebJLnfpeHH+;o={E+uh=Z!zw z&{^gpik|%*ujZR*(y1W_BYJhME;yo??W`j{_2|3*1_FXzS@u!L5&b>T8#2<~XlZ3| zxG0YvOGUVNW4gU{Xi$w}2MU^6cy&>X)XXd_+F&pdPwdTxp9~DF22Fdu(~c#PYc0^0 zgL9D!PxU1If2xLWTChF`05i{$_VW}tL8LYbt28hXpbIQRqmYccH^?z_C^WAz!TlV% z_&ION9kX1mF7Bo!ZhIuDFUfuZjLO!OxgBnr|NJ8QlW8{;|h~7&(UX zTA0K?CXEH%Mz?$beXDU!NqxoLAExdR;fgVmPoc45(+k(mYeN6}B>U?W3@_IM@=@p- z3S3UP4UPG)>JH$>{5seqI>nHY_PT`|9WxHzRVIEAJ(@2gp;^Nyd7EDIbD~jJE9ai1{#|IOT z(M3qaIyR{P$47leRm85D!2EB{&g>vuWsr6&VV6v4!f>SvUuD%#Ob}4VZiG2!f$q_^ zl}FvcpMzZ{+a@MIkurWU=As=72nq!E~#1 zc<--u9eMWz8b${UWgi$=(=ZJC4xb);Q13H$``wG>VY!~at~bNAYB?_ zGy;n5^?*U+RF7M4&RIB|mgFGZ%E;;dC8WTKrD81ot1G(wX? zserNWT_oJ&=?UV`Nf-~z-Yz=Tzx8Th1M1Io%f*Z5P~sz9n+-1 zJBMA06%j$Pq61cVBLCa$32O{b8wNh(fK2w_W&aM8;0+?|8nGPoq!6&`FvKRYJcQ(h zQ5;cCF!v0jgIW^bLfWZ-ezZ)nR7xRPs#a?q`JBwN_`Sq1eZr%iU>kD zQG_HnH#cS-K*TL*CkQxfhBpm`7$8az<9Ko<#Zn3J4%05{pj%*KGs*Gnrj6(UY(Z{d zolxa~fajC;23RRSa&oQs4|J>X#<{1SIa4Sro;-B3*AE%SHpBf2EB?R43Oaf4s(uJ( zl*{`)J^x7b*(DT!lGlrq`R6S}hbN*R zt)+(LOp_BIyO*2^1QI^~IF9h?lJ+FaRw&5JH~(9_WBsw&!{j*tJ}1Xjw%`gSb;XVW z@cmwb$OwoT7lE*OoE>x3U*hP?8{Yh=#32fT41I_6!@VNxfCMe~+&ZuB8wY!CJDr+h z27i@1WF1<^W|4nTb{x}`46V`nUA4Q|_%Can&;bTuI}n;v0`=E(tuQ#hFnJWryRoSN z1I9y+YhV!LvxleUJ$Rb{TZth!M#y14$|ih)fq{}Hd{}eraqdVMhV@p$V-szp#o^dN zu>b}V8q>cNEcZPkXuX61Y2s4CDd@wwd7Zp`Oz&viTfg|?(-&*(MeXa{_$J>I8U=nB zQ&X;Vsx_R3?hOS>L5?%|@f5~`)}>VX-F}fcdapqt6))bT!b4u%fWs@tSYQA69hRhc zcM%(qKGe*&rb!cKr-0EV&&x%}n8BWEqV!@@!6Ol;>m$GJzpCiObq|K29uO%J9Vl=G zGztueY*wLd0yuSH3#>;Q7Ush-f0LG#1%>|ja3~D9tSA4y=)KFlEOOvJCpy^0Bp;s0 z?Z~Gn_(g$>9(Xpi-i7E4Kwwh9Tf}3*MByYRwgu1$;GsyyzDM~<%;9hXgGc^MT~84L zEckMf&zHt%Mt5`y=P}_#qvj$8^oWkT(vUnH3S~GyiWb0|#1j$kS=wY#M-@5WQ5b;S zhBdMTs<+8Q(y`GBN~fZM&$s~_MZQYx<9%B(1n2?_8@Py@O4+>bH5;XPH)dhs_*j5v zik_(G0$r)bEiEU9K|Jc$tXWL*XwZ<``4nD+IX@I;BybAvk{B!!8&^cpWnKOkp8)ys zU?sH&cGd*-CwCR(KT&f(&rla3@bykMu2$8)4R;=@K~zz)A<~+M0v;Un&QsM@26h#7}23& z#K-C)(UqhhS}aib;q4hl(D6(8s4_CHAI$W;SEY!2@FAwe+YlU{u^CqJ_NrK0F(P$q zOkbM+bb0Wu{AGaFi(sbMIK!n}k$KEhhUIJ2y=xw~1!5AwS%(0Ow3;`3o^z2K71uS^ z^`$YWu}S*l1611FxB$uK)_mi?H9N={YZVVFj%aOw7@X5QlqY}Sf-?NoCo%dI%Im{9|pF4RNysN>3Ujw=k|MXSy55Z4B*H9Q*T>?#)&Z@D7u^wf;?N) z0i+tn`Xu+TVS0d@c(&r!QmTAeL?fniI8sS@0qzJz@2WUo9mhiHDL=!awO@wn*Ba3Q zeE8kkt<$y6_c&N@M1%a75P95c)Doh32BY0++Q(@I27!8#mIEZmY-xPw9myh1`u1#A%ubCN8|mCBW^z9`&}(p%xS^n=ope8hi_Z) zgMv>^4)6Xzm4F>TD*VSxBBk`rM%7JQfNmZUPjpcb;JVbqIG89S|LA^XFi_zyngxZ} zF^38{@$l_8;y}YBu8CA*Fu)>&QQTBy7mLIZ>GN}+lOR}Mk@f&fH1AIIhQH$kFtxCL zNtz2PpYJ4A4@Xc4=7!KfQ{c6V~liSQ8KvbLiE` z4~c9T#)&0~6Yn&9U-10R2trK6sM;s__dZJ>cGdovR*wDPHCN}vNEN3s!NCHSi`hgg z5p}~&mSS062?ierYEpQe(t{#rB6Num3xp(MR)$2v^04wF$P6PPhrpR4?JP`^+K&sR z6%_^?Df;{TC52a?!=2P-gHx0Ua8C)FF%9dDK{h&0!gavG@sw@Z>nH?>Hk~6aH}^EC zc12kDq?-!K>(SnEjZfg-2RA%_FzEVP{&rTu-Co(@5*f_CGh#gaTBx>r26Bd6}3)EK)kVAvGUOp5#vvCOha(L z7y@p`O!TcgIORn%g#R;lDu-Lqx`Ezy57hN%5r~zDmkp4@T-QzI+#WSiF=9q^Wzq&U zW&MM_sz?597E*h%1i^riRet>NK@D%-)tl`i4_-yR4@TxuHyMZ0(>f9dwNR;oG}4dz z4GLuF>Eb|y=C72Mm1W|_2V@oV)^ABil~3e~r1B*web7#P1v{#=^;Sl_>^Uzl8ws_0 zhFb@@J{Yj_3mj5>0b{+To)?lcjBW%jY76j@S#e+bP;q+Ioxk=ym|nR0du&Ql5QAk@ zR!7Z6{7{0sYOlf<66rjf==^4CN~{Pl)|0$mj!}CL=n!^8NAME|p_9>j`~p8xQ5Oe5 zD&QT54((oEu~DG+HD<_vh8V9!(Q#%-p{D6>EiNjQ{*vM8cr{MCqe>_&D8r*>7tX|= zW?-L`{GT^|<6;F)1b8hgCn)EKX)rT{Jro||W2rg7>=?4D?pu=1kQB9FYwFmwY9L=3 z54!fz;CTA(e{HrFX`iwZ&81d-b@Je3{Eia4`U^{dp8jubqP%=&!OIs7%H=t`LLqPf zmvZX&b5r%M%f4Wx;p{4MyIN|uDxzq7_oE2#UYXZjEZ&ypR1 zvD6<9Q0?w~a4Vm;RcuJ^R_`&b_3P*`s#%$D-li#QxB$f1>MmKJrr-N-`0J2 z3kdP8pMBF!)V8Jdjcoyn?mGIe{&(}V?(hKgt6qC+cJ&R1HYjvXufJG|+_K3`FJ+*~EzaE$qzc&6)eO1#arx-2yWL7Gcuai~K<2A$RE`%{}; z!A$HuUh;V}l013zDqiKV&)?L{9-iSBFuFPwqTSbrIh(NUw|)4@-a2HC3N4MVDE7|j zJ}}y7{_KHP@@6HIe|P0~PHjz*&`~m3kptZD2$E^)q)jH}7z66Ne;+F1Xw=Oqnc_3Z zd;`pS_rPx(0aBloY5!e;g8vj#@wWfx*EMajyNiAlu>_&h`i$NXC zgc`VRuvZ-mK>U9WNDsENC%J`Rm_t2+EFMVrOvKxcGjHVA8Lx+t6qRE;Ps@Q{zyI)q z=Blx!p>yVX;81(`kxg&6J7yk&fhh<&&^)yr1tYJaP2lbCogwRsg5vlEnuIsoqv z;;w~A_J(4|_*=F4t*}=xIF;}Ds-Ds?h6gk?eoUV6-$8$4WqRBL!GBsgWO0bpzw)Sz z*rS?_SP4J8C91(do%dWkhBF{mp@wGCAP-L-eQ~vSWc-hOGd#jn4{Gej8I2k))zh(B zGl|b|^zmL*@ap)mT0OwgeU~_PRKu>q1Ra z9`-TveLqh}{@vawf#RB72Q*6YCcIqCMCth2j;gK`@t$xA{fykO5r>GzpucN1BYubM zIS3{8iIlAGs5$_><{97<6E+d~4x|BENkM>>(hp!=J{!)&8S@CspQEky=sj(`=SWsZ zacG8DE`O#c?9+k0ZN7n$#Cou|^8s4fJX4GZmkWMWQ+opN2CS36dbP<~+)=`9Q*w!@ zmPRLTg~4 zJwbsu${3Soi^1P>*2n;}-7g~hYGmE#OAkpl%xcOnaBxk`&95HPIG?252@`~s%Z<8D z4m4^yR`l3I$AzLdvT=(xinDqdrINycGfri0RalirA!%4pR#vv)-=QXd+NA;ns7J6i zOd5kr&)oE{Dp-!xtZdS)cgg4DU>!IQI3||5=)W6(1hDzRywH!?{t@{hSg?~39v%aA zISx!ZKDZcky!cesfCuzPv7ufYPEU?liG5nh8&DNY@8eD4qZ*{9r;`|7Ww?3F`bYeJ z_4mGNZ!uz9fMoHBG5y((`}Yl(w5X`xbs2krYGsT=subp8_otRnO1ob{N#%*auOM-I z=(u-BYB!N(a2{IX>Mvd>kQqTOrOctA~k4qNiLfCc&Dp87WBaq+T{vZ z^xWr5npjQcKn$C7ka*7qSaa-kZ0Pl=@$pD_5W1ZAEo@SH)cxMnI!AeDGiYNr-~fQ?~+MVf}Rg$rmlshhE z4jxbhOoow++&N&QK05`?)o$N8BaNQ=l-;3#C({{%7R zTOAOBz6r=N*8cOWFbL#4 z>C)coJ10;VAqLi(8p{sFiNDuwBd|P#X>F47ko^^ zdtxNh=a)NSB;%-++>MG*_=L`ULbbo{F?BW50-IZxPVr__&XGzl;*94C0i*1xvA#p6 z4=E&~7hFNHxt$te25bzlWGlF9Nzb0y5RVPu*LWv1->c;TlhFAjO%LO?zqS+uVwUeJ zMs(m^dnUt<`IdHP=Ulk(XAZ4$hqwx>{`GFPKXHMKnblGsrlw5{sjNkqtKxn_hF)g2 zS&2I3j_1C88W`w|$T4=6^o(S6snwfPS$z|PT}7@8-1JU3Wcl9H(O8oDkERHZF_HsL zX;=!h(!OO4)!S_?BEdJH4XK&C!p-79ocm40x3m$uXzSGTr?qcrYp;lkX0e&1DedEB z76zEe(P;0XSM9XVE%Fvc%n;m}2t$JCnSlijfC119dk-*UsSA8b`xcMmKegvAl}kxaO!s=3Rz>0Y+u#vO+XcQW3IQS<;*-M zO`lqYP{yL6(z3f$gV%VWgO1-CaD9TWgIXH(n2^+mZy@lrLG>-~B(oplqS1}Xz38cG zu8Sv4z)ZXl@~0w{=h`d!?1Z3S%7GpD5@A8XR!whQ)?v*8-&~G~dY3~dFiE;leg9uPvIx>S3JHl21!gcE2~t0JNim{N%GVF(=SpF*AZ>2T{A0FGir-SIALWF4r0 zWb{`1x#TKb~Uu?rwc ztt;)`X!f}d7pxj|`4~e0&BRfF0|AQ(daMvg&*_yt!mRGBofDs{6d^q?@ZKbnVEArx zz`*@7SQlLgmo2A&uyys}-aG3=cy1zErnlW|fhH&Sc^oc;+SxeA&PZ~AJNUYF;_f;D zE+e=HZY_K|#Aj9lvpI`$0n1C?533HWGm&3;u%$}zKOgfICTX&K27YjfUnV3ZtU~0ew%&X8!m#Gt(R(4(AsDw$hx~jBiFBL}pgMvQebhm3WH+A^{v8;`~*;qiX8M zfphNXsu|Uu&Le?Vuh`E1XqaLI>IMrQQ_hWd#@fsqe%^@?9Md-wFMTs7>ocdOoIeK( zc5IP5_kUSn9qDn72MS5S9bj6vsbKCVY@dh`C{O}I(gH&iZ1`Gc!`h_8ZGW3pzxMpe zC&$64Lvs8KO3%gs@g5yqWft&W5 z+uZ)k92n|@L#_eWo@KCSNpPtcLyKMqH|%w=SCFcdz|=n?6LRRrf`a!(uvPiX!@@D8 zgV~@iBP4#`yMa-ajT)5!|mNr0q z;MIhx2e1jjr$h~psSKHx;m?_k+n`FB`duW`@!Xdf6Pn4QHk$r3?-ip#H9%<%%5qac z?j3ijSUCORZwbx{jUiSntRaA$5qi8^^{e;eA?4?3Qx8B$GYmHY7oCBe13h5#0gbGgyu+18MG9hHeVz;hjoV7>QQ0wAV&U{4 zFNBN>oI+)2T42EQEJ+y6Raia7-(mF)X5kYv5%0Bj>ZHOmE=~I0nDXZ5!sgxJ2#s5~ z+R0bD@of(Nt_|5!$6e)dssW;$;U7m_>@Wf2#?gR{N5DuVT%P=DTG|b-yew~fu<6mT z!BYrm(N;QrIYbvgD^I{PBweH$#$(yR$aF9oHBFO-u_2s!^(3hi*P^y|tAWH7`sc;G z$-K!ufJttk_mbGl`KiW*LL9yLF^a!&Qh@ikBMT`6dBN+UW+IH}|NQlUZa!bH5_x(} zER@smXrmgbB(6;4kOH&XxQKqt`Db1mU(KbeZ@$kowiZ$s9gp_u^IW;>{#N4ZU|+Ff zWp&X)^1@yFO$3JsI1+SkO&7R^Wr(NRVuvu&608Po%6S&Go!ht9-YhHoBrSC@30LBP zYZlyrpi=3?;QkByiQ{R~58+WqOa~&N4~Ask9viJF@U;QFO?Fe(io|Wi-tPQMe&u9c zbPU&AV{+sCD_HW_@O4i3;;Ji658F_sn25)+h>9`^x=!sObZodr7Vuyvt#{7t&Gbw} zC0@^@T|;1SA}LsL3c{7bIym@O%J^7u;c{RctHhEX=yw@ihKGFLSSQQ(LdA};wt1KT z<8(QOQ@~I-9A(kNG?{Z-qI3bG+j}fVTSkPZ5`>T%7^n){MOfdB!_%#tdp+}j7A{Z* zyq}7|S@C*(Lk{*k7){_0;;}7B!-_eMA>`mNZ6S!`)bDYaU+#eh0vw0yvljxz?d${>p4Etgs_(oM$zqE<~m~8{F!v>gy|JsRfrL*I2Z}9`NYf&PKELP zLA19U{B5+3d>Ok`g?;>~_m;9m$a@xG?1a;S2hreD0S=g51$6A|VLME|4?bZ+yu(Cb z35a*F(;yRBy+>1ga5C*j){qfEmG6f_*V)65|ipnO@@B<%#W1 z>?KL+E=WLR({;XHaI$3dyuyU>x^IsXVRB&b!PZgrMF)$O%y)=E5biy~(nWh=JN)!h zpNeO@08S$cg>Ylw=8|!4zhkD6ySo(O?pv)+>GCqfNT&;2W3U)FkSY%*v%}2;jtP;T zpuO{w{4P*wz+DnBa_?_G37vxs_|q^L#9Few_9?vhzp0((e%Fn8ComJcn)BS}0?+%% z3p?B7#A@=fbP`doZXWzyG@Y(vThX%xhO;fPRFjIHAW$}QX^d(Z(me!D@0hv5Dq@i^ z&q;%=ln<&r8(cFzC0fs>d<6>J@#v8g0!^!>I1E&!J}4v)`Y4=r=ZNNsF7?j&sHMaQ zUO8?%ItnYb6ZiagOHxz4ay~j_@`451hFyN^VoAvh%z9j{1w28uNI^3F z^-G_crD09ywT?>wUg^YfFG5%l z25ux(kR-ijM0~#&sKWx&Q*>xyPt51Hn0N}AVa>W6o3thQ@e~zM zX+SQjdiFUYz09EsE0JfFt~fX- zd_Saz7hex!okYAzjCP5o0HKM%S(QT3o%_D^NRX&tY+m@cjR$(|#4l-sHAX!DP-74) z&5oKC@My)s<~kX}*%kmYj6U(&E4Nn$o?9&&loY2i`MG-uRGh7l>e!^5=EeX3-anwa z58#30N`m!{I^Z$e&9L8`#x#39HhRHyDnB80&2B{fCA4l=EQC#ycd+V#KJs3%D-T-< z>wXOT2W}7NS^sV=>KP%YGRgT0feCr#-iK+^N}g4a%V1aY!mFVHK`^*w~|x5VcEXIjhvk;h8hX^(2)yYzQ)o2$zZBW9?s=Jh(KjrGFWaiBHEp{)Yyo z3Mbzdwc~eaM1#C?~+=&=HWk8fmmi|@XA?=Ot;yT>0n-7UeYdTW}96AL0uXsf#MEY z!VgzrGsq#yWkjO1DY$@0xEq15zr2=PO`- zq8S*+4O15I2g-1g{$}&#=hZ5@BimVgnn#W+F;+t_!2s=o@C~c?ciOcwFj9sOyqIo& z#hX4Ll3)=ftj%j$6(wNdN!09sLWx@h4qSF(0M9cRmTe!2pP;As^0cvvhDcgCTC;;I!BaRXppLMGX46ynlup))B!1XL)Y`{B>iitCTO(L%IkpbBBW zI8FBKg$vHj*T@6HnFE@aZ5e`ZkzU-?e3F}G==ZUY+52sz_G6?q-M26w4}3#{ym`Xj zJYtrMut&IiIvS{ik|PDwQaC5Q0(K~4fTj>i2eMKsHW^KTb4 z7Si3A*iQJ49uUzIZu@%J=vF5$bcIj-vnB=RJ>O)qlN<1_e8+P`EF_cZaeZoB}Lre73mn|6|-k)JpsSVZF5L;b825Pc}5( zQ~&L4h&7?#x2<a8Zq<8sI4gut~P%_qn zR&zVY49qh~rlY(B+^&&jQ*U2vn$cSnRKoY}rBm8PUq}cdF*Zd*`j_x21)FGl3Qr`*}JhOXTuA zYH!aGn<({ix@TxxmAHD{y3qHgYfS&kJS8!ZHdA+XT8*2FH5Tq2yynv6Vu$ozwz8*#VIE zfegsZZgu3qX}w!;M_O7MsZ6v%!-tQsE-_^Tb$4W$ApuFQ8uLshezeXUJV#rPiI|1YX`BsmEaE2?VyYj#%;Y8K5mIbe2j%bgcxMK z<=x;nxE?m?Lun#!4-8cbg;04=DkRGiRK4>1Y)UqdC6dY z6#`@_)_9+uRw4N-KnbR-ZZLV~^Kwg&d2MGL1@d9CWzLH?RE14m?tH8GC~y zLSro0g1RCRe=9+bH(W6Os0l$9XrLV}S=v2*bC4VLr>Es})1 z^5~o6*v5OBV>v@0A@zTIq~}t_N(7FO$ArHa1h*eHJ}o#{U`#dsCaAL?PS6RVr3)Gm zAqQ=`z`W-+{oG9jSyQ&Q+T0z%W0wu726q{HFLH-xRw#D(bv}9&@ugMRRZ&U#NZu*t zr?b+RiaUljVbX@caY|!fA3+DgQ8+G!0aP%uyFqS;aYQ(SSsU+uP6o9{4}i;^IL09u z2DcToXlFEskvo3A7BGSlOyK#Bq1i1tB{*SUglXrA!8n!|*vZl14<8bejkZ55<(YQAdm7H(5GP2lJXzYlw?)SNT}s;83k8r8)vRZ++K2Hd}y&0B5SL2p0igsBdf=8aa^Dm(%4N!L8#M=@A~DZ$OL+ zX)iAIkek!?{1Cv1Gi=eD$L{j?I3HaJmMwY8|8W%w#|xKIadSL?Hxz?77x3R{S#X(2 z+o!@)Z4F~G8p9Ns_m!((Jiq=hS+7zizq6EUEq3**Z-+PKH&FYfH*fxZPTIr;Ndr9W zw}^4C!T6LTn)4U@2F(or88L>DkfT7WtBgt2Zj9=*#NP=d81BPkqKNQ?kFMYIAQ#HS zM%cF-Y=@IWZ$=v*U0@Z|a2v(joPpij4#_Aw=Y|}E*;6m4)JZr^Fw6QfqJ*z2a_5wE z>i*;7M4t;^a}!(-V{ri^^bP~>?+vVWfMYdUl*@JBAlj+~KM{pI8=N(bbBeE=fK4mel z@ebgIMalF8BaVzxCU@bSQrfU8wsTlvB7KT<=43=h+8N?DpBMH;PJM~RU!Qp>x0=8* zPa#O^s)+9_$*Z=we2d#Y_;2&yBo7o9t-Ch1s6Zc@of9u>`s^CBa5sv3czs7pL((CN z7cz$ZlZ^#YzZb#L+ti65GNmr%V3!wbe}lQV< zkFHAigNv_Fxs)N)7J!@*@K2ghE))8w-po$0b*(;z*hygJq9!%O`$RqHP&hX31Gpm} zSt>hAtxt{9=qJ-??T2P_Fzo!5F~cIc(e8Q**IJ$yH8>@A!?furM~uo|L>+jFxo@-- zFe(Z;t=_7ho$*FW67thXjeZ7{mUa2wdcXA1J&2o1ctB{ z7m$`gI!F@VAS1z~EozTZ%fwknO7W4N3Isq~200>;Z(SL#-0X~ltIuptxDWKM@|j7* zvwD!_E_Jp}b=9h(2+}xj{#J4}LKmkAsBEw&9e@eK@>2@*l}WdhQ_AY`=Nn&(wzLKyrfV_ z)(rSb7^Je(zV&?>;pgLf680w~c2Y8@DVx47k*pvjPAj_GSN89>S77XJ*yVgx zG37!P76~#DwA2mMG#@qgC$&syFI}a38WLt+%a2>3Nx0^`dGftGy7{3ncNk5sK%dph zt$a5ci3Vi}m7uJ9J3&+~IIF}oZ0pq9`0&yKH?6PdU~DSPKXi)}3#Cor$I?-?7zuuH z?Q?D-C0iYX`7W$-%sl9$CRz%EvnZ^r3RoYzG@BTazz}38?go#LlL8MMZ5(KgRlTG8 z;88IY2)%KE_pFdUwE;t&M2zkwPy%NvcCa>;L!xQCoH06k5}HOA#SWqUY$;pQE-r`O zQDRRB4=*B~RmXlV2QrDc%)K>2AU?1g^`cD!rkj0~!?T?3WwE$lBxgO8lW z6^x`S`+c?c0=R}i9xN}t42pi+spV@_!hU)^y0F<^aFX!wfu}d^RlT=&ws-e5iUA+a zQO0+8UV4B|Px8tzbv^;Z=p8yWN-5|B+@M^7w(QF6ood^|U9~EJDWK(ZZNCQCfCYqc z84Z`mYXfiozSjNuI+_DRfyzVp^oZ6O?fgj?j2Rpo#emx%sa3$kCuc1+YQ_g&yb9?* zDQx=kIT-xUbA^To9B~&7fy~!0KEh_SVuJ@rKhmcQKpNhlI-FL8!7M&#=#Zh@Vi8hw zpx}_wJ>M2s*lzMnY~+YZ`c{DGrFPrkF+#k{8fL#JC_2?zuKW#y7NWM=_Y&fS$0SB( zkQf`LTTkIEF)_Ef5)esazA}a=5p9Qnj3@UgJmEoL+TH5NWBi;%iL04rJ({F^m~?AsKJ z_N})Qnu9fbwm5Cy9sLbN#ps?R;-ZEY6()bjE6uauIZBVnlXrj)5pi8aW|>vFZ~#O^ zi1o1719Nol&ymBD@yM3aF{KZ(wzvrUf+;iMv*YTOKFrQt9I$5t(Vy1aby8x?7m@P@~s{yjFG?! zP%PmZG_2sSl*#tSrpkTO!-b!^5Rvnt-_EEG@UH12QZ<|v=xlHOj<5dI(hI8v@FyLs zziM51thr8@lY=8;`*)j!>ulOwR+8)09Y5ZAasT9nAx}<^Lj*`wj)h^sjo*Fcj=C!c znWKMftSkkUj?K5y_nUbjTHQbJzaoK&rD?y;om)m?KTwBoCK}9pyuWYQ$4^{3@Fxg+ zQupQHaBV|fX1nDHzmNz|%QfJnSM=PrZ(2nv=l#*lGcRfbPT{=8p@$3`qA z#K@%*OVCn*5G-$`N=$CWLEgrN!gbRiDdXpb=wF>O{J(>@GauT#5MMxUYGS-MeSt=! zoo^ge17d*0f(RIg9r;#|_^*H0D$Ho`I1HMuDh0@5VF5Ja+RPfp7;HmE@XG z!{mjut5v8>7e#axa$>fnWdPEcyCJK}BoKaQc;7{X@{Z9dWht817dT9@_TvzqGMZi~ zz=*MhNubXm3&`aGOfJf7Q6HBnMBD4%nFsN+6gxym%#Yf3c6K#z{v})TYIwAoL!(1A zved2lQ+4F}g%^b&M+C3a@Qf9w^;t>2=>_@aH=Jp9KM|aW-Ew`QE-6p*o@T1^xu=IO z@H2^mTeh(K(YXjsq0MN&aiAFM?}zXG1pIrg3?~5)(Wx%d#DB zW@CvYqQdC`+{g{!M_*1)X9igYv`Gk3YLQhnzPS>h3qaJeOBVNwC_xctD!7-_xMi zgOfi#?5Sk%jNz0Z384SE^*_N7Ra{*|-*8RN737{*SIj7;l`b5~;$}ueb5Op4Y}^El zQ!sOva<2m<` zMG1Ba{6Z-}N9ZX~2$qCdDNlDFatW||@-gkgrYe%91|9e+j3s!pq0qL%UkdM~js2`t zSozmBm�^z#GqS1&NL1x~+O@7(Q`tLBa|`KG6~}HdZ!#I$F=grY=yXpVOmZ>2BB$87)o zj-d7#fbJEN)G4u-+k7y_1t72FY+IV2i=dZXHt z!IR9#xHN&1+nVicm43DcJ}IhB$Bwy!?$K#0EeK7GdY8BU9_mYmJ^lb?a-vO+m0|=% z21=oyv62lhM9WKf+V8dFQA6$xV~+p7SzyRpu}d`CrHm(2>1ORcR)LS>JR-O3#Z=0V zTTWi&Rjvm5c?=Cn%nEVF*8}=TdIOK)^vm0cc`EsM`2Y~-U3{j2P*1*}I`)JBY z(-TdHp!_KjpRt*FPl7eA+^x*ZN(_lGe%N9yK&k_8&@V%`x3}pi2G~QAq*`G1TZ&HJn{a zW}wxfBdafX$JzsfCZ9BddBZYzEv2XP;F-n5Oj7gKZqdXjiYBtuE(QwqM$iQQO_Nwd zhL*2|F9b8WT!i9GOchBM+(j;#WteyG3;HHuDF=)nXdzlI@oQW%56|RBkQ|otc$0)X zhwkBDnjPG)-iItLk_%~c=nz@QlTUzt;9p`5Sxq7f@-dcb#5ijR$y$)%E1gAM$^raB z{0}}o&#>6cd^Z>CH^7d?OPTmI1TqA(zz)4Av7WRpmIz~jOtv!xaXgKbnWt86&1My%BB>zlT+<4I_)eV|Ma;$Ot1xn?TX$d#|QZxqZc7|L_O*P|dsxLJ|rwZfRLL57;6+N=ZeADu+3F6l<#6Ii*ApLaA*&+}1XAxwR z-7&fsFdr{}*fX^|4ZX6vcFo>EtuO3xyBR+uI1vPZAAh^Ot+n<3pFO6-qwH_)#&A~U7Rh?qNWks4v1H;R~OIY zRwg$jAxdmBxoK?GjBUlMLq(?YKTkCoAJXYD6Dd5lPrF$}n+*o_B$N|y9 zu<0r=&%BSGhp;lR-ZCFl@Qu}7oo~D@>L)PV4+N;e;_6kqI;x}q(=s^uSmh?{H-r2G z!!Se+#W~wM=a;Z?aljlyAa5vbnFRj)q)E}`i)o|%ByKG}dFfZKpga~Oe*p|e!Xf-K zwam@AG3L;VMwwSq|)uO$AsWDaTs;l7aKQ{uD-rW!iP-W`pv zEQS02y>;pUtm%aG+o1E+{nyTe85t#e%EtH@EC)!^W0{4C%#|3jpI2A|}S5u$hvL%`;{Vao&KBYl#C6x$V)1k1tN*7z$gAP{tK!4jmHc^o^yE0b(uIj z1LVd>*f_>0AHagKDZX9y_JK_QbG&a1R?&bQY_W4A4QKZ~67Wn?AaTO;-AK>9x0rHa zl`!b7bB`aZ3kzKLr!$HY2>U82CdLho-4`5F#iJWfpW2C0YU*LfD52$upv{kuj*jk! z@vt9kK+aNdJ3QTDeXFWwV1f^j^a}VO&|wd76G0Hd(WeZjkxRt~wi6=&dlKL{gH^yg zG-ucws3KQ}1>P6WC@`oznE~grHNo}u6(|5|hTT>ooMu%cBO`q_1_sg%-+WoI45bI< zW(61)EU-GB4?m5_q%(=CjaiIvB9@Y2o`Oxnfn9NX6?mS*Fijsd`Y&~0Jp~bN6)-ei z&dyqZ)Oq$>ic(u(c+$0_FSFqIme4EMw12T@Td{}A&~Gbk3>Gh-2zUq$;t5P=XE?h& zd+Q7wd0!INHyeWhPUEW`zAxRRxh?^;lgCY>o1hbjFY+*=AuFIQDSh+h5FqQL`6W!D zUo;FCExvTFszk^5Pu^2WiFT%?1N9a+!7KE^2g z0RS5Z0BFSM1HLC@7DMJ05R@SpDACc%Ny#B*GN8v5W}aS!Ub#fN2+KIsD+jzY%xYXp z{?gcEq9c|$^+^xn@!BV&QJ@%-B{lNn z^5Hmg0Tvm=5>9BJjEHYN8eLMm;KPdI+Jdd!+8aXz-*CXy{DUVF)K$3Ac(%*E$;K)D z8Cls#)0by`L7kE2`4W}230(4@LJ?jILQy<9B^Z>nGtq+ED+|pB%%Ia99Ii` z9z>mfV44~%lYyv{lvN91tC zMt2cA3uuE!1JF{E{6D%zY0_&^K48ZR&Wsie@`#Td>IeMTA)rJv%T2PS;W~s4h5*mn z3EqsJ6BVz;{Aw!r+65F>qOXH?MGr&--wrX7!|R0KOnTQj?V4f5Zn9) zTx&>aV7GMHaTl!O=RE4H`F_tY>f?p=hgILq0yg_xvf}*UF7?)m73Xtw5UePyeXSrb zhsg&~1~KQe<-|6@-R+=`&S@Dei&VE=-6zAv4ED3niFiqN#KyyEh@Wbpe@7wikp4L6 zx~U@(dHdw04OuzCtBmlVszcodGBe%*EW>b1z^usOMqWpf-(s7lBfB1xKuOS(b;@fI z5inX8utldy5OWojslA9gjBmDtsbu3(Sy)EzPcSYxsmX+0^&$QD$Y2sH!3c&2{GYRT7{S6iXPM9LO{?tcl+AC6^eNqnSY0BbT)f#!voAmy)6-CVkhrnoK(6 z32JkcmpTtrOh2&v&oc=GAYxczfT9R?5Mcpd9`ec^-`WpWD%PdV;Odve$&*K)$UVZs z7<_KxxdPaS@0JXer1gOMKM>w5IABKQj%bXE;-lf*1!}SkTTSLh0;kHkuxEX1>NW7@ z#a_s9Ye+$2^zP0VVw%MU!rE+`qZzG#ZP)x}mh1>Zsx))n=>d(LU3|%wX{2yK0aJxB}m+R3Rk0FEn&w7E68}?8+>G(k_-JUe6aXB;dFETy8 zfEkd}HHLFKOH|i%HZmKh=D(jwOG(iZ)V{X2>zAE+YX(OAZ?)DQ_yoV9VX{ok%*;fp zW5mlH8DPErwdMMxAKx-XoREW&Bv~hAsDu!vd1k7EB|EPd=O-dGEeS3MD>)*-rP$gLyyKTG=jNMhCNm z`{FP|0C$Y4)^z5guLc{e{jXCDVeg50Rl3ayV@449v=eB z5c&l?wxp=z>Y!piMwbCFZr&)zggc4xe?C#WYRb-VBIqm8(F{yK@rs`GMEK6?0qj`% z+17LLs`R5L($CVwY3j)%CX@xXtS86O>qo$zWJ3eIYUMXFM{L4u^hJ_MechF(ztRM? z)6A0l(7*d-df1ym4y@iL`e9#|QvDqPF0G3<47?xJ4Uod}}?r8RESpC+UMqs|S z($H8sU^V3rhVc(V(PP&DYoQj&dhlz=+nP*lBP<_T-j0lF9DMu8(-QMfZQSUr1rENW zX5@ZA#ss6rsk8gEb#-ZFIH-F;U)+gqx_>j%<55%qR^)RO@ovR-!~rwV$Oi>?_7=}T zB)A~P1G&iB$&Rd^JcX>0jYfFvv$C?J4yMZHKh75gDaVyozTgCtR4l)|GeB@mT6GOp z%*5xpgADCRTVPyy?uRE1-0bx9^hcnkZ?~Ucb?$aE?m@4bKFEnJ2Tv+wg8R5M>h&NK zHRwH8&wo04o@l}FS6jRYX&POJo_5-p*G1Qb`Yn}Ie&F#pPS(7 z#NJipjov~JW)fUKZ4S8X5wnNJ&-PVac!mb9%L*LSvfG6rOh%CSOK4f8E%iwGpu%mVC7bk>3{-}Kg-c=+LHl#8<(WF{961#WDT8>c`7 zr0=Dep)SHc&bca_MReuUeu1#Sw(>F+_En1D?LZvAZtv z#hw!5{I_)ZQQ;jkmHeB}EsK6@tyZGecI*&k^$~WuJ7u0~f6Q70ih6XM*jlQej_N)iJ^Lh#4ztqCR04>%$Z z<;AN!Mi;&&t-T=fc!4EbqJ^Ibds|b-1E#HOv7|)aQemzL@z!@j#T~%J`r}H=aPf){ zDiRLjoM-wmy`XF2ZSkZ>LPrIYz&A{Y5X6(Pse+GD$kzzog%I|61ChH3C-s9~(h4G` zObh{)wANNrOIZ)cK?O;9wy4&yOUR8jAL=svx-tK9wcclHwCdg7YZqiQvKUoe#8xuuT7i7pIBxz!pOO^@1n`B(NMaXc6eFPq*6ywB7+9sdsL92Qp?}D?cBm0`!EApa~1nu%8zT69FGbzfmXBhRj)J*G24eH_0W2>!^hoH z(nQ}ZnNRXE<_+JPdBldPa>qhk96CB{juN$ZXzDPhb^?o7bb0geK zlJKWS?0%m&k4IM2&I@KM=s)P16Dm8@c0nRU7_N~q`T6K(b*-8T0M$*hvFueYta5Lpo=wWt^WV@^1 zT^ckn(XFbfdu6_qI}HE`vFm3)i6wVspvT-5^etz z*b0=aHtPGkk&auCpC2TTnco3kg}}jKIUP3OeHc(WwABx0k>R;eY!E%V@BRPC6d@E|NmUp7%s3Ro28p^+1j>agW1)95`bf_XL`2;KMoqo)C!1 zw>+=BD&O+%{>@M*S)nGsep1-dD9vohKGN65Y%j_l%Vy{9)Kr+kqTaet@>)*A5FZ|X zh+xHF!d}b7fH)iwD)?!#i(73TV;>ILDf`p%S`n34^&Cs?^hyat5p$}yj$?cH8m&+W zoB9zM=B}4x-uIvhosG`DnKh%;sDis{$J7xN3rLKhJLUyk{72>Qg41Te$xV2vP7qMBK40D_%}D1B z_k%L{4aDQpyd#1S`UZ&VViDpNH8h2sal?r3{;^L}GlG{5-}VF1 zjwze%%)<$eyE>1`P9ip5m`UAGob#PSMbCF0V5s%RXGIdds2ZLTpw8Bc9kijd1^$qU zCgAzt$sKTQ$o}*&ar6PJ8g4%S*C9ptW)Ou7_4*lyQe2r@0ZYRna zvskEfhoNax0XM}(miY>Dz*viOW7jlor(+fn{4_I<{$p@2b0g2P50nq~T%Ta9z3b!D zEDP4%t>td73Mj_7t}V#RV}&V04b0$#Q)tuF7Ed{t%Rj{9kRf-6fkMPMCk+h^Z$aZ) zwS12>G)#I*pBGq%0QIjC)I(=bpb?1$b%~MJ|EQ}0$)Zo?grzBd4@&cDH0JN(-cxZNwg^d@b zxqEM`Sb2_8^==L25dyGIIySMfOC?=E@Js!hFII?fvfY_>yhgK1b|WZ>rs5c1u>!O? zddIZQDmX|Hy0Y;tVM@5s_0cS!d>xWiVu`v|+xH5kQD?=U=lm9RH1u8RUe+9WYcU9_>s z98Ets(XS95YO3B!&)nF3C}YDRkp`QsAL)`EP0&oOhdn?6RMaea$~1X#7Z>xv@11$j6$OZqaN967e(40et7pr_<+pRkIt}xsd974u z!t&?xhKQbnOsSO+g1r&aR&*8CUALRQ11jFr1b164jF(@xtrwn0`$nju?Dd z)*Fh#SB85{s?}52+m^RJShbZKI=$QU&L~V@Cyyu6*1;VzrpZ3+(q3%L`uMVfC9#uw z<7jqIrNy(^^lSQ#6Kz5ODgY<`1#UL{^v~T20X!ez9JX({ykAZ+AwS!c;fQ~xY9&78 zrqRubPbvauf0ojDUu?3kJh)yt(kmc)MdbzXnduwKA(a?04$N#*U%qbD$k18e9U_UV zFV*Wx!{P{|Hwu@j!J{zs2maY!yYO%S{UQFL!(;q^|IYvZH0nJ36v&VK-@oKZe)RwS zSN2sxEMiyx@BjPn?>^as*Zu$gOrk=O?f>s*!r2(t)t^_-S(v|&tAJ=oB_y?^WuU{6 zP^l{?LSfAm8UR3+H^;b|;awQW+2**S#nYCnS&M150{3Lia92kXf>VXy^XkA)y`Z0K z8G*S4c8L!l&RP!EA#+n235zgir+Cnn?eB1bTpI>Yt<9HPrw|~yB%oq* z7PV?ia+7^A@2YTWOp~)!ULvL&EGEDW0e`6~ zMZ)6uML}(yz=zST&!2xXOU`hwH0N{V(%e|~#3|3R+1W>&GX~(;oz$fn;>YDMfNeSt|Xzk14y>Kl%tM`Y9l@khWPQ3GWtgaJr(83pUn$ znQ{8JF2LHmHtp(F1raH!cotjHLXA=fmbFYr+!ud{W2@$a9bXjrf$0D~FF$06()#(1-=dC_prt422NX=2mK2Qv@{ z0Qf$8u`;fCQkcb3(X(*1W%#(MvGKkpwzds+Tq}@w2Ps;fNL$6^7-<4S@gSqb2ppwa zIUOh#0}jX%nWrf5acQVajF`__AyoG;aN;sXPFPRCRB-tIAHg=Ei@72&rlW{C+HI!& zTryVWnAB$1d4Q_Ahf4W?D%Az!FjcmwClfc>Vb}x?*_b!(c{M7-oWw(BD0J~Ul<%2v z{1#Bjz!$dg?+e?3F(QjFB7=F2r1>k-3>@y4d0~p&fv4hnqc(@%m#~oR#!4I+FJ^fw0wQ+&;qTAoM0+<7U+c zl6J-|b6eFf$oy+B|6MGUTD3|3dtw7&I_(0pE zrDi75mSI-Uxc3~u84Ja#LSvW3AM7GZy0gSm&w~hvz>%q00-KSfEvecc%f8ny1%t@9 zUUlIIeTj*x>xopawfG*A##zE~JSd#d`d7tMSPy^waac$ydro}PUkTctEx?kZL8PFg z5Tc-|cmzsuXXR4LdZ)lvn0FX&#Y9c_1XQk+IT(Yyav}O1f@z~LfQL&2U?gvzLLu^P z62b%)VsgHfJI;&;Tsb%7$%{VU4Ua^?*A^UREyQ z%R8sBTKLR|20B77fh0x)!~?QJBz_QN_XL8^1#G^C#tO5@2#N)r?`xKFQ5;r3C;mID z(oIl+;rKw9=zv;?Gfit-+hZ`rF8-H2(?XM~znJD;y%O!f69nNPfX-Gr{WYHm-tNLS z^h;2A+2O*HFk|RS&k~XXHjRXGL%5MJtk?vhvL@!3Nvzk0umivnHAa<#d|Jtq{|-n= z5!e-VOwBcWT0SUvCQch48}ZyZ*831ohhcfyNYZ7ai+%1bxI<$I!&<)2dmYi(|N7dq z4q{%y!vtpZ;=w7W+SyNOM14yvwrjC@LN__8w1tKkq&e?`sl&#MLth*<(tLxzDNRo2 ziuqt&WHhUrtgIptyu0gxXkp>U#Sw+?7pDsZ@fKRj#Vzt3q%@!ZREV-1Um=(7dNHDtq4Xy4Y5y#|pqV$prdYniJw7m6k5h_FotDGc|ZSl|GnBOu1b z(4Y{v&9PF8iSD0P#xYO}emkZ&UVF*oyeT?zzIQ;Jmb}aF>8~!Unvat}0}vmg>2Q3Y z820w>y#V{WXY4*SOWTd4Bm=SB1vqL#cnHjK2ezf z4xNi%?NRE(OMjLEZAz;5jS6fZvcv6OD|+|(fTq$fb1{;-3@!nt;sv8lTm_F0ei>n= zm4j12tn|S!Mb0=l0Lbl*hdvv(KhS&ZX29np?g>QXM!49paUV^;p@&a+I19F>sdJ%h zn*uXOr)v>$H*pDguF+_*^ZB_n4vo&GW6^teo*$hx8nx>-xIXFF87IRXi&_43aOM)N zL$bv)|K(7Xskm#O?wHi3C{T+O&&DrSb(U|ST?NM*V zM^m+b-7bu?8(YNfVZw3a*mk(HV9m|S`WuB&g85d3A7S7IfE3@3?km0`$JfCg8ZAw% zmU3JXol`C+Jh7$ZXBy!(>D(@QD=yhY^2WkQ-+Tyn+%SnlA}Vc&;)UjZe~SS*2a{_S z2cQ~aV?<(nAfthZxBQ}l=hh2zCxB5AyFh}K>1C;G!V}Pl(>e}*X7qUb_IDDiRmExc zIN%T^E&(n#YoCigtFhL_w7n0cLcH?smOv8%NVwTSh?Dpz_~Dv)DAbsmMsw0PfnvB8 z%#3Cz2E@3sG#@DZHz+H~%ggUpR<5%MJRJ}SVJ|0Qw`rJjFg#8^U9W@%GiHMZQfZub ztTN&$fXpEeM+$M>$Mh77!&rbbMgU=!pl6&%&=C;>fjJC6_b&(udHVD%lV2X zQq&+|fyW2ByOTTZM3+va9~6eO#2K`dXLhLEPy#Pl7FM;&)&?i%whp-MBuUbQD}lJI zP*Q;9<*Ob)FKHZXAh|q1?JmM119CWUL+k?Y>DX18%fKOl_yL6~a@`*ATXjMN+Ystg~9){U%sHpE^^&ugU89sPe~ zeo(fd0qb-&UN5^NrhtUOz;@!y@2_ycibTvbslgz8zlSFeQ4GVXt60&k>YXRp*y5(Q zDTumghRzz4eFRYunhK=rWG116!h?yVbS9PPL;J|zd+$VyiA@j(QleW9n^=Y8{vGeI zB1a;)8bqG0y9ujR1MC=yqY8pn=)tf6*NWm}3$E=X8dgF_ReTQTIdIzcLDh)#afdqI zwTHRb80at=JqBCkwnGaG5L#H#beEZ}L(=CH==Ed4iunA7Fdqn!17ZiY8^fsU640fn zz)?)LLmOspI0X>HwW8ZE0P7_P{So=>g!tN36h?NwDaV)p-9_5VIq&oi-(M0$>7)~&j^Krue=cl-Shy6ScGLsT%ahS zBxj4nnh<$?dzw5PNQa?p0Hb^}gv(?*yhXMC>lOe|_hE?r1F?nKxLGe~=3%BHnO|t0 zxU;MvirxjIfy@1zS=)G8@W5*vHFNL9&3=AzYy@7Ykx(rR0!j)8=ghCU&?Z+Ju0n^F z?|F+QyZkq(^mB&U+cfsf9uiN&((cfaBd^XduLsL!L@v-6swQrf< zcx|=fyxov+>7ftqXJ>qZ>&VqF60(@pfPhpHn*XaWR@1K@UMl9F0HMt zWNpe3;^MdJTVU8F*)3}m{k!HGBo|fj)+kIVL9yE`VKNZ#;FP(O*SwT-StHE*FA}q`Z znJtNG`{fPD5&=8#CEhG7ti{(&ZWB`W4<3vQ?k7DJ6yW*CpkCAfafoo5&;=?l!MEld z+Hs=_=R|vfAruWtD0FFAp2wt+=#AEn5(<%J#?FaU%rEH>l2lD2!y&{|$r{|@^BkRIT0#|~ND9sf!D#Z*2Jqe*%nS)YI$?SRDqhQc}i z0rx86{pJ7lP7A5?Zs55S!V?%!0uzCBmUs`V zNvbDRU8in+?-DY@r(|2CtZTVIzuW^eJKi&2Ww6*}z^c>WT^lYX@uHD9^&YCTFrv7H zG?}ob(BfTi8H42*1Zm)?;=xe0MOlW=)1#<$!X&nk*Z`5^1VcdCfbbqFG29&+wRsr0 zi}2iGQFZg;E7J19YUc;a&-g5n+z@G3+v^RZ^vRAsYwK`YIqP(8R+mv_MnjgQyR2mVo-(S2ehUy zM@kB}h2SfM>wU>D9+8lZ3~IXAR5y)yEedGAyfW&l=e=u7bnYnh2jELi>~g$GOMX*^q)vExlI`Z`+*s<*QWyhg!kZbt_Vh`fQA76pGG+Uf z`wZPa`qr3$pgTw@VO)0hFhqXqBkY(dJd?9a?zqD&03Tx!*cYAq^aCBU#1euo$2s2qaEMH3U)ZG#im%0u*YuER%Ijw;^=x2?L`p!_#)j`$QC=CozZZo^ zRp4kLY7a6oE+xF-(71E9$-ajzR@3jNQhgd#_Quh<2b-feXC)`^%!a$l7ue|5D0SGh zm%BA}Z2_Em;dSwAQaA~-nv6EY%O_j&Z~&knaLGtG?kKc#F@Hm_Fu{X49^yKN_Mf>C zC_@l^MFjLH-htC z0KV!D0kP`vkH4E5ocQ@!mPD_cLicFF8g_CQ?ib8u-C^d_^8z{*3I+357voE8WkAlt z#UY7TGRK~ADIslhPL2#lsGRnHehd~q<5(G*L1(kp#YY}2Tj$NRpM0$f0Z;sg?yft!| z5`76CiW=)U=&aUav#f#J)e}Y{Ihd`HkE-yFB8boB<2JArE{q;rEDkp%^(tp6ca#gG#W z!VC=6ln|(ZjZC6oe121nJv-6z63s&_H^)p9Rwh{X-v&cgc__(OVh{C~9|;u^KfFTI z>hEuGdg;e)&2^=YhfjMLl^^KppXkb|yY-J;XRw}A>^vl)&UG*{U`m(4g%)yuSPHnP*eA*3XGa7!I)0^M>UIUbY$ke2cSukgWJgT}LLS0}(MH z?i`H`EHar3yH-*NvlVq6_a=;0a9dq?|Gu8N1qzp2^B#i=Eck6iuS>~>u^s6U(K!WM z-3(JrPZ&84(HRL=Cv}maM4b?$Q5wM3BD8GiQ;cSB-5**74N{@;EaazF&{^tOh#CI% zT?RWEr4D%{sCD^gEy(XvEr( zon|u}1gVNrWBFIfJyc)1x65tU3mn}haI~V&eIPX<;iXyo++Dz(+Dx-MzOxqs3BL!L z%?!dp`=p|WHpB`^E`P2gJvkc)N4k^zRE0n=isKi8mva6nP2wqon{Zd`@74N^al;3j?C*4NXzV(+Gp)yYM>Q7DQV2eb$?N>Qr>3v} z)lpdqHjO(g8>I)tqS=NO;;wQ3)7kqSj>lD85wkmZSe)pYR-bEVD_^J+r zV@r3Nx$&at?9jGo*_SSrio4<*9PYebNulYceEkYU=E5b^8bk~EK=2t9H+&N>7?Ktx zFk&-Oo;61p@8pq%R$~KtuG5Smgt?7uS)jx>R&PLfC`@Hv><-!}?=J2GQlBr0^TJUI zd;&+sOQ#gH?iRXRr=1|>(eXPcC4cwqnOY%@0O!|S z*MwdS$gTc-QsE?O+A<2PDnjvlli1{-sfxh=0#c1MhQ7(S|wxOm9+eWF!Cc_Z$v$b1M|&{$GdxL%EtAN!h`k?2+)+uZ>hNJ z2@(m1l(Dr2cQ;IJogg*B3^j%~R5~|D(z(mAE>YvL448In8&@{8+DIfqF zfFfzoSqN|Zbn4Cezo$uozbfmvidb!Zxmk15NY_sX8Sb5h`g{c4Bjy-H0(6#siCdmv zTO;QDa&B*_fcKiS_narci->4K#f*t6Rx)KZg3+7tKrb&!<_C}mA1Zai(?L`I0hOW! zLkeS&{V;&V=^5)9l1@o%)hQHOic|}BkUnQ=h(JZp@;_mm5*aD2|s>Q;S!xhib0@G=H7S zO57|Ma2YAYNER}@;=jtu>nwnU^oi$l!Mb7_tlNU)wQ;e=e0=YI^wF9iiXp6J8G|_nV0~TPFCyA6 zw@RDYBbF%*0D!xN1-PI&EA3TI<$OxR1G;M1339_ zqC`(jDGhApVjeHJD6-Q}sm_6}Ex-Xjp)k-5BKsRy@kLfOJK^oPs%U#5ZVmvOYCzX9Q?Dx8bc%wh_z8{+TjL;hXPP*O190QB zJ{Fn=mvbe=*w?dE|jqz+wC)coz+`(q*40fL%~AQO7lE%-97@2M1qxB+JW3^hP?~C9BLc6;Wa3s*8@>|s6M(`%4@ZZGP~Y>xSs+?sq?iOL zeBAySKHz2elDMdqt&I2=WI}Spt2v^vVF2s`IuUU+#2}hscw4j5Bcbg-e0r<^e;w*rZ&hi)4QkLydNWo4!&X)0GqLns?hZ#DwYn-een~jmaWJp& zoc~rurT|JPq@fJJ=t~kq+ohS2rdAwl$AzS>JyZfrsrfv92%}&0ej?GiAr2dNIA@2{ z$e-xFZjtQ<&&2f-xUzoDXNn#b{msBv4m4O}ebL0JTB?!tY|s4*Doa~k`VO*d-02!A zmtnqQ`ZGgPAbrR7w04hIH!No2QAV6f#L~gkn#7#5!Kv!q?%U$7hAEDRpPLyh#~-g? ziQFrjs@JiLC+?19imP~ND#*`NFAuvOfeXzpOg+iepL*{_k7OaBx8n9~gO3W| zZ?&ENdq*R$GcWAY0AgPTPwo``WMF5P!>3jVUo%e-LuO_J1>ou4UMCinE7FL#uTLcd zg(aeM>qV2Uc+>2_R*?KI5kFA$g9@$FkFlnR1D12n=yz0YSoaYZk z$9|ygH~__B(CXEzA+v&%@z2)KrM2q8bOu*O1F!|bE50XaNo{sbv^4XUOZHO+i>^dG zie#vCj#$k|igW&_-N$xtr)lLGA`vUdJ?&8b`j>ueLK>@F1 zlIgO7`;4DNItFyWcyka6dzKGA-?{wg}hU2|1p(Sv6dWcY&u-;H_?wLf)#8cqVq!2vIYPRL2a|IWxK`= z>0s*f1SC%6!Z6&xB8CSYcaA##?KYNO1YjlLDeha6uYizSN{L3~J%&$#;O`LU zLXsJd5Ay_TF3q=KEi4=7Z)Qx-Ak649+Lyyy~!?y%0wdUvB1G3kRs_^2>}p%Yf)TY z>|@a|#9V+!tZ?_yzWR{*%)k0z9KsojeD2S|TL3g&Bw9yfl|9rC-ikikY7CRd7wE*{8eJnCz6bFwWz-%IAsV$Re(Eo z`~+ur{w-Fe9~2bGX~#QDvmGI~q3$E!1bP}xcn0;jy&kB-^*`JurVk*5z*ziYwxnQA z$D+AHC2RW?1zfnqBI)=@2uze;;O5(YuXKsw^3Z2>`5#IlM}|{l)*fhxBUp1+%X+NGxNXk4K6~Y&(Y%94tJts(p*Y~5wC5fzv9@`EAMeL!@cSX zy4BW>shU@wZGzefJJ+h4!&>_(Fobtp5gQDD{(+3(2E|C{d+92WIsze`*yd)t&+urC z_t@j+Q9G_r4jP7!#c8kk!>(fK$F8M*byXUplNesP2 z7HSvI&a`&cwd%im^0z0=xQ+GI$j`v4XZz^z`=pUFalMi@U|3@mgRY4gt(5|)$lpB! zf^o?!m&Lm%_NA~HFW>jO1F_@2*mkv_np^2ppJI5Re=&FoKKwI@3I%ONs~-H#H*uP7 zS#^%0zTZ=qbE?bFKT<_XS0!ejMJ9oG zOy(OJv_#x*cZ`p4XiVM%{__oqFRL?0$==mVRl1iEg41KY-+s?*>jh)w49J>t;77VR z$|KZl#JA>9pSxdg>dq9J5GXJ!-K)-ArHyb07qox#RsG^ylLbmaJi@c+l6qj}+5mE^ zHK?ogXz!AG@dqc6$5GKTJmy9IzTe@cy4NFKR>QtE9rqtt-gE`CdpicqZv2^9)Q!}x zu`-XUcdm*V2ZOeAF(->%P)iXc4KyN@iH9%TVhooWAlBRf;1XC3%pp3bz@gZLB1fh= z7(YOf46SXB-+g(w1)3%QQ(P#Setq%~Ll{-(&$$rxjEz6p=!XCf-(dmaOE#NJmjrS#d)LjMcZ44+^*+3h6J9&$cUD_1k^g?J=E22eb} zRVV8hb)d7b8N;g^x;uTJ*b1N;O~713KvOgaB(x+5K(e2Zw+wCw497{fS^C23{X-ZJO6dk@j(FGidBs{W}0@6Y+-KWYumzhZrbgeKB<5*o3zcuexxml?5A*UgyXwGAs*j+_jeiCS5a8VlV)(O3qb~@-t_=$ z!OT@4)p54E?A144lW|^k4pqiA++jd)*opc8BqOqI11`@?Ea~=%)DPGcEZ~kPN}Nay zS*77~|I7oo$rE3WU!bbzf;D9nn=h!n5o<_I$D>CBZ;G`2Z8P=ESgD<0Px*BG`M9v& z)gLgGdW~(=vjf`Nsp8Q?Ghvt7vG%=V>Xsp>J#V0NtY*u$ZC}=@blz?e#5{4L`qI2Jh3JK-AVmwR0QO45>6{Wbg(WIhQ5*W14f&FHI@9Bq$ zJQC1Kh_1dqpDJj%4D2cMqj*YfVA@IH(oP6W5NxOWAQZ-c>H*7y3sl*ap_J@4mSNLm zpSGsISD)cQZxqs=3xX?4q@Z>$RGunwqN3XJhI+^mI}M)@J^()L_$b;MlM}vZee~L& zJzG$K#Lrzvr)_n~80+<$OYo;saqclFJyrFw^*X%^UMW&uO0&W*Pc+NHxZE5yajdHjpK ze&oQ!3^8s)H`XTV0WyCf_TmD!-kiBD3$n}L9>l_JM`HFV(6WhfT@ZBLzn=l0vA6>sx-7j`tvMm;}e65y)bu_ydj2mpMjFZ6r?<1HAX>7>JjD zVTYy_zz;%s+F_#%enx)(;5#g&ayy+x4}BnOU79b3j^(h&!t(X=W-ZJdZ5f*q9SKl^ zr;EXuPZZs0BVi4c1KFLuI59ZFEWiNT4M?}k1sM9O4~r@8%wBcmTKsvSDb?@yeC|OP z2)zO0;sXgZ7-7@}m?lv|x815`Lp!mkweL14GT2Xz1<3> zzde*w5|)_<*!Pl^(#b(}vX{bX4G0u)0WPr^dUs8?2TuRnJ6q5SBElBn5#9>Taw_#}phFq1=`QQFI#Y(2Hj#p|1%&35$ zfwB#r0i!Y932btm*!5sae-`HPV|M-6UHv|d<6|M}uo$2Yq6mJNJzr*?&@!Py?f`&BZ0fBX zBJTB9(3#kPF$%!p6F719BJEjOs>j#|nsq>YS&_0IcI z;iijeTM2%b#ZUgbndJq=qBI=e;!*Kj*xj0vB^Tzapqx+x0eya!J54lc8DJT0+a3OpthE*_hrHmZd6+`G;Z zue!FWz}*^#{t#c0 zg?gz&>oq)2<7wRr5T_kGISu5K~;!*5ry;(KzK+@V>fn% z_#mCmJAA`R)Yo%zN&-O0gIq-JOVTC`C(*ggYz#Se3Os)|HON399D*(3sjGRrYG0WL z;&$k70o;WNJy)!xwoGJ|=){&IW+=hSZ1-cu!PzLi1Z4(xP#I8|b!#OqZi{{#0(r6J zWf3INYZE^V)RiO`!)`iVq{p=BG%|}-35FZ7V84%7^%q-^ZG!2k^A2??!wzJ7fw-{! z`@?zn_VrZLWDP=80VqQlE+Kzsg-JUCNRqx}GzeY;(4>+TGA<9b(4jhILjp+LkI0#h^NvgaYAdsLgqUc3@dj2QMeC_MQ{`?} z9vCnwd=r{a&?gLw<>ojDHVpA9>+6M`6uT0n22D?5|8rm_{;PV zA!^Kg?=!3q4(c1-i`t-gHvjpflANQJXOqD??wHEYeQj)9ze`T+LIh{(Xja&0K)OQC zhOeBv-D3HQ@OIgk7AHbTu!B9?CVbc6oZa|t3RIwv5q%F+%5ZI>9oN1*!^IhwwdO-# z?4`N(fyPC=Ug2lLP6$ar&u?0o_(0!??oh0vb9578PXLJzgJ8N3<(Jq+E^f^JJ^kyz zd|hw3f;toIvJjVa8Cl%$C*F*N$h$*jxgteoQ@3PZBMq1K-Xk_a*ALj2)u-U?9ML>ew9CThBLU{CPWLcG+v8DIfpgck(LZ+!Ln|laMXIh zWL*+QXkl6YaUfT~qZ(8UuN(Q>NH7iY(k51eZ(%o1Ex${`CqB7uEqNr`k-SD+KY$ zbk9F}A!7aOs2L|nF%aK}@qM{50Lk;+8RYD(13N zu$7uISWph`I#yv7!ae$M9gO34MN$*oc5qMJd-u%;<`Knk3$q9Y5*;2Zf~QT+5cJ1uf>r#GmqenKpd%dccgK?FR!)f*yqAvYUT*4NmkEQox65(ceDv7$$H z?njwi5ntG|Y~s*BFejzOb1PER?}|ioh} z&0HnfQC}m^km=luCr4#HDW8D#HM5@?d#D*S$XYtu9bB^~s1t{X8&I6xXdX9&emed= z{o!9!MMgLbwunS~`3SNFtW*R2`xRs0$6GIg=(H1R69h++st(}03BfYlPCH@q;kPA5 zzP*Q3<@F{vWzu4?$K}Cda}z-o2)l=zu(Wcf;^#6^+yQE{EDUuPcyQqfIa1{r>$tKG87y&*t%Kzxh2AyPm8eK+wN3RS!f5Mxii(|RebufDs9 z{Fso@G1JWH0cZ_-G4@-=-3B3cD5Kw7EmW#t0>ENFeUS##VSSw= zoI)({Vm05N{&HifhzZr$6Pvqn+T;GfsRM2U)(b1gVT**LC-tm;0I(L2?8f8NI}V#x zcT1N%KMAhW-}!;1W>Ei!@1g+<^W;Y)b^X1s+B3{Wb}tE}_S&)7xEYHhG->H`+p5*z%!-!jJ}r z*siIMChDV(-I*SWk=o21OCsq&KE{#=BdK)ha|4)W!cge$Q}I1i!tw^`xJ36azKTeU zr#yhEwxOX2pYRvw?AlbhtO2Of2dTB?JQS!Q;EH!s%O6xkI53OMykn1P0zq8bwt+$k zee*?P-Ev7-nfpY(?k$ke4;53l37UR&`duw%?z%Ny$dkPLU!KHiR}PmdGY z$y628SMk9$H+=a1Zm@DBwT;HH*RH;vpFgdK-4-E3$|VS{35220 zcReIIBk@&8S$Xk07$tvg%*}fbO^%c>BZr19ibpu?{T1lp4HK^K$1vs|VK)cC(Fs`j zpP+cB;{J#N4^!o@3hX()Bm3Faa3Mn?2@bNGeblFdDXNH83~rwaRHfKpeh~&INGx1k z#pHSM3=w#4utEOe7J;z6ld;{<2C38r~qK9@^=3C9@pZMp#q7t!}QzThNTK+X~jLVc7-`$taxAL%3cVSQ>-l3uu9m8i{cPjIW7f&=1754ZtpI*EfA}_C4_6mJ8k{koDN!V0 z%-ETB!;&$A1FH%mwD4A(ZZFf^l*8^f&g`OasOYr=H`L`-`_7w(KviGJ>J67JkdJda zMO6O&aag>M9UM5bBtsNRLW4O&6s2S;V-hMw5-K4HNyuE> z$Jur5=eeI}t^5Cbt!wYKul@TKzCNGxd=JO*IwA+kj9a^gz1>{?2Jrs#Sixb8<=2(u zWJ0k!c>rfG4gn|WOfydGP z`=+zAqt>BEYb3gS#B4*z6Ip)_11J)6QlZsqu=5ki2RlgBfF$`^bh616vjlijaTGf5 zxW|m1K<}&*%4pY5%@0wUUSQuF`%X{}A=MTJ&U}0IN zU*R-3>our~n>Ft_Sw7b9(o0O6EV2i*=CZTD9+jON=+sjNZf+yHmJz%P)x~O~an?0BIKTpiu zQ)ED{oEWCQzM5`ZkkvR~iKZs#RJn~f2EO9}7D~nrSahtp@BZh(5JJy^*45UDU)r7_ z!J8#kEa6(9v41!F+QS?%dMzg?xb$UIRjUDSvgV2?f9+PXv=cpXi(AQSyt_NCB@$1K zvzVWY-n%x}0ci@pM(hVUI5~L%g4k78{KE(1*J6p*SFNq8ZP@Vd!CQY;k5`bs?h*<@ zeD+^SJ5l4M#`VN=NA^!(BTjC_`UdMJDb!kPpA!xecfUSKG^*1EoZz;?usUHVPy+*qC3<&{Bq z&!R!pq{NAbHW349(#^eNa>W>!D?%MDL#u+q`@o|KHpR$Gczv|y)E%eo*FkI)#pz9m zDwtdQL2N_z&6x*_Y)Sm`e_@qR=K3%Glh1*OtrjmtEE!}BjQfL^kyn|EKxYXq3-3ES z0UZ)B=FL~;4rlWivDYRl+0`$&vG3O_*L(PSClWZo&=f5xLJruqH3#$mbw2nb$+E7o zRewRK%Gkv-6X->lKmaLizGW5 zd-l94?*dGu$e086;t;H`(vj#AVpR$S>I6nx4zT?Zy9ZMNQqPgXz3`$yEtI2^wFqrc6L{QlVL9>UBHhSz}^rUB)zx4247d|&nQ33>rk zKcshcd6`U8+7kmQ-M?@doaZsbtO04VB*Fcnj~;+;08<>g(AxB$U%k=F;uB-S%=pbi z*8^lmPgGkNGHg5ACgj0$&~1y_9;5p8syNaXst6&6I1lj0i7(+d^2C`>jZgT=pse$% zzTVx7sghgC$on(gx;C1UW6MZscz-s8Xf0tM&^9(^g|3)ZJtR}<7HBf^6n<%GW;|jT z@uA}nRho(|D6CjxxQbgc`Tzu zeVbFnXSMB&4-ayD9)tg`5|5BSg%cLPU&<%ZIXk2dwQwj>G&?37CvJ4D;SUQlQl3%% z)Yw;6{$c!mG3K4SfDb%S)VXMfvFl#20PjIiqY9655GD9JTdL|BXG@&GuQZJ$1Ox6% z^q7}?Yddr>ul4r3@d1VXo5qv6rK26W8$EqYjE%eTUL#DpObmvl$kw5ohw!%b&>UIP zUS1CYqx!`8m^|J~g3v!PhifcSzOL8U!dM?Ru%{lfA0DiHPA~jjDuLu-HLN(AxTUN;MI;1e2UbHv5T5pR)h1itQXAfmzqQqMgeB$ z{37Mno1i^^&TC9u(m=ohrWK1&MD3kUWTc!zd07Rn94rcHv@DKXA_tzXUj1&0ra}68 zxI?l`$VdFMIEUo(lzf{RS*&|})Yuyy8B*U#vC!XMnZBbd;o=(Yb?OC18y{L)`Y7f4 z_jMv8%~I5kz57R^HX&F`-f?(0{ptpNrsN{7Hl)tq`I*c{A@F-3l`03;TlgH(JQFKNTNml_d{+n1y*V!!Lki~1Jl(d6~?R@^#2S@ zzZj;=;#Zp9TRM_yqa#-!a_A_g6L0n~4^Kb*T2eB=;WOMF zyfnqPdkh**67Ps7^YV=w`PJu1Gy#%zVu{lngY1sYRBH)N7}+6nqzmXO>E&D#{+p(v z!BJF@%lF3zqu?Uls$8{{~ws(--1VB_YlrEIcP>I*gM0anL;@>oiyj zVG-n>gP&m9@G()Xll)xkOtNGo&|lj$@Cp8Du&W&Uq49+_do_+_RG1XAXCOK}M{cXn zL|=YEVI9U{v8a;2k7+i!T2EHtqsxFSDZA=ovBYSiu5iyQBsGI~Lh_lhNN9jrZ~{MV zKltet4V(hE69(76V|ii4HID%yYR%#K46BAi==idq$!Y)nrg2YDSQ64P(}G6Bh9o#m z4%yXNwq18`8lS{pq<3u@4_qBK`+C~P`Zzx15-^%OnlE= zbIr_#GC9phoicjIrD;P5`3e+F zG$(JRA^GDA0Y{v@SYq~lbEei)fIr}6=s`8P_&}n^_$*&Vc23TW9-1};mM(=*qZ_1y zCU$NA1Z1tf%*UGII1SL8LJ6O)Jw-lFPO9k0<-vbK?b?HC;c$-Jp(bez9Ngc{B zXV2x5L5<%1A8W@3BlaO|$oFFpBpu?YwSitVdPSmh5pa9~7>MWO9@)nzK6@8T-Xw}MiCK%n%2&k){ySuw@KtMot-Npai|0-J4el`PD%rAKG2XJKq zUqvFZF@(M-YjqAY3F1T`LuG(daK!Ki{!%BeEg1GN$Rbdj*!eJ21`i})=0_9&Dc@7X zQirhEmO0TaM>19kDjPW#(MX*F4-92^A2i%Fty6xG(-SE@CY{(nlVK3K!-?N?YpS0{ znos2i=$arF9EUu63W}_IDI^^ReoMG; z;V{QoBLe@^kGCL#8a;=@g}7cHxqq@cRTqP8mLnFcD2dMTR{6jz>4(6S-|F9o(O%8r z8z#a8QUv1Aq1A6tc}N1n0lS2GvmeP_1YVtPMbnQ%hD4{ z4iFVbBXWoDbPB_{6s0Kxvm(zfUq&=+>gYt_dnSqmNTVncE&b>~I}CWH*&LngCr%fOZWHpAqCnR*#gMghwH ztu-wUlkX&}Lc&TWQ!7FihYb{-KU(eS1CHCTW4$PYNEZn#7fGG7nuHV~`>F;=#*0{c zZg^mEH-X;Oxc(jLE?!(Sv!71$A!a3$nZ?ZY0)A@=yp}=;?_T&q;umm)O`j`!PlpBz7Jm)`pM^RdWQduRqRp zQqy6LVK{P#xIMq!I3|P6lcb|=aDn-agk(zCl(7&X0=i0CU@l?@NE|8=)x*n2ApNKa zk%NHt83xy2RO{r@<(6NA(OW^#$=BEfqM7o?*1id8g=SaEpS;FP@C`H9GwbN~$Qyiw zwSErYDeMe%d5$hv61+u-7^Iz_)*y1v9@9Fak-*0hO58w13Qt@sSk4-^uI$i-ki~gn zHU~BoLLoV9S@^35f@n|t_W$6~BceU5CGqGGQ7twfUvh4`hu-AvgMPILddjFZhYX^zpJDV0M1rMN2g7$?%^zdi}@a1qepy3BEXY&o?*BUfvoO zn0EQHhc%VGZPlrEPZ)n3Co1V=k(b~3N!`@ubk-yN!>U_~Ucccgg#axW3-Xr6wl=3fc4<`iRnRMvr)gh%8 zXxXkIyd}UA^kGCaA=`aZlR?Wzs&KO)edy1XLab5WeRTAs{f{?QWY)@{k_~6c?8H?_$*S<)QWbQpML8qU zWK&s!a+3MU3?}ydJNIzgHA>69&b1F^H9FCcYZ-?W9@B~!W~^YpLRAEPqaf_eaQ>G@ zj0Y!R>{gc1L2KKt0VD@}T;RBuMe2$KQ;n zrLFhQ+^oi^;VGWn>WglN)HJ70XFR=XHUEfaZ_}h%1o?Q&ZTxTu{!5y#TKrj0=n)uW zeR4D)@BnP=5VBDRjs@POrP=D`47=(e=MjO{DJ0N6!{tIM=$e6vFUW~I$4{TTxdy7j zWYevc-6fXt+cV!kK6+#cPYez(N&I#nS^h8Zaf^c zbw}iwaEq;@WMxv(jh?2l5O0}mjTagYQg2XT%cxzVSxQX|1x)CkO>8K`VDI2Kt3KIMp&IBz z)AAe#GTO4Y+s!p@Rk$ppetwS82ALs|$TSo%&UE2CjTxw)3A^B*!wCmADb0h7E}A4v zNhiyUOy2in*HRovzv;k5A4;+H$tMdRU;I(n@Kbu3Npa;N~fDB`8JR3Nmeb<5!%3EDcoY^ScCyl!bWcq<<@j|NL-Cu6h z5lDkD65m8@@8oXBwCBxt^9|en0qRb??v7+2pd_mB#Nyw7DVBg)5KKAAS%4!1P04Rd zwG;L^)HUcs!U&-OBZvrW^TIh|2XMXi`BuVk4IxtY;%5vZ$`vIBiMk@1YWVZ`(Pk1-NUePc z2H#jWVh?dw$@D~LVG6I*K^9twl6L3E^o}<|h!nY(*PoVr8j__SLjk?G_JA=HoWH&nhnT7e-Vuusc(^BM2C!IC6aSWrs(K7Nsz2lQ@rqp%G1)= zi<4`Fk z)%Q^k)Bu8m@kI6V(k?;(!M?-zWNy0Eb6h^?51yIkUY+Q7#|RdU@ac4To=K=3CKD_s zf-XiUJy`HjyfpZesu==XRYLfL%$23B=?{BD-z@|mxm#&UAT0`MRdu6`9g zW4x@=NN$K-K)LdT7$4=9N-h3n&p0d z{=ASjVMllkUqe#$mf1f-s1)y!)~g!j6va)oVJvknuC6ELZ@zjp9B@R}43|49mE<XEs<9U12Ei0RZCmHUv4@X@vqlZ2 zHlwYA#dkfDgCAk=;auO5M;xp_EhHXSW?BZX$=>o#$(NstamOeSTf+nGD@nY;LCj5X z(PsMx%4JL8ip^K35E=gg;4%S&JzK_=QY=-UA`x^tJExOFF}kI*w<9|?^odB5msf4@RfjV?xsSD$!CUO|KEi8g49biQD`J%cMhK_b}?8c1*B&o6GW9G=9eb zG8^7Z_e(pF8{dLldY0IlLDo!6dU`jovj-0QRt{VRE*j4a+;L%-hr}Mfwj<@Zt;UN} z2vxhgdILDPag8e>oxS9c9M|98EVymk#3yWgI(Xx@szhmsZor9zGeH>R!?b6)DInzp z+y#u3EoJ}K6P;AoFlS33ilL=={iC~Nv~JFOO}N)lwX+0d%I`W*uQ`BGnFsiBtw_qa zhhDJHBl)$jkvF}-LU#a&a{tDQ&tMD5ZXCMDh+oD-1cbC>+rrNhl1W{Vr?RiZG84SI z5-TL!bb9d2reXe_da3o`dh(mw4DHOl_Tl588x2p=>2B;F`A}3Wv0RFuP;p{jY>%(6 zZ{ph{w{Y3q;S<)~2=3h5Bbr$2^0WBu+O=zOqfUInTww0`f6p23k+?+i0&S?JZD^dr zc3=~YJ=)@f2#i+>$^H%o2?Q2>3hrjm(A*&(aO2ROH9&#RtDdiw(M}vhQFcBGgieWs z7A_5Xj0G$bKkcQzeijGt(BkjmQ&zpggG791jkn@C&G)_ZN7Z`dqa(~4xgxss=;u8E ztd)kH`unXXY0^lj$l|Au6OC?DH%ic?@Nf)Eog^Gw%|3q>SYAsobpLC8@~MweU{&*f z-<6qvMB!5$`f^yyZk_wPRKTuv=d~wKig1?Nz17_--EWH4Zug!KB3~L_~eGZpD#iQ2Ym+E@tQ00@iBgwV)3UJ+(JuTjE zE3W73yMvD@a|FMbx zhg958-XBs>{LlaQ{|@#sB2=0sS|+c(J@O7lud}_P+yd$>Oui%UhK~f+m6LF{4o=z( z6^cn9_u!(h7<~8Jv)nr@9DAbjtyzr z88$~QWX@%P<*ooEg9Dn^lz(v8x^oCg#0Q68D4|ar!1K5lkHcQ0gy)#HFxH1$KE+#L zDCGr2rbG)0W{?vG`#k2d(M3qdwH_qt(QQU7VGp7+y}$W?Zt~>0cZ^-_-pMc)oU~jm z#XXcKi%52;7SM@jO`Fw+?`%JIDuNV7{8i<(_V!?tza3GN<0d$Jkh-_1sxF6Df^Faz z_&Fc?>rgAqGOH=>ctE0%!()_hb3!zU#clHiFP_=0Eyb$6m@%&HpEBkA{}GMJq~FRy zBC&Nd)84hf0qqmgSk0cW9nsp~* zyLwjH$Kc4ZGBg~1KtH%WoMiR%JA@+i_|bFAD33Ik74c&pz_F z2HEwY?QE>9@!!)!jX5wPM)%Z* zD=!g%#sfy6En>&egX{aA`LVxXbfoELn9^g`*fWNbq^ugpXj&txBmmU+g6Dk~TOp

#d%m6avC^*hBIn8&mTx@jpuexl&4Bi07DO)`Ad)J>U`&mg zkNk>41`?0mYo78I7`nURN|kN0po~(TzQCKCspX_#D2G*26?{%O1u=aj&FVW7Z}m0$ z;@vA4mes`55FN%r;W-YE>*B0-BaDp%Kdg`DsINx`cGCj)`6yf75`%r&j_*!`oQ^k6 zfzRwqFqP*y{Uvz^cgNOz%Dd?%FUod{M^iCc^A*cOQ4zvf3r?p^wTUi^Yjo{s%_OzG zD^A*DCc(=r;qj3+Va0C= za*u8xFv5@R7tE?5v%ZEHKj_T(?$)|&)cZFt=Sur zjYgt2HWKq}641_SEL5WrmC!A%8c8Bg=vW#XiYlK>sz=vj^4aC&XW}9)=%cQvz8LdO) z$F|jI6)U;xd$=hYHo_=-@>j~`sSk6@cMqEnLxy(=Jlxw4v3vL|<#nv6JpVu1NbYA2a`szPFxL(D&O7CO@abp2ev(G|&^{Rr z8a_^~i|D;v;WfuZ`4{1*Wwb$`r)@ur_3q*F!ljMeE-cp1?lNM=u-M*YM(?1bio=do zH3UaYDhnvwN$F4YTBp5$f{uPLJGikl+)vPR__(H~P2^#oKF*=Run6IMD1E%6+T2@j z19;BQ=0SJ)m1=44h27*alD_^$+)7tL9%|~37Xdy1Ew|PYfFRa>YoywyGHQFizpv(? z!CZj{jld~5+JCc0r-8ER0J@{O1?e{}0L0qD(yQsFsaL&=aLkNjSJlOiq;}v|Vp*0~ z^OC!9=so$}DLzQ|*eknYor6H)h64)JcLKySnQ=68#{9v(T|rB5a4h z%JL>xhT0JMqa~ituBLiDoZYeueJO5sQ5nz6(7W7Ygd>%?o-ei-ZS5H7(W#GXDkkTI zts~86zHa66#zdp8dW?;(FMh?&Mo^LCTG)Eu79hWR?Y!gYpqu~71u+#dvv-9BpZhe9 zg|k$x;EorJ+*IyC~Ci$^vMe{*nw<-wPN&%z2`BIOteJ9&>tqrwHQ0KHR1a^n>19 z(cfAeWKtFSEC;En9;-!f!hy0d+j+9lP}aqPM;7WeerTw|IpcysTeJRV5Q3)*_@JsZ zwF;w01`l;~@-#m%B71xC3{O}Uk>}c)Z*Yh9UXz-WH5*UKcYdD?D~$RsuEA2>YT!1n zKeOIk{SqU+@~V5>Q-)?z&-5(uYpmOp)My~0RK#5QL)cJzjpJxl{r+t9_syF z%vVCM$Y!7Zk>Yx~KQ1talgkSYtu5Mq^c|AR?-KA7sgs8Y=eob)}bm2(}`6HW5-gHjFTvY`3 zLaX`Is4Wt;?Zf*ix-sC!#f1ey>BurgoK&Ei0kl-Jk^!YQ%i!dXg4d zZ=6t9Ya|10W*QAx)sQ?6({}zujruw$3&&~Nj+wQ|wh>-sD&oBOE^~d}ub=Y^2%HD1 z8?3QilBe)Obm^D=j(aZ5L1QPWjetxJKAO$(DJYyApOWh8{E4m69ye;XsK*?yrW0C$ z6U>6d+>l7{P8AEU;(nH@HKx=cT)7Z?;+|9BGDjnU#1JJSF`b3#ebGv94%yQyFjl3_ z6|h+@F4hQQ9%iJQpD8v%3gmQ#)n1u&;VQxhdD3t9l?#@Hw0j)r^+3QO-r+s;N=B2t z-LYuy6<}T5qhmjp?o-m68jkYQ5E89_dqKzBA8ajxd-f4}8$pCb7Nj^V@6GEBA`@4DUOyr5Nq>)-IRP~J|cXw$CO z#)&k&B5yC6`mHKuooEn9^~2Y7@~Ovtx4(I`2bvz>owU;vXZb{fv9x|bmMun%Q!bk> zjNd6{t4p?Qa}JZMS6Y`nCaA&XoZ?9mN#&M>}I1+A(c205QFB=882CQhl-*S30o zPb13ibiP#@;kl?|a9sYv(vTgp{USwfW0J608+oq@K~m{6gN?lQJPq}kd)q)o&?~pk;E}$Miht^iLgVI%{*LWYFy3~ z;qx73@ML|VKx6Ji2v(2{mM%2jneXVz z!S4~+jK8NWkXNQ&oHI*81{PxcISw_Y$?vZ3x+V6luOLr-wUS9;f0%-=T4I+nf=KJi z24{69D|1Q8zgjig4P7o;j(td9$1e2-eRon{&WkEHH+X*Zt!*nhn^6-^hAoXCGWocm zn*A85q?F+;oHAS1b?6qo4(HW%IgSIWef_xm)oC1!acJcpNLHaBoOhwvll(46nfIVj z??yZpsmXf8^VrY}NX=eLA4M`@!6X$di7vf&A%gHKBC!^8%7>wB8VYO2l=O;NIws9d z?^p?`e%#yY6PZaafDpSQteYcwG);6gg>r+2I9lGG0N%mzC-cXAJq);2>`WE|3Nb=P zPA+|kOm|9O>@pVG-sN(-c^3=G@i6un2lAsspjYYnytXXO_BlVW)-(df(1e&9!NhCV z(QJ(b4;JRhF4;{Sknz4r{+Pdi##oL%K2BxVVLm?84c)F|PC~~oC){gxW+y8d%)jBb zW62w8$TclAWRqT%Ewqh@8j;Ir#%wQ(+@ryc#foPrG0DojOFA(V-1s=s>aahb-)1unGnn9TAiLt-j~&OJwp zH)*7_7d)>LSJKr4aS2b8VN7kM)-7p6H8lsVcJU4?2l6l;wiCQ+duUfJUy9&X>RLk@qpDw4;O zU~V1e9l{D;O%H}_U&aziyX8uGv)@sQ-gc*aGrE;Yl{=xt-S^)%+>xC&>MXHUC>44R zcldOMyjEf3e%{&Ule|tC{=S7!OCDzD960YAB52LAwbM!+6kZ_6^hNK@r!|ZDQrRfN zS-Ewgw&h`kpq9DKCMfqK);pRg{G@B@PEv7eoaYO?Vv+A)AfigP*u80Cx$_1z`D4f~ zy}3B`7>{53RI=ObY*V~*45HF|=CMraaK+4Yz4W9juD18HnBYi7l_ppkHE}r5?F(!LVaSigYcTbg^ON5pi2cPmzgc-9Vz) zI@zzREB%%PDP%3McPDCqYS}N&P*SQtNA#rff>e0F4%88W{Swc**o!utO1w04*!eV4 z)-+A{PI@Myi{5;e-8R0HW$-&+GFm@X%g$PnVHl@UMI2kNJ-&|Li6y*_W_4MB5P4rs zN}1+&4nn_Rjee{KN$-W?Exc=jJao6S@u^rg2GVlli8%G=(8oiy`5uBzZM8nmDlW4o zaSyH16~DXfER0r50$eYYp7ZLg$WXPXunC+ntr>j8rf47#G4{||LnF-fOsXfgc-gCV zcL{?|jeGK5-3`?+vBCPfnQQ*xL97->-Fkj_ZU||j=692YbB^~h={j+JnynpZG}49Pt05iVE9@JAi_G#j1NoiB z;^N4BxU6}`h@g=*-y>9jt%HN0!%#`^Yax^Q?R|v7DkW$R2O5YoJp7JT8whYhDX!P8 zTb(z2C2~r;q;}e9Rx{lDCBk92%$@gkQ+QU3`?sz0U(m$OCSSR7M71aG-LhOYYkz6j zl~0K!sY$msN_L<0x8o2VxD2BLHZ`hw`iCQ8@AWv|BD6j1p)KU=P(1amufzkebf;ra zv`zQ?2WD$Hc_4sgeyC{pK1{-DrtBsoWbmezLH`!1bi6n-f_oHttC2Ov;TU9!;Ybw2 z1apjI)vgbo-Po$SprGS&Y_#o%m{5n2ibDMK-2pOa@}bag;>iL0$m&?5eBccy^`_p3 z*hhl)DA<|PN<}7wEOv(LOD{#}&z)HDi9AJ#PJeWE1+=?n>Ngf@Jg-XHzV?)E7_RC` zcDrS*a|eE(b}72`L-ekZX=x-qil{;yoJh5L?&teW<6CaepqSs{ZNZwiZCbRquVq{y zT!*?oi*!?@`|)rW`eW1V7HUje$Y$jE8#g`J^E+qSMoy_t@UhQbT)5EBj3(ICTd8uX zR-vOqMfr!%z`t;v;N|)(%s=Y3!jJWWM|OCbZz-c2A(ai^F4c5(OETf{T^;o|AkcBt&%8nn!0wQs#Kw=3X}Z2U0vx}QT10Lr*!nbj#ydQ znNa&!o3#vok$}vy3(KVeLBnHISAW1SeO63{l@1P_lEb^#F<-kqN&S31pI;z4h@?yG za`}^*zYv~Q2xQ`*-rmx>IyzF*eCoH(-egR?Gb9frlk30|ObVTbZ3?7gp9P&h(m?s( zd1S*KqW$^7~d+S@?hlatR-IWI5c&ZG&iHxQFXSU!?83Or;|6rmiqnjM%j zom^B7ce+!bL8K|tIJ~%?2Aa``0mEuGQOmZRot@=T8^C>Sc=R^A|El*|X6eFtvr9MP zGgD7f2eAc9Z#RGN=h|+j{*r$j@tyDdnPO$qlhn}1ZEUMl@GA$d*nR$&i(F4yT5kDF z1oK(%5;t`2-BTXJUa`%3uO>)-DRN3#Gv`p~F}-kb-{*hvzOmPy9;V9%bu}@oj?-8_ zA)G5~q5Xn(2!uP-L79Pgfa2IMKl^$@$#ktw>eIw0_n-eAien#Cf1xoy+pawvDfBtU zoF0DV&G(-@<4<3JQ0cZ}o&G6d9b1a?pPvO3T0DLZVZFU_5W-3kFFwIQdPw=O?>S^8 z>iA28^W=|O%GWHzw*Tiv(X)>O3TXsO4*l$L!0(@&*ngsii3iqaemU^(ziz*v1mYdd zC_b%s8w>ri^;`17O1xF(%60m&Iw>9lUxg1+!~eTa^q)@)UN;(~tas4x-Pu5P$21xy z-j`dI7u&Tbg8~X|0=$MKt-G!b9Be!J1hfPBU)07SJTt!kYHpnYh#0Q4K6~Ozg}J#e`U*_cIPW6{ zV`Ye&EL6vv7B-aAYHE`B`T4B@t$f3yy##6v2-G?zCK}@6;!0fn>!rdJ>!qDynsN#l zsT?y5^Q>K}O_EOl%2~S}CEV37-y^j_4V%mighA7guy@Y$$BB)qVG}!FL6H-zN49;8 z%eir=94F<#mmuhsyMd(DTN@c1K}MeDSa9yZWj1CfF1)pH(fVMApUYVk#QDB%LFb zW>%_kC^aB^%K-gHWcpX+BNpo}&a=>%r^l6*`_YazU)GBJ5u`vvMs1+RbvT;7qs9n9 zUk7GguYp?l259+owEfzH*%>NWyXxTvu64mSvFE9k=R5@cNl(E>=lI!TD{#X=nHeu> z2rn9&?(5QP6nUPS?^)^o65+Miu!FqXvbObj3(9KJhOs6z`@|Yi&Dps;vuJ(Y-J=E3 z0Jcie<})zIud%jvJ!p}BhN8kHF2=^|pAVXr3qJ2kK8?>L^uJYOHvDj(Vq>TbM8&(plUmjquzY+}!gIp*62 zlUmYT*yRm* z$tC28VLpDdHkvV|yoGF!Wu9+U-ij(nj_QbR@hH!)C9kmE+n*eY$P(-Gr%J3R=29nI z4^(dUX0ZB#iO0O=JRr^r;UB*`;UtO$zJ1Yz=dA~cLw64lhs;@lR{})=QhN zgv(vWNaa50+CCkbWR~Rn80CCVWtn|FMl{rwp-Zx3{tN6W$|cZwnS$78 zz)|VcrPm?SA$JWUm3Ma!<-Ro3d$Ds6prF`^G3*BJ&J|=_97p33B)`BN{Mz`@RoY?k zX71*ot@b^y_Uy6iVb#cmigmy?x9wqjql5bd8Jo5~0a;0q8;9n+9EJK}pX;D5S zgoaV{{kjH+6wmFU?5GkQB^nsn_ZSA+PfYUa#?1EEkw+R{IyLR-2Yq2nG=X@{ole zGZRQ5;?O?E4xce0!l;`}yy|J7W1S51hI~K%GdhNDj~ncCrAw(X0jsm#)pN-Qxw`#V zIjp}kz}4`LZfPm8B$Kgvtk=sj#>Nq5zA%-Mt=D(o_;Wq8WvTW&BU~V@FOtK-t*p(& zC^MOhlu*sTtP8bwD~S5W_Q|=RFu%SQHFOQiYBTHUUtU{Fb4 zqhH=spu5!ut*!V>>YWTA2uwa~0V^j$at6uC*Rvh9VcR*>i1igx0{Swiu6@X;siu=> zY-mNxW%rEHFgcj1k%}InUdvaz%pEMe<7Y6lw_BYu&FzBkWs(30cvRh3{bCK(sPlnD zt`J_2wuzh;gY2jH+mYukjJ(()g0^Bgpz~e{u;HALe$^$=G9H`@27Ce30i8 zBa!#|+ZA~Q%*tZqeHvI)nzk2Lx|5fO>W2W7>g|g)5nYm3tGV?RFx=TX2>JbPuW#}S zs$Oh|n8LkM`&0Rv(b^!>q3*>SQUjz_(X~@bOUHwT0k(bh(BEMbPI!R0rRf{~d zt`TWCgHnLHTB;TSD`M@|5xrTvj!UYix+GO?dn1s{CT_>&2vbqOy^Rm+50=fOl1#xl zO%~C^F<$Pm0AdYOVX##MNM>`oMOD4N`}cyFeDXc%GQ|#Y5`EKM_wZIWM9bxkg^<0m zA_K*&nrEJT#i>&uTo2RdaqmdF&+AY%9TiNxZv^YTKL z&%O2yL=ms0BjxkB%fKYk(ZE>E=5_K13#L0U>h^5066cF=Jy4rXywST31Aj9=asW)i zJEhhz&MOb8O*}{4#U6a7#wv_qyG`X_wIKj2Rm5$l$!^upq zv4*i`pSamCunn8*U|&$R2lF>O0oB>1m#WKX1g326JDlixuT{+F*IkmqxpO;5VH*ZK zg*@vTZ*04XBjAK+Soq*jGU!L$@vNpZqt=J$qf)1zowFo}QSfwWi(1Bj&VM;oRSCAX zw)Pp_^TMj6me$ssH*fp{={a)6%ZSz|J~I$c-TTzeKul1vzfFqYvhF`XZ1GY6r!O;D zBk@v*2n>G5l+PZcpIRR&YZ0^|Z3x#--RNibgG)pxwSj5v7;9e9-Zm!>l;#zsv?6XQ5wKCCmj@k8ZGUw;|~(&)eimh*@^Os|HbQ4!n%Tu0;&oo&C7>>kbUYN2lWNhq!TvD z^FREp+zFZ>YDMq9JQ82iNpa~ag>#+0iO+h?==%40h?G_(E_J7Ef|Cv|)GmT!Iuo5)O4}5z|r-slG)RD^HYqkxvSn|d>WLKHlBwU$$Yy1WXJrL$Z{QiQ~AM!DL2`drqppvB+ubT!V<{&WYhX*Skte+zYU>O;0diQXou>mgxu@5 z;0e^#_8yC)|At;Lkj_xuU+YARCrAFqr^2-zf9x1vC@oK5zk{3tQ{HB#PtaxFB-M)P zvP1tDua?%~`p>q1@cT0jl%HwLE$?P?|6_?#_h?6+Ybbn@{^VyX{1@tI7779I&l;!s z4yzBwKXU@v3T%PdH3Mv}g>Rt}<{$sYYCH&GIsg(NUS_4bf#`eNoBGGHVFt>iOj&Vwzz#(e8?mF1eIjNAI;X(u83{s3F7 zslxk2$u=K4@lcDpIYVDLq}DTakp z&PmebTfxOJu8}~1_bA}?ma*cvPah~VT!z3#>U&IM_R=*&OgI*pOujl)K(>zBb$Q4?r+DZcve+QKQ z@g`#e3ai5}$G-VaxdyPUYl4a5nOLkbaB_G(9V#QpbMaW$>JjUB$(t2VQymTzkw2gw z|2fS6$HUA1(L$9ah*f((a5mDj|7qYnPXTbM>|1THArwqjBjsv5=r@n^nul?^ZjE#P zByNJ$c8zm?1^5k&20ZgkYRv~=-4by($Q!Jz{kS7kDu@TZWEmj+?*{#Xu7ULY49>MD z{+=yKoj_Sig+8P33`+IawhE>L_ZSNs^e5DNp*Yb5RZL8h@B z>tTSa_^dWCCl~7I>UION=^XGFR%;kILiYx!j!FPi$#$s3=G`EmMCH^#AwJ`8W3?e< zG5Qbv7O~y$^q}s69vd-w+U>-RE=eT?Ma+rdInfgU8DAwQ{*S88L0D8?aya%naen={ z1v~du3%5_gpg%6xZwK@i-%u3y?_2;O?ho>yvhz`9SSLwD{?WGiyxg2SpQ_&=w)M&$ z4zqCykd~=6@`n^lU4_&8+Sx5lq(SDjl?FVp9efrGn`QxKkcsbvV7yhgoSbSmPVL)W zr`x+D%`!$ozv#Jr9ue?#l9^oS&0`*QX%$@8+FRG^a2<7?EsNT|R2i7M)T;;803xW2 zbj2XchfsiP?UuN3zOQn6-IqzyDK4HBR*A4K?X?LYyIq)e!b`R%bVDOJ-Pw+!%=t&J znw?u0zs9z;{SI#_K{1SP_dpon zx1jI3+A+yV?g;J>NByVQs%Rd;vwzL9v@hT04i#*+mX*FZ*D2g=n}G(!xGo0x%E5iA zpyJY~C+01n;)la`7oZ_g4H6_*zImQq!r&T)S2JmLQGQzKnjF7lRWNWKV;z3xFF8>JnH2qBI{Lhh*(GvDnWme6Vau27kJPD$QP7LXYCX|denfjZ+hxt zz-4)8yq$wDYH*nW-*0@k{0AkMITLBW{^9TwHzpQw+Y|el2k3&4_~OY6rbhKA3(Vm3 zES1OoY{=oN&uw-#NQDM{K3D)Vg1da0Nz}EnQc#z#eU9cih~+7~6CBU(L!8T+%gIV{ zSvM}TH<+n(^zR$)c!#jnD=!e133e|>04Y)?FfRkO0u$bp;Sxs;bbgWwM~6dR>6rnz z;$DTbxvkekKwqglTTBunjO}iHgy!mSu@eMlQFkL(_R%lIc}m*j4f23E{w|W-o`$4` zlCUZx7>Ec$iQ+jype~3YP_M#ePJTiCfc#>`jNGzvr~_)Y^}sb+=jB;Sv^AmhABBmT z*V8d32AxA?D6sMtWnxoVL@ccOE`S_pMdQ?u2)fVbv}$sQ8+~8Z{6O`9&P1wYdP}pm z&~@j9_vJD&d069NJ-$y%lJtq>Lai!w_sE8k)P6Luaq0RE#3%uP5SwpndkG<(YQs|a zc#N@v#B~~)Q-w_q88+kRBd$T)pKAg~+V$QOy}d^PJmhNhIR)TsuReC^1qchAeX=o_ zWU)Be86y&i>XLl^%W{N2^-1`s4Eg|GZzhMsZkXn>tZDM{A#(F`-gqu-P({ETsAoJp zM%h2D&6w&_(XZehI;B zzn%aPhi7-YWV0PzN1E~$FtgnmbBo2D?+l79y8(tZH;F+jiaJ&r$XeQ?(}(As2*RmZ zFMOBVS(~!2KegVhxcn{i&UtL9-_myJyp8AA6{K-gZ;;@gPFC5D>)zB0B(v#D<_T+2TeS`4qBzeGjaXKR!l)(`u@6qFQkAqYL_X;k8QZG z-UHDksR!NShV3L{dOQWNJrR7NS;8f<40y?RR@Aeoy`sll@b%*w2Dg<$^pGCwNfNpK zsJ-`ht!{d8m0=T?$RY?=tZ?VxD^bg?g}vJ0S3NtdZSMuQNSTeG?eO^~?fl&%J4Kf9Q$@o~`^BQhB^9vI z@2M}7-J8Z8v#P6mJ!D6pj=Lcj`Z1tu*yJ~}!D>&W=oBcubxERD>GCNyDITN38K14xuv0hr#&>$T5epRkrp@SN`3l;2vnp z3YDRz$g~>E+Q-4oRd@7?gE?T<$zStzvM#G3%V@w?%!bHAc04)ATgoC%8%h*SAIY8! z7BJ^yzj8`vI-uhHqfp9eqmRFG{u2?fd#(gY^r)(uRohLaI+g`|&O_&6S3?=q2XCDt zG-HXp$@cri2Q#u|Cp6|#imVNzd-YUEM^We&rU$24HraU(VI~jB6Q16cF#L{>*%Z|M z6xh(!F9#iz-tt*;?|Y57(*Qo2Mf3X2)Y9`epPPCt4Jp+JF=PSx4mLJ*Imjmrf1LFF zN<4-%BRN;k00Dkeywd3BGg#7hj#T4&k)6gaTljqq6@YfFK@e>m8+GlJ3lAH9z1Ih# zO434ZM@+Qq--I-A@Su zU-M6eUaJqX#17&_gi5MqtnJH4lfkEyCWbm8LZ8Nsowgqh?S4bEYUmDg9kbu%zKo$V zbyv)Fyj}ZrqxzF`dEqovhwJ%>_~tip8G6EDIh3Eb>U=AdUOhopQs9%0bu0*)31sOo zhgH#dw4Y*qgkLq|Wkkf68}0_oicoj&@ZhGt0@sw8VKe(yP;x7J*`188In_xV?40F` z; zay?XJC1K`n1R8@y{0jJd0s=TDvza!L?KT0I6<)&!^ySirRywwCP!{CMc#(h?n0o?@ z7E*5CT267TVe>S<$vq@vevI1e40GAKPy_)M z-O0d5nA;8kvss+D-=3&>fM2FvETEPY|BQv!QS6_T!$is_P@Kl;Wpr@IzxXDQZA|N_ z(vWTYhOC9P?(cUVhEJZ)(Ewk8yr!DCL@?q=mr?KVMoIpO?=WRj$z5e{E`JbfaWdZ2 znnmmDZPAch%GU0Uyx)FI?nQ5YeRtvJ%P`?W>8(sXJ_QGjt;h4Cfs5OfRF;lQGsf?| zRZ08AS#$9M_V`b+IA4_e^>azCace;|2(2>%AC(fHg~bKZmA@H!rj2EH4Lq1#KVPP# zu{_rGm>`RKJt+(v#>D*7J^@wh_{Z1F%v<($eaRiL{mezeRb$p+G*qHEv99o)@S~s; zVULbYe`FlCUrI?IzPTJg-5SH8C9E_qF4hW=am6Yw5$FX%o^`-POQo4H4XV7d# z5nq--4M}pF^9~v*%I59oQ{|?gwjgNL6WvwbbI*N)m-ncYnL#ZKncxUkbZ~IX5N;aJ zDiwSO@$0}=amOOWW~FTNG4%vRwZ+dn4P(cvg&=3rDAYNF$5p=5#fAXQkMJt@&X5(1 z??eEhZN($W@S*Q{0a$@JIoef$YP>bvJtKhKy>76u?Bn_r=qF935S5?;0fm!haTiY6 z&Ko%8tvZ>x52p3la=&Z;T2`>2`LJrho7Oz>?3SsiF<|qB{bX@W4~H;$jR8`p4`c-2 zPmO7Y4}5a`v{=1$ZGeik@WrT*TqGl1sb<8TH?Tr{xUn1?0r0G5cfO)Y76qndJHy^U z6DW=gAxq-fWD}su$f?An=Q^gn7i@Zmaf>KP?$+o`(?teu?X0QLA-o^sYT; zj_&6;Z^&2f^8M^BB^GVzKX+ZKz-@l3|7LvF6Kth-Q}4~?1B~?V$~mdmQpx;%5D9e+ z?n-h@8EH77WHR&RH}{R2$?pT!v2|(tdZF)+j2&H9oE8&8&o#7I8hS0&yE$M6Zi;KL z7#p$D1LEqH6YFH$gkv*7(S57tKs@)?S^x2K+_U~nTJ=JeRI{hdRk=H#pIn12q5)<@qq zQ6h5e=UG+nv!09})?YzK`73b|lBP}e;(j%1Na%v#HpRlmcT;IeoqRH zQQh859GJ?NzCWt!5i-olXpZeeaf6yNtr}yKq58c`c^>l1X^17$iy#Y&)l19N(Rve)$2nPZg%@HH#Zts!NEdm)VpXa0WVbFtk82qRjRpNvB(^$VSf!{ zfaCFGkWZ^R8VI0@v5tlU0o=i36H$Gn<_h6Vne;i9(ke-kTpA3YWZu-m}fUO04nhR}EXL`dhSVo`B;KvQu zq2flm&U}KIjXPtKz$ES4n=WSFUIq%Pm;&`R_Z!Or3)qzDXi>8j;hZu=Pgcq!V@T>~ z^Oj{9+#yh7iw6SVzs zqmoiAR`KCAcB9mn;p;75G7(|19?c6`iy3cqOAuT_@p4Vf1gKpE`ral8iHecl`l#ME zB^HT2V{S#fu1J*XZREqrF=m05kPZr#2ySb*0e2I$%Pb))ha8d#3CivBM15Htq2|T< zvZzs?XSsq+p|SVqphI9B+-CczI5{pZHD^^sQBoQ@>H9gU{JlqFNYTSj)f6*oL1A>v z!>wShCe@9h2lyz6rTA%=wQ17Z>(Cc+dnF|RO?BsH&*POh=M{5nZjFOgEKL@{=2)As zio2$T4qx?^{;!2UuBgkqiugM~hFYo-2Am0$t7j<6URKTy-I-T7_Q

PDRM7YGm)L zF1an9?7GH({;){=Wd}7uC8v1L2gi^jx1GS-8aB(5n?g*Ng05W(5e&%YG{_C~s62^= z*jf>r_ApwSQxOsW1Q7{Kc4(43A zaEnWjPuC^Q+b_!@sZ%FlQkKlRnyvRrwpOiklsvcEv{}I>s(EZ(;rl#Dl9LB9jn*Yk z^M47zQ+*y!EFKUq-mO<3ivsRwRGrubAr(^Rs0e>K5J2G;`0Dd3A;^i)3OBnLeV~oe+%HoptgpqRiX>$c)+(CU*-<=;qtu8 zQbq0N9Hi`bIB9$2O7Y0S7#a6#&KBJ_;xJ)w-0cLfqkVbL<}h$x_CwXPmX%D@IYCQ94&Eo}o|QK)pSc zb1)RrBZsu7eB;v?qtC6HO8$NsyU^V~K;9_p3V6h|;yoaT>C`6gCmpk?cyxSe!OGAH zlnV+cF}d64&2&vkw^v108fnW`AWy|eot|Za4OVVhs<-s1SF~*>jqNR6@^nkP>&tG3 z7=yzRBKCC`oYfd&@&&W%6z4`jCbd;#IcxEA0dqG4sFbS$d4rP|xljU2v$JE;(w1rM z`6jSft$rC(>I+^GL#AQ=FkZ~J^TDz*77}@@u99Zo@c@j8qfbkQ(lgSl-96De-_MJY zOP8UstM}w3JybrMVytFTU$n+_!WTRN#snn19_b@@?m#A`W(uztCr-R+iV`C5gpdPg z-YF7REkBHiqaJh)Rv<2Yr3IaWZCIo+8V`yC?kd=iyUEf9nI@sJCg+MImB#iBkR>Dx zz_Do!!!2{?lR<&gJ@0Op1AVJw?tEmraJ6S)q>E3ZmujvOR~8~aOR`lDE57iAJvksudgoOR{6U{~Y6bR8J z;%iA}`L{Ju);>w4X=S#YL2al{Kkvy(UaDW%1#~w5U^EWGfgOPtu-%4;pK%e3c(>`t zU4H}Qx_jp;EPz7)@YdEJWqyGA2tvD@9#{2`8sCEpsw(PrOZV4X%cC*saoyHXUo2S|R`>jq7k zw(!@D5#iWzdIXiOxSoZ?PTe!X#-2m$odDgQ=`?_EQOx}EDJsLSS;c|--OR%EOFfE# zSYt3D*cInc;IrA}iYhRO4b@j4tT7zKGWE~oU;(c1-r_mzqxZvz2C>NcgBW#}9t6?) zFD%C|foqdt3Z1U=XUpKkw0jOk=z;-UA#!7Gr3Afk)?^=YURt?#I!vUGqqJhY>+)() zPfv!?EBZi=e5JDht-@7NI`GF~V&0K%Xmv&qHn|Sb1NuEn%Vp7OpdLCAlu@`()DQQu zk>S#$u(|}+#rS zR}K|8j&4vSctnAr4r6!#JDobxPzYofo`xztchy`ZFS^Fm=M|=)NzS1&o#(-*wLa$; zJG+y9g3YSnEIydQYCl#)%WL#eq%al1Q>MOyKE;E1y0>m6C`^MCWo7Q|t`_C*VW!{S zauCY;UxBX$m9(YGmTE+s*foO&0AyAb5%KEYVv7Wh7@d z2Hd>flF{1MxM4Ktm^!OJzTNO*o2Xa?e0?>a*_3nRZ4R){?=sUqt$`4{AMO+!Qgj^y zqP~MblA^%Kj}HwvT~T;EE8~hFB!O@l{1~)Qf#(pEyYr-UNuQY)bGc*$7tSqdeC5h+ zc41XTZlyqhUyP&(-MQ=c9k0ZaIQJE8wOH&xc)Zg${zu=s|HTiJsJo&_47x!Jw$oO_ zasRKs#NAHLxe~oQM5#K|t=JuNB`R%$&7$MTjw{~gmsl$dscz75r$_EN}?5goK1wG{5jWpXL5`5c?);-<>dbXiI_e z?Qx~C-{d&aCb*_`t3v)y{|)q^CkWFN@F(l@31pY~g`-{fLuD?|yfpPTbkZoWB{3&qw?p56X?uXG6fczB=Syxxqe6hPN#Pp%% z|9qm1vS0$eLYJ#&`4?nnN9_jKpu0*{(~!&+zal&Dd(dYtE9P?6m{iB^WWx$ zoKxi_^gnrQfREp!IFKQED8<#MEBi1VfUd(FTH ze#WzKDQf_}Rb??pY&Uh@g=cBPCj}AricnWOJC!&g5?TYT53?RdIF?dvRdDM*(U~ho#uV;Nc=ozA2lbm57%H zJsU!Q4v2&l`}Ayle~oW2+42XDHLt9su4Q*AA1v)X4@CVMxO6#WFT8#~n4G!n?q+G( zJ$p3KoZ#w??@UFk@Bzx>!XK1JA}$fPe5!3?=R(XquQ)}d{mG~%)#vD$Td(BKi<{Pw zP|}tDn$Qf%u}><`a|@kiL5i(1m%@}e0-m2m-2_! z89F3Opc#=h5^BYSse4}%@Y)qms7>iG@l{#;%V zmyj@A?nbuyzJBp5KW@O4!9UH=JP%!U12KNz7Vmc?^D4G~wV^FXJT+SQq6JG@=^BvH z@O5)flmct16>xVfoiBfw(rz;DoWX&mr`Y>02MT1}?}XD}3Tm|l8E#(8duk&^fJKO2 z3%pgutc$#OgU!xxQRdIyrYe5y_mPr;ke>*UHAu|4X%{}!1YOR})9R8hoqtnL-`2Rt z^0pd)A=PSuOgvj4AbpM&KX$I=fa#$8$S0|W7+=aaw8q5goj3Bs25=Q{sl*>(Ci~?E zJNzk7TLsabQRr%Apz$pOwh57-tckn^M8L^Kfab#U#xFJy92C+kG|u69d6329^OoAM zBPRKs@7iSBzjDXPsryXHD!NR|%uba0Nyz8#nKxuEPgH(-GzfI zV#AA?_Bcj=A&cOTULPkwkD4UIr~Tut)b9aTl_DXDS&kO*pnuOloTRZcT8}hU?j;I2 zc>lm^!a$-EZ5te)A3a)rVNOcaIxs-bs%}vB@O)DnBsy%OHsbY!_jd2u+omrsay2o6 zRDerl6)`j70ds9B`W3AbVf4UUbl2456IFt~MMe@B&dnRJoeUj=ii!>GiK*on#%!0e zQ(YrTl);BW_L+rYZ*a>z-0CW?V7|qwu0$n2a$gU_HvSZ)_T%&2`s`%yZ-qkz6vTWt zre1dl_E0=!zCrhUri9-LC~h_VvD4+GZR!u{4T+!u5Lc6%pPvP)0m%Jvf&{#B+>;eI(i%H8ev~>_;#)wNtVjY4Z%v!}ytg+gmWW;$oPBG}MQ>EwoYhtybG`(#HlFd@dcMwl zUX3h%UgR7FV27lJPNX|p)SYI;C+|}rkF>w||BxMY_9J|AX|r zO$>YuMpa!>-M0PdkE|tD*G&KB;@j)&b~KJ3jY@kR!H!{3{mT2!`_c|DoFX%A4pS_$_T&%#oi zjR}S0#7sB)fK3Sr+x*ztfLX&IM;{Oj4tegNC$~TxiT%>Wu}!0x-?=vGj@8TvnM{xm z9t#Zmeh559&r!kkJtS^Hh%w!f;y7C2{s}N1r(_&P9Wr+30ir+=xfwV+RFdtED?8{S zn^VR#-gvwLHqVfZ|BMb+!kP($J7sIkqkm-?43?SfBGxO?E}awLsq$JFE*svikc{y9 zImn@ic$AWlqYFo8&mKjA6QTEeq3mWr+kN)5WxnyW_U<+zoD{gfpsIXG`g=J=yizIZ zG3e%ZB-SuqcpI>keWCVTj4sHZ2)+AS43<%-vK?G*?z8LAWqK@$Uo{-kWe|f zLW0Xs&1TUn49!*Oa?IQbcfYWzs;W1({UdXl%oo<2a$j-&I}-?654Qk=BM2Crq>&TP z0Tf~jfR*kh7G0{ek|2N30(P&qjYX6kUx|7RDx#%LsehpxD!tZPbo^VZ9CkCLyW_rbrA1A?_&|+|Y87n=|Jj@2r z;MFkK4)y1-BBP7!%bkMHUkX=(^)Y=2Jbi^YDpu#s#BrlsA$XWyKos{MDeTiVi}9NwWa_7FR7+M%VFz|LikIfhgorB zJ6Xf(Pwse~Y+o{EpG>&S?p=RE9%qQ}5C$w~ss8@{n;d`6%vaUjrIx1O;dl zZ4d}2LH11frT1&61o{p3v+VOjK-sAUbgHDx%g1Jg=Ft0KnH*}bq7((48_SXJFIRUjGHZRS&Z`uED=Czmq!F_B2C=cyw-q?~QwAM}dhWsKIH G;Qs>bOV0rS literal 0 HcmV?d00001 diff --git a/docs/sources/twd_sequences.json b/docs/sources/twd_sequences.json new file mode 100644 index 000000000..4f2a3705b --- /dev/null +++ b/docs/sources/twd_sequences.json @@ -0,0 +1,34 @@ +{signal: [ + [ + "write byte", + {name: 'SDA', wave: '10.7..7..7..7..7..7..7..0..0..x|.', node: 'a.b.....................c..d..e', data: ['A6', 'A5', 'A4', 'A3', 'A2', 'A1', 'A0']}, + {name: 'SCL', wave: '1.0.10.10.10.10.10.10.10.10.10.|.'}, + {}, + {name: 'SDA', wave: 'x|.5..5..5..5..5..5..5..5..0..0.1', node: '...........................f..gh.i', data: ['D7', 'D6', 'D5', 'D4', 'D3', 'D2', 'D1', 'D0']}, + {name: 'SCL', wave: '0|..10.10.10.10.10.10.10.10.10.1.'} + ], + {}, + {}, + [ + "read byte", + {name: 'SDA', wave: '10.7..7..7..7..7..7..7..1..0..x|.', node: 'j.k.....................l..m..n', data: ['A6', 'A5', 'A4', 'A3', 'A2', 'A1', 'A0']}, + {name: 'SCL', wave: '1.0.10.10.10.10.10.10.10.10.10.|.'}, + {}, + {name: 'SDA', wave: 'x|.9..9..9..9..9..9..9..9..0..0.1', node: '...........................o..pq.r', data: ['D7', 'D6', 'D5', 'D4', 'D3', 'D2', 'D1', 'D0']}, + {name: 'SCL', wave: '0|..10.10.10.10.10.10.10.10.10.1.'} + ] + ], + edge: [ + 'a-b START', + 'c-d WRITE', + 'd-e ACK by TWD', + 'f-g ACK by TWD', + 'h-i STOP', + + 'j-k START', + 'l-m READ', + 'm-n ACK by TWD', + 'o-p ACK by HOST', + 'q-r STOP' + ] +} From 919c5b9e71360b323ed06b644f79d13adb1ed9c2 Mon Sep 17 00:00:00 2001 From: stnolting Date: Sun, 15 Dec 2024 20:21:50 +0100 Subject: [PATCH 17/19] [rtl] twd: minor edits --- rtl/core/neorv32_twd.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/rtl/core/neorv32_twd.vhd b/rtl/core/neorv32_twd.vhd index 67ab9c7dc..a9d6a8815 100644 --- a/rtl/core/neorv32_twd.vhd +++ b/rtl/core/neorv32_twd.vhd @@ -286,7 +286,7 @@ begin end process synchronizer; -- sample clock for input "filtering" -- - smp.clk_en <= clkgen_i(clk_div64_c) when (ctrl.fsel = '1') else clkgen_i(clk_div4_c); + smp.clk_en <= clkgen_i(clk_div64_c) when (ctrl.fsel = '1') else clkgen_i(clk_div8_c); -- bus event detector (event signals are "single-shot") -- bus_event: process(rstn_i, clk_i) From 672e0c807b9e2b01ffaf7ec7f00ca6a9b31cfaca Mon Sep 17 00:00:00 2001 From: stnolting Date: Sun, 15 Dec 2024 20:24:29 +0100 Subject: [PATCH 18/19] [docs] twi: minor edits --- docs/datasheet/soc_twi.adoc | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/docs/datasheet/soc_twi.adoc b/docs/datasheet/soc_twi.adoc index 8107418d4..d1e4b38cd 100644 --- a/docs/datasheet/soc_twi.adoc +++ b/docs/datasheet/soc_twi.adoc @@ -21,13 +21,13 @@ **Overview** -The NEORV32 TWI implements a I2C-compatible host controller to communicate with arbitrary I2C-devices. +The NEORV32 TWI implements a I²C-compatible host controller to communicate with arbitrary I2C-devices. Note that peripheral-mode (controller acts as a device) and multi-controller mode are not supported yet. .Host-Mode Only [NOTE] The NEORV32 TWI controller only supports **host mode**. Transmission are initiated by the processor's TWI controller -and not by an external TWI module. If you are looking for a _device-mode_ module (transactions +and not by an external I²C module. If you are looking for a _device-mode_ module (transactions initiated by an external host) check out the <<_two_wire_serial_device_controller_twd>>. Key features: @@ -37,7 +37,7 @@ Key features: * Generate START / repeated-START and STOP conditions * Sending & receiving 8 data bits including ACK/NACK * Generating a host-ACK (ACK send by the TWI controller) -* Configurable data/command FIFO to "program" large TWI sequences without further involvement of the CPU +* Configurable data/command FIFO to "program" large I²C sequences without further involvement of the CPU The TWI controller provides two memory-mapped registers that are used for configuring the module and for triggering operations: the control and status register `CTRL` and the command and data register `DCMD`. @@ -47,7 +47,7 @@ for triggering operations: the control and status register `CTRL` and the comman The TWI module requires two tristate drivers (actually: open-drain drivers - signals can only be actively driven low) for the SDA and SCL lines, which have to be implemented by the user in the setup's top module / IO ring. A generic VHDL example -is shown below (here, `sda_io` and `scl_io` are the actual TWI bus lines, which are of type `std_logic`). +is shown below (here, `sda_io` and `scl_io` are the actual I²C bus lines, which are of type `std_logic`). .TWI VHDL Tristate Driver Example [source,VHDL] @@ -116,7 +116,7 @@ that have not been executed yet) or of the TWI bus engine is still processing an An active transmission can be terminated at any time by disabling the TWI module. This will also clear the data/command FIFO. [TIP] -The current state of the TWI bus lines (SCL and SDA) can be checked by software via the `TWI_CTRL_SENSE_*` control register bits. +The current state of the I²C bus lines (SCL and SDA) can be checked by software via the `TWI_CTRL_SENSE_*` control register bits. [NOTE] When reading data from a device, an all-one byte (`0xFF`) has to be written to TWI data register `NEORV32_TWI.DATA` From b0590fc0f8ecc99f541ee86101ff7fdaedc50013 Mon Sep 17 00:00:00 2001 From: stnolting Date: Sun, 15 Dec 2024 20:46:49 +0100 Subject: [PATCH 19/19] [processor_check] adjust TWI clock speed --- sw/example/processor_check/main.c | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/sw/example/processor_check/main.c b/sw/example/processor_check/main.c index 3b0dcf11d..ea5ca4f24 100644 --- a/sw/example/processor_check/main.c +++ b/sw/example/processor_check/main.c @@ -1012,8 +1012,8 @@ int main() { // configure TWD and enable RX-available interrupt neorv32_twd_setup(0b1101001, 0, 1, 0, 0); - // configure TWI with second-fastest clock, no clock stretching - neorv32_twi_setup(CLK_PRSC_4, 0, 0); + // configure TWI with third-fastest clock, no clock stretching + neorv32_twi_setup(CLK_PRSC_8, 1, 0); // enable fast interrupt neorv32_cpu_csr_write(CSR_MIE, 1 << TWD_FIRQ_ENABLE); @@ -1285,8 +1285,8 @@ int main() { if (NEORV32_SYSINFO->SOC & (1 << SYSINFO_SOC_IO_TWI)) { cnt_test++; - // configure TWI with second-fastest clock, no clock stretching - neorv32_twi_setup(CLK_PRSC_4, 0, 0); + // configure TWI with third-fastest clock, no clock stretching + neorv32_twi_setup(CLK_PRSC_8, 1, 0); // configure TWD, no interrupts neorv32_twd_setup(0b0010110, 0, 0, 0, 0);

SFvy#Otir#fjevW~LeFYI?-Hs3LqSyKRs?X#2$+wveWpjTvutMbKR}PEgE+k*w ze4d-K4qDa}d=nFfRBy%_6^$2=(Zu4ec7hOMqwo}Br!pW%Rsm86&cGQK4W@?@XY_k0 zJctN51HyeH1ts>vt)|0D`t)5ZzwoydH$syBV4skejG*8^0W3P!dZ}q%^TjY)$_jk# ziw-MmhV2xe82)21bNA-zW-X-jh1B=uje3>;yl|j^KEfdYhpJejVLqdPCYuUfV{XG9 zdI#(%+O;{Vxi!(ZUJ3sOdiNZup^Z3T){6_JzobpJ~Bug1M9lbUP}pl-Kp_n zoWdB&Z^0n23&55D${F2nX6(W%>`OAKJvO-4sC*4(eLPqsoX)YuHw zE3JASUAhh_?rqBMry|V9XnnG=nd$nu{|2snxtsX)LT1^UG2h-)gr0yTaWd({vIf@g z&k-&9XAu*?N=W?<<6FiJB+*jb(dXffVsR7A-IZ_J5lX#=c7-*lJ&D(pm*K71%o~?0 zAM%Z{KADD=UZ6l&r`voxUvyCygt^y^{&-5jrlrUq-4Dc$uao5t2!^Hx(M5b)6#_eo zB|I5|mWit9c*gLyI2B72g;%^31|=Fv^N0V)=W9S)wEt?8x3dC|I~0o%bKWr!wA@B? z$V=$-V_+%^w(Y?p{R|+cRX7I^X=^|Car>MLG(#_DyzfS#p{nl)UR>ZoAH36B|0XkP#mI|Fl_n^AEtV zzbCJVr@4!tennm1{m$b%cK*c2XB$|djoR#x#tV0K7JcvcX~vKdlK9!)ag;%4W`#ki z#2@da($}G$Gl{HA5X(^FUc%_eeP;tI=|k~*NWw_RZ+?L=qvb)}pK+R7OwhpW*v=?> zfW2WMl>Y}NK5PPq3u^7>KDj(JSatsvOL3EXX)r`eIk+aWGpVs1uVI6e#2CBRwZ!Tm z3D`s2cDE^p&xQQ-)<^|6*0YsHB1;M|X|IZZh-ew@0PEl+q||nYEq$#uH0tEw`YnWF ziv&S2HmvLJfs>Z4(Q^zVbc~86@VGbO#M#arbCX^GPuE@ZNp3TB67<7GM*(6=K;4iutNW8^M0WndCJ$W-(EYZTI@!QGp$0lKZoi@|7)}8GG6BY`wi)o1w z{mvS9fG>M=^y`JQCw5IrnB||y|@~dB1X*t0_@V&QM zl|W*9gO@jxz1{I0)`7mw*?QU43}Kgbhq4XDLZ%o0=AI};?Yd`_d(g&^J==IXlEv}1 zz*|w*^J4c?-dn{282`j{M36NulPa6_mip3V$`mrPsLZ)gYBVz%xhX`Tb(+WDX2uW| z*svh6mSPC{=g}t;=A$Tu>*lNXkM7_17{hir+d~1_qODPxc`?nf6xE$mvp>3j|NaH^ z%M0)u=0IHyQKv8#s>hI0_Y*-iH#V;cH*YFt1RrX~PdST56LdR8MO>?R6+TnBV;-Bg z{5=aRU{bNf(3;Q?2u&~cjxQU-C+@#hTDr)XiCJ8&It_t+kJF=wn-w%UHODOj)0^J65&MU3?y)5r95bjm*sdqYOHVesqM+bPQa#lidc zzdmf4c%bX}4kS0d&u-NyXUBJWH^3i*4x(BFG=zpijx@qgrqmDsCRT!=WT@FSp`$+`O#TQxl+vm%MwW?tuIv+fDf4m}CfLKQ8X8bWBkB6*>lZKf zA?QSl!ZSjr6Q2mPS7)Dp72=HS7((x_tiitS_|uUC84t{vbmI5x#{b?Y!MUlWSOOLo zb@f_SEu(ID9*ADLx@Bmp1n{9b4awQ9I7nvKYwUpj!GmX~M*QtXA)N|oAk0*B;~c0DdBVit_LqFB)SOgRSybMAq!Y+ z$4%b;zcDB_=AbQZCp1*JdS6*cya$-x7daH0ho%EmP1v*F40=t=b1}-AEmN5&w{01yU9cnnB-XOsBJrO41B(Tq8|?UHW!d1!#7O-aLYudpnK@3tz=Xi>;vxcx zF@}tnh!cP*^85{PlmF?p5?VsV){20Mdf=`}?&}#`H^cElS`b$zB1~*I^!V>@4wSve z{3M*Q{x_@ETPFV7yw9PBA70wY6(Ra?>5x&vWok6W9ZzcdJd|PUoJ0+xg3tRba;ndw zeKJZNqDfaiU=yu0l_HB(yrRkj9xisfhui(dV}x{sTQ}If-XzJX7lYpvSN?FWnD_Bk zMCT!VEA5K&yrNG03OJKYt~NaqfeV`LAp2Odv8%*mk#e9t(htj}V*O~vAb>%pVIz>u zcW@{ALd~y7e+LAsy*p2S4osv*n+44z=&eR_F&YatlC%K5R1{!vealPZ%k~euKmdYa zuEVW?NPox*9~Cj=nomNY7{;!sF|Du--9(}@2&Lig#jFB!ba@YcHeb)v2s0lV68!Jb z0p0RLV&T3q(aqsviGnKko+u}*T|G8$`uk6-$nK>sRJv?wrI|64v!`-~Ol$+RS_PN< z8~9G|NI2d?tFACMFV_D0IiMJLkn}~a@@~5)B;0Dr%aMIB`_*mI6%T6MZnhIYUuy^g z+KzAjOGY~J6-oMdn5F7vltZW?`{12h1%9_9PotKcDvw0Vb2JVd?Mtw9d#Qu~ERySt z7y27`4h!)@yOZH5*I)_TCegwRz}cYUV~GcGaeDdmu?}2_<7?hlK#lGMyLfujHpgd{ zG4w>H-iyq>ftHQf*c!46GnSmnRPriWp@!rsjgRg+y&o3Ya9O23%(^uSca2;VM6y%vpz=L8g z`*l{wtn7(yO2Xu9#_rW)t-84dfcRWqW5JorGOvgwH27JTAdjDQ4tXHvM75K-m?H-tZG_uC@Qg9O1w#5Ox@nbGLJ9 zUv@?~Xr>NTo3MvpbDrNt*$SN|5^H^hl{V($#FWO@dWxgw`E~uAM!_o=I>6<}Urt+- zX6X6`TRdj`^F0H>=c&;rg%RlruFfg0ngfjWTnqwZFs`h{D-Ao}CLtZM*n3tCki+%h zkz@YX{tCjC7^N9Y^CqbYYSeu!w3vRJVGuX~kS<&6)Qln3l%7BL>%2njv1gtlH${1?w&3ng5H_xb5oV65Tz4eozk`uhIq%4guDvyR`Q?)Z{5U zQA8^NCsZxN*sc|S+fO{XdR0e21HVqZi-YE!Ev{_le&|?sGK6|Ozh7q+SsJ{&gr6~4 zHMmMDJ^_9@Jh%kNL$Uw)v7A55G`U(CZcu=DgmlO<1p`q6f(6b0Z#UdZ_`mD1!~w<` zKP{1$P->v6ih>JorCX#_D&#W%{4V(805zkYp6GH->w|Z%0Yw)g&oECV+8!bhB^q_^ z9mg+V$Mj1%4r^{BcA_(VbgYvJ9YLr>OSaN9CdWjS4krymf(cWE1Gx*q3uPjyGsVTl zEKD0JHMfkUqts2uuB!}p|65=KfSp(4_xQYe-8J=f;(u(B=HwmOo=dh>vNlqk0~^j* zIN%pYm?Skp=<3ur%oUp2pn0EXYt_@9xK;QcOInq7_GhyQzQ~gwbj*W+^hfk zl0X8I`+_;>Dod%t#a;A(jfMolGx0#oDe*X57Pl9UPf?y!bOJ|o=^-^y>UjM$eF zwwCYAW9cvNx`V+duI_JQT0=5uwEw9-oJmk(~HT+0*0jrc30p$;TdeN zn*A401yKq4g2pZ^;TEdC+2~d0jm;3EYM*+o__y_M=JXk?7d>Y_`Teo)D zJ279{s+{r#tK{coF^PpBa#U)L@5n-f`Mo`tnMiDiPrIaM)lJMd0f?brv^GbS^OR)BK9%r}x2$150udwo@rF+5@H!yKDf$z(S)L zF;Vgw`_^4;dbct}6t(F70|&+v;^QYnyN(ATfv+iFp_WEw&x!lzYrmA@{$oy@+N4bR z%t71d4s)lL(U;jpnlA{8>s|e;N=WC*+<=${djpelYj74d+A?u4q(bwB&GsLNm!r|R zaF^Q5#?$Bw=G;!K8<0vxp*jyEyjCqn>YXwR{cr5@*pMEb?7(%7#nJTS(F@L9>xw0+ zx9GH;7LMDXwe6F=%;hmPT=K6CG#gJn6YL zsEE$zuIZ++Q=-X7R@9Po32Y3UV8Molje|GqRV)+UECBr*v6n`4uT?fvA!Gw6z25+- zZoG)M>mvROM#7jsu3XD0p{A?r`EFF_sz@K)VK9)@0mW>UV`3hUUwS67j&OJFW!GZo zFyr~vJm%}voFGb+Lb$pi9QA~=7(}v6ydgxm_v>Ju+S36**nTC=Ut< z{qDCD8KYwrc%al`0e|;4R43^l8aF^fANlg7{*%Q*_ql^oFv~oLJlPQDdHtI^*5TOG z4ovi#$De<5*HZS-yK=5_Ls~Wi#?9LtX~|npsUd*dz&Q_4d?6J0gG8758}bdOsPJ49 zsiq2cR4|LvP)JY*Mu2LG&P2Zt!L_7MOgzF2B@tzf)4w8_lldRh1?dGO$jamQk@>XI zurS)d-*5K@oIw*uD7Ns=RVJjTfH{DVE8}Zr{u&07;0)_b{&?IFRJIxb(Y`IRQzfF^ z3kAVeJ#*mv!mr~v>zQ_TW+OT1i4CT9b&Gecw_j=_EF}!3J!d~ZQysHSd}Mox@YVV9 zsB4VQjvk7Km70hvF^!>n7w`+x{7fhw@Q6Ukm#pBq1v*h?3Q_Z?`hVLvUM?Zuc&`(i_z3~Q7=9$u4& z#l=jB92Co>PQvCjFg1^&94l8C)V1C<^|%?HotY1;(m1i@AiLI8`0VBatLEBqN3h6y zFc{wI#J_Aa;SbZRj;?Oo)ZeQYGA+_rCb4ogeRpD%>HOmsRMaNh)i-x`E!xuP2FB|Sh77yIKcY>9~%&v_M3h5|WLR0t{ zD!;QP$!9cs>VBS!^rKzc@D&+WQo>*ii zZk@(OB8i_K=Ex!#{Zjr01?R$uw~xI+CAicA!2&m-#wSWmB~Sq)hvsL{-HnfT887XC z7z6U{cSnpkqJ^p3WHCa9q^4Ct){3`Van4ayQ;9F|7Y(Fo-fWZc!@@1u^9ESKlRS7* z03i~`pchv6)xg9d+Xo--cgH4@LPHLxS37$(VZ_2qKu-Y{Dv}zFtG(jrQ)5 zHyRw(U0htQd)fGOnBic_4*I7Y+1HJi)G>V~ za$KknXejVRK7g8-$bDJuA(F|C?Rwx8x%3i>+V+hRwd;0%4B01SJaQeXW89AdoqcC>vsOfliAA<{@c2CMXd6fo&LQF!Cs{5M?@Y{+Q&IxCeOz z@~1m7Q=N7Ns8aa{lJ5yC7);=48e-KW2-cySj^#oJtRSB{HV)`l%L3>iEawM;U~65QiN>;NKM71X*-N@ zH+nulyuA;cna&1Qi2S#v1+o%`o5=oK7vKn>P)LjerBtf%d5Kw&@A5@yJklQ3Y-F@njrXzcSmBz zpU(2aP}ksCi^Ay_ezz0~u@zxQxmG2u=-t%&r6S2s;bNB`9q(f8v^lj7vvGL8$s~^W ze;`{|Dad}3poc!i%QCU#rhd)??JQ3xw6gzZFcb6nD+CS)$#L)2r0>FF!&YNoVny&} z41)L4cd;==&a!E|r`Us!^VOW)*_Fz!MDvAK;OhbGj5Jq+z}qB($4R3b%xr{@JG3iXzNqLb2W-^754+pYFnM;wNngB9A^W2yB8D$6;E9@6AtvdYQdI8zmVe%k(WjIu)Jy z;w0W)Pn3D7tu)i0`RF|Aj_*iA3YyoQ&_63}+W6?2=d)qWw}HNQ(Tis%VnrX}KplSu zf%439PQU+yQ!0}Bm_U$v6croa=}$fzKxlX98&2}um9ihzCvkAp;+GtXv0Kvim8xvr z#gW7i1=ZnLPiZ60eKaP#XMY=!3LUB&0@%TMK+|EBh50?Y9=~tbU5Q&9@tx$J#>PU_ z=j;j5*H}o$!S4hXKAu@8Xy!tcs5nLm=bz~1q4iza(7%P0*C1ukLl3?Otw7AqT8+GJD6DPkDB2-5`_oUa_Y>xLE`vGb5LPW%g!QnhOhCS{AF;zn3$$+V(( zc_|VTi43^*=kTu(&pmn$J=HWJ9pZqS{zI;H+<*n0^^YFO5j0%DSvb5&H$q&i6hDwr z{)Kr!Hy*|UGrM}?pxD}^4XhiY!=oa6TT2GzpiEQ6@A)mQO4{q`-?feS|V zm85KgiuwV-aOr-=tjn{(%d0i$K~fml&MAWVESxkXUmU@+zaT)!gjo*)Zj#xgXL)?x zW~JYD%g<8|yl$uyl9oPsPDp3>K)bY-rjZS-E)@|-2)eK?vfe-J@$>>bKoBZ^u9z2ar#g{x3{uqI8A8f>a2t(gc{&yxx96L79 z)*{+^Muu?!8RFOsZMoko$tz_%Tp#~9oUSYrkG{9>X2I+o>xj~;k$2(hMA`E9;?nOcEOENuUGaEzs zy@wPE&8h5ShT&T&zshV11Mu5|PGrAMJSPOOH;-W(ZVe$AT}klS@TS9F(BITE)_>)W z&g!oc)-m>6JkT<7Ep0#Ta$aX63KTG6PAsc~rL>-rB;PqJ)+$aZQTr6FQ3*P?kvT{_G( zfxR%uAh(wd=7*xRv$7?Wa1!9@#q&axz8DMBho)PvI^I>h3KwiBKS@D@Tw@i57#;`{ z_IQv2&->ATZ3HeQ*zzq7*nx$Of`qKoLAZx+)9}0W21ERD40@JZKl?}O7~FICV{p^9 z)R5WZ)Sg>SMcSwAosMBO$ zsF@j-SDcMA@N#uM?I6m@Up>^c^5&^F*{wrQhQyI|9oab=E~I1jSvJN*kbO-b;yX-N zrUc)7{H?m2{J8{>xs1b9S zoHgEP8}?#^L!5B-GI>@u~}&SIA100pl_srzQi7t|Zik)a5{_`$I=R-56L!GnpesSI^M$24KlZn=^- z9rRj0;ThA=il$Dn$TbuJp;z`Y`Y4>Y#x=?w0~2YT*h!z`wCc&=230f+c&7b{-Dv13 zvCE(x;8&E5CsF2A?0x08`2|p#uhdMLbnJO)oFeaRMqe2&jDQf6L_g>6Y zuw7aT{*HeI2|i5hTJk8O*}9_nm*XatgEeyMlv}N#{O@``F!2a@lEjU0qN8sYY4l45weyTO51yy$7`w-Z=6Qx1vip?2av23E^+08K6lR9e9OA#8u^q4n z8IxFy-6q*gi8m4n(Z4_!vd5XrwL3r#JXMo|j(z#z46RddMyHbz3_u}{v^u0A5efX} z_Bzenc7htg5IbMg0}bGt3ZG*Timt_7jsl$AU#Y=M{^-@3ls_HbP96^eq~K@v*dT3t z2~R7@PAPdT16~>tv11$DF-49LNTO+xEQUMx&ch}`03(WBA75lDT*$nDAOmSaF%Vw&L8>3IKerx# z8ib6sgg!)uko=9&WVor`F2^ZllV_DoQYsPneATTfyK|IS^cXiQv7_FBw5lG7A@nIc zC!5_%2S~Oe`L8cLWl2vru(ZEbXz2teutv~JK#E?b`|A*N_asgct2?|1P)wV1ieN{l zELAn=ZFNHXCBR09`y>Gi5|A_;!c|qiSlrtb6kdRj{ zCgJKgawd?Gn2GJE_S&l$mN=oo&#y5sVwZaQyG?iT?cAc_-3!DLk3A$Jks#VAFh5Dg zvN%9sKQnCTzaz5tDtx~gxOGV?<9&yfyvRRO;~YonV>GrH^w^`AQv_lLi~CzH^fOqS z-$F(L_Zztr`Gs-$7Pp{z^NP+)q*#bW_s4dN2I#Y%WMPJB(r-L(_XYp+-3r}M_mf$UnAtWlbO zVZHqA;nnp3we~0kE``PmhER$_RwfkkjVaP8x^r}uK|3B^s+5%N$b_FZj)J82;XoCz zV|e4v7wqGafyQ#H$t-h7zyA`)Q(M&!$S=wC{iiUVvyH!f^T3X|!sGJAAZFyEz;+Mi zH+`vM{;{<{g0qDU)O*I(DGt8t(MxZWfBg6{FDxv4ag{n(ifOMJJp+Xvxf20#%9H!D zBSMGf=P`9~($&+8TpMcmR&-(Yn;bcLH>B@&0<9KQiapG0&yQMnIEB|}&J19BvI@$# z@W-%IdD^s&{2afdVZ_cFMT?aj{ZrdGw6LZ(nhK+i$VJ1NgvBMvuiZ7+m5D*PBk&P! z%5j+H+GCpA_C;KzCh!I-|Ct;$VT0tCFG- zZp+lb$tK+)61-umTW`r0F9a^LAfD{YuEE#7L~$=OW>U9+3x&a+0Obq%R#M(W>2W9* z2l{y|D^^aPDZ>H)<23moWQ>*ls#{xdVuQ(gt@SwB_PaJbGsMS>{^s+!U>3lF=xIqz zL*9GuHOPKeM=aQ}4s#LUMM8<&1(V2pp*rvJ&zmV^nB<`#CJFT{Xac(vAvWr8+ntlA z)-H#uQY-}CaBi|Z0G8tomIsu7gnA(Uz7H}+Hie$XtYX`2+9e^K4^RAMktTYGAi*&C zZa^^~iAU#M{xuRv1y57QOfeh@Kunojd-Rw)m^qV*4$KLMAl7&jel{F>g!JPL`EVGm zmG0{mN$?39u}}Q0Tm~TmtDIM%#dJbC@Wr}B*1zqyf#640_<*CZVDuKuMZmDYHJ}V- znI!XjhumaUyRr)<^lgDUT8p8 zdC2fEbp~-h=-)ZzLS^s1{bz?p#+%Aa>JkE*a_nX1M6rs}S`Q_TWXSZSiz+5lJNIO~ z*@ap7>GCw|0socvk$#f?=#jT2;4dHg`}?l|)VV2T|BzM~i$ICji7TH%67h84iy!#p zKD!7^=%jKlUri?}{R$aN3H7$!LSeUk<9{OBfiXlC@q9K7VJ78O!AeyL??aEiTcZ>2 z%cfzAdDC9Y#NCDSCuF#OFaBNfI-yuD%p13NIhrW~R5G&Cg5EbB?Ia>E^37_V;xs^p zD)~i|-59RA*o{2XenPAa@FWrQRLBoja8=s|)-W;Fr=#Ne{;^yZn3W6Yax-yq-qrqcahuAB%>I38Fa*oRXI=1CX4MbEV zHPXe^4fmMUqaztPj%JR({zKcwH9}6jlGig={xH4q%0C$s%$c%368kRGs1lO#jDwAB zDP=$S*@TGu6Gty;YAJ6p`?djR0~08}zVHpd;Nv=Il@L;=Td8SAyTzdEl=JU^-ME&s zstU-TL(zK$!ID_V<&S+vi(^`P>+8&<-{OIYOsWn3g0ipidT$W2g6E9^U6P7>&xwa! z?@o{(9SY+tG^`~{PmkOR!ZaRpvxCl=Bs(R4mF|hC#sQK9gC8Rt8>Kr^&Zx~0_*uhH zUYw%$BGI=JQN&)Y;rOL27wTIG?=fPBL+GDx!>?y_+E$dl?$B25G(?A}^2IeWvuUuz zHSPiZI$0})4)Zc0oe>CBb6Q%g&ez_z;AQ5x^}QUk4tp#ip7u}7mHxBq9QKD=VHTw} zUwD0A43a`A=J zo^^)o@|}GiA1$q{0=jLyU=~3Y1vAqF-!Ut~NkC^^;IsERlD`Q66glLP(1G`%G`PF{ zeqNMm(k*<*4(O9Gk|cSgWVe8eA2SF#!ZsF&b}W%ngsKO;XKge@2@wa!=FpLCBEuQY zF5#i_q15w2I@fHx(5qL?^{*vkL<)hoD^I3*`Jl<+}8?w!ZvF{7OrGXo$8F1K+;*Fl63kp|K-iFw{V#ZX>(A z)1Upff^~Ba!+rPyR*~iL3Aa>sBfuHCMdx6zf8|mS78i}8k3YsQ@ctYdi_gL+;^Hb$x(Gjm z%tjGb*4AchbJnLrA&x*M$Xb|04dPRLGwcnZNW->6GY~)!bS=6M8ZX0sln+2UKurJS zcbgtgx^MTyrI~3irPx7gNU|V-Ff1%S{QL32 z!-u>O4iU+*VM7t>`p(?Mv>0^e%owoS34f5)GLm_*kxN)7KrjAw<0|zz37^XDc%&8- zW4#HHFs|z@>}$?4rN_nZFH>Sqx&_{p8B197z6MdG?qt~(1*foNM%PDau7C^!4h{}< zuZg$aO{@U}JyP1!DBSJNQt@^VhpXOrp2mM*f(1TM$` zNypc_V`Of=LyLu0{-yz_>J}iaBewv*z{}z9A8 zdU5~3M4o@JPqHM`zooDquSgw0Dv;`DSTGuSiM<%XBe(Cxb(f{7wB;|Td>imslc-#D z!hEHIrYZK@;dfxs_yxRO?VuM81wjCDScyLym~dOg2I4l96|qiihw{*GasUzrJV1<^Md0 zmp2?4Omf@}=(7lD9kz{uH6mCfx#b_F5abCO-FIFFGV`jzN%lk*h`A`1etfiF%XH5! z{H}$Qm_i}2JJ3SlIeB~JE~Jvj-b;`R1Fr;zSqLUDG=9XY_{PQ4)3eQzLJ%+zKFA#Z zAk*Q9DH$`Up4y(C54zvC$*ltuw&E1tBYgw)Kna46!FKZ8kiUGE1>W2VY#{H{)^Ol< z&@_SrGFiqUR4f^Zr;l_KZ$YTSE2|e9zmZy=vbeRUlKA;95P34@S9o-_u+9Yi&!$78X&#EYkSJGh+5uZkx;9)4XiN(Ad)^E3o}GW?a;6>1Mq!;c#>ZQpR#c+_DF7};IoWDi^NW9K zqR`P}h?gXl;W>&Gx}sJUaQKelGCOZFDvq{mcjEQyig)hc|D_61<&<%Ye`<9rD!WZ_ zjSwtVP-sz1K<8mU)SJ`G^zRi2v$$jR+i`Ky+en;0Z+%ei~^$Ntiur^+RN#x)+& zyjKvVRi}DaehNj*=7uOuo3P7*E)OkzIvlui@?WKB-xCPCl{_}+p!g4IQAsaNG%JKOg-(0LSN1z-UkELU*hh5>6)~v7 zgGd-7G>X2@9^92ku(XFVPO7+T3mI}FyF~4^1_9y24T^0(t}P%o9m(+Mp-W6fHE21- z&37gL`G~G@LJ)c9lwG^Osq6E*oudv(vbxw$5?!4iLb^L9amJ`Ed6;(Z9~jqS*TP>l zy?FsG?YrMMprpn%y;qs{;3r==%*=~yWBx@)5a5}ae-bL1tOX{_QwJ~}GizXZF|KA1 z^octdM<&eJeb7{`I=pQN8B)(kusq7Cl@u~*B*X-eW#3nKT)M}k~{gC2el|>q9Z|x(sr>}MGFWD?uj{5S1dtf0~lnWV9R&Z!H47tJeCt0 zGm^MY$HbFY^^iga*_eLY1Pm`PHW5t{a_+9cigEMS^m7ayu(@eGw{rEnzg&I7 z!<^_WUXp~e3R#YK`SvYuGSV!B64Lg>L+wjk=a3t4r!@faO@QIBcvo}_FX8&co4Nv- zC?rl8N8gC)dWFVL&OjA;t$S3` z4rCq;2ypu}g7KH`N@c%a_H;Fe(c{Hv@5O)%Z{MX^KjqmrxxZrdG78s{`Q;2s-;PcX zwacX>-MrZ&QGO{iPr|>`Oi6Q+Ya)q!wNs}~^}a^D&zyy0Q+3qUDogI*%^2hX0 z-=2rRLK>(4CLbjU{e;@TP~wpjmP|%aL6HRzA+nlxH%=S@3Yj=A;^SF~e2XN6@&^C$ zgaFKmY0j-!W+LDzDlm)cpr=aK1%@f%QMN^UVSvb%P^5Su;0H%qnwg9BGK@3Rwn1Ka7Ki`axI?U3H$FRn zXY4uoI_|-*ezU{)hh8lV~WR;OzsF(?eRhKGeCYr6n>MFUdKO{tw%rDKy&~^-`0|J zQ2zYuAM%XdCq;i8QuB_eI?e&mr%z-V@xLE z4fw|6z&x>sn-^tmnVZ8T#%QJwWQ@AM-;mIkD*LiRzN2z7^`^cEX|LoAI(5AjE!}oW zr5|13I~lpm1h5tXOF(qF(rZm0nawGsFu}Gs{$z@Y^5wnt$p+9C1$5dxv2fL~^m1d3 zZFP}bd(}#PLU|dF0yAE;(62AWwqCsDKD!Pf92+?PQnztldl*qt-P z+DevLE;i6XJ*G+Te{rDf`6TV9RZ1zbF%6EvZ{#+&4SNf5$EXO=*Hum`79lifgF$;2 z5E8odT}7Rvp`FO(;BTEOf=#5?0)I|0M4IMZ7Inl623rgr__37s{8)&6 zmnh)Wj=p(`sCN5y+&m#FCK9Kq6aRk2-1*GB$Jj!7#SUQ6(s)KW)zvR`=XwouPoC3o zAP>XULi>fe%X#D~Ug|S6d3=Q4E{jgz8WBo`2|e*3(AB~#aFJfXdGh3ms>Xpkj%HH_ zy}Bjzc|j8~S8lb7uUOI#8JbtZ!^T@P^~d>+bMPwV)^_|8tea$DH9bjbo%(x?rzh`w zO-v?rShn8nHKRoS4nT{M6dkhB=ANEc6#~I^=&m_uuoS1TbB?S}lxFKaqKi)pRU zZzr~@1$!I~jD3zRcd1`@sj0{Cm=IMRR1i2@4CoE$#Am;xy`iH3kQoP_QV93+n+JQI ziDFyrOEcZDq#lo8G<3DtmJ-v$@pu1hs$n_e@Slg+>Ec zRP-=oGfBibDl8DQNg#$a&mrliY@HCj{I>4(rlo?X7`oqvr(;=)I?Ll;O*BVjqPsE$JSqLJHuv$ocT{~gkZ z1PM(Q#2%~_@kQDjkS=ceO)!3j5b`~aS9JSWI9|dwddmugY>-167aT25?c(3xVX1q? z`X_hA>6p>4b0yrPt?5csw4dkyg9}UcA_3_K2c8m=L{n2!#XUzQD_<3Uh=%3YE8jZ# zorj6DYo%KX>FCi)_4vUTpV^=r<2iY+>^_Izk$Q%^z0lDiSxq^!$mm|`i)VQp^9wxS z_x2PTowp2U+>2lOOgv5}0bQzNO3nj{ta{VbxG5(WX#P#`W8_rdm*k>MQK1-66cX0k z!x?G$JIrdrVKbChBd00q{EW<}FjnGG*XfVW%=?u;dZLUaoO)BdKu92FJt%2d3ah19 zpU)Iuszr-p6@D_cc|P@3_EC3A*e!8}F=wKwg~h#>ZVLAh{a({`qmB|c=vq%BymZ=4A?OaeXglaHFWaOo zH_pfuPYZr1Pg%t(yh?&maP_q(>hM`Sn&H_;8SMib;$Pk}fBm1=yT^4Sy@(^^Zt<=| za3J!-{19#mMDpZzVNG;!S~#`YMuJYlfF}nihXQYundsVieQHEh{%=(-;9D-M&S^No zY`Hy6AD|f{XN*O7q`XIAf1dG^$Q{RCeQUz3BfyEkF#7zMHb-hu4|E&6QIZWJ13;|! zeS`!f9kvZmRbF-AiKeb)eioNA))_Clfc&=_tKyd$l)V}{oAy#QkgIKno!tT$BlpqE ztZZ?P+Md+y;(%@sxANE88ADKx!*kpT`;14yjS4uC2{d9xCbZdduvI1*dPtyPLiie< zU3s4o9kPDHC>lWpm_b%*f+0VJ@erO3P>cTl-Iw$mSR^%{+0$NzZ8AInwiBp)H0|UE zg3PP-0|y5`jkq8qC3ywx^3Dqckp=7xcV3KHsVeKN`Jn(Hj8dEscoBqSbr>pA;z7x{ zbP01K5SnG{ZNd=4aT9YcCT!?}=${$NCqCu5bD36wcv zY$d2Br(L;rk`HP{`~ zI#}toe}7E?iYJ5`R6Bo*jyHb~N$X=iCB9RCV7~{WI5J#%KA3%}$IT0lKoe~1(1SjQ zuN%4ONFg`Pg7J7p`!?&-dpsM-x}x zqc4jjOKF^(&$slOO!{IgggOLz+CDTdZ;rQb@5X-*!{SCH?uLo#lq(ws1}p_1^6o4` z>j`iFd%TBQ-XAAx;qjUmK&sUizIPKFU9?7Irx>mJ6^XqKATWOet^ACO6*;~VUpJg! z?RYejfg?mwMyA8e+L{3t6d=mIQFa|OwW}x?lJ5X)uZ(Xyg5Bv;;EWwRviDtO-fJv& znpLeb?m-5C41C|KOPgIGTTzH|PRWs4Iuq|FG0i4+VRSyO|IijJ}(EJ>FE2aBfL zB<2BdF|Rt`)+L#U-}M*N7@D!@@9>;E=xrS|1~g0xDE0Qcd3kOK7T#_vd(aRSEHp93 z-O8%p1H=4Qj68zyH>ru>Q!A^i3;>c|rRo=&s{sdQwlu^0?atu+i1yaT4=X{@2ID0+ zJY!BsQ!?-U8b2C%y~%NG1gvM2twUGl<_^kX3d+I$^x%K9L5I`?GH}oZ?H7qt3>W%y znK6^hV63jR;@1DMw31W(0L2fnsj1W#M)BE8Iko(_nS~K!dMd8X`4^-yS5W~!0g}D2 zXSR~pl_U3n9DEPSbU>JA!a$?Iv(f-zbPXT~j`O|AB3M_Dp;Sz4f5%FUmKSlgv+%Hw zs~fN?LER-L3KprQr*=y&yoMZIA6_tYGBKW=P3^)#4-ng2P}0B-f;)_32Ru%RRc z&v)YTG(5tXd~xt{ox)=WIhkdWx$60qPvq(2qL(r+SW5f936Np1WOa|hoj!3vo~SN+ ze`i?#HOge&!Uf29-^cq6a{9N17}K&epIGNe2eiMERt9S{ZuCviYzq!2xo|Ch(R<0# zChBEGOP~)8An;Y z2F)x{@w2n>Ypn-%SjiPGkH({n9dOvO4e`fr;?flI8TH4M*|~QK?O6fa8VG>^LSM+l zW_2|ZYOr5b7oyNOd+!;QYU)&^V^|pRfs@FFjEnIy`SCK`hGI{=`X^@nAHb@tpd%#i zDb_aCua4c5c^;}nnX_kYFC$YpW-&HK=Cj+jswi>hJx)B!!7KnYwo8*nv`A!Eim7tP zxghif=Iy!IVg2JgG`?4du&2j=%4Xj>eFcS1Ps6WL>6*CnHbR=aYwg9)D3KY59-uah zbj=ir>e@;$eziJp8_Rm`mMp4Uj0AQ|h*TnCV}_@GTH|!?KD&B1Ph@I(9=uLZyQg^S zuv}d7^T|h_FZ#O#Bzcn!ahHikJl3N9fe*#I-y_pNLSOcd`3maA_rz0u@(pvPqMIE3 z+)~oN`l_5-zkdCYg|YFsZNd>)!y8y8ZHCnbjuw1+3=__MjM4dKm8$)q5ObjS0Q%;o z(XFr^p_&NJdWbnJ&omSg)(4`xX_Pw=u|t5y<=jIPfgQ8SaJT<=SnitiwQJ0KS+I}X zwW?md`@0)OhE*87`Y$j%3?*e`(pYa8fi$|cX725&;k*l?e^9A^Jcd`zd0>RY`7JM$ zPAJ)iv$lAHSJ4EA$0Z8a zod=Q-zz4(|R|Jyt%Iyc*mOdHR*=)ETmE#D6g7fhRSf0!}%q>R7xU(B^G$r)bk zb_>^aG5lz2p7)hMFW=LTZ<4Rm`L9g)#YaF3%c#aSPZ&1VQ_^n zgOtU_a3N8*l(%eQh$a)xdj4O6=vY6(El+_=b+TR1xlSaB_?&)$yV*?Ipl2v#4>CYN zw!)>YT!@8DJ4T>5(seZOEtcYaFn#hu68(~z!N0W~M}8YpBe(!H+_1`@1E?*KBq|}2 zF|-u|zu!n$u3I9PZuXSr$6hVT{7x`K?l&BMg=s1VaJ(SpTEaqW%V*S|IlcJ1S6uqj zB4WLLG~cCViho5m+km;XwaS{Zi|e~)E`1MLSnXf4pj_Bn4N-bgdso*G;%l|W&lUV< zvgc{IP((m_mm^OOGC%x4SW}@aZIoMupjR^!lWn%~*$+U+T*N`UJ@JcMN=WDA+|v37 zZF2_0Z#K)e*pL(TALD1kHfHSPU@?yZ0frQoK9^HBe;~LG!K=X%CKwKolH~^7rK zo-2fz2{oS3nTZS?TX7BCk}*R&J4dN|o8{;{`LSN4D{T_af+<2&kQOVRb}u9P1c z*8Z8Z3Z3lHbG7stebbDE(s7HHwqse|K75mQ2j|#L7k9t?Whz`HbAW3*{Zy?}?-fzj zsenJ%JU*7*+rR4Llj;=is5%J3Vs%6zOoMrPp(SL%%V?a0**y3ACi4&TmlE9j6Zpy@ zAOUid(d~FKGP1e9D<3v)TC~B1kUioIy{J1!;WD%-q84eKb`a=S3d5sG`rH6 zVI3Q&fA=`^ch$hgaAb#H@%8AgVPYK~G3bJmbKFo)3t1?<)0@KJYZ~(BY5YW2k>btQer( zfb5!)X^d2*hO;YoMOW@Xp-np7J-=fk##NF+75{1NR44>%_>7T~{GKc!)8xBv38=5W-&` zT4B}!TvQFRh5=|nEL}5S;-mTd#(dd@M-CuSVOQ85bYR+8`4wl0(>F^>D?b5s!&I%S zD^7HbV$gl;MIaLeL-}V&8_xj6k>gys&lu}Ts+?PV#tnU{TuGpfgg9#Q`?coNcgElWJb6WM1O)vJd6aD=#J%m|1&0I z0D$#IEGgu%%YvF2N5(sJr2lBLAQKZEEbupYn>RolBac+S-V(cg`}Pg{0#*^%Xf0h( zQBa6x@>8o5`uDL!IUUyvZv!I0qDAvA5YY=V5ELE27{x%pya_mnYd|3gKlx06Y@6l!w zcX5Vl!Yn1vO+j*H__H_gumrkQgK2+W_hY`Ovv4Nk+BP)Q+SG_9U=BR%9Il-z$+uIW4$sa(`3_-ffQx)q{-j)mV$^30Y|9n0Y$2o3`deW5Lkq-9;Fnr=_OoR))c{)>jHfL;-C(@l07A!gLL7ONb zn5>8F@mywJ5ju1<&c9iOy-;>+51N^M-xanwf*P5bCl3TcCYn!qS_7%=wjj+=HIB%$ zpODg`<-i9q$uRd4LI5(r7n!=Y_L@JL_VAtugC0Cg=3BD>HcrPy%@3=RT!!KOO1Yft z{>vvNCj;jyza}lBZ-O5s9F>~zR6b!0ifMBE3Osl}R!UDvhqraBeTI&x-A(avaV;8J zzb^(`y5ScX05~|X`J+!13j5%uI2IORVu1E5PRE(wp!*}I)@DtbFKhU>L*oy23jhv= z&*a1D-wPQ4X*|g%${}`T^C+H;`!JQTN5aRw_~n)No+%W|>bb_Xj)f*3V{ehGf1$*N zMGm^eO#lrmq$`S4i2F&8=lzmrMVA!}mqQh&F7!BGxk^7B*^wLb@jY(^W6Ib>p{yx(;5V^a0+e z@&uf>km&^uLicv3QdoB_h_5IWfXD&Pr^pB^pT5=He-U=?U87Q1QatD>OTi~_^z?4~ zi=R32Z_;#@61+- zy1rYt`<^{hQdM$cTSq|#OPUG7oA<}y8Axpz-mog{n_AbBXE#OOi6$dJgYMrxQ_HF@ zvg0pu?G4;hI`u`@-f(}-b~r!hzMRZscrrLQP|q{NY*vA9N1E-ItNgdCs`Bn1jNmyz2P$zc7>W*xQRome+1L zMt)XH$*J3!tiQqMM?sGyFK^;u9)v#dqiGvHH9J15PoFPEBw6=q^mbN25IwXlvhIP= z4vZ!Q9K+j4(lIR#{taY(Q4e!gET&b1`d%ejn4@7r8$i+F!q8g$ZC~*+cn#ETLdr@N z_fwlJMXClF3E7vgM!v8j6ull+^t6;`(qx+DGi-Nbj0WPl&DgS*b)!MI$H*}m-q1~G zRqk3!XTed@elPXm0hvCfawV$JiL8jOQtxTe@~R;vww7z?5L13JWA_K zCwib(RD24D^ws!=P?)wd-QJ-fdDAix99Hyh3ot8Mj=p0~o&F#t04X?^Avv(6ms&=G z4~KB#2S<4m0hpq#2znrZ6vXT5LUPVn)c-b_;E%y~E&@H3*V@7&hqZyX1>TUjYk^T8 z1r=l}1lR8w8N0Th?-seH&TSwPcflL@ZH2}34nsjKghn7Jb^!&z)198&oZOPnvW0R7 zf5Z)TH&`cr$UBt0eu?fznJ&7 z0USNCJ|)L%y;fVBn3_!SCwNH096I&u)R^f2y(@|Eg6{ zp~8T`oGZmEjed%=LAJ2u6&YDL8Q>c-z4 zs##7P!$tLJJp#J%55FRgS+t_F4Q%?YJixtQD#z(4WQji!XE@TiqE1jF9WWV+KCoL9 zGw2?&^Y3>#Z!(c84#rLUw(A{fI24ygI#_(-d;WFXl;pJTvxXY9f*<2TE z%BBAnox49&QJZvMP;k3uxff^TPKB}vW1WCbs*b85F!{20-~(=gM-ZS)ep31>oaAz7 z+*ido;fMS4JbjTkbtS$Z-3kkO3cMX(x^ugp_o%cup||*fk+V{Q!JE@J(QTDvP@L(_ z0UA9{p9>Dx?gPV^xsA!~LB5}11XRbq3R<*Ag!{487gQYO<SQ3{;(SI~pM z{569iambud6X<)pBT7FM7+O zTWLRpxa^Jf7>+qtUPP~B0=n>M&i{Er4OEZ1rD`2R;%MA+x8QTbN{6hhlD8ze^}tyf zNPg?$;EHENy&1EyLmx5(u<#*JBCvLrID33F@7~ zuER&cpag-v>k|_az@>1XOwq2u?0}OYe7I6Ndf09e9GQt*FO|7LTymTZTA-hA^ftG$ z+JH?M4r@`}7u+6@;ev{YcZ9=&Qm>TODTb3I^yGL=_RX8#KW_YcRuO36j{61(hQfW8 zC~F+`BXdm^c&VRzrc+2!*aDjm%mmpT59vK?F?ieAMdME7plD|&9XvlYDG2JkKN?mm zdR(M77dYEPldyU#Y4lE&s`z6`)o8|1IV&q8V<3ZdYq;Z?$7P?_iAi?4|0^Nk7fFi^ z{e|<22b@- zRi!KRF}QwKCk5y47BZWU1pY1Snau@e-08}*WyX00H#!z#`T_!k14sdQ7+1g$XF@4` zACe_y{cH`W8n4rehu|$P*GL&<#3|ZW7vYy}-(-VoKw0MtEQgV{a z_v1Bf6^c;k#*MgcF9$OlWze)8#jcZsRL5a2kkK{@J3FE6KLrNWkJV`TxszOs^xmO; z511&vPlSUcVZKpyvi2+}F;3txKo6GRyQ9-YoKbe`b|I_AgcfpZ?$q@_N?^(5Mpwixkv@DU zL(~Ms%0f%G-C)SG&jf<-+@g0neUx9pUM7}xM_#zRPYq^jw6_QuiyXAw^~p+`jkqAod@Q} zpz{7AyDXFf-wyIa6|V;}GJ_yO$pMvf+z&Sw`M&G8`jinO`^}=zysA^xB<7a4q4Djf zPoyMlwP+D`C3Q(I{RZ%Z2KU|WWR=>VgI&Hb-NCLN_sqL<>+RIBT|bWf`1?JJ^Q(yq z6-gP2pyE+|nzDlp?Ie3+_E+uytLGRl8p+mn#)SH$?DGSlYzPWC)F}6KrP+l|K$yG% zs>)otbm=PQ*0?e)J$puGI`&qh2tAkt8(*3w9I(P%b}v0mXuoJEypPr|+beFk%gzI2 z<>lYbAVm8R`lPrV_j0^+aXyaGk(& z8c_rE|4DdsmM}7zQ*u^NES(jvPr#eWYnOnLD+P$$sYhUk;>=>M-JkjKw94|1=u+;oO-zMQkWopG!w`APv3HTIRjSr6vRe-krf~^ah3I0_ZY-fg-k3h#cwaHT@g|>GpA$ zyjg0r?o>(px(TeduQT%kFrY4%;(x~+_}|U*U?1e{VfwjCAm;S9cMbYzo4VFBzrWv8 zU2$%7UKuCpY7oi|pzYSZKad8u@bp7AHc#2Y-@Om~dlP0b{n(*yNAv(EK1*9al|e)+ z`U1F+4)d}~T4+5imyOH40*jFhon4((ofx`)_2Dq|z&Kr~DuL&FVpd>=*FA+KHHfZd zq5vkY)08WPny388Do}WruNFXZ?m1vVlCnFoe9aX90CqqF6vmf{umNDh`20dIBAJ>k z<3w2j&OTB0;mg&|FOjemY%jxnlPNCQ&>xoV!77~hbs@{07m**>@V1!WJ1{sj_2h3y z>e29v)2Y!|OCw=f?^~;$O9T~~?k}fWplwfecxy#dvM!jiHZ39j&ef}F-~y?s9-n5^>lM>@@;av_vUuzf6*3QoBu-z zW)D0-*u@*_T$mm{nc|EOUD^_~g3B!>(ynAhyF~=u_(#dHtHEi#UtmyvoNq-o-`2p> zem&oRD+@3V!!rwPj=@t-*pIoMJskfg$Nc1~-o(Bh4vYJ{=&$xm>ZOf8xiWMmC1krR zFOCy$MKA@`QK_9!sSUd0-)O^B{F^I%@b#maKVJY^m5Az!a$ib>_RD}8VM%`ws@i)$ zz5+DE--rYq<>Kb9#vKuR_pf2r^GXHImHgS_1(58Hx6;Y_w%B5V$WpF~N_;-9bPPZm z8$RJl)04sR-_$0BB0gjK8G|j>N=&qd#V0bqsV!Q9bt}fNbo_7%vVnlL_oE!GhKi`` zdw`m0K>A6rm5h~+zpMA%OZ7?og1OEAQZN0q@<){n2%!ZJ&9m_4QHNeb%M+fWlI6N) zIpuV;iv!HKu%Vg2&;Vz47)7P|H)txq%%f%fi-d-$oQYF%wg$@?=-J>P8U=e9l3|O- zWd+))bnDr>Adx_afmZj@)0E zK&Bty7)UpiqL&IYOSflcXESGthr3vTxNpY$R6H%DL`$;b#++*=^(o7vbIy=%=~>HSypVR8b)XGmyS3gS{CRamRi`=OC^FmfUP|EP1w_e zJ;TU^;P=CnQo<>06deFGT-5A2!>mPvpE#ye^S8GD978a~0C{0R_Hv`Lk_W?ploT7` z6M?IM*8(Sh@A?_9Pm@&re@)|l+#Zc`Fd;j*!d0{L25vK$S7JDZ@(hYdaZU|6o}#;3 z(+pR@au=tZv3P{02oqiijT7$*-wz~+r$L_Siwz0Vg-pS@NvHLgcTIEaVM6MXfnqiUf}y&Q&gnyG1uMQ zy|-=YE3el?fbCQwh(~{HDqCGl%wxCOI;FZ z%>2O4{$tg4_N9ojjnbAfh!vkRix&m{(yw77bMUQ<)^V&AJ=Q1A!M2}#{!;;bU>gyw zM3ZCU>z3`S4CIt|t98NFmk#rcx*Zjt&2X4(bXMS<0d5^m)evWVh<7qrq63|zgg{IU z5f->Fu%hqTcedf1p6FVuEi8}1q5NTj?K~aSyN);=O%E~Lh^pWO$y9iHcti9L{cH)) zeuy)6*A3ZEg2rF+=gh99N@GbE@f3R~4yb{kv#eelPMQ(12WEp#AH>^XVb%skxha2u zPz`Y0xppf=0p3N3)7Vw(QD;bX4yC|c8v@loM>SeMq8+xJlw3C7;(Y+oVQ?N<+RL&f z%2#DD7K_gp-^aRFZ}C!vN8xb^*6;5)A!A z1STi~nW(<-ImUPi9FZ8fr3ZX~eIOIzJVMJ?P&wl@YO_Y)G6`6BBMBV+@L~9rHYD2I zVDUbJRRH40SVRu-s&lszfgaXVYe7(g1;)Xl+}c_-@CVmZ!itKdi6sE;*ANl zf#_|4P7^sT`3FOjD}*&qcM$@w(e8QX&6^WvBM?z~7{DD7w;tsi`8zOQxD0Rq}e2?dOv`zoKf3>rCwjW`Kub=&x)%A`eMEFBwh_S-^ zDE;)2hG-unLw?Jz<9VC)|34lN>rW;@W-pR{u{RFUkif>(Od{5S3>NPcYh&T zAyWrI;5Tdq&D;mjBEV&7gnWG11ygb;yY9CrdL zaR3xl6S<{$dSSvV#-Voo6TF=QDW%iw$;b}aE1dF`OMQ^GJ_ zW{5mv~!6?JeW6 zk)ngq58IQZI{O148z5NhC033ZrV}r@(?`2OOxcks{tyLB(5~eEJh%Zd3l6{6`#jof ze+AZ6aFn}x#MxQjz{n`Wt<>kc@lNG)Oq*$LT5TH+-m(9hr0fJJTq zpaI*=wHmF=upinDGdsa*o7*!59i-xbxQ}zy`eUs) zLqnRI2)scqCYJmKeJ9@4GyPl$!RU+sBs*!4XZT5<|Dep)!QrOLfnvS`R;#P7RC*2V zCVqY5Qd0ZW1X|!BW$rO*Kb)bph9|)_p!QZ#5jz~;R&elviMkg7b|XVtay*aWk;}l3 z5deKtXji`aAbeR-BwE!wvnB!z#b*af@?3aS1P}wqTY<hO;Axp zqk-Mfbw{;LKsxXg%i^ocj_LISqA-QJujzahFK9V$RgkH;Eqzp#Kg;gcf`k-) zq83^zw?5FHHzt4{LWh6jmh@4-JnAOv&Q#@@ZNT|0@=f_6|1NHy`9su*SE06iFbFPr zVaGcm77cwzuIvSpD7FUSr7F zRA?i6a1n>RmiT=8O?T_GCS8u{AZg5f=!iwv(mIYVu5`X6jjYaVJ>>L+@j?Y|cKKYD{RmAob?NjVf`^d>y>JpHM zi5C;_hw;l^MCP1taQe z8|ea}b0?mtXu)W6`??kI;63~^eL%f4Y5BaJ2h?QR!;3Af1g8$3W+j%PeuHT8rd}M_ z`W~x2T(RxM9@t#e@S&ka^oh*aAi;!_yQ^fhwfNM)s#GQW*q)CtMl5nx4iJH`6)<_V zUGH5|wdOPO(HIj$Hgr!+ls~{aNsYk#1~y>NsGH(-G_{p_vJn*g#1$kV3Cw+>`Vca@ z+?lb*zQW|DxL%r>PP&b;&~!vZxX9ZDbVx*KM(O|Xl{7Js_(p>fKOBERmT6N>zI*C} zKez~-6eI3R@LnOE7({NW4@sPVh6D)ENPna@O)Yw zJq$;vmu+o!X2{49MDp@iS?t>q`;Yy#Dd}58bw8O7<|cwCCzEXEoR!AXk@X}T_+waV zFZC^yvR&YXxBwMTO-fRH{n2MvqRk$NJ?{btg+!~J=?z`+`l+O=S3|w-57aQ_xoH{2 zBeD}%uUymc)Ese!gx4#?!`Hx4+z)IK%Jdo`mi;F8Rar%*4;M7ks9iI2VDIO{h&`3+ zBW2LN3!BBd6Dn!DjAm7KbOu;be(8u#)1X*6RO6NPIelc;3LVO7binH9U-&CosCv-7{Qg3^5a2YTd zN&w7)q?)c{!3aKSeDxxF0a9y;5-lz<@f^mk_xZW$kBA~yQ%~L2MKHg8>bOdp5`^2v zxKe(woPT||nj1S!@K8|^ca2w*oC^3Rf<#OkL?R7_3(q%}6*o-s?CW;Jvf%}NdLf^^q_#E~*x75aLAQ!V)OLcoKfJh8NO!G+m16h%<2F*dFB(w0 z)-QxCYDYSNg45}W`jDITVQ+*4L$9?fXSd{QD(zt(*a{O&Zr5tPuD0)h2*e{tRQDyo zn(Dp@TO?fE$5O2s%LdjkhT&fc<0{vKY|dJvCXo)ZS5!BWUCMQ6Tac2LF@rKWH6OeY z^-T$p8P}-OaYf%cawQY~`k@iapa_A3gx%I9tfQWVhljriP}^-!jj+vcQ4>%w5KKIK za0hU;3H|QxHDHzQg=NWks);yw86q51zeh6iT{Cw@b+2w@kNg~<_SW!ky)S^4Ume&) z@YEmKOBKb?2p1$!IYODRP%oYhP_suE52n*hKuAT;-S{A@G3LCe$<2jG!1y5PsPc4* zaJCWpL9zU0p<;Y3@8317+*%g7N~%J+S7U^dkF1?Xh<7;)i`5;m@5Z#_MR76kh5AEg zBETY|#PtgcRPeR+&h~Zh#jFL$ki?yXZhsgCSCtyqTQtH|2p zTfOIxoQPQRM~&gheQS6>Oa*Ly4l)IjKk~P~&i7oSciwKpWwAQ=;6BhhUxyQpI6RiZ z3yg?Z3GEn&rrj+8ID7LA@WDD4ly2gV}lHD41o(??HhDd?F8wJH(raE33A_ z%VkAtA0hS=ryJNvc-=Yu+n+=*VAkBtBK)c@bPr_P7iJMK>y5tw2Y`a59{tOB*W3Ei zxJobPxF7e46l)zy>-l|%A1l8tS9AxF7NF!l5r1Np9*55xxV`&+e)Z4S0tK1iMtK%G zprADYmoKosoSk|1K)h)FkQ|CyZg=3DlVw|U7+lXuLkVv}Zk!65NR$>`!DM*)eCIOf zqeF-v>N1j1WxL5L?002ola|s=Nyom~by}qvEyME|boPWml@CdvpEpv>J|3h-Y|YV6eqj=ytp#0>WMXHxyLWi%saDhc>RaOD2li4Kn5=?nShTH%?S&YXMIY<9 z7^ZrHjKIEiwHEE*l$!m)Hu@%(N2yrz-yTsiWR3+#_r0a`5!^fWSlA^YC_*C4EAhmi z!uLuXA$6fuZO**^`13UR&W9<~>FVchsff2L1Roj$7i9HyOw|2aHqS&T{IF}1i_B)`uk-2oUBp=x#;z z6^+Fm!RtDUbtiwXE@%K^ko0UJuFL8Kk!XNJ5?|lFP6yneJz_qf;LX z8t8j*Krlc6U4^2sY~;+_TUYHEI(kpO;2R3>i_E*d8Y7-oU|`jF{EZuy{NlHj;OL+Y zmK7i+gbJWTYSwFqg^T^>PZ8R)z?ClZDX&#Lbk6lF@GtDHIB@#n0bcK`b@*9N2Wb%0 ze*Kq4a7Uusa=@aHys9^za}uk*0h5+7BV@tp^9MFI?#Zf>bmvG|S5PUtlY z{|@51AU;swY|a91;%DRL+f(|OB;MU0h$0y-aQ(1+5VXTm zd0BDu6zy#?%4xpFIP66rsNSu9Ph9VG^h$)CG=rxU0d#>yt;-2x2A+e;(ezWW#D%jM z5Jw=ZiQ^9|aS2E>6Y$}p*f#($c|+fw9!$DQ!AcO`e-42Du9cq#Rd%jSdLr-ZE|Z8W z*ry@C2}+_6g5eonmDx{clVXVAqnk#sd10Bj2PF)Bj8c4~2r&6!@vfOZ@DXex9m)`o zeXP7c*a`=I`JFp=t_ZPkZD~xT@dhL6y;}4jc0ji5%g=7+VQ;25PpR!WkF5t5JXqd* zkJ8TCTeTSMH0=-Z=w22FQRJPLzFGyQ#cdNs*kL3B=Zs{8FzsV`kH0QTWl_uONmf2_ z1oBppW{r2ruKcyUaBC!#AXe^$PbzyTJ z&&CIW9Ou^$eDTK|u|x8qR&R(6vA3UK74AyHFVIj1F(`+MMPPk4Hz(b$2)#-MY~<|> z20v*kJ2Z^o%E&TWhBqMt2^)#dt@wrhPa5m(RnsY$oHW6y(X8sc0KJ?$m^#pz5YmPX zjk8X(sYMY6ETbSo|ABZ542v9d6-${7+ng_;)EHp7#kcmg!Tk=;I%HpMcdEWw2;SRd>g@%7h;3c*c*>AsZ%Z+JwztN zv`I|X&8d_1Px5^c0pbJ{H}544;$U&v+HV@bv3?CMnxhNHlVmb`b9os4&QcP9NVF@c zB^egaH957=LcD>0DgAReZZX4-Y;S4w-;vk?t%)5LQaJkZ^X0j-eM*XOQK%>3X;9;k z)d&cOU~Gcs<65CJqO!-U@b5$79IS+g{7qd~w@x;6;FJv6;ldE%UO0D z*LP5@k?6Dpv9W_!F~-ql*3!u~bKt&L3moA}VPt)5=pldTpQ-)y3dw&46%T`}cD z0YvS3*3-Yp3A7q6SotbbH;6et+2sKS>wVh=)fETyZ6qWH`Fy#aCotxK!!`WSeh2Pr ztO1pO4NA!nfWv?eINQYtO5$OLzoXm=&3Rg1r!lmc4FCfQsMQ5h|P6xd9>h>m9wF z8t@uY2r~~=+ZI86@MkM5Ihm7O1V@xS;Z?m36M+Kd2P|B!QBB%>B8QchQ=qE*u;t3w z#7G%u&vnchW*I?NmYKR*MdBhQ2QVLRA>ZLy2MccSW;QqkDf!d`hI!1P!`-JGHqvIfL;;)?f|+J;HaLL3gN5d{3vF!rNTD z8*F54uT*zjG#TCpQO3{FcYz@^6s|ltL3SruT%#_5(>_ELt8ugv8QX<5o9Jl;&*>G8 zk0Mxq0+xqm>`HS!r9rB)8()j}Pryb5y(5W7v~~%`BZPV)pXT5@3~1Vhh8&c`Sg}HY zp7`Pf=*T235Br1t0Ld{JkuVyfQiKl+@ogD-wH8zFW*j|{XwUfbnipU$?i4`6}vGC~j`s*xqq)NG;GesH#iQpk7Fpwd%%hs@C zhfz2ZKZ8=^2=0C_zpa0@(N&Jx1_V%LEeYhl6t z_xI4E2)uV;rbLjvZ{X)TL5swL9j9>|jRMmwunJz?^Vo>JCm`#i}XF*AJ`o<0%(H+Ni61Tyv=aP+>(+UaatFc zw{4113&)8LmzIBSY91)8m6@csTGm!N-X2FTCCST;o|nZyL#hor3N3(UQ$Xw* z!P-Ug1S~-aD!^2=s}^$&adia&Zt3)IlAzejz0Z)6wmq#OB6y}s<+J9JzJ`kwNcs z4nFq9MnHgw6|>rme$&XwkdWQ*8$^1}YADY5Rs9cR9r_d7Qb{X6Obx5h0f>XV^78e> zP^Ux=S4h|Jl+AVP5XBEZRsQ3?)k*o@4gWEmnfFkxNijYYtmD)RW1OD6<^F4lhE5Jn z=$sTTZg$(x{=W&|uLE8Tsw2lFQ7dLTj?CNvSrzeqxe|=(*Ypaz4ahlyW_wLO_j`ze zm!=wy{zefJMDEGl0ofVkr$9K80S1+9i?=F;8HC$IwG`?Z(v|zm*Sb!e)P0&O!J?R4M@e19~9>rbz?&f&`lgTC&u2MjN*2}tkm9~TM zw~9DLp@Br=9%l1*c;s4;vUeTmXn% z#?~^^Y*!L$`qw+8fZ! zY|UyJ0>*u%2&skEL@nzaIrS4KRF%DQ5+P zy6qHpsrT1u_)w%N-_GV;*PZ zMw<6x%6d-DB1jpT>H}~>O2epCW<+1rNS^(Qf%)U`z?9K>1&vl()t92&?lI5dz>vG` zAFUyyR7`TY-aPCL&w_cq$P^G7Ebx7$nD<(C%>1DCXvcmQQTn77HQ118Q4cL7Q z=}98Y2Qa8UFt(g^E8jVgB~c0C^wPFpmchCVGV+| zZKAP9Y`f{1)_gG!#f_jiVVw^H)r{Sz;VD??-$`f@9`^)pEj?(u|EndQWCaX_JKr2% zN;!;4^i`#|6TLzYn=Rk}o-u(2x^#r;6&%jZp6d>O1_}KJAnQsb`4EPVy5d&*GH2aH zN@*Kf^?wCHJD!*lZ{EDPvj~$FI_xvN7XmQ{l0YXC0InMkcF)~2!@A%*p-g!8`V_$~ zpUi>J@PpO4SHO^XhHiTu%w5zz*t$o8pFpet#%H8tWh1*rmx|?bpO?Oj-GH8B8IClJ z$Gvs4LAzLlfoVXl9V@CEw%$GNUpNua! zM}aIwlgS0I^dCC_hcAdcwp zVLzvlc(1U{Rxc}vQ!0NnqeSO1f%S2aiooV7*6H!*H&x}<4&l@#us=Z}Qh7h=y8IkJ zpffXH+9c@_>$oescJaxaYX1|{HJpAb{NIBf@a9?1^S|}&HlPpM!^7^bQH0x{Q?Nx6 zIQK-O%?Jvs2pALLEV+bbW*aN(=9LNtC+`n@Ict@)10Ly@nzq$Dma;<>wXeux;xIDB z`KR6Zxvs2RiYend7MHc{Y0gsTWYI+NM!}ZSo6-zF{pWF;=Dsab-aY5&j^~SILz&+*Zt62YVOW$ z$4@rK(9PdomcVyv#u~5b6<*Vp2z}5WEzBx??6Ap=ER$}RXWwTfoz##iZihUX4)(^& zu2wJA%^%lQ!+4=tAjZ`X(Jy-C{cn_DobCy8CJh)x>OKfei)?VjT|O zYlgWsaCLWr@b+aq_<(PZDcajuTmM=Uwz9j$RDI$(q;DS~GlnxhQmP1+4O)zpXU!Ky zLW3j$!-&Pav|k{QnV5xOX>^XP&Pj+Q!C|lyC(9y|j)K75%VY+|!S;{WhhFg}0&8ba z@8Jg@7qfs<6N3vE7kCT&fxvDF{X3ugnug)W{74N?+RN~w@rC)f5hTnWreGM4fy$&5ZCX5pQh-W`IT=7&P7ElKbz_!fuZ*i7Qs5HFm^(80IbNb+6px;f9?V406u9ZLs?)!--K;6 z>=zk4S8$l(1PM-@6dUo%YjZXkyo++z``c6T`rdZ=#013>kij8Y)Bq0)*8%Dy%$5wo zwTcy!L;5hKmD>~tXkkxuvi=rl7nl5&62r&Ol}_RIhPd>HrS!e+YcF1gyPL5X6ic5q zW%LT(x54byCRImNg^~FRbDcjs^OEtkE6=GQRx_H?oSB(%GGl0R5-Q#Oy74NQ;XA{H zbO61ZDDZwkeBXXXCiz2dEM3iAcZf4Y(B3RU@K2PNm$ynt1d^mCO*H}Hh191E!V&+i z+bzS%IxOx;RN0SHa<{RKjan(#jQ%vvt*I)0bk)r)5?Zvbq=baK=$rYqXhpt%p$OU3 z;@ttkkY>~52^gkW9is3{Fvdv;;ma@nb=v^D#lsT>#q@me&VR4o#Kb35iSO%}PgRiA84oT9DMN&) zl{ODUmGOgBwP?j!w9o1^v;8#A>ymTJ>no-LY7KDdOz^G^-yYEyU0c=j#ozvx^C}fC z!-a_)A|RXz=BLQ-rAF^z>}pg_lh;R+3E~Q20ium0X?bK!@9~X`J-4#yWF;|&n-9i9 z3LARDT>k+^!_H?4QRJ?`@zg?MNZ6Mf9XJo1p1=l#CrhR}{JWblMgF(r@_dt5O$C*U zpU|9PNYKNx1yHaXy29}TNZKPg+MxA9k1x{_Ug65+y8i z6d)2?z^7!qrtuFU1cOfyv*G@Sp(jIY8ew|yj-*C|c*dk0_7U>{+~S!)J;uoG1VCK< zoVx;4N|(`C70Tig>9Y1M~+t3}>sZ2Q*H+M1{6&*8lHBM3|C zo}Ra>Z(|@LoL~^!NwOG;2*v|NzrJDRIg+772x8CO%slRY!Ke*lq}tTubzy4H(&e3& zlLO%Q*BYZJDH@s+Vx^DX1z_I_{2%PloM077B_S{SR_|hNo$@1E+#T9UV33j8cz<9O z-=;`iw0F-zDAQ}Wq6*i2ELAL6^K3{&0hhcq7gQoFd!a6tdDOKB$3=Zc=--PF(5?e$ zh{q5KN6XNXB4Y`6O(ZrAAVCrC8@cgt1Lif$kx7g!asep?Jg_4q$hrj!`ACG1H=x~u zP~GCTP5whM5XecS4Q&4@C4HC3^mzIb?$GzR6cARm{C_lk2Rzm7|Nb$O3aNx7qwIu? zNJf<6WRD^{J9`sCiBeL?%nqT9qwJX{BS{ewk|d9vgb@DMd4Avj^Qu=p;f&9@KllB9 zU+-%GSX{vAl0i0UMF0}^b8>H=%)y zgadM=9vGm%tBrW(uIV`=dK;?$5OTHxfV`jVtsB%CrdO+4O0oBm@e>2i6^y0#VYv4X zz4QV3`0|(F=h-Jw_;*am%!_27Ep@&Zx{Uv$W3_a;B^jONNN^8J6{%eOF zV}iuVFq&J_HXL7PbaeLg6`9^8w{Mu*=)H~sfi4r2a!ijO-Dt*U`4#AID|w2Yetm5R zj`Snstg3&%KVyE-S-0Oe)jBv(E#{-92bjcQTN?BPwB@!EXCO}cNTL3pxgQ|g|7wV; zac1ugNIH~gPr95p9ZSEJB>>p8{sM7lpEWJ_qqHhrj;M3JULPNfT?UP~r2ABYi6Zk| zZrBxLb1|sU$@tFn9LHyAE615=j{T(_JZi7d`QCw^Y82xy-Pe2DLY1^4wEa)O8WV;g zpW~HWx@7Ky2n|%XvF+iJUJ{_9OdL~>*}0h2j&nlj90|4$A}v|YW$!_YFYQu2$%hPJ zY#|`ubKvrod_G4eB*QrQ01{B1NAi+$x-mls)dFKLn5=HQ79PYHtscmN20p7Kx&h*Xi0p zfa60D*&CUB)0v1Quo;hIF_P(gXq!d9BD7H%I0Ft^3|5Z5%m)jidtTMQVLwyCn~&vx z5Fy@#DOChWNQE7E6$bqo`)+|z1<(Zp4sE7^3SpV{{($$~sc@ej^uDB1S7hSUj zEJq5)KO=dbya5BjM`_sW9mn`zz|x3*&g?o~(s2Yqk<-&e5-#k&04`AkG+2dmlH{G) zCc%`Zt;?TGeE`$oVM0P)Brnh}GC)tu{eWi&<`*!rC)2{Cu%W;=cl$18VeMc?a+nbS z;V#wEv)MjyHf`_fGB3Qt%Vu|Y;%o+Yl*B${T#@}8Aho}MdF{(EMhT6cU9ev8`#Th7 zCnJCDcO~F$AIMYJ5pWf2lRw@IwEs2@UGq??@L>?(fR1sJ>G!C~$e|Q+f4<%%>+_J- zM?lJqiPZKH(AY7AA=^-4MtTJsE~|_i7nw?q%8eZ2cFELxe^K4?WmqR#;;Rr&U_|wg zRKLFUjBIa{F<7sd@Ir9`T(*fM3}=g}zUOyEOsl!@CUL(?fDeHu|1aa|EL1Y@VGk#C z0eR8n=8|}Veh$RSIX1cWQd?b_!V@aNRvK5&Pu`S#N6)b z@m*x<1z8z{b88PC9KY~_kz?~ALy3wUo`dur-Jr^?S?IseK5(KMT@Ky~wGs>b^K%-z zXKQTg(nbaJ`u{0d!FN$cuPtOGBsdPeA#Q&%*^_*=kh634a44=r??x7!x$OtbpUYOh zefRDhgmNFy{et9$UDZ%H91~i!a2ePZF@C2fUoF7aX#wXob{QhkS=D?O1%{+X&An_L4QQ<^1*8(UnZPyR5im4@isaj{SuwkA{Y+24SV-nLVr?l z;hu*A%2<@bjo2`D;@iS4(bYf1xCmGF8&-HL?77JmIi}>%tsmf$K{j5$8cvJj3NrNn z(jlN|Mh0r@<=69M9S}J}62~B@cfHS%VRjIo7a7byj>#Qbkw#=1lEb{ole*;GqdQ=J z--JByc^fSG5A{I-ry0D4aQ_3^h8!2XW>hjJ!1&01=j53c1cB!m(gtx<{|3_$oaIN? z&l8;z;LA_mpD`3Ujm6hw0TV-m&#pF;U+QW;ze}UlS0S9eo#khRC20zBU_eo|&182@ z7Y2V$lenr2Dd>;2PEJVd2)9I-!%x`Hqb|tA#zu~<-g_r(&9nvqgk*&)iW@Py?D}F1 z4k8c<+dPVuPNp+p`thO4)9xP7eN5yMKA+g5j9LA)<(VC^?N6$ig+@LC$0r|%iQ+ap z$x9Vj3ziDzn{*InVhn|&1^hz%9qaTJF1Z_yuEXpM>3c@rO#PP)_^l1cB6Dth?^!VI zX%0lSEMu74#h)HX5`Sp|caM?gB>rs2$4lx-;=F?xZ*8}@*JROl|6Desip~K)GvHJz zX0OT;d&Xm|#FNN`r?L9=xif%f$+?PHnwZ1BJhE%m${emIFzqGFBnTm!8|zjmucnZS zX9@`qc|-ur)q?e$hL(TUq0dv8IVm+|eGq`n6I=ROICn3rA86y~sKz87cO_Z0Ch_^*+c@!!X^Mx1QH{q5A&$T*A2~5-3+5-Bs_iEd%DS-(<2G=@< zjr&@i+2rFR`e!2hB|;mTdHGkX)MB1&hR1dsW48uz zbMttAdfXp&3;1ZuRoc5gS&PGr9!K8o{SUV?k$Ll|>UxKvyX zOhsPxxCv97X0fUD!k$Tsc!@9+qQw5w)=mHLY|k-x^RS!w z5){CC&#APi$2T#nM-926;6v?7`2QZvcXBE-)6@iBHFTd7=rUSWC>1WOPa!rCnMDg{ zS`ipMGW31@;on($YD(<7XHicyi;)-pwQJXMd}UT^kYw!!C>rGqK2@}pAPMNNI;T0< zi=2e-iL^lgHT;wq$$V{Q>LzDNwqS8qI+w^S(j(1WN)?^)M<-+h?mV`iD3QHFx_iOL z=LC5ij=A*dayhjx!O(P}nV3_rBpUNH#XIfPJebFd^X&~#Sp%9K4UEKGW?3q)0?>rrk zY*TKh6153#PxTuQj3Y^?37<^BdieFM@L=K|<$|CeJ3~)rys3Hetgxv5aIWB>09B&( zZFViPp!*_E7$ZKpB~yji?X1Du+q*jFS1whVhcPal#0ocH)x3V9{chJpp^k9q%=JfW zwd62o?L39C@8WH|HNWwn{u?rq2AQH%2ONKQ!3dXi2b6dP&ap+ zShT+^AdP17q~5O;!PVigzwCwUK1WAL zQ(<&A<|wPe7(YHYX2Hp!0z(h%w1u_J`rW?!NJlLJSw2ineSUpVFFF(dEx3Mrym}j; z;i!K-18fv^n50@P#H{f;t{&U}J$n9b*n>0qHg*TY9#9}Y?q=7-Dc)WC+M1{u*5^)< zLoGpUsQ7a2Xi>xFHA#o=v#HJ?l`yK5#_*okXP|8pqXWTKc3(BbPvns^nvS!0esn5Y z2%0pVz3uQg>2V=5AL6%zBW@x6fS%Vl5!6lvFws6gxSaN8z`` zgHXmQqxkp$M2I}$1b)TdnqRaHMFNPIpo(DwBjZpdNFO4jO$4&g<{resnt5fB9ic5r z5iocAn`(dKPK$XI`LCw?wB@=u)wQ&WIq$v(L45>M%>LZI z^}<9se^QTy*zY`tYjUmb2};Y(MGOPaJf%an)@>f=XfyS~gewQz!sT6j*bpk;T8IUv z36Tx|-r7pga+9?{LW@!%}BKDX12g4illLu@cGOBs_%C4Y$) z78nc>>qzhL3?f^^OS{ath_Nd4QSXb|_Zs7{7fF2ezk zQVZH4sRo2<{A*5gYg;ZJ4Wzq_W3TSf3v>vQHN<9 z63ZvmGYmV=z6-=sv;?~t(yuG1|B%sgf?3q;9>zDL0DxKnA4ih4-avnEf!u_$%^kQ8 z3{|kTI$UWtisVs~diOg|xyQ?WN|rwAGuldl$Y0Y-YgQ-tz~~aC()JRmyn+a>|Kh3k zTa|u#_>n}8XN2#GTI*W)ReSx2nK#dZ_DM;;)7A9Tj(-b$3qA%*5c$0%bnoCsCS*M% zE(&TfUod+c$yQd;pmI}e7E5qrmj<>pPx(`X01Le2+#_(S-v+PR*lAzP&?|s*qm)J# zM11R&<9Eg?^XZyj#PB#I2t>Nzn+)E;S4R_*31*ej=B-aP^J4r3#k;?boRXJM8O~AF zIZM4?XAhHh!KeNERRCdr5;z>>8#|!plYixmc8Tw{0MU#aH|mZGMP_*UNY_lZzgXXV z=wwJKkkxSM{i>W6hs|84wRloHMCk!=IhPCJq~*R#8QNH;apFLnt}ZN+(=8MA1ai(3 z?9T~GA#z1Hw*757>cNS&zCIZked~Fd=7n22QMw7iVZK?+R*!h9L^uGH_B&p(@)gz9 zYx%jwrTiGE8iB;Sy0*4vccba6ri8k+(H!{7NmU2-+ZPFK3`ea$S8Lc{4X=tHlTqt= z!PX<`9dEOp;-@&>qOo{Vtta{bSE-=;BlC)NPoMrf9v&V(fyc2hiWl9dvhdzZR>LDd zoKrCJmsgYLyKgdc%7j!8gTCOeGIQHCdQMw^xr>ZlqPA}3nOHRCV2JSjb01%SJ?V8A>CyFGlihQXS=M={ z*A4-35#+pc_9BFzH@TyDr-1AxQmn2ZIcX^zs*;)T6!79U%&t=1=jb>N{lp#x&D~Y z)gi|dX85Nvrq}-A8?HeGG2l{>o~+J?i1j>hEEhoZAA=P44+vvB33*bJ!Ehv*^s5+@ zPqP`=QC%czZM3|rxIbV|NH!ixn>blR65d77*3mhR1*uhQHs1?IdtMv|6v852GVEQL z-A&jSy~`8SM?f0ZuNoeW8e8?=kRwL%@}I{R?mggfFj7%@y{FAg?mh%2V=6k29|T16 z!)f~rW0(rIPNA+v)06k7XT4<8^_g;fW1Y9sk860I#RL7x%F0>*NgpA$>5XFQHLtG6 zb(5X{uPZU~LA6$fMS*v|Mj?Y6iUDD)u4@~c61&n9VwHUkE%K-(ld=CV6N^#O8hS3D z1;L?*BTCmP_WQd8bI!xj?n&1~4eH{H;&c*-H?CFb*$hyQAD@8BuB(ATm&;q3;~ILx zGj{D$BMrf9jW?m^C~>IJCR!%{@Y#LT@Yl#!HyJdUeN)w&=PU<{;QRd=?0;`7XZE`` zzEWSB_`}|cIt?CBZaY?LsLrA?Jv~ASfMY4z^|*JRQZ06nZoQXMZW~4|RMLKBfLARB z5B9B~KtcDD?dti*7m?DDrpqS#HH2!j{Nq0$&&H$P2{^_`YohyUSqtTf9ag0%J`uX; zR*8D3mUS_;lmn~wkG7F}<-DCo<$*?ORM(1%o5V=^w(8wnvCKVeTHF>R9O8fN287{!|9dvjR_o$`C*J@MMat?G|G(ffvea+}Uda~8KNx!6pr}wh$Pf_$ zUPWT=9aSC@WDH(X8f6+mK|x6Zkru4EwLkrH9VkPF=U23tsg=6D550W(G8#sYk2M7k z4SjOO^o@aF6K)BoPLuP3-snnvot{K5RH}mZE9@#K(^xA1ezUf}Qf^mnA`3Ae%w#`Iyq8 z!glXp^B5bWE3CE*t?u7IyDfBdbkxc(EUd|_uisSD=rLnaaj%HDWbD($VgB)=zCOE6 z#h_xp_pl_L)sB#ta2s|^{vis(pQ3^(`N*umFTYP-Z`c~bW|D^w;!I?gN#6@+JE5aT zU43hYvq5|754SWit5mR-IAjLC3O}f83G~gZcbr}%aECpSNP6p**K_h%l6qfG&*U<4 zM%ym&>obiD6M`t>5_6ND#FZnv`zGk`RCwgj8HxkK$Br$zg7c>FDUdi~rZLoZq_$4GVG&a;cgt7OZ^ibTsn>3sa@pO!)&bJ^w<*BnvA-JBTbE z=Ia-@3+P!&cLalYTQWGSnxlJ7Jc(Tjer7eh)@SYmyEi$e)}888W2(v*Te8#hvd@2r z8m$tewz>IMOhLh!E%gwFfy|SrvK~*ul)9kcl^ET0O(&(KAe|^JrEY#)zQgBEI1(h) z@}Idm0;?Tc!~^ZX-k#ykS2;w_dv{yg#>QI>UUj|vk8zM=z7v>PJpYXQWI(iq*e3u8 z3e0z%lc3Afyh%?IPRFKTe)sI*nvI%3(4(HyH@g)U6bMEB^0W-#CJH+IeHUZ1dOF_b zHN=KNmJQkhFB%+@9lcG95jUB0Yxb7y+r&{KU+l4MN?-L2G=w5a>&t6otFU>8$b&ibogGpvwmnJ5y&1K%cK6V~FZp+(dEcxLaO zO_H$rW!Us<2L)}wzR2VwRvQYJzo@0jBC}*zF|L8w27X(J$*9DSN8-!c8XBD+EyOC%w6vs`Zl{R#eXMEMV7iT5x2RMOgr8HZkO1Pz$wNZSTh_G;* zsp0pl483A>i$1ccX+hvNZRRB9!5twg`w&B`OtY9K%xyb5?F~M4{^C4KOOeAAZu!J8 ze&e%bCz%x&;A+`0yadrsxvy+$GsW*d@4Efm|E#&JM|T{uinAGbj?N`cm4{=!aKwQm zEI~y>SBZ+@g14#SJxkV_r8-;gFv%}WPe)~39Qb0ZmcsUF8tQy<^);l3ZAciIZ$KIe zJ(7$pj80@vUqf;lDNDdOWjWSnl@6TJUhiQsI;$gu1Rk|vFvkiCEDa3oB9t=&k0pbK zh)q|KK4V*mEne>qZsP~1I1rqOt;0`6$IK~E6ZBh79j2oKIaEAqS3D(LgEFVr>X7#8 zI3s=aqd+NY1XSI!Y~h(dcFu37aK&<~Bj>7fs#A#Dl}4R)+KZGL1#Vh8tal8m2&s+Qi)6QYOj$fUq z9LCCBh6@0r;1(bTgT&wlL1HLmT|z_Uif7`-Lvcd6_V)J5W0D-sT+Xr+-7mC(p=fpf zO||&~rTvw}IA-*4QG-#U>tp+x%uizOVqT=zU*-Q|xEml+60UO7q>v^(U;{QbVP zMh^&3PQ{Obh34;dk9g;7h4@xK~Ko9JVn%C#d>QNyf5i}aRwTZkqs#$4t^FQVh zvgn$BgZKuE>t?d5sorF(d7F~oN$JB)F3GtJTz5=-;vi$$f0IkY29V?tba z6hXFfc1q1*ex4?2*k)ZSRMdQgVgMoRt$mMEIhw1#ynY!Y5%^6 zqrOPEBXygSeHyAKDB63-OPb)vE&V(Lp^S=K74PnXY?s|`?O`gL%F#}mxuT*I zLlUmo_8v@MrGkQAs7=q+49{IL@}Ua3m+Qa}%jp$sBZUyg?pQ|g54L58l88BGQW`zG zEsk)1_klnj!r_{J$<7^N57eN?^}t6N8`pi1a1Uge9@uqrNNae)9&RxjuEZ6ng|`1Q z=FX^m4L65{Fmph(ZUG8g0Gf!fp;FO#yXVNcpP22)U1X#_o~n71QG5{3_>h?6k`;Fy zebJt%bHPPLMVkikHvHV*O+fSgDCsPf^ZONU%P#V4CKm^U-L4xyUpOQiYxKyO>cnZ` zG*5)Z-HcCwH%uAjSn146Q1%>)yprUQtZncz*)q{rz2`5~h$X@uHz6}wR>paDl{l$N z=wMP&!?wo$M(piPvigs_CDaxaOpU%;aT<^hzSIb^3ua|^Uz2=GDfxmMjLK|JPEAcw z0|-IEw(UJpCobyNhLDiZJDen14#|BePk*+|JV12F5I#v(h6r;6imkS*A|&vY&)m=| z2EPk|c#-Ht(kKYf)HGsF*V*E<0bMgQ*wh9h2^}mTY70i{_Ej=8j0DH?s6|7gsh*>| z`hg-&R~%Yus(p9L5s8nQ59Vmve)fP=R0`+|%ftUe9HmJlQnO?4|l}Y7J2Wf`pXKAPHG#u)y;cnjb zK%S$9_QucsIg(baExaUQ#bLwCO7Y_t;339Q@cj9Pj!v8=stbS-0r56b>U^HDSput# zsx~&`tU63~g#}li7F=`l_FgKEa$YCNi>&Po>6L^ua0Mo~7ilO%y~LC5Aw*P>mzI*s z!lws4;u-lbMa9KiaHCqzh}(Qj%qb_E+n}eKFCTECqVS8rHKhE5K3f=GHhB|fR&j96 zhV-0S8bZWj=+)fEWKqLdEiEnez-d$uEKy2s9wZ8gLr4eCnHg@-ela@FTZ6O9f{`Sh z{-y1vA_?{tg9%W5z_P&+%9gQQhdC`lw0^R10q*h`bgR#GfDb`cq^@j-qy{9w7-pK< zC)@5Pq}mu^4>3C{nA-EZZu23;x_5*->gPSGn4$Q5ZB{I8&q@QID_5jJd>Z#SCISNA zh-Bv`;XNjWyCCBn@swe|>p6Q~jE)Kz#D%eXOQU5KYpwbz2&@&`+}ZK70dPAdADmv8 z;VzRO;4+g6E{Sc}11+4^DSE^a0U@D+AO0M1-OO#ahljLik+guDavb-UiMn8FU*!hs zX5^-Uz+bFGw1Nw$H#o>^f%Puv14l}P9c>c+TixVE9=}xuc`py#%sASk1U~U9s}dQh z?UT!&Olq^m+XQ#eSH0;f+DX7nQrycldg6`3zfh8C!fol)acH5=T9r@}fH3XG#&Q=? zNkj+03kxJ+@}*THEO%LpzpOgEdWJ3i3~eSjjWIQR(gp_dTJhsZ&6vcr${M%#oRh4^ zPp}R2!!5I-y67XCAGSEdpPC}%^<5STxvrH2p2-7*gGY}Z)r;$XM7TUL6*9h$U%f}J zebxs)-g1XKer4T|jnnGu>#GND(bHyTmu6o!@IW&!J5JXue*6wzO*={MgQSK?lKQzJ zmx@NQw6kc_744}T*GzC^z9HTNH#)UY!W{_x7Rb-rSzaH~5(fye~8Cw{pbE*fyH_co>W4BSdFEev86X!J75Q z1TMJ`&)%euzzEQjbZ&DU_}{LJKQ{Tn)_ko;4ECi4dsvv%Pa53ME3Q%I&Q_kf|LC^| zbuFLAs|9oJ4+Wo0nPBy7Y)u>U`O-U+2{r z^f}ifS--G_ps>xYl;q=9;e`NLT#>_6b%X2olelvNISqT2X9zQKx+TIX!H6ll7{3!Y8i`*0tM&=EWa^mx)rZxqE<}6=Ei~C zNN^AGB>w}3U!uP61u%T*vvQ_(3Lw>KHyH+OjpoU@2QC>b$!YW`FsnSY7C#^qd3c-l zEBB$$>Z0I5ucz;U+=}$|_TEB`42D*5>C8VxZKN4$#+*18dYYTZb`CzA^>hZY*A%XQ zRy~rUI!rbOF8;fe+r-gLp!B-wQos76x9w4-fQZO-Np^+?DvOLPfkB(eHd5fdPTLyE zN5pM7irCaQnwGNN(zA=J>d_}R0^TphnM<+`H9=$ai_TS|t! zSF;J$PMA!WIHOUE9SB>sNJ-|OX>1a5Sd#on7(LJ&F($(JnPonM` zdr0~Y?ktDoI>Y!nY=_LpO> zab|aB++wEDcM0Y?ymG1F1SNexK|1I6C$vswf;kWmJSXV$#;O^Q?Lu|!j>*7#mx=_~ zCVbH`F{yp}y@0nl+sC)-sQ^?;@-wk zb0;O&UsIB8-o4qED8uk8*BMs}y@e%=)lt9!LXxF*dq zu~E~ZY}ID+ zzQOZ5Q?}@iT3jieIrS{$&TA6OS|LU3fv$%6s~<=`_C)v0{ORoy!bcyN#%&<^P!y1E2lvP8=`p;vFVp+#jiXwwV>Q)g9>O%)Kzp@^E)Ff|wYr75o?$*0u z$-yE=!Wb!)NMoT6RtsY+N5#HZ@BFP0B=99@bWiO|q%v@rkLvyJ{Rvl%=+HGY?-#+# z+F}THY$OMd@*sXT`k?eX9ZZH6*EejyqnSR0%~t=@vYke%>`QXW(mMSC@vvh~jyxUW z(y$v2nj`i|b}rL3%c%<<(xCN!pexJVNR^yBO!aluq@s+xEAfWW(SVnjMR1w$=OFxO zBRS>p{H1#8AQoIchZHqU*W=eW799Wn8ISntM^RY6M*A|QpMs#^H|D@|WvS%Ua7N#I z#7mMFNBp5gu9{lD5<_7lFbAbk|7PCmSn&y-o0{@%Bh_-Yx-0Hco=MJ?y2NBN4dI0e zthKni7N1LL+@%tOoK)X{zK!&_nC}oRbqj1#E}*CmpYZ34Z8t$FNJE^wOV|7}OMu+O z#{zS`Dcmu}tHzc_%pq`5G;)Pip4H*Om+G_yyf%Ce#+z<2BJ)5yhR5kkt-qxhYi&kJ z*W3e}Dya^Vv>vf*A9bikj&9)&Zc$z0UEqa+kufea(<|$FzvO}+S+bhK7rAyLdD#r= z9{y%A^tV17U5g+z)9q1q$&M@f@)lAJ-_7G`|3omJS;JwgXo65I(b{ikDYs=Ah%wiaIBT3d^S?*!n>_6 zAcC{QV_FNvLaSn=FWI73q(`y}umimHjya7S0-?)e9y_VinKL&}LJBAllKLg|v7Eoh z!`3khB-!AT*n4Ys>j^sd^Dt)Vo_s;1-6N25Af}GX9-cz8;9SqWPJpz(8s`_^=KsNWrmR*Yi>l(zXvj^MSOYkZ9@s>=!|f(}!F4sq2j5V7$8@Gcz~lWhI}0qqSzPFm3b% zELBz#B>KKpvePE)L0?=qw%ba<9CX0^C;(%j_Z!5B)ck6)E#+zF(b$J|EsvFHDY&hD zEC;{LxmG=eO`0n}*Nc>zeyEuQ{^XjT`0sG$n}9{F=u@PdA<1YOUXt12JHK{6Yd`l^GmVyl{C=Narbq1 zUywqp1w8KzuJzGK4fvu0!(ZJm9-?&uYEXukFiCSUt$_h05gvT z4a_2ab0uOHT?z^`?O1Ikn#D5WY=Np~P4?vVKLXgcPsJTYd~$9Q#tnCY6W$!FCZ%%QKwLK#j`Y)lH9t6Ty1er>iZ}LT_l>t?ts^j%2_O6*IlF2h_J^9Z z{*AU~_>1%-;$+wZx>omzf2%jsELqLfV!U{{{{j9~fhM*Xd;Gd%^73WKn3x8FHZTtn zB?+I}-uN8D85`Cg_hDgqacmkX;_SSU-|WIIwTTWGF>1htEuFYSxn&sbQr{md`tE<-UXCMJkd=->Xl79hLxc2#;W{nuCI>I67uA%F@C|X{-X?Uf7JDulZK|%=U20cgW~_Tr?fcLUVz@ zg=1lMaaFFmsO!CV-K*)PU&0+OEHB{u8UfSNFkK;JTww$|kC@04%y*+c8I|xvP=ths z)8v;>42<#)!{$s1jZnHc-4W!`vk|V*UigJLPH38VyAdDAHz_}@QNao*pLu`1`tNxsz{O-n8U~l;XZ@}t_MyN(z zmcS8!!c3>peP|xnSk>_5qVpCjx+sD^pE&A`0Af$4;s3~RHPth964jH!&$KPg(B z+jE%uZ!u>)Q8_62(fL+Uz8F1KZsr+{JZm-@)n&uW< z1s$;WVEFN}$!aE*q?52#pWYHMJlx?i4cBuo6c64#<1pAXc5_lMa^$g6T?jL~u`hJB2;8^sUb za*hHI3k)^}k}#)0hsWmbLpi@?o5d8e;?@W<6#Cl4;BN1YAi{P=<#U*h^;67v4Tn8g z(9DY^JpIytgZEDjC12PBD=7`Ckli~6&)s8f(j%TfoBaXnYd5BU_HZKs=Id?sKJx># zd@THX?_A(f69PMG_VB~DXMo+m48G`khf3=ZB{zn>!W~~IxX{zfB&oYt7%}HMxJaY& z9bd$NsT?5UECY?CR#646BE0ZIH>HRAH5jF#$>#MuGbsK=}?%+e! z?~JDX=J7l`+O2;*gDrv|iX=JayWZ44Pmm~Lw*$J9Z5>eb7Fxr1(bmU(_D-3uwS|ZO%7Y|a*OL!U0Sk7E8-@j<4I^EUtQXdSR8+ zUlQEf{9&Oj4gc%wZ+C1@)Ym^fB7_c)KOX)HnQd1F7`W-!=MMjy9BE)49A+b`5WZp_ zwAV5C@6N|ur{iX}%62)m&xH+-Q;6Q)D(nAqlr>&OoTDk;yJ6<{p6IY9v8}+m#+J$B zvm(23=e+-ZO36cJVKk-duvo2PLD5O7l)lZlT^Tb$w3c7p{2$(v`dYZ()pFo&^k|Q- zxze*tW}m!(gc0wCR$Je1cLu2h8Y-o4TuQrPU&;KqWP&2GVIMd3iHKc33EPER=O0ak z3{N(-UNCE%)*8CCd*m8(8$&jYN9!R`RkupHr-LL4bKwB`4zoZy@}KnH#&|{q!_iu1 z+H{|KtQZv?{<)J2K0CKhY?o1LOnzkkb>mX{KO`2;=FglBG@`Vt^E;H{ezuI53O2wLuYMbjft ztSxz3O9;6@0JpYwnybVXmF@^|xL%*)2Urf7n39%q(N5EH7s}i>zGP&yYJ;=wxR>pBEMe=rO%E=T*Dh3uXCy$D23roMr%NO!asV6W&WP(gwoG6XrxO-i&)ZN1Rt| z^0QrtueS#1UYH{7x`|ZaM;Md_i!W=E>keFXEL3lBQuR_)1S&p6#Lk{SS2`{TMx#<~N|J;%w^Pd&e>I513WP+l)jAN_I0a;c>;Sv@wbD$e36O!lXHr>h zlI;9E6dhU&YQ%{Z!(Kx_Rh>95Om^?svMW} z00HT(m^ac8p5;0edN$jsD>0s?^)JG4`VX2G!~v!O@L2-c#0~}#=~)7AL@_R2Ml6V5 ze3rnzirGUUxuE5AL03%pZ)rI4c`E7QD5+yr|Ia+TBq>m+;R~ z4L`c$w~C4xIvOZCJOFkG`>!eJoB2@29o2j#x7Ax&Hna1O=ww9Fk?mF+q9bSi)`*sr zSy`6;>aqD_zp(g;G{@pLl(yOaX9R4ckCN`K|6I^H@mogyz%}+@itOQihDR z6#H3LTAm$0Bi0oxWgB(iM&#Y~uXj%UC6JUdTU}SOo&I$n@XY@zSHR{j+kHpoF$ZVg z#@|D>Lv9R|&D+1eBON9GoKW~u(~Ie@SMf`Zh^Z#7405)n$9L9l{p_?W@oxTnh@=l} zr5+(y&tRJ)k4^ss^c}-dfy!-sN{nGRP`NL;mP&+tK-aNH<%5c#^JSui=iFs6tFi%E zJ>o7$19IN=;hf(NX%kv)*5bZExE&1)f=w^g4;(#qEOl;W{brk~YYx?I_ zGzabvX-XO6`0<-5wXTV|C##=6RV9cS>%;|lsBeud^(!=s(W&>m&104l*H~n;Rvd~J z$YCQKYV%j>)&G0;sPORCBM893PS8L_bWFTJx;c|$HHwK z5(p219a_{*LQLDaJ?rT7_Q!SWY4il@81!CMZrjLp$kphv#&F@`u$?f8U#I72Ld#f4 zX55W|X5-X-{F+|6#1zM58nkuH<;KelVU`ayts}HPr>2e2$4ed#J54&3X)7+~^}J-@ z7iXVe=(VknrIq}Wdk^;grTs2auVQ?n@cUr&$m^_{g2r9lK1REOX_`wcj6{Mju@U^t zPQR#UmN=h!cd*6$o*lD#N3ZIqJ>1lEgO&G(w<4_!8R%-PwpwOavv;|^64RD&a58G3 zNlp$evdwUu@`+aMj5nDWG4G$VFl46d*l4OQpS_zq=;~Sd^H+1l7)n~JDes%_waWz8 zlPEHBL!0kU?n-ICmDL?D^YuY?hRsf5#O#8?X123SbzQ?&+3PtktA$qqKmRz7)4C22 zlwM@^=+wPmYt+0Q=J@mNaLPt8ormzH^+ zelbw$-dXVXB>7%{HTv2A20u5?`d`J;W2;@cZYP^(mIL5g32O~&qR z1Q@85VA7@swlpG%_o119inld6_aqlQk6FW$>M(LWtgPB5*%=zwy@zm7o0tc3ylks` z2gbNUX%i6yR%{s3QuXO%IK-V@8ij1piHE9kpDF{*7uOr)d`6^|Pe{Gg>$!zJ=Fm1J z8Hq>4pnL%$D87FBKqzopj)4z%3ZlXaY)NbbAM-kp>1f2Wca@hTI(!HbQ_5JeI^1QUKKA-@?EYOAGr-NmU&HQPS z(mAdbtM%PFJLAVJJS$NpH@<%{y!Oy()wQHX&Ts1xf64cx^$?SguS1RqC9ABT&YM1I_kbo3lM@yR8=0i%eWyjkIY4L_+~`3<|tpZE4*{{bm2VZTh|$terI^{O|VbYyr*5${&1T;(I>vX zY@MJapu2y=QcE|US)sG^DS%aKR*BtrY8B2+l5}ph4@O2b97(XN^Y#DLsyu3U!Sjsj zjEJ{W|eolh{13YHnV&%ztH(q2O#D6_JA`K>rL^_y`0sxSEPbfAQp@V>zGyn5xB15n<5 z>H$D`IgW3ukjC=Ln&26j z45@IQso&DTkDmFhI3dndVNb82mzk?4^YeX?fROVJ!k@s&!eB$OVGIC=)q9-o_07A9 z>k$v0XD~_GWifP~){>Sx+avT}&hp?E2I<5K2~dovbABUj-~<$>#&GBz)Xejg>RP;X zAiT6PqliBpWR!?QKA*bzI=sprK-57YMuOTV0^c`9)CIj!oQj+DDmu(v$x=tR z#!fSa?SY^RcdrXf$Ck~c41T3ApqZiN?&5_n32|{r5(ULJJnr_IVNJt$d2vSCzp_Ys z?S_5FXMD*Q9H|PT?qjfVZ^9qQU$kYS ztIf&VuUIt}W4^1Q-!JomaO>cT?s_$U8Cwf ztvkAFp*@p2Dbqt@(o7eA$3gr(jMY-uX0$8QBAOV|g)^ zk`D0#Np}x89WY)A8&bITZhmEJa9<$kcz>$2-Opa+6#vND_uEbB^rmCd^si&=I6{hY z78+la3UKyq-@LFk+B7+q;V9&%^lEYC-P!T|{F&%eDy_QRoQ8iMFXlX|!1T+Hwt z4u1L;_q@l^X8h?9q~DO&D!{ytAX ze&2QN%8$0DKTeY!ZBO(nZHC7G{K$3!2U~FNIY$(^TsrS6zgPl@@w8Dq(EL^1hOCHJqT*S&q(Ser@j_4n^q zuh~&CB+2BexT3vW=KM=u@b=zU#?ltozq0AmP2VKkZKq{KwgO%gCnSQI8gG%|K=Ur1NG4ih|p<$Ey5e0?q?8m)0k~KK;UfB=vTaq*rC_Yy06%Z9?PJyuukt z6RP;ZH=oY{V72FYvRCA`FZy=C;DJ5tfjh=7 zU?%>3wH(ww;?Y|M5%n>+gUOVGM|BA{f(@qNqOSlzTJT_>05{cqk8=I?l5_n_{V?`> z0K1R~h)eh$ss?J%u2M8cLz|gM%&CXeE1+2{{-<2SEyix0o)?e600a-*H!`bs4aP|) z#{9p4xCClkJuvly|Ec-T^}N^(XSwRE$?|rRFr)JQuQDRgVKsdB-wZJAS2U^ia!~N7 z_&-_s%9%Hp$N3#D#1Tg~*nflD+o`>B=s93(4Nu|JQYY zzu)sa=YP(9pZnZ}%k{ZFpV#~Se!ZU0$5RX%b@9+Gz6A>@G}P#naaY@UqKj=ObduGU zzk?)xedBE!_-K&>z=s0qbcdVyZ|F`5P`^&(!`~CltAuD42(vmic>Jq`DokJXj&aA{ z8MX1~tN}pjyy^~q0+i;!Hqr8Gm{~okEMY+cIWK-i7f&>WhjEJ~m>}2SS3K$D?WWP; zh7B&Fo>&$wGc*}JaDov+(b@?$B2z~Nx*zA%t(y6^&0V0}bD0u-{8%b4!9RSx=Kw2j ze+eX1BLYaxmIAcY2{6Zo;7L#$ZhQ{3sZ_jEJx^fYo%dCa+bb4m7ghoHu9xua0xP(< zwvYg|t80bTC3fNLkkG{AM?H&dB(uh+4~`>u$g-RKB|GzyeY|X`=GVXFjvAMrJ8U^i z7_?c|96p8@9rA-=rU7Tz{j)+h612Tl4W7zB<#JaKhg0J?b|H&=DpuZU)m3gK@1x`J zGT4M~PioG7*ek;tILwm6ApYriLU8otbyt{xRM6s;eGzl^?1QTJp40kJOF1IK>|irr zYI#n5wtejCzUsjwiCkeV@#WbzU8u=yR*1cUlj9KHvE7bUGsks_Yp=J* zyzrJsJCc&g!>|nM+jiTn{;WkUiNWmNmvai&`lpOv$0jufs@ny$vpKbdXwLYPMA~4% zxAe%Za;YlKoEE_NWiOc`3W}u3F2JOGaRH9i}P>c~K37+pAlgub7>%+VR&$!_tMyiM(7m;hr^j}9JDt8I%yie7NgP_8q>xdj&8PU?>7Ez}%m(IL z!YRDZc}6a_Z$2aNP-1;HR&RC>EzYSF+h<3wyODZ}TMDn>yxw+V+Khv85qA03>3uOX zxzo2tWVS(My0C42nghj)K}C?$cSmdL{smLf>1xB-i=27tv+BQwMcx~C)&ra=DmR=d z^)kBSYfwF*&5;$v_B$QsPyhHa#DV;Z$ zyq`|!ogEH)>7AZaQ1blSwSN$6(UG#%(k{_6>uIoLhXCKp9BN>zNR^~;5_6c}><{(*)hjB7)o?Hj3VPOQhgTt>q({z1)I?5adI#SaM;cl* zdWjvHrUB9PlBR@+v27HQ&2%%LL8UDbG4`qjlW)v&kOOR^9-AUV&^O_#JbrhEFlDpV zslJ@%y?|Hj<8PGXTFN*PoYIQ3^HL7_$MVkJryK(Wy>9_3D8S)BvZ z?>C^=na+-lKt?15qeTe&E9mF;gWf`Frp9Tu53mVfM+OPc2xQ#Vl-1YQ`=Ed5UqHiB zgqfX`b55!bSL#6qKq&Au>rg<=H*U-#7g)C5sNJq8)6A4qHXiNgQQsp| zjx&Jxubtl0gSDDsp!&@;BTr2nYbM|6aUS04-~p;ok!HcLE?$uH2>c$ItJ4ifiSQ-W z^gyuO0E-nHXpIWM7*Vyo#W*Uz}!NdTB9lsAS zC)z!&NxVdN(ci8d(?i?pe!50YItl)~i9a1cL z(;B~pq=W5;e5It+&*5GAC1;QmY-XdAM&vdpsbBQI8r!qi(XLUyBku@EW-)t#N@pS6a{ z2@+dQ$V>uu9Rsy#23D2Wi5jn_qJl-@!xx*9YAnCd1)PMkJI+v-NV!nlFLY?R5hK3I zLNn1sWa4Y@D0y%h_Q`kfG&(Bf3Qf6QjNI*aA(wIf=(;T4*~`10UpOaB_j9X51+sK_*dfWPjH+U#h0oP$7&>P|;VS{sF^ ziAIJ^++%O~;|(hatfu^GwdN#pHFzmA5U}3l#A`0TW>9Pb4#@Ub9IVCCuLWD3H}x=HO*SKXf2WGrh&%Sha0pubfQ5?N_cUIH$t)f*#8?r_jtJ@0ZiK- z+p`ueC*6-Y#1ox7By2@$l52S8j^)=Ci(@#p7VueEJTmf$X ztQTKK?KBaBl5dY>U)Yu>PHY@I%2L;8=9&CjM@7lsVOKw(`oVhCIm7N#MzpQ_!NfgfJQiNb=Q9a-F$uy*{)4Y5rDfIUch zlPvF3rLw<5(_~Un#4>RHxgz}zaD+nSQP5`wjt?}KJwJVVn-! z$NgmS78i1QaG;j6zd8ctm0(>oDGz?IxA=uPwB69{Es!>A`bnDBz(@fSOeZEL%)rjJ z?ph}aVnGPf17|kao4)UZc)<-C`p|wePEpA#pRF5@lm?~Ca9y%*^Q@!dWz1C7d~7y* zHTYL?KonZSv0lM_Y#8>NLF~#(H|Q+y1s-_{I84Fecl$;oE=+}(vXD{?F z{_4Q|=&(MSlJgQF`{ucJcl$gSCTitx+}YzR-*Riz8xz&!L3r=}XNb0=OI_hJ7Gc-R zaGKCzF1l-yLKO;=gyHk;S~r}#wr|bvo|HntuK3J^&y~&tHwsl4dXvV%;n>Y+9E*b} zI-gf9GCm-<;&Qrpoc5W`Y`r(uEJPfS&G=2pX={~3biT{{!1I_Jo&_>icKVo%EJHQRoeB`_t;b7VU zyP_)%s_ozVXF5g*#-k=Ng1Ni1P>S=daAR^kMkw>B5?xH4Qx(?r_o z$>ppL=Q&12hMCfh8J)W%sC2Ap9{VZ&O2<(WXgo+?wk0i{x{IC&hq{3}fKZgm;j^k$fd;WyO#kWElFh^Sls?NNy+2`DyQok{g z6waD`OzM0#UXwe#dJIm?R0qMy1DJM&^K+Ga`eZr%`u*b)}QpQ`dx)8^P3@Q_ET zgOXSROw`A(vRQOXOr~4Q*R@vN>r3)&k#O=KMEE~>d=O^CKcPEZ*$m_h?_vIi2TX4z z!_4*t)c3xD2^?(QX=xe-@1Waa%R(yhiB~UZoxjBi;??rp;8hZPEE43Iw8&$-eS6CN zG{B}$TYhkz8!84;Kz$7?vo9drYXzqCJ3gS4o8j+meyfzr9e5SN12eQ0NW3WkpSM0l z96-D}D+I7acRoX|t*sfJCxd~!<8>HBw4JrOi=GcO({Z-VQt@B}LT}<2s#SqnrldsX zg~joa4jHRDuE-{!-6j4)N>AAk;o-AJ_N6U(Lh&P&_jL;;0bltE#*FFkDgCJa+6m5| z>t=i-XFL<*ioSPRncs~Ncs>1Tu}rKoIx;x+&s%~YTCboBI@gj*Z}Q>~;n!R3b5}@` z-AQ+Bkp8#$1%hpm)Nl-jzk^0~wOKG}R$N5h8?#e$h-F3C~qzz`FJpPUnW$z>nu2wlCwT6sN zjr!d;5hKh`GMmQkHAb*7@ZdlFrAv>2fKix+ajaZ!F_fph0 zF=JNtCZ`_WQe4AIb#x=m$8eX-mqI`XAp7}OTWD#H>m4pE4*bLi+3V_ud^>8rnC@?d zL{F#gX)bKjO_J_YKNnm)j7)mERInvizOq(!Y1?SiQO0IfLHt~3G+Qpoe2&8b1MzXT z{u*p%lT6qUi0UH4*X2<$9S%3b&~iQur9C zsOm4AyF!QKv6^x3x!Rr0Yp+8thc2MyDMsw{5sO<%iFIMpQtsm_S@ywQ?MU`#Z+S*a z`YyU&EB@6&_O9aM(+4WAWWGuLB*f9^(c#%Gh1eHA7N@L_Q4)?^{zCYTdrR^uvAu@S zbD_||#)Fr{U)TNB*cQd`7477E*Nq?lzCJG~k>L67;@=J7jM+_b*HaaY6bX|T>G!X+ zh0nH8CB^jMXdvd$-E*wr?w1rby<@*BZ|mMppZc-~iCXJTK#(HQdhB7ZrS%rDFaG6( zvbc}QmgE$)5(Q@bOiG`=d}3_e#0kVFC(bNU+o9a|oT#m(6Ne)pmVdvmpe4xcd!F+I z#6Maf0ehXz?gb$)b?A{ccq^)HZUn;Ng$lqew_0Xferz3a>yRzEDQN9O#c=33_tj@7 zGcbYg(_trj(GPzMXU!80t%QEJ_>4zD8B|Om*LL8y?o0a!HbJ$-C2;D02P~44&}&z; zD`M)93v5_hn(uiSU3vvZZOS>hoysMSD_a3RBd`vRnNLMU2{#oAK|zxS)}o|oNVS|S zAl$^3RXPP0GXQ}0+pCd-v(!9gXI>yP)&T-z=Ca~hUQ>(cmuAdwPzw;48w=aE}Z|4rcYilDfC(lRCkzBN}Mw$RNLeIepNqXDuMmsv!+~cn5mb~ z83{3EJ)uO>ONIz0Z~sYR%IEK$y&I1&#sj4X z&UW`J!^#H-=38dV*|EGEJvZMU{=S~(J@YQ2`@$f7ef`jiTQb#A*F1|keWLneeO-Q% z%dtxBMa|Z_kb>>vRV&ZGdNDV4DSGqGTIXk~WBFEpa~VC;zkT}6cc)fr@R*v;*Rh_~wYX}j zy;WwFxpP$j;0grQMmvwxQ4Avw!-u)4f_1`7lFm2PSj*P)y5TXP^0ryN0{hE??(jv; zCSn9}Y)IJ&z`CGIh@m2b+XiROXnFpyYFbhAAN%1C>rFRl(9;<^aU8+(6e2yc?|skW zYZ)cXj_?LIaLzRk(%i3yMW`Wd^jeWjps+dLI`NIO<)A9bjl|eXwF{Y~^5|~K7 zC@M#d)VjB;srfz=!6vM`{(6|V#2ZNhjV)fOMU8o{SXeiYV2>?P2aRc+Ym7DI)%q8v zj~pS3DJPq~g-mcg>hQPj7x=1f=b#7+&Y;tRm{8a^zPeM>Zq+}+RS1z0$H=#J*2gRAr zUoXX6qzjla5a9X5)SNXYU=&hSWp1~yrjp@eZ*>_zD2P|K7+PIVw@?AM0O_EE*HXI4 ztm*e{>G;;K^kyP-y#_UMQGz(xKAVgaw6M9OUdlgL+QihXw6sPYb6jzmyijL-HurCR zzbV8Ftwhif7n%<1!uvtXL@N?vfp>{1E&A>Uwt^nHwCCO3{A407ryUJGSRWYaV2&)J@pBp zc}Ha0e0iHPv2*ZT1Jw`5sj5))%V8iuS@jBwP=qwu{k|_@nz!d6&Gu|0mMAU8@4lA` zd!JgP7CiNh$NQrZS#v(uWVzS}mb2ts_9`;XCT?)>9PAwN!oOPSzUZTE+)j&NoR@Al zn}X-{<*uy=`AWJ3D=Cf)iqgwA<6Web>B(JOlw;CP8xJQ9*H(;<#f_l2M2CTFhto5k z-}_{3{P<((j!J|S`~tTccN6)$4?g$~+!4a>9}xICYTsv7^45N?SZgt1o;=EOwt)Tn z+N3r52>hrtEcOH4>WoK(7Ad{g>mY`Y-IHt2Ux_{ly{~zhFVn&p* zTudj7keR%fdfxLL-=Dfc9T{$=(TX+f)Q-9IVoK1A@-8=h1h2K{gU(V(nDcQkD0$NG zZQDadaWrir?*qS6hx?P8I=BkNe=c$uiY_<6|*qwcBv zBw_jotBYq}ErhgGKs*m3LYq28PaC7dXDx##zc||P#2fQ^OV{%h%T`A-s}1|X?JoTp zOKj;fJzXDiDk@oF!Y$S>5z*UnjwwUddTqSFo8R5y{+-&W#yLI5a66^z*AuTE+IjK3 zL1`avE_%)1J6tZ0!EN@tBw+C3MZ&X{RoHMTA!93OBVQQ#1jqAhX{v=<{oc6WgU%CE zPa2J0Jf`X*(_jkv_phO&4T>Hk1y)4q^u->T5LUN?6q)(7=4{*QyQf~YJIgwIZ=)6W z972DpUEh*CVzBpQ^XrY;882UdLyfTUeP}(FR(wX*wm~FDE%azM%r1D+>XA{+i7xtfpka529OkaWfNG$yH!{BX0c>a6D2+nG-()&w>-K5RV5f!ac$I|>YkKJe0qdu*rXUcpQ{vZJF8+C3 zbeAr*gr=bTc#U?bT~xC{8KtFMY)8 z-aUJi*%T{csXHH|+)?JyVX!ZlYDKLBKid9{7dNup&Qn;R$%Fegmco*wqTu%RGf2A1OaFstPk(*f9OP5W5 zitmC>Lr~K2@b0o&9lq&r%-hDN8DUtWo-Y%7$YrN#1#x1nDb%{-y}s#H?Bc-)kmCvR zfF#;zIxmj=i`Td3#H_c_Exm51&(fWpNaxe9sylzy@4Oc{@tYD7F#Ju1h!H!${F72< z?2wW~Uq@S`UQ5lVm>C5>bJFa^RQ^ZXg>hdibj#7DJJ)U-e$QQpZhUdP5Mps*H*pic zIdYgO)#x4VIsIFj?B7MI9NFO+>$JIwLxY+SAwBwYomUALdIs0|1+W@AUK7puSv9!L zb#p)Y{dWn$dT%1gQhzLc({FpFqb8$+R;Rdo`lVe~q3yo7Ef0c$y0|U+R$mt=UOK~} zxsC3R`W?Uck?bmhhDF%p(VeG*_^_sy>Q!nDwrl5GogQJzcBXWdEVPvPGth?PvB!0~_k!d{ zk7FM^{f8(*{R&_8vk$0roV_DvS!1?reF7fWl&a@A##Ij}48dh*dFwy4LliG(ly@av z|Ev^8L!fr0Wa*9KPw#^#O-`^M$2Ntp$sR#d<;KIIYy$SYKNCllelkBmJN&iOWr@;v z&-{JaALbu={}{O_^{*Ln-IRP6F+YBFxCTXCdRCK5%Im%=K&*SZ^@FHk92)HCrxebj zf_^8PDA=43D$?K?KOQ_DyZGb&)8%-j`S72SU)7m9%?o#@^?>bp>0*COHlB!)(f=N? zr6nxh-JcpC>0Csa=BdYMxYB&a_5P$>_tN66KM=$EHtk}vyN~=g_qqTEa#YheX_3QW zudg6}jyiTpw7q>Vaw6{6_|FF+-OcQa?h^fUCk+AIMV-FB8lP`cvW4}YP0I0*UJFKu z1@|X689D)Qjr`1~4ll?h9ZV;58GLlJ*MK(gM!1EwWkG)A5~z&4G6N`KNZyGacp#Lz zn8!abhx`sFr3}mXOdFSq%vF}TuHM@^a9}SHzQecM;n#e;?I0d7+xu@m>gMr0L1DG`ooj5T3N6ZRs_dFI`5 z)(`Nob%DSNYJ&KW&$ZXlLm%`W_zkN5q1%DR8x+2+->iCpMnRn%`HXD4YNLsxRz0H-5b0ciu+YxIgy=z2xkyeR2w% z;$fBJ+sk}eTqtHCn38|ZgxJM>lkXfPNH866+xeU0wagbi;US8dq?wUU&=rFdiw1@Oa$WJ?36YzI0BUaf1(cLN0`%L8@uSh*tq0J{tfE@rB{Mt{`$vP zP5l1|){09SNiLD&XS(wdN-j`t2VF59&dQGm2`$kg(X1c2lQ=Jts;M6tVG_lPu_0k% z)e<*#BkR3^ycGmZz(gnl!~X=%1Z#E!D?g&zqK3Gvl}pbWY?Jv#>3-dSl8l{HLrKFe zT`n?bH*-Cl21%n)KS!PBzxwBlIGEWt6F-b+z=NQ9`3uj}ZOhtE3!b%6D)TUOg6(g3 z$@qoM$S2yg3??2V&hEs54SbnRj1%i{(*EOTFXg`KgDD&n zZu^IrRg5xGuA4aqW@4Ifk9^lqziY8(vOZgeSD)!j?gv|c_Y}uO%L=&=IBWFZz*&S} zV$=NramN63wVIt@_zm#i^en)cKFy4@dv}Z;s}P5^4I(u=UWU_MRZ+*yCeSD$GJcT} z*w=lC(^1Z&jwQ|=xafm^ffy%<2oFbZRi6++C_*_VK}dNYOpWUYoyXdo|_;2%P`?tfHttlpql2mem=Cw-(_3 z#}!&r{e)iIt=bhJ&K|ygpSLfRMFpMxW^rrww9m*7JO=Z4)0NyVrWRYuzYG&Gu(dufT)$=eEw_Blu`U2dc*>-dGf4^ueRY%6(?UJ# z+yc$U{YadK2ecm5(_^Q(Q4wzOBFMsUpZaB8^{ID!r<+yg|MvMosjfEsE%e ze?_T-E>PCIVgRGY^iJix5#)IGA%G!Vf9Kgq`xG{?F3{Hku!t_&FmeMQyQVklSnU6e z`|kzFuqX+F97j>DISXgPYcot=GXPT{U6yI&;Xn7WG{+)u2S(WY%8b!Crt$jW!%kOF z>`L}rpP5!g;@Ixw^JtMAK5!9QN$lBPw0G~CDlkTP;>KOM7yq*OGegKr1{DVUs7FkR zEjn;Ckxyk#b&y7ARWiSewzjSyVF9%em=Ix~+PfN*{|RgWl!?LM2q?7uSjZxc%bo{Z zjkxLL)Vi-B3?NPEGW*T->K0%2Pc`a}t05kI0vfhJ3I!-2HqWgJPE*i!2rxt(r4|=H zr6dos2>!4(+0?&Y#*FsKRHE^ z9Y5dgUO{lH5X;~3!pCf6U`&th;8%zG-Oxdxq7I)Ld)`wKx*&FNk>@UGJ$w%5W(Jk< zexaMO%huiV-WZ%BiWr$#)cM51_2!0c9 z2$m=v#xTF@IO~fG!gRREdAD)Ro~B^KbPu&H;`m_DK0VlYyjn7)zkFikeQ)-6&oP*) zH)$>U&aC<#qm-H-ZWfQUIAmVdA%Fd&IvZa(&ybi&oECRPSXiGj1;D#t!ryCHb28fM zt2TaPKUV8`^E>xn0%IL$mUIxm_-*`fcR)_nY=%$Jz9W06D5p593A@D+lD- z0Cp%wGf(?&-Xjaj+ppKX9GTKJaDcsQ0tuh~uO~M)-$E3ESgNO9;u01(Qd7Leo6!z$ zy|D|;Qq0@rD3d>1`0sf*aWW{j$G%v4Z|wLW0yAKKw5TCLk3%Ni#&VICL?Eu8kxcE1wJXcMC6#6a!TX0Ja%Z}61l|L&DQ+$U$E)bjqn?YVsy z_>pypK)2$Qh!5tn$Kys9>d!#`~^RwCK^O5Fd_P3c_*QQI&f_)%mb#ost59GRjNhkryWHpc+tA6_Q$)9t5&le~@ z05h%G5pdgLZc!Jz0k||U;2O~rhHs$>o?2rwAAzFa9kb~Fgv`>-Y;yBsQPEk%%5m+d zPqcUPI56CAhp+(t^%P=xmcGB^p#M9R7o~A$+J>@gP|PTc}hs zn(5KftHhQ=GIH*E3eD-lxxQTvE&sutT9=0uKS~}$jw&OAY|rTMaw4$_7n zp($reN0!>x+{Hh!VH^A3;Gz}bRi;y^%0|V5MB&Y1s}xais~oUs2kQW z-;_?%u1Br@g_j*$J7`wClm2k5x`93K8Kh`Xv`!`r)gdH5Bya%+RY^;Q`_Iq9;a?Cb zos!>FRq<3A8u_ZqamB?zfsseA!`odVz-?4Hx_0wU9^dkhO~-nS^y`pQw2_4uSX< z=Bw|GWF)`z>}P{I6@8Aoe9Yy<3zF`N;`OH4ukF>wWnsV1+#qbP35;pqL5ozxq8tnf zKdFE#{hf~lliU*g#RVv8Lv2$iv{gO>Jm(F>2LU)o!*+sVyx%PgV6Pky*OkNmJ*eID zcNoORH6H;9C>1d>v2jQOj0A8I{Gtd&dMPpxziBdYvIG?aLiLdtrLsn}J#ZJ0yA>s}c|DKpxoD_2OIm93*D%0%z@!~(0Az0HN$4Qx z{%FLuY25!#;C1#Iz9hy5Nz0B+(@oC*Fp8bedU~wb28~BzOV=eV^c^{H+w*|k4bfre z3IHAB0Dpuw43E3>&*7%Q0$6uBi?Sldzn}BY_>j$^xyh*)x7cS{D1vv(6#_op*z*`d zqM1T|^1W6lOnqbN0~tv_iyIsL8Wh>do(uUNuD2KA`)&#}T}ACthK*-xPsD1!2m*98 zpg3zwiORd3y;N*RjoNmW5hnmej3pi-l1}RK2cZiceRjLRVFv zqDipe|OK9hDhm9EMGeAWI%n+7r0_ZUvuZn%q$AU79z6q-paiJH1 z7}La?i( z1sb`xa2XXxMn}OST7=2)cD-^tG!!ul4}Z)0I$H53D>EyV%l>^jSuI~LE*A>|HTY$f z>fxebWJ58Q8g#6|Y6Y7-FJrE7d=DAyyg1$(kCr*sG~9{Me;GK8GyX5_QmI*_qh_fF&KbS+_xFUACMl&URt6!VF-`82$OaFSM8;dWh)OnxMC9XGIcSN@`(U;?bFhLvk`?(8)TSlqR zlWtaD(y7=kZi#*N?z_C9pLkVe^=7gfb`V1}uX;tIr9LZUd}zObXoSQ3a|nnDi_9LA zNLG_2>lDnleIn>EYYaN@uRK2>HxQo_l?YrQD#VFx3Uk~@Af3GRDm!hwR!xg6edRbETP0=4!&t%d7CuNCx)kFNFE~-M!<9@iiy4WQ z$0%^GvUc!z#dh#ef|SP!;cxeo()ud?kqxw$j{ z9-TVDt^v7Nk4ABUzu)$QEjYhYH&!yA{f95txt~;h#5SaWxR>6@N;-3r-taLOk`4do z$=dbGB8%(AQ11=IphaFBr~hi_Y6&NPhMK;0x{@Dt5{|qL;s=Z1s$7!;`;Eh zK(EWxLCBj(M9cs`LC70b{X~afW4us$be=rPhXS)f2X9mbHtl94`tOLr0HO05?~05K zr1xoNCmh;MxLlqeX)^mxZQQp^TNI8V#zY)5C1c`x9qn#h$SRtw6Ke> zo{me+vr%Co)gtKlHmWk7x_&rq2mAd?2nkN`ZJPN6bb4Tyhx5PQzIf~7bp9f1c*%QW z^N{Xc4?*E^6I1E`mi5GG8JH2Xgx^TEV0x?3^}uMlWMeV3=|Tx?#{DaMw5IS_BxSRY zQdApWm;li#V3?mmIK3|(((xkT#NkUl2}OxPhS&sB&N82;crtdo^iqZS^gui)e8~Y2 zEx16qn$~M&XrBVpi3v+Y#$z&8E|e=ErIHG9ZYhH z)mA-_?rSXrzCw_@>;oH_2URYpQaB7{3!{i12!!DsFWp6rNBL15kfyk?<1Go%K29XE zeSk<013hlqVy&vXaS4XARR3NJ=D$1fJ@|kj=YzZ)kk395@Am>bo;0H5(W6K96OO@` z(g5Gn*48dB&Cg$M1J0x)I;KsMGx|3 zIB^0A!^{G;Pjt*0mbL^Or-Z}vZ8M=Xr{}JTLOKftVCj9|H0fn(Ls%Uq0;Ix@f~gzU z4b#n2u#f;UE^zG)fxHo9GC=O966A-_WhcaN0D6^KdFTj=wklY`4M#nI)8_(#N~#6J z#}2?MG)26J@pyiA_A@!K*N3Uy9v+4*;{uc_GktRS`G6LTo$`+g$Yj9f1LkcK{2-uS z!%^uR!ogHyyZ7>7onUyy1bqcglnKNP0}9v_R_`NPLYx(#p+kfxHvl#v{0Xv;mZ?W? z#dhmH$QisTnYkseVyh0W@+T101KEdzHY&E7ps>148i~5bm<}PN-3dc*qv8Tv_WcjLlOT1r`+kVXOP5V{Y#%JonPk6gnyJatU3tplhSfTx7hvT&O z^VAxa3@4NEdt*>%I@fak_rV}X1R^NE{!}-a@KzTx%`Nruf;fGX!#J>P|L*Qds?k3cwsXJIQLAr?MfMN*?s*KbcHAJ7FEx7^gEqCYq)5nh=Aw|a@ zba4b6>;D&bFkS;ey|(Z)y0VatL%NFS55O-x8S8#_%neywN5GHZfJiSbNc7YnYpy8` z`wiH7Mg=W3*>8OLwn3KTGIh4~|GU<4p0dLhBVov_?vABtbr5Uf<$c+Rmi+wu?im`+ zm;V9hO<<1>(XYecjqP-q`q%FHKO0woZd!(*mYzc%2E@z+wLgejYLSPqsNn+5%a6{- zGhOJTi*F%_Qw8#EC8cp8awZ32q+;0r-`hCt0a`dCOKUkNzq+qJfVJV1hvbad|6cYP z#8bj*v+gVmCtNyef#(T&@DBfH{V5;yg{WWh&P5lX7e|J^h7sh}S8^_xhWN%F%?3yf z0sX)-@yQDC-61%ql!4qKv*MtodSJnk~mSr5V_2Pi%A+8a_woeZHgBJpv#$={@-VE z3E=6;`}l4Wfm%>^9VxNl$w21YntWz|WimGV?6~HQ_SIEZRS}XP zrCtMU=!i7#htU2i^r;^9PygjY+zK0fWjUU6jj;erxEJKr*BF&pNIk;L9bxl8!_BrJP!!R?Zd|R&EguaJltOjG3TjT)X2LNb>j;`*J3PAbILpNS` z#nR7atEUPc0NuQYta^h`KT#Jq=wV8a#UWNHowOZdH9MQh6rz4aUS*4yu&acaQPQ=V z)fZm^AA5i&`U^UEGshX}i}&B#3eHzc)_z_y$@WmC1Va6qr^q}YodWDAc#JG+ccyc= z#s2T2I+7r~F)E?FhOGF2ss-Rkxe1cbQJ(5|8 zy@|%;bdjwoUyP9%3$=pb3U_1r4PinO*@v@7{kaL$pFVB2ig+PsFZFPD@bnX{uQ7g? z#Z|^7!9ZjsK!9UrrGwb;uU`j;fgUpg?tcd2b&HLXZ^$-E*SehXbJ@*c1n<9a7ykmEy=CjpotkU-Z`ZlS5zcAP|s=n*;vGs)B-*7pU@l{%W}| z_f;PM(xvTAw$*>4YkqnHxuB863kC>b6-6T}ombmBS5@^3S5|1%02wVYA*P{T7zmdxrgcf}oV|6;Qv$5h9%I)|{Ge3&l-3 zj)mdM>2IJB6p3k8-chQh{nZ;tT^cZzYC466R(qsko(8-^f`SDg5IXw1DNRF2xP(a6|^C4_w!6(wVRu4&A1x8!}KgY*?e9hC$C=1}~K$-?cUGeF4#MCuJ)~CC* z#izh4BKl=+XlNJ?2g6L~zN0IMSnU(FI-?8;s0>x_AYM(powA`0F8U#$?O70Mz|Xjj zNOJ8H!(~*|PxNq=Xl`mc--5mEz|71{PD6b?T_N|Z-qZFg|6Ll!kb&F1Jkcz9`?P%` z@s>V9{d*Y+mOjo^2Im@HUPs>zqVI-yhiiWiA)d94CQ*(HA#MkFIvYh)XE0oncvt~r zAK!f!3#3y-D^-=cJqK#{k$z&h?&n_}a0$enPoX>%z!n~-+JOjK4Pr+J2j|uB%eKEb zea~y23pYQ$-**EjkV^!{;Z{JN4!2zX3Z6SO@ge&OqDTE=NN z+8^P2hdgTI2|F2NI6r)R6M^7Y54IOP4Ybtn#z0h7RiziNouobGVPD!ihTrRhJQE2= z66)Bs&d(m+(1N?D1mqq$E)y_Pho#(hy}`re%)) z{JDP$S8Wz@hGaT69h|*Sss8V(ukC8DaRm2!r zani3b0&FAT>EiZ$2R#zn<}YCRtnNccF#m-Y3nz&FvX~a&h#}9F)WDV6>$q6ISzKq- zhZCq`j*kdjs}G;7M!K?-Va^_Hl{xsPouPb!@VdaAx@O1sp71{S7k$vMXdLFCCS*-|1zL&(>q_Q8u?~_+j;&gn=sj+Mi zj%9jZ+JE0ujthz|i^2FTEH`>^j#}DngEFYx!LuM6adan7zwcP><7+cagj9q)( z?lFX%mAXieg3I6gi%6?<6GGCI#HN@S?fe)LT>^fUYzK`jmTg#7|x<*Fs_mDMqw6(V8t1^1Pi`Y)@I)Be&76cid zZoi%%u)UNkZe77tbU2BV zJATI-j5#kLt*1RJ^Uk$RiG>s(4>&o`kjQc}htO!7W^SFTLrAvP;{%3nmoN-zqC9ts zzT>rg+d~Y5k@tM)_cx4kTy~C*&GoQ0tDwrQMlxF@@jGy_0&hmj--fl#oIOud?y|Bg z2eK7S0cl%fsqe7Z9ZSR#uMAmqHhJ1Uy0~Q_#IAa(s(8>x9{|6OjKnHt;iKo7Ft@9H z$UbGMKTfA892N zKmm8dI`TkLhVcqQu-o9|q;G|#{)$kwlg)b`8JinlGigOAS!(0ubFt?4ZgkG#n(+~R zZ%n8AH}UJW13X~^Li3sdCpzq~`MQJJRhq0`$@E!H_Tuki2oVIh^dH%Xm4%&uO0!2V zdAneZ_CRxd+(nUP5I>I<$cehY4^~2!5I}WWup^|Qd&bih!y00Ai!l6%IYhe7XgRuU zmQ=P6R(Mf!eEUhO0n>C0$QK>rCxBrdHxznS*hzd_US3v&DtwBV`(>c;Ed~ZnN*^Bn zv#v6baE9C{J8IzQ!`hi#U#z*0G}V55^X|Lr&V5w-Y-+j7%T{_7R_eM{2I*9|S7zc_ z?);!+{_rs3epi;kmvU(aM9d;=!$b!7)#;!2kf;wQ{;6-agnNV#0sQ0)xiI`|e!D$v z+$s5W*1o*Ul@Qdf8U4~pHQ zsF)bNjs5IEZr4@Z4^A!n$M*tq@BQU{bAVw__;A{pNH$VlcIa)FNN?1S*)RHHOO)u_ zS6$@br_L7mBcY6>l-Ly3eKwa{$;w5CPv>i7Kr)40G#K#P*v7hvZB=%A9eqZ~+ zYy~G8&oML6yhrc^^`ACh-bXYth3IvO1oR%AC*d-xV$AU(vO?Y*IRNC7c$bv%H!q@; z%AET}MokEDc^Ywff_8m^TXU_!7f)EbX%VfGr|T76N9S?b?CP=+U#>9*vnU%Z`OIuZ zbuO?%gpS^?+J4jDbHPM007n#w+0(waT2^$ZXK>5rkUnEY;x1~}FyzgLgdrg+b5-PQ zKn8C$yo^y?ZeDa5q^)am!JG(z5Qn$=>-=zXi$rCWSoWKetWuixNvulVt{tQNT6-v- zDztfCJex%x8Q)bNddm2f5Wz!?Dqu+?$$)gw$C}RWQts)lJ7eunBJ<&6cj`+BA4m` zC&QVZuuV|?^y_c9Y=kv52KBmKOoY$(hmSAQ&Or|S64+~ z<9GJu|7-2d!(!gwxbaU#O-YRkqt%cWq9Sctn1rH`LYt&QWmM9>VoE5Hly-57c15Mq zLKM;zEkkKJ!jx2KQ>lKhalY5}d#>m2=Ums>$joPbzwi6C-KxhOel$kAhLWcX&V=0{ zs5FVTLtEtt%@wVWDfskhxAO6J>n349HlECFq-|WiH7>=8!0iIM{n#nWb90l`GTpx= zaTq;5s~5tSp+k5xtSBUa^EjBHAimOh10qdspTet_!B z!GqlM`PGevsHP9MGR(5pai80|Q-vbPPYqS&{c>i?lJ;^5fPC@3Y9TCl)g7VXqJu5% zSbgTRF-j1Ffc_6GoG5o&G}(o$=U_fN82!! zm=0oY6wYFQ>ho8$h`0Z`KZaaFM+&oaZyIOJil;qPb#-%-!NPoeV_o;UWze8sd0}+5 z?~fGmWd3XKdB(NE#>PfA7co)4lQi3OL)Ll3W<$NBWpG9zeWmfh>g&50<@psR4icOq zWa-~CH(4roL(W71f!;6BPdRRg-z`czzVq(eV|r;l2V(x|sq6bGB@p&(60?}9=Oi17 zyot~yMDM1$xLcW1b2VP#;pv0r(tJe!z~6ZK8)0pEcUc0Esn$n_U$WJdmmyS zSFZy`Zb-d9f*7}w*mqL0Mu7jzg!O*+zDhb>{>nANnKyI*gX{m`uF6qAdmtFZi!*xU zVB0a?f$|MARZW?uDdmaeRf4sY1;iQpW(07S^Ehx3=c``JT=-E(gw?g za5vQ+tbKqxZfIeVF;NY$CI`>PiciV)*aZ5^g%UHzO)))j>`r0O0Y^&RKIW9E#At6UDZN1E?`sm6BqE1x=pTgG zR{&(1O=2>cUYU}n8D{cWb?jmrzVxBT@_HSsScnl=SGKNn&%_)mV&B@Ez%umbk)M>G zEx!bH*-%S&I)#53vY)vJ|QQar0hcnEWf!_K74Dg0Om=gn%; z;$!+Hc+T+=no0?Kg!qV;?9rx*{Fw{G!^1b}bovasoe!X3{vmUds2CdLd9{y%2w4pw z9evK8mk7iCEG^>SzPhN+@u7k zGh$EadFwR`0&yco#66Vg)G$ObZ^{}UwPkf}L{@n7`>W&*HT!r;Ml&xacO`iW#mF|& zPc@(>$hRtX+1a9Ix0HrE=WgxkIhug-`DvhDh?!oROf4lK_($*4cwuq1el}04|HHxZ z>a(_o+P!9bG34h+na!N1o7>0=;z77sIUhl=15fKnSKqhF)4SDpJo?q~hdX19Gptcf z3X2(9s(>AzmZ}>6R6gulHDaC0?t;Gb>Ex`u4J&1!e0UF)v5?T+S5b@BGS)tFebbjS z_dcH~;~Aa$Q;h7(0)^MJ#V$)I7;v(hkvkSNABXPqp>f8$RDc~WvLY*t6zK(S@v0M4 zhd0L}D{niC|E{X8@#;#r+V^Hmr=W9kHiP)V?~r@L^9T_dhX)0{-H2~0YYoG9TZ>wX z*;+2*Wa32r$V^J|sQgkxeoTVE{G<-Oq{btOjmHZRf2^6IhACgWY*{jLjPJJ^zGQwq zQx@b|_HiL`jgF!^9Yy;X*F8QeqiTQh=)c4RQ^S8xq{jyLY6|T$_BnORS|-jZ>hktg z(U`N~sh3tQm(&=nj8EUA*l4)wdLGwN>;8h5zPVO;-Qt40UW9K0jvaSGyl2wRL{^0D z!5oR$aaV??Mw$Cu=my5`dpJ_!#|Gl#cTJ`n&$-ZHzit8AOR1=tLOrF1#LdBfe)F(2 zEPvh8pH*yqoXZ^5DTsSna7ZyYJz>*{aKYMUiTIG~_|M?s2|lZy$I1sfcNTm2rI1%` zS6qlD6qR+l$?}7@RzA8k6=!kF1}!|NK>)fH7<{yIE7qE3aHW$~RaF7n+S;bs$^~A0 zmP!r3Qu7B-Z@R5?3p3GeR+5Q&kNgKpgQWy1#5%))CwnL4DWltvmNM-qY&{I4_q>R2^M{DcZeDX03u7hmQ1!f|vlOM^;-oaRP8e zJg`9zmRCr}hYwS7V?J*$HQrLad(HG7{IHu-I$Byh*R%iZAZ~-NqCVeNp5NorIgvy> z@T^P{2F7-PBONkS5Sy+kp*wth>~YXxW-E=f(P#MMQiNRBMM?M^b(rBSo&_if9-}bd z1T3muZhmoXgqiUo)T2rb+JuXPH=fB-OvswM_R5hdK@KnNcmH6H z5bV#4`cITC*|0G>@AVVXFMs-P@2$j!?^F= z7+$%j<$23ihNy_O6%($)npHYPZI|OEI@tSoc1U zCtmsRgV3p}kVBf1ygiep1s+}H`X+VOx`CE=T%E|9RxMm)(9V84(bZ{ zln=BONr{<58QE*ew231X=>>9D6@$x8pE?>zry9k4_Dhw;al2&m`9e2Q94?Y+rtz|uT6wS8@=;|K7=*ENZeiY4@+zKC+%cX_ z&MuV|doMhYHR|>2j`S~DKpaIu6V{<$y5XiV#=8FlECGwL-4daTJuP0d?Hy8&rEFNU z&sa;TjJe&W{Ja-d8ojHGS{y~9o+oeA7ZZ?jub1*U)%||X5EYXM0EN_sFL6S9;t1ka z<_A0j_83Mt?o^~Ce>)^;@Z$q9T5|_m1x>=wj_R42%k3b99EaStAMO1$zD==9lJH)` z_>Qr8Mzo045)QIP#@sa?9PJu*4Xv?5*FV;4^?+#phZJ4X))~QaWb>}EU>|>=&kZ3PY2#xI|`ISu=j&vDkoG~pt{Kp<}UZu^3 zw6B`XffvVq+R$F!E9cL|(09%Bn zjbpXJHhVG8^5Iv>7B!28BHksmiGP!?G$qn^lG0mCtR)|RREgXcZr`du=tRYw(S(9h zQQEQQv^QDuG-A5?R$O|BzTVB`EI&(@*N>q2QY?gzu+sQ*4lToMg>~M+rfiGh-rfQr z2(8~gJiLkc`!z|8Rb#a>bM8TWKj%{Lh?WoEj9P5BJ?_hWStD^G@cnzYEMXf+FzM;oQ zl8?A8Ks3L7YyWw4YUtNgAOOV<_2{#KAf6hnV6VdM7bM? z=~X(|JE0R%mSZSMtDS6iq`f=`(Cd}WhDk@1caMQYhuTvd7T0Qaszkm(J05zbOs5oO z_&8Jw&#|3nVkGGeStAB{<2#i|2>_^6OkYiT?92;+6!+Zu6Lb z?lQ=F>_1Xe1~*ZG5#5*-e!zN7UYE%p@o*1wZH!1^e9Tc0xGw9wedo@dEDWgPJ$NH9 zS3!WNSySxkyI(t{N`oR8yozx@-fI#~&TW9xsEs`r*ZOYVAA2RIZLPxPcc7F3=3ju{ zAQOlQ^1>c{hleV<~OVTHU72ybe}eB8)->%+Sd6-!2jP0 zfgFC-z9eZBLm5lE(4@3~L8yVN(fwQGPfdud#q>%ETExQq!4g>!emGQW2cK$mofYK? zJqkMNnyPoGb7BE4BT6IkLaEB}dc}~BkijA+_|8JiAUQ)svt)8MPTb5T7NCu4W9;!zT^z^XO z#3`j^GnJXn%NJ2gvU?J&>|)<_VJL>L@qjAS1tenTWsy#*nYJ_`*ILmZDXFzF1u9zz z?l%BKPS_>83`B+XW|JgtqIR%z4Py`9&_<}GN3knD$aFpsMs7l5?z6_|@*-;H$;5W^ zo+`HALsqUOen47(b;6#x&C9OL?${qI3hXkH{7Y#p+-0O51b7IdyFp{H$CT#xEaLgdo(PluJf zLu5tD!p)|2`}bxCe%rkcI9cf%jGat7taO}%=4xOhTPwY^t7Klv=cC6@{FnM*N$2UA zSs7xvg z%D-h7r`3BMvhjFv4fL|@x23U>g+-apy_!q|Y!(4%ly!lRa~9&A<1*hP3;kE!DYQPJ zMkb-HOHuq*Ens0W)S*8co>{(ky*$IWSbF=-bAa~q?dyXv3H=Y|pfJP9qJmgYc9}hg-RPm8L<)^!5Zk+M_e9A^iAp{rh>s8$sUTd##(|8RqqN$<}A3l^Nu>I~TWLzGZ z!Pw18u&Lb`HTigAQ8)eo4M4vgl_AIQU47HIQWvx9A;L4xGC#xTotK5~dPbBc5?Ls~ z{$tXjrZ4@zyQ4!JlgM-xGg3!pns~N4qqTlzk#legb`lKZQm&lna7~;31Z&T~cJtGR z^7Vp7iAN}^Wtv7~iic@AT)lZs9U2_K7M+`^dEA%yrG_6~dnE6~d!`{Ngho2dIO7xV zg>7wKw!O*KGMBcOD4`f+gzl2%rjc+`4J#a8pi>9}RS}|xfyd+7`;6|ju(9EG*(ivm zj_VZMG@Cw_WiA4GE-U+6nod*avy!35`Ge@PWbSAC@6IrrT1Rz^ku^H6Ix$hR0CQpz z$;XV~8_KuY5DlSB2zq{NXu*>pPvye4G=q(2&TI11=!)=dD;(|uI*_V&z7wnWl46ZP zh#BSi26}0BT~MB20{aC_@*OM>Mq%;f(P5>z;qt-!9PhJd_n__72h5@Y?$$3zG6&DD z6gXmu61x6kLY~+f=BbDIXQP2V1!UUB7}-jBBQrdD$z=^2Ds*(czaKtMsar;<*jTjD z8RW_0($bJtWO?7nAM~jAm;*4yPn9>Am+|8z8cbSpxd~N42YJi58z2Y>LKi48@9ZT( z{bg6yr-54Jne93^aizYmNlYND6QIVz3^V6-#sipuYKOkmj5+la6B*J>?^VENVP5Z1 z23NKcmqVVp;k5FJ|9?1!JTG<#gF#`O!{q zX=3=_|kv}O__r5^4X*b}l5i~;jL^3Ws6`}zf*1~!ZA#qGC zIuO-4rG#ReUU)e7K#VMau?<87rd;+W8&$=);`O3(gwscJ+x zUY4Ke^n~RhdHH}KUaE)Hg=<0e$A&vBFy> zayRb1D#|6(b`@I%mFOKOjg2^{T;KI)52;X@oxCgNQLO3&2H=9cO^=sxzwIBEUscck)Nc>8hdQApaZI49~ZKjNES1kF*3 zY{Qvc)vIRTRO^N%G=+@v63?v4IBQ+^o-eWxjw5S`_S|uqE<@$zyY^<$r=PwMUkwe; zR25`@%cqg*J(A@)(2J0){yuaYTqxj_>JBerKZz&*ItBWB>|0L3T&rsYz|_C5Cpp0T zbI>D0J?Zwq>@B}E_EL#$0(bglOeTYduGbw0>C~^U8ZsBy=G9fIW^%w(cf7SxypxL< zE@P)gu>Inc8Y;l*=%)rvd^~67OA)+{)iGTBX(b_8(i} z-|IS1N9G*Kpb82H4(>36iU8o^I$5LXLC%vDpne07%VNlrX(la7EU);o{D6Mcx&GpP z&80nmrbaU$cin(>Z_CEIn#rJRPAgDAmSsB66{<>8$Ep`|p5i;tgOUc0J&ZeWiClYb zUc%tk+&xD^Zrdg8?@P+(f3YQ!me4>}v@~k;1UjOwvrrT7Dk&|UT+N&cqD9oNG4k*7 zNWM80SoM!H3d_EYgltex4={uqvI8e+FZqA^+N>25@R=MN`!jYzf9Auty79XvnB;|e z|H@{Q&evYHI}~rOF%n50O+v+6E#9|;Hs6T_o1?a1sPjKO(R2`n*J0)qNO5;rk?TP{ z7tfR_xg5Y3?SofrsTJ7rDn{E_ z5%z)?$2>Zg?36tBa53ml&Y4wh#yK`;UeuZU-528Q;-Z~jT3T8-#971`e@Xv(so>xL z3jZJ95TU+dD?}=~P(lMHxd;i-W_3ClYzcLNLWaCX3Fl1l!Oe97f0rh6izx2Ux+x9a z=pXDHuSLdc8+QJ^7^L*5rGI%RcjiLk)U9p1tKQe$c>3RkBBd*zbKu~{eq(QUmd48t zcv;3NI^-7mUBEuRPeE*0=lg@&I<=Cv72&E3vvLWx4R`1vOa!i0{fbdlo-$0ozZ7rD zVlW32kdb(ImgvhdBnGMb=E9W1Y?IHX<|BK4QK;`3mlH2ly4KIFd><>d&IBx3C;+4d9`k{7qB zi3dS+J#V-_b`m&cFa~FsIsBPM{H$@LXBE`54Rs|uXe2w*b-vIl$BkwCExwXzmx*h9 zq4)C#14L-y>UDp%U{Qw8OQ}(drN!Prb)3g89VTl89ISc+o&&g!=K$b){Kw7W+gC%* z%1~EePBmWOU7YxNX08FmQ8K{r61HDc@uNq3e20ozr(Gip0(#H!aYr?LJD7Xe-XLV- zcKRt-Xe6gzw`LufEK(Z$%`Yma88yVSBAkjzjDwz~Tbh6W?Bn6Ajqe@@-o_B>b!nw~ zEox;>minh%T|2LkH!hhLRXF1C@sJZGT(05QEn4~ys46?H<2JjrWm{YaMy{VR_A}fa z?wH(A@~4LEC9iTVA6EVFRm|X?w!6_3HMN&B`*Bm#8lncj!5wZNI4~ai_tNg`iVx!7 zK?-UJOe+73QSX&VxDQF+=X)PC$@_D3DJiK*tVMmO884GJ8pk;SnVlhiRLL6Y^Mu+H z4^UsaLb7!*26o}}Oy_$CbJ|viku3?|WdtlK5VPTxPjN|<(-2&($oQRcN5Q`CRxKsR zKUKpX6TH$AulQk7WGC=GMOs8(7}?%<;7reC2wrv>f`C>%4m(pKM*U`)uT3MxMQL+ujm%u3Co;ajCV@e2!Mbw}+G3Cxi->Mn zMyuV83LJ|8upT{%;OT*xs=XvZ`qK9N<+R6eXkFFgGH1GE@= z4&SAiQ>#IwLFS-=b*+a)VD(U-I>Lrr4>9b|#LSdNExYeCbDcnHF#vVWqh{@0Lgj*4 zc{KTx6LZR@?(oWlJsdef0?(&*t7w5}Wk}oi8IwLNA|`Mqu|((v*XY#>8LJ5br)zcA zHUyT|dlUz0_MhOo!|4A(K6Hq}Ezp%)WokSSjkI`8rr#x1?~CM5Q#iz{gXJL`1ut+D zkjGsj7p0MpIj%ofYB)Q7zf!%^AY}YaD&cOXDJfm6C9`0*zOt13>3v6$Ef=vPlCq6B zx)<{I{jsXC?~<@J(IX4<0({aQW32i#A0Gcf2Dx`euQhIH9fLABqqomEhajNCb^?Jr5j20_r5M6SaG>QD zq{0*kKtMfCpCTT^1Bq0R!`6j|IR)Q(K*~slz&rYSxN2lMhJpuiw6<;0DB7?U4fnTBM-QH}va&jBxZjbuFG5>DoCLzfQi;6k|Ar$-No5xwFg~a1y(g$lsX=J$ z(E1-7MauNuZoOudZwcg22QG;ap(Q0Hd8mby)0*_nM}m1~ZQTz73<8Ktgvx9UIe(Em z6a>OM?3E{V50dut60b&|kjLaVtOECD9rMqOL*e@i7>AOcKd8|f!#$gDetuPFiEaPh z1$nv}i`Boc*Rim0TXeDZ zuj3!vV2_yL2XW#u=)06`3d?J-ui$suLt8Q}@Z08JIwPCv=|6*d+SC@?uqqo=A|HO+ zG=kw_TA9wl3JlE{GIuI_Gn^rALz+i2V&^(Ml25L>9sv~MaGyWQNnFP4+Q0B5m7#+^ zcMWrDVH6!uTiPDEHH7cuW$VNY--6H$-^>^`-)$57Z4n701MGfh$@HdO*cNgpL&rT` zsUgx6bB15md}&T|j}WDWdLH2-_K7*sV~!uSsXX&y^oay-G`v8c8!%@#Lb+pGN?<3^SI@IT7Xli)tJ-Q3b*H&QOS*1pbsWKR{)%c+;k%fxM zqakL-)tJFv5!9osb@gEYG$z%zLvFwQ+OajGL5qENXC&o%zJE!$jLBzb zm+UjX7~9}6|Dd z9$ttw!wgNY5hN%N*?u?BwRNYeIh!dgyFwo<->@s@tc}vLL39l(>fYOCXLCDzrvuQF zyHpivPl;76;p~#!H3qbZ_`n|hy%rYkwyc}71C1R=A0L2nvK-RhCN1_M@F`f&#EDZt zy1OF_v*EMD4m%DgM6ST5?AK*5$*%HyQzhXAljp99sv6-FGt{E7#^dEp5*=A3A?P-J z9|8Y1#aeOl%KDPfK)vdmOlLOKsCyy5hPiqGyW4^GTuaYHS)-7JpfKDsP zcj(U}mM~olDoaF(?=WtxhYV4g>9=!8b1hJ{^|XkSAX`jZ9%*p(Zm#zTcC>8Y%^?3J zD1izZfy@7|H%^Op|F1Hi?|T4e%cG}zlGN!a7PQ(tl9%G^t5KyClaHAIgjt+FST$Ha zEX@1HYBd%Q0f*c*($P(5$acrPax5L0SqHg|$G9W^n6O}CUvd*_x7sjr4lEe$qCywr zH8yXyH6(rT>~Btw>C>Eq5Nb`~;ZsJMl6u=MEZ~e0zDP@7gD0|#?N`A~sMG~_fa5bh zaG*ujc;I!Tdgm#~T>JoP=0Sw`84DHYq5$~%4S_A7T{I;96z(t3E>nbf3v6u6$jrQo zpaEa#ATS>hnx2Z_-My^w5PoX#cR%Uvci-NC6tY1@P*+UA_I+#XBUwOr{9!cPRQ5In zF=H&RYp{D&v<*YgB=Q{qnE-Z>mYlR@Qiz>);WQ==%7Xs*t1W$I78Isz5Kc z-EjXwEP6+%+tcy~=UrS}5*HCHf&f8fs-CnSwX7=~P0(wNx79gZf|ubaT%hFz1tWK+ zC!l|aPNOn)k6aTtM^{KV+EKxr!1}n5c;K-9d;YOPg|oKR2BbncF#%o3z^~dzZo~a> zBHSlnL7m(yE3zAS<9dR?G4d6&+>KU}f1kjM>60E(-XDTnHHtC@OZXy`)i2;sgp^kX za?YKQBbY#i5;Xs}BqzK4|4LA=;%|&aT5O06Ldn0LCvJ8P4geBA(dGdDulsBA?)<^w zC4#)dkUiZef9lfc_U*fbITyu+GkkB-^zHm-$656(Ub76wH5Sl>7&HHB=fvQ(H?_@J z<3sdLUSq|AMoELEhFny}0i|Ulx3oNuLrR+L$$X3VK4}wIuL5mX1julY+v7rq2z82vNGHJI|==``i|)LRBT zxoP|*f4a54S^xH+BJm>#Zgj0l64h15icWKRyvMK;`DGdWA!m|&w~|BO-G#P zmc?K3dF3PL4$6)6`F6Gmnu*CfZ%;<&4_b%Q(w{f({`dMFkrn#Vt z@%2lM7p29nF>*Q8aa7iboA$DL^W#ieqj|^3E|in`%JB`XO?AQiMD_6C;6n+A|2AVF zOhu~QVLM2(7o{;cvkbkgwnJm$=5c4b#x1btF|ymR==3)m2nS^sau5>EQL1ywpp&9w zA3d8kYhB&Mk)iAu2wyLob1=sn*t71Zh}Fk8|6i~~`O7P9gCv1zuhlBF!#Un`R|$kTPXY=|L6&RzlJZ}4Ryv&Snw@7s5O z!S?HmGF&EJVKCw3HirfO`y=!>9E?ToBuOKYs5N;V zVJ=xCuVrLP+DxKU|J#SDj|%_7Kev0W|6Nsb$6=1vQ(aYK{j_@k|I0!1IK>kj2!>?Xx9?j+ zm*3NENzu-yawPPF1JNT5Lrpsc4RH5dTS2geOXSpAfbwund_hgQIf~8$(j=M`M$<1i zn3DrQe2P;+i#w<CEKL_$v-OUaRUf>%PGRBGlK7OUDtOnr z<&iCeoKNp;L4DvEr8-!vA}B!UQyG#x#9FH3V)h?r;&Gc=<9xsFZ$FpLkJ3cu#8 z6Dm65QK!|6K6BVxVheXoJ}sgagp)ATpy{eYT*Ov*QF5}gvEwU7MRA0RLr8j{p@W7v z>IHYcJ!#d8(2Ft-p z+RKF;0aIY#O~D={qUg0lZej^^d?(Snxg=!az3q4R=N(p(rdzh(Mk2vNe}Dh0OKG_` zo&X`4wuYYL8t5B2R64?^W-@PO`ehH6$6uZsDEL@6F|G>uWfw$%>(GvLy?wi+P-)$} zmX?RMAWse(4_t}*X}6qNCL+k2MPAkV9_a&ks#QOn9L`+DsFLo<+@J-4DOBer_sz0& znkZY|sWs~LD}RVKju}HWu5;Ub?d)Mvp)GRD(3FyhZj^5FjB9zC>~(=X^B^0_N~=r} zuz(bd`Yl&I&yhbl&|caS#N|7%fVzp$g6WBJX*zyqU{XA26hlk)jQ1QCp&d`mOptjT zjRsNPbPqO93|#c3ZjU7wz47Y$Fg~mbOHl-R-iGr}kA5r}+DoFs5~N7h^H5*>HdV`~2=T_n>|XF=?sWROih@#G6e= z(mORcWusq^ZMeN;uEOiARJIh9GbEPR`~t!fbQxar%TAV_>2XJ!y@D>w8oljsg*Kov z9SFi*u0g2(oU*gSCF`edk>Al2b+41njzu;u428JJW{bF|wRNY8NsHXZx~l}Sl;}Ph zISb58$0iz#$gkJyN>MwC!prHwTuOaz#~=e)^#)9&gEWEw-nx(_wJK++&t)y+d{!}% zp@Oa^dX;0%_KCglww*kLR?_B*g<{5X=roqo)I@0q1*l&=nQr306D{M47f~H09Zu;J zlw=?e5=y!j#Pp>|p3srHeTFbtd1US6#l>ER)| z#`6t<<6O+j_E#k$$ux7sQA*Y)w1EVlm`{`X3l7X*gk>jvak!cP)xY}ArJSO>M|T8a ziffxjx02p2Bn}}$qbxg{^{qU3IXiG~)2K1yngfs>T@bl>JO{TN+#kEhWl#n-H(vl| z>n?AUCN|s;86GH4y+3>M38HS+shK2wn+ZNu<4;V7e5OM)lZpad&fye5!c`5wUO^c4 zFE)TIf`Ax;M8s!j`}=81R%uHL0VCV!aLOIevmE2MS5Q!PwmM`OoONA*dMC0lT8qtx z@^*`0t)_iS)O_{)*h7ENiEw}w*E^!=5Q+X%0%(2< z^|NNvs7>AbIbe^aa3e*KkI^^E>KC`+Hf1~tRSeGU%s zC8_LlN)0CfQvhqb!FBY^?AP%F{?EOKdb7SZYJRfE=1A zh$TLw@Gz(1pe$$8B3uUxIWQx_8|PMwN11+3-l@sX#}0$-;bKQ;XQMx7x%P(hcK{&z zLI)*9#x?W~H<2B%iZxq$dG|BIJ?oCby_qUus9(ek%iv;caI!qj6Iy$FemvrUu?*Hk zjg56XT95PvVFOnVBZnktdK`ODMeCU?FqIX#5k}tP!PNg5q@TJ=R$GhBlk;4Sc$^!j z#06zF<@cNzO;TufsX{!UG)qm%)aMk}eB1f>``Ox5kxP3-Xx}Y5^|=YQam-m91u93x zyv@o0Qh!caBqXK%$b$4%V3zgF0_WWcgyZ+MW!WJLq=#!J;_n8~9x}Z~ z5`;IM7Gb+6?0i>e=gb)gYsAHsS> zZ_15^FT=c{51cG9SSjKA&`=5*)ty+G7LTQ|!BBsI&-%crPF`EqT>w5Ke%)EOYTUTJr{oR;YXb7UBXqh9FEb z!UQ*QJ11vQ7k2d4{DiV(Pckj{z=|8j88{^wxX%#Ch0CZ@hwo*Ot>F;etB`Tx>WWP} z67hKMvuJ~$?=%!e4#1A#-bexT_5ga>V`}0i65)j!WAbyskhhn1A@lo=_9gl=<=(*a7U}0qN}Q ztY|LE%?I+lvA<`SV+Xu+N1;YbfARFlgXLT+`!$&&z~#-afV0pd_VJwCDn=YdQ;#%7 zLF(r?2=vba+%d6e`;f}!${0JqpHu+Z#s{m?yT$d+iO1tq4@&y>FSk8=eJ3d)UEwko z-r<|FiYGcJM2uoe+$Gu1^7Hd2UDD-|cT#-$qBWRV1f_1_Iy!%*Ops7%SBcadi&i`^ zrsHO!LRrvR;)Wf#XXM+r>7!r)(Z;CfbmPtYsYbk^GIB?WP_5)q*6r%)qu}UZJ~IpH z2F@M;DNh(gp~j^B>GR{7J6hC!1f}gahTCKs=)rJiY^qyl36#ACXKnQ#1%Jdv`uwr|9A_wP0u)T;spreE7XHrH6CF!E(UouAQEmI@d~}b-&jlOwk)t5 zTE#2eLRGz25_?+IhCFOp@dM>ms4S1ub~*L>EN)TT9a$)CtVnFFs_N>vARM76tWynj zv(j``Pp2=F182@uRhwB@yeb(ogczi&Nv&}WgZvhMIVFA-Av7&wWPb$Ny;IABq&xuM z-xd3=h2HrUjDj^$vli7GTu=g#4A3MZ$)8?rUqwB%_GXKCC&j@5aH}J6*xY)%V#Yh< zQ?##8h#`yQ&H4D%PKr8I*5M8C#su+!>9^INO) z=ht06#zTC6)MCn7Bf@`0bs{*+JQ0B+O<)*+M8PGo$$k~%2MN(JQ+s*U7SbZjx5)G4 ztb(YiLqN1&KTaQIy+L-HOVY^9Xc*a$`g{O8t5B_qci3D|k!wW-5B2jt>hqJ-=jpVF zAe|;_ZlXzJF6z20KgGdK$VMH@Np%v&(?+A1Wve>9j+JrRn824lPZ5b!CDU5h*%B^B zqII`cGgdZNhnVKk(iaj!u-7OtG%;^1Ms~oqv&8OG@_hY;Kf7XDeH-D28+Wx&`6fz5 z4ABFt7shRqLHA9*1Y9E@QzIgwG&Uq%$9lb+am|9bos})Xxc0Het1UNw4Owq+`j1(W zQpksy+N{bj?}ecTE(JefL`;EeiKhlRAeRw?nL%OeT1tkz58g{$x{Be>$g;Qm6MM(eYj^8B4i zNl7vs8A6XrBo*Cca(1=`B9Qp0&(EJ5jFW}Z@MlNL8Q{7fR3g(54q`#tCTE&Vc{2Si z=OX@>K^9@md60=*me-=RrqY_4vq;3R6szPn(SWsc00duX?F zMrL*#IB+1@Gk6c(%O{*hUCH>!E+1BCC=y{@dkPf@Q!9-|dW8n>GeH3D%BjvD=FLb$ZOFaDT3W2a=L=75yYlVzx)jIec zN>T16WF|aPTE|7yu5e&aRrMGu1}$^pX*m)!-e!PimAU{rJIf%MLDpXSmX1(YIkVlj z=)vG|gcH=9-`)04X8T>ZJwM$(Xj=$(sA7gdM(m@K5+UADC+P3;oWCG87U!kZ zj&RrTSB?0H(uNz4KZM2HZ?%{}Pyq~vGvjpZI=ax6CG=@-C9TkL*SS8vf6LCKMO0*@ z<;u9xo2~9!9MFXe`ka|R57luCt_Dy(1?p#l>1Rb!6(tr>KVMAWM?ycu5z2y}US=5= zZybYciz0i9wctl}>h}CfeT^Jtgd_Bv#@aVvzSbgbSz<$xAaRW`H(CmsnNtTx7H0Y% z%jKBvTOO@2ktSQ%VE)82sCA#lIjw}=Gs6_ZExAGj^KQxWZ&CVw=%7XjXacQM8mI7*kcN5@47Iu7E;J|$1E7% zS=iHyuRX0MKAS=B+^UE#e>xci)vpSLffqs@Gw)ei33eDpF#xs%IYhu(paqAVnVY)6 zHSF%5k1Rh_von{N&FIhp*4pIWjQsW%oD>5v#c z21&<<FOkav@0osNN;-F1=m&jy!anaND|M{J6-JxrZ*dqxSB>M93%bE zp5C-F;{z4AF3xEPCR84D#P2(be|Uhh@jIcz zUKJy3^E$kZ@6?9uOFHT5ZWcy*H#ZI)LBK`Bp14za`(C}p= z^yIk*zdWSFk|aXA4L&>hm1F8DO^d&;kj&)Gk$tNe>Zj||0{lc5p5afA4czu(M#FgV z$zJWYFO#$XmV0GyC5UC~t;W6{2^jLKAk(-*8%b0+aeUyE^Mq?_?wIarh$L@lvP(v0 z_*Ci+l4(agZGB*iOjZe+9(%#VnqpPY-5jYHshZ^4iZ^Kmb$e#4p2ddvkj2FEq)bcg z{@bt>j7hP)&<@VWvi*lup08fCd_yjRtlZm>UZhu`&NSMTp|+CoI{>_T zHe77W31X+D$AZ4(fc!zdTCETuW&H@5N!#-In!y709~iIGz9#D<9x*L=vdf3#>s^Xh ziz`%#@fdnmkmWK+w0uel2#nU9@I3}aT_oAe+tx?b;rj|?2|7mV)@y|b5qNusLq1)S z*OT=9X!n&NYU-<<)-)3%OE*+pU!Fg+o8`scZ`|Wk{Lwa*UK%h`IVn{X?8VkIowuh0Oj0a#NVg8$Z z4{q}@9IKnvq3}a5>FV}Va!0ua|q`YNaQK||DM!yBT*}95get7ZQj8V-q07sk7Ip; zvVVUV87f{Kzk|*3Evu^ozE!5zd?{UO*cLdipBOgbDtbv{n<@+P8a`p&>cYkUJ0A5N z^X*c0P$Lii;VH4<-z$N$Q1iO&CVl_wp-Af8j-|4=66uBEV$UW)^B7nw*N zt-ChA)-opa^_jPmvlp3Lj{c~P8v5Vy|96f4ckchseob#^o+u8L+SvBoatndKj0{b; K=hKd!|Nj8ciTj=a From ba8b92c11516e33b8bdc2144105a9a505fc2fbdc Mon Sep 17 00:00:00 2001 From: stnolting Date: Sun, 15 Dec 2024 09:50:41 +0100 Subject: [PATCH 14/19] cleanups --- rtl/core/neorv32_top.vhd | 2 +- rtl/core/neorv32_twd.vhd | 2 +- sw/lib/include/neorv32_twd.h | 2 +- sw/lib/source/neorv32_twd.c | 2 +- sw/svd/neorv32.svd | 2 +- 5 files changed, 5 insertions(+), 5 deletions(-) diff --git a/rtl/core/neorv32_top.vhd b/rtl/core/neorv32_top.vhd index 25a06091e..9c6b6663c 100644 --- a/rtl/core/neorv32_top.vhd +++ b/rtl/core/neorv32_top.vhd @@ -56,7 +56,7 @@ entity neorv32_top is RISCV_ISA_Zknd : boolean := false; -- implement cryptography NIST AES decryption extension RISCV_ISA_Zkne : boolean := false; -- implement cryptography NIST AES encryption extension RISCV_ISA_Zknh : boolean := false; -- implement cryptography NIST hash extension - RISCV_ISA_Zksed : boolean := false; -- implement ShangMi block cypher extension + RISCV_ISA_Zksed : boolean := false; -- implement ShangMi block cipher extension RISCV_ISA_Zksh : boolean := false; -- implement ShangMi hash extension RISCV_ISA_Zmmul : boolean := false; -- implement multiply-only M sub-extension RISCV_ISA_Zxcfu : boolean := false; -- implement custom (instr.) functions unit diff --git a/rtl/core/neorv32_twd.vhd b/rtl/core/neorv32_twd.vhd index d3cac8458..67ab9c7dc 100644 --- a/rtl/core/neorv32_twd.vhd +++ b/rtl/core/neorv32_twd.vhd @@ -351,7 +351,7 @@ begin engine.state <= S_ADDR; end if; - when S_ADDR => -- sample address and R/W bit and check if address match + when S_ADDR => -- sample address + R/W bit and check if address match -- ------------------------------------------------------------ if (ctrl.enable = '0') or (smp.stop = '1') then -- disabled or stop-condition received? engine.state <= S_IDLE; diff --git a/sw/lib/include/neorv32_twd.h b/sw/lib/include/neorv32_twd.h index 00f8802be..67dc4e43f 100644 --- a/sw/lib/include/neorv32_twd.h +++ b/sw/lib/include/neorv32_twd.h @@ -39,7 +39,7 @@ enum NEORV32_TWD_CTRL_enum { TWD_CTRL_EN = 0, /**< TWD control register(0) (r/w): TWD enable */ TWD_CTRL_CLR_RX = 1, /**< TWD control register(1) (-/w): Clear RX FIFO, flag auto-clears */ TWD_CTRL_CLR_TX = 2, /**< TWD control register(2) (-/w): Clear TX FIFO, flag auto-clears */ - TWD_CTRL_FESL = 3, /**< TWD control register(3) (r/w): Bus sample clock / filter select */ + TWD_CTRL_FSEL = 3, /**< TWD control register(3) (r/w): Bus sample clock / filter select */ TWD_CTRL_DEV_ADDR0 = 4, /**< TWD control register(4) (r/w): Device address (7-bit), LSB */ TWD_CTRL_DEV_ADDR6 = 10, /**< TWD control register(10) (r/w): Device address (7-bit), MSB */ TWD_CTRL_IRQ_RX_AVAIL = 11, /**< TWD control register(11) (r/w): IRQ if RX FIFO data available */ diff --git a/sw/lib/source/neorv32_twd.c b/sw/lib/source/neorv32_twd.c index 54b02bf76..04537c441 100644 --- a/sw/lib/source/neorv32_twd.c +++ b/sw/lib/source/neorv32_twd.c @@ -50,7 +50,7 @@ void neorv32_twd_setup(int device_addr, int fsel, int irq_rx_avail, int irq_rx_f uint32_t ctrl = 0; ctrl |= ((uint32_t)( 0x01) << TWD_CTRL_EN); ctrl |= ((uint32_t)(device_addr & 0x7f) << TWD_CTRL_DEV_ADDR0); - ctrl |= ((uint32_t)(fsel & 0x01) << TWD_CTRL_FESL); + ctrl |= ((uint32_t)(fsel & 0x01) << TWD_CTRL_FSEL); ctrl |= ((uint32_t)(irq_rx_avail & 0x01) << TWD_CTRL_IRQ_RX_AVAIL); ctrl |= ((uint32_t)(irq_rx_full & 0x01) << TWD_CTRL_IRQ_RX_FULL); ctrl |= ((uint32_t)(irq_tx_empty & 0x01) << TWD_CTRL_IRQ_TX_EMPTY); diff --git a/sw/svd/neorv32.svd b/sw/svd/neorv32.svd index 78daf52d1..b86d74605 100644 --- a/sw/svd/neorv32.svd +++ b/sw/svd/neorv32.svd @@ -1352,7 +1352,7 @@ Clear TX FIFO, flag auto-clears - TWD_CTRL_FESL + TWD_CTRL_FSEL [3:3] Bus sample clock / filter select From 69561dd7698ff5771c2a0df585cc64bed62bcd22 Mon Sep 17 00:00:00 2001 From: stnolting Date: Sun, 15 Dec 2024 15:57:25 +0100 Subject: [PATCH 15/19] [docs] typo fix --- docs/datasheet/soc_twi.adoc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/datasheet/soc_twi.adoc b/docs/datasheet/soc_twi.adoc index be818318c..8107418d4 100644 --- a/docs/datasheet/soc_twi.adoc +++ b/docs/datasheet/soc_twi.adoc @@ -21,7 +21,7 @@ **Overview** -The NEORV32 TWI implements an I2C-compatible host controller to communicate with arbitrary I2C-devices. +The NEORV32 TWI implements a I2C-compatible host controller to communicate with arbitrary I2C-devices. Note that peripheral-mode (controller acts as a device) and multi-controller mode are not supported yet. .Host-Mode Only From 702e5ab763eb40572e7f2734b7affef66cd5b712 Mon Sep 17 00:00:00 2001 From: stnolting Date: Sun, 15 Dec 2024 20:21:24 +0100 Subject: [PATCH 16/19] [docs] add new TWD section --- docs/datasheet/soc_twd.adoc | 167 ++++++++++++++++++++++++++++++++ docs/figures/twd_sequences.png | Bin 0 -> 51252 bytes docs/sources/twd_sequences.json | 34 +++++++ 3 files changed, 201 insertions(+) create mode 100644 docs/datasheet/soc_twd.adoc create mode 100644 docs/figures/twd_sequences.png create mode 100644 docs/sources/twd_sequences.json diff --git a/docs/datasheet/soc_twd.adoc b/docs/datasheet/soc_twd.adoc new file mode 100644 index 000000000..dd74f858f --- /dev/null +++ b/docs/datasheet/soc_twd.adoc @@ -0,0 +1,167 @@ +<<< +:sectnums: +==== Two-Wire Serial Device Controller (TWD) + +[cols="<3,<3,<4"] +[frame="topbot",grid="none"] +|======================= +| Hardware source files: | neorv32_twd.vhd | +| Software driver files: | neorv32_twd.c | +| | neorv32_twd.h | +| Top entity ports: | `twd_sda_i` | 1-bit serial data line sense input +| | `twd_sda_o` | 1-bit serial data line output (pull low only) +| | `twd_scl_i` | 1-bit serial clock line sense input +| | `twd_scl_o` | 1-bit serial clock line output (pull low only) +| Configuration generics: | `IO_TWD_EN` | implement TWD controller when `true` +| | `IO_TWD_FIFO` | RX/TX FIFO depth, has to be a power of two, min 1 +| CPU interrupts: | fast IRQ channel 0 | FIFO status interrupt (see <<_processor_interrupts>>) +| Access restrictions: 2+| privileged access only, non-32-bit write accesses are ignored +|======================= + + +**Overview** + +The NEORV32 TWD implements a I2C-compatible **device-mode** controller. Processor-external hosts can communicate +with this module by issuing I2C transactions. The TWD is entirely passive an only reacts on those transmissions. + +Key features: + +* Programmable 7-bit device address +* Programmable interrupt conditions +* Configurable RX/TX data FIFO to "program" large TWD sequences without further involvement of the CPU + +.Device-Mode Only +[NOTE] +The NEORV32 TWD controller only supports **device mode**. Transmission are initiated by processor-external modules +and not by an external TWD. If you are looking for a _host-mode_ module (transactions initiated by the processor) +check out the <<_two_wire_serial_interface_controller_twi>>. + + +**Theory of Operation** + +The TWD module provides two memory-mapped registers that are used for configuration & status check (`CTRL`) and +for accessing transmission data (`DATA`). The `DATA` register is transparently buffered by separate RX and TX FIFOs. +The size of those FIFOs can be configured by the `IO_TWD_FIFO` generic. Software can determine the FIFO size via the +`TWD_CTRL_FIFO_*` bits. + +The module is globally enabled by setting the control register's `TWD_CTRL_EN` bit. Clearing this bit will disable +and reset the entire module also clearing the internal RX and TX FIFOs. Each FIFO can also be cleared individually at +any time by setting `TWD_CTRL_CLR_RX` or `TWD_CTRL_CLR_TX`, respectively. + +The external two wire bus is sampled sampled and synchronized to processor's clock domain with a sampling frequency +of 1/8 of the processor's main clock. To increase the resistance to glitches the sampling frequency can be lowered +to 1/64 of the processor clock by setting the `TWD_CTRL_FSEL` bit. + +.Current Bus State +[TIP] +The current state of the I²C bus lines (SCL and SDA) can be checked by software via the `TWD_CTRL_SENSE_*` control +register bits. Note that the TWD module needs to be enabled in order to sample the bus state. + +The actual 7-bit device address of the TWD is programmed by the `TWD_CTRL_DEV_ADDR` bits. Note that the TWD will +only response to a host transactions if the host issues the according address. Specific general-call or broadcast +addresses are not supported. + +Depending on the transaction type, data is either read from the RX FIFO and transferred to the host ("read operation") +or data is received from the host and written to the TX FIFO ("write operation"). Hence, data sequences can be +programmed to the TX FIFO to be fetched from the host. If the TX FIFO is empty and the host keeps performing read +transaction, the transferred data byte is automatically set to all-one. + +The current status of the RX and TX FIFO can be polled by software via the `TWD_CTRL_RX_*` and `TWD_CTRL_TX_*` +flags. + + +**TWD Interrupt** + +The TWD module provides a single interrupt to signal certain FIFO conditions to the CPU. The control register's +`TWD_CTRL_IRQ_*` bits are used to enabled individual interrupt conditions. Note that all enabled conditions are +logically OR-ed. + +* `TWD_CTRL_IRQ_RX_AVAIL`: trigger interrupt if at least one data byte is available in the RX FIFO +* `TWD_CTRL_IRQ_RX_FULL`: trigger interrupt if the RX FIFO is completely full +* `TWD_CTRL_IRQ_TX_EMPTY`: trigger interrupt if the TX FIFO is empty + +The interrupt remains active until all enabled interrupt-causing conditions are resolved. +The interrupt can only trigger if the module is actually enabled (`TWD_CTRL_EN` is set). + + +**TWD Transmissions** + +Two standard I²C-compatible transaction types are supported: **read** operations and **write** operations. These +two operation types are illustrated in the following figure (note that the transactions are split across two lines +to improve readability). + +.TWD single-byte read and write transaction timing (not to scale) +image::twd_sequences.png[] + +Any new transaction starts with a **START** condition. Then, the host transmits the 7 bit device address MSB-first +(green signals `A6` to `A0`) plus a command bit. The command bit can be either **write** (pulling the SDA line low) +or **read** (leaving the SDA line high). If the transferred address matches the one programmed to to `TWD_CTRL_DEV_ADDR` +control register bits the TWD module will response with an **ACK** (acknowledge) by pulling the SDA bus line actively +low during the 9th SCL clock pulse. If there is no address match the TWD will not interfere with the bus and move back +to idle state. + +For a **write transaction** (upper timing diagram) the host can now transfer an arbitrary number of bytes (blue signals +`D7` to `D0`, MSB-first) to the TWD module. Each byte is acknowledged by the TWD by pulling SDA low during the 9th SCL +clock pules (**ACK**). Each received data byte is pushed to the internal RX FIFO. Data will be lost if the FIFO overflows. +The transaction is terminated when the host issues a **STOP** condition. + +For a **read transaction** (lower timing diagram) the cost keeps the SDA line at high state while sending the clock +pulse. The TWD will read a byte from the internal TX FIFO and will transmit it MSB-first to the host (blue signals `D7` +to `D0)`. During the 9th clock pulse the host has to acknowledged the transfer (**ACK**). If no ACK is received by the +TWD no data is taken from the TX FIFO and the same byte can be transmitted in the next data phase. If the TX FIFO becomes +empty while the host keeps reading data, all-one bytes are transmitted. The transaction is terminated when the host +issues a **STOP** condition. + +A **repeated-START** condition can be issued at any time bringing the TWD back to the start of the address/command +transmission phase. The control register's `TWD_CTRL_BUSY` flag remains high while a bus transaction is in progress. + +.Abort / Termination +[TIP] +An active or even stuck transmission can be terminated at any time by disabling the TWD module. +This will also clear the RX/TX FIFOs. + + +**Tristate Drivers** + +The TWD module requires two tristate drivers (actually: open-drain drivers - signals can only be actively driven low) for +the SDA and SCL lines, which have to be implemented by the user in the setup's top module / IO ring. A generic VHDL example +is shown below (here, `sda_io` and `scl_io` are the actual TWD bus lines, which are of type `std_logic`). + +.TWD VHDL Tristate Driver Example +[source,VHDL] +---- +sda_io <= '0' when (twd_sda_o = '0') else 'Z'; -- drive +scl_io <= '0' when (twd_scl_o = '0') else 'Z'; -- drive +twd_sda_i <= std_ulogic(sda_io); -- sense +twd_scl_i <= std_ulogic(scl_io); -- sense +---- + + +**Register Map** + +.TWD register map (`struct NEORV32_TWD`) +[cols="<2,<1,<4,^1,<7"] +[options="header",grid="all"] +|======================= +| Address | Name [C] | Bit(s), Name [C] | R/W | Function +.18+<| `0xffffea00` .18+<| `CTRL` <|`0` `TWD_CTRL_EN` ^| r/w <| TWD enable, reset if cleared + <|`1` `TWD_CTRL_CLR_RX` ^| -/w <| Clear RX FIFO, flag auto-clears + <|`2` `TWD_CTRL_CLR_TX` ^| -/w <| Clear TX FIFO, flag auto-clears + <|`3` `TWD_CTRL_FSEL` ^| r/w <| Bus sample clock / filter select + <|`10:4` `TWD_CTRL_DEV_ADDR6 : TWD_CTRL_DEV_ADDR0` ^| r/w <| Device address (7-bit) + <|`11` `TWD_CTRL_IRQ_RX_AVAIL` ^| r/w <| IRQ if RX FIFO data available + <|`12` `TWD_CTRL_IRQ_RX_FULL` ^| r/w <| IRQ if RX FIFO full + <|`13` `TWD_CTRL_IRQ_TX_EMPTY` ^| r/w <| IRQ if TX FIFO empty + <|`14:9` - ^| r/- <| _reserved_, read as zero + <|`18:15` `TWD_CTRL_FIFO_MSB : TWD_CTRL_FIFO_LSB` ^| r/- <| FIFO depth; log2(`IO_TWD_FIFO`) + <|`24:12` - ^| r/- <| _reserved_, read as zero + <|`25` `TWD_CTRL_RX_AVAIL` ^| r/- <| RX FIFO data available + <|`26` `TWD_CTRL_RX_FULL` ^| r/- <| RX FIFO full + <|`27` `TWD_CTRL_TX_EMPTY` ^| r/- <| TX FIFO empty + <|`28` `TWD_CTRL_TX_FULL` ^| r/- <| TX FIFO full + <|`29` `TWD_CTRL_SENSE_SCL` ^| r/- <| current state of the SCL bus line + <|`30` `TWD_CTRL_SENSE_SDA` ^| r/- <| current state of the SDA bus line + <|`31` `TWD_CTRL_BUSY` ^| r/- <| bus engine is busy (transaction in progress) +.2+<| `0xffffea04` .2+<| `DATA` <|`7:0` `TWD_DATA_MSB : TWD_DATA_LSB` ^| r/w <| RX/TX data FIFO access + <|`31:8` - ^| r/- <| _reserved_, read as zero +|======================= diff --git a/docs/figures/twd_sequences.png b/docs/figures/twd_sequences.png new file mode 100644 index 0000000000000000000000000000000000000000..7471b485b5dc02688312cd41738dadc0030dfbc6 GIT binary patch literal 51252 zcmbrmcU)6zx(4dr7F0k~qzhO%BfRxZ%3M7PZ7kg&TnRCwUduPx6Bfq5ygtgZ9es6io3VrlYll3I;$wP+@v1;AB z^Z3xAqv3}R{r2McG2k7NEZP(}{O0~x^Y)?Q?(>U>4qZ5;bw^F#$9$#UpRWJ3et&e&tqj__py4iyJ<#>rNXgc|1CE=1f@Dhm_QN5=UhfzSz9! zSv-90_p>LmTI$ZFej~A)Kfd`0F*v>ZEQJ!XH&SLtF(;Z!mu+{tGkT}XCLPf@BH7%Z z0`E#LPg%jE5$KH@$v+B^s2lu>9@i961FvWEF0+7l7LPAvef{?jXpc?DHx{X4Y91rA z8q(7qccz)Z{J)vWkpKNdf*72;SyAO(@S!6Y4*hog)}h}|zxtp5d?b71h6^{&dE#=I z!CE{SyB>`P4;MN7kUIUZe)`}$K1m&^a2^w47kcoarbbcx^~P?ybzDTY!GHvVav1SJ z39+SF?Yhu1JHDoQe>a<#3U%!NcUHIWNCS%_ZCb}EX^qi(c+^#uSWj;qvk~u)Pt<3kK|qL9S)&asG5_ZGj?l; zUCOFR3J5yHf9-DXM6Gv11Ruzcrz-;t$$gM?35wqHdN1XX($3i1P4j#viP?!6Gmn;5 z5){Lw`xllq^ypdqewgXh$7xJR$5cb0+T6PzTXP*YYrjUMmP>d-{pc@ZXTxp$D`c${cyD{$qTUzRuitj-z^? zu{#%|E!H-UE;25^?*g1Z_6P`RUhmiT)X?l|f|-}md<$n@NLW^Wq6K|3tfIA_sAT8H zcam#Lq_?Hcmsq!F%qeQDABr)YlSH0(4LaXXy;uFhLyCQpd{kj?vHgaRI&q3Vx7A#R zh^gh;`;z&DR3w1mhOH9rk7C6;A^tjnXBJE*=sjF8=i^nyT-{hXQrTY6tpReJ3+ayf zq2J@KNLc$4XpT5y?ee3^MmUDfFZ!kK1~WhHE{-$C88XmNBKOv<+L-dj7SzhF}3P^ynuNSS5n zsbZy#N;7L%hi15ZX3Em0QXA;yhS#*oA*cmD%}*Z*2~}3YRDV$dmnkkTW9Sip`Xa(C~VXrGc-PM8a6nY^pmy+TPDY7+VQjt(T)()!9^LPgHm; z_62V@6(@ToL9QFTtStt!SWQrGnKEZR5EfQWg#h1Tvo>Dcm#wMTNh{oB{(bVBMWCt5 z{0*b6g4(kk1|3`Dfe>0n9p1z z6GHg+#)rz&1&O%gH#_w584i~;S$IuvJcYS_gB&Mk$hB#NLVHNUodq}g&vZ(G-S?Gj zJGn<{JPXQ=0v2-;+VjAB_H5=QwuPavU!lTv91UVLJ~^kv%A-w#hLF{{IW!1Mx#1PY znm|2jRLzZC#Cq=8G)qkGbwhHtJ+U3yNEBWo5UH~M%t!(iyA5AwLL^*#0*Q}H!M7z% zmuY%`EaCbdkxCu&UWqFcuDg&2o0l+>n>%9PyK=ck`c8ZH^3qZrOIPeA-^Jq(l4Z2K z))6`=_}FXqM==S@e2tGul`E+In3Q0hxUu*L(e7f@%2bP0KkCzJ#;>-mb_(7P(Shrx z7oDS4j~`atiPef-PXmd5l+zjQAT9RiaFX{n_Sok9@rjq&MCn?WCy4gpC@otULoR2E z3xo(98TTBUm>fe+c-UCSY3q8WsuP#@+Mmzkb$wf(Hde~2$K$%4i$@F|1&^a?B( z70z3(NY%b#^v;T$3MS$wa$^|)_#2p*a{t5!f98EOe!gE9d^GXO{*Ut zW96Owc~h?CQ z*OuZ=V1_}S36nl`q;;>iATRs{xqWE3IZ-Oub>F3kHwlC49pC*J&pPjRda_l?t98`b ze|B}7A=JPy`%UaAcz#T&aAiKjq&gi)$@3Dyu`F*J_qO z14-N5e_z&lRHm9bpTgHfm1G0cUpA<+sgNECV*>W~w(G|`L125M-^^Y-PpeJ8Ib(}3 zd)Ve)#>tW5b$EUqF)BV2%%~0cK4-B-P(eg5YUj$-7;TDs?S+}*l~M3DwC3dZTv(k2 zW@m&I+qUBB-A*aOYKbn@5>{2D?1%NM9r`$Yb>2NU%SNsZ5RxI|bXMDC>|FE{w1I4} zYY`Tby;#{2fNZ!Tw~mnC(^Me1qEDIPGL{+gU=V{YYrDyZtiQU?*emHH_2f1Zpfg0| zGR=Jg(nl;qIxbt85YlRGXN!sM6N1FbO*$(Uw?S15kexPW!|hRNyudw<@HD(L7ZF)Q zklF6R@2z>yH82T&WPd2HHVNN#hO*(7k!W+yo6jzQcN*AuuP);K(e$kjDX*1hNz!(a z^d&=dyFXUhZT4O@@U{(5o+4=Zz|WYg+RBiIIHuDeFU(F>m{<&zNFewe zvC#dwqT?8F1Y%WIGeB6bB#$M|a4v&)T&HU!U}ENV&*Y$!600S!0Uf19{IYxl5f{s>}cI;7)gC_5iYQYyH_mn!78!=23T@EG2d{tZa4 z6*{W0E_ja}X++xMcHGqz@~xVoH35}d`#4hBMPyO8Qa%##96?@`T#a(Dmf$eX zSx`i~Z7AjKCCs&KgRepAXS0&~a0A8Fnbhtbqb)&i(**CQmfxCtK`zZ)j9N!{&^%Ov zo!ImvToRw4vR)@_=9ij^lkiFtBpMouyV8=~U3Vi_`QSXiGRl}(R|Tm@Pt%r2FT0Q* zP*has3~~EY@SHsQ#SI}BMlwney-%1FuVXmhL$`{rQt1=f(Vz636bML#mjk9n!PFE3 zjC|W(b@le@a7niJy3?9k-h-rHgRKcD($#gw=(sfAtwU7Geg1)@b6~So&lNdIl!a6H ze86^R12;OY?2XsB-bHwvM0muALnjL8<@~}r=m}JBEAy27&K8|Bfe7LBvNhs5Wgbd_-&~y={TaTDG%B<0%T#9?tl*sI#?$9P zbMG!ke(+zbZsF_Bx*JwkWYl%is%x^LcuT}fJNz@ucrid)?0yZKO;qSokl#Vc+r zjQ2AeC#}#WMp{nh3{KAZeM6|PVKWxLNZy?qt-P|vt;cjqSAL&QVt)+tIKVM+_OLL% z4V!u;P#pjK6kl_$&PJdNJ|v^!bReCc+O=edD0koByR};KJ{0SHTUPM6V+Esf+yi%~ zz@;Cq?!Hc9n%&3mzh>Fp)~+i$@n-(p>e7?L&E%uL3Of^&a-OaIy_~P~)o*N$_aWJa zhyr3ga1X+ z;No<0MiEMA*Fhrn&5eOA0&@TEr0f%o)>>%2$p-E>Q^?}H%k>?OWHhzAX+GJpsc(=K z&3inuolMQq&p@Lr&YLv%d^H&G%p zdXpO^EC=>QdST=jpowvV$a;$LhynMmEz0PO153r3%Njl+KZw5k%`))iqDo~H}5Y5<;Ngflkl3k+@yIJsG=>*xwB` zoUIuS0qs0e&_ST7UC>!##(rI8`*2+_o8a1B`{Z{0uW(#IZfOBM%Rs@gd3ifwmTvb% zH%THKv&*Hqs&(FuJH+t%?&{vtCWho1_h5;|7&G4o_?TS=Y)C0IDdWY*ExxL$A$Gl7 zj{&U#dI~k3v<%s=@xCN082%*hA=#~H;N;8&E3h3AYRmC`#7Si-<%gw*D}O)YIbzX! zF3F7&1S_oZf;G&Sg3D@1&0)~!{Y0fnlL$^Zw!OhTL%c_h_vJEsvMqFF@OwxJkM4xJ zQxE=d{Gi4yfSWqjY&eOj&QS+utwVo%w&nd{a)jmmbE=2BMUHg=I_)-RF$`4h&7DY`#)FxhmOx6rxJP`~2 zkMIG^VkZ|@4ibA%dg4w;i;y z-Ae1{tj?zR&!b?w_kD8mPl$U3m5dl%th;(QhfA}mgc-W}e66d)o||vT7W>SKL1V^; zf90%v)s5Yq@Wzu3mgZ+nTM^I79pXY-&WqQ55Nj1}mlzsISR4!YBy^`mRGg0J&rd{$ zsAPXbkjLGzp+>SU93qXdQN`2)Nw(MygOo6jTccMMr%aellz%RP_NJj0d)=rqClV7l0jXeyfR zo1{&Gn5?et1EEan(@xRKpOvie%jYTubvNEFBTNVgZLac=5;Q0_(RtbMy z`IPiS>B$YI7_|3Cs=}jWcukr2y1By2H;gqVWBIcY(RTCFQR6DqbN7)9NiGKAE0@j6 zb}^Zv$$}5pSS=vzkJ+$`6(#c-l$GW|Qq&$-`UjnN9eAzMAB!?wvWQ$PMa#pwHai{4 z?Leyd=Mqn|FY0yBf-BE|tq7S+;w`p;?Gg1i8txBLf&^#+k47LRFBo`yzdT)GZi|Q^ z6QUQz+aKM*AHHEwU}0K78+v15+WKG@zt6EaEV&r>eatIpEiZ7pab9={rQlSNd%W0| zY?vRsoloX+y33QGx83P_%nlU|CWf~XR0;9gF_ZLN;>$@3_1lkTQv6(7qrGZ(R-;mE@u^OYD zbn&&M={j&jT!UJ{UZ(dhIjZwP@ShT9_a&>^hYKa-Op=lf;|2We49=!7Z5BN%xo!OY z#l>dyCO$=6S!PUaqerRWu?WgF7R6`1pDb|KdkT3R?uf4+lwG^B;|rTDg4+hXDX^D&2x$?KuKQNRlWReI za*wo~xw$lNadFIY*>NvN>dV)BNLu8hGUCs`by1nH-POvD2+-v4w-DEt903~^upl~< zI}7pX8_5D4G()@$V+5q)TpdaB+_qV}0>=Po@pqLmj_TyWQW%XCUDmDGp{}>$Fmr${ znCK~6p-U-Ub+-BW!)i;F)crGQ z5R#-e;d5_Xk~3rH%cbG)D6>4PmABa z7}tqkN<=fL3SW(!G`i^Js5_45rrtv&Gth$$+_)w3U(+;M~?2xZX{?E&P?aapNQUqRc$(@VvYGC@3`)Gqb) zH`x1Idy${)Vz3Aag+!*DG@x5aZ{sGn+i^QC6_~QsGrNcS$v;=t^LA|DeJZwICB3f-*V-=cB#JLPS}^OdD1Qabz03QFqpDUk*7dxkLII=9em>Q3+Qw!po}dEmk_@1 zm(q6_OmhVZrdOiS6n2paGXfzW%m)h;dDJ!4Tj>$}M1dUP_swh^*lkovMA5^`3K6oz zN?uAU%kN(YiDgM>t%}U!rIw7P`7{x@);x5Fp88Y5D^8GLXO?zl5VSqDmz^aLjNizr>?A&TqkN;KtZD z1wP6h`e;~R7jCHVKBWsUzkjjq&rSkRdz{~xV9;GL3O~p4URuL$ixqne* z#5-S}t}Y6%Ep$s4LF=DHkMK?x8~&b93Qn*K9N=rV{l=JVV!)eJ4_VP8Gtm9g-fT*w zj7x^xyTR`3PmJ5&Y^K#cvO1ZPu&;W2fTZyJ7xP)wc z`h0%l9yckn!7%k7`G5lTUL{|&O+ zM9tVTCY6Y)>s%LY?>dyPs+lhnx6z!+H=%VDgGrSzOonbTA!Qjzb=6qb4cS5sOw|x! zy%xH9hA1Mq@7MlYrHL3U2e<+qoz9kR+{OsI`G-mhz1-sF zVO{9p#w$fc`DT09`fRvtRnuEMwvR7rTOghbPTli((J#~Y=v1XHEtU({_*5HVPXMqy zWgYKr25xq_(#-7Rkz#0-DcxGCN^`X(a_NH=cZd#V11rL;qThBGuGW(??@iEQ&e4gb zOsd*8y)av%zrU_%0@K+A;2LN7fnUO0KsTHF8;vu&wSk+9?nGv_Jzv>4d>7t{XM1|r zvH1BdL z73zM0MJi=i+l(Bq$_r=W-YrNdE45U$w;5h2@Le#=>Fhs%nLk`qp9Qk{#)p;Ba_xsI zWPNDZNn&5Id3Pm~Tggn<_UU@dU4P1mUHxXQ9{^{0$v(&J4L^{_wLI*@190PKn~vs! zcDj6Q?Z_;ielGVh@;i~4fU9=2;{o}99?b7|;}tUI@ApwRd-z`%9V;8?o0*RJGQH0n zvEZ2ZXo%$m=`Q~E5qa>~pk|flS74v6lBVfSEh6KJj&zS~&;78A85xq{7;)l$DTZr2I$=;gO#L>{CLxibrU8w|+DBFx4;1 z%x*Lhf4S==3mpXI1!)%b{ z%fzqCj4T0O{_}Irkd%wCTKMZ-ZU4n5To!AHN`Q0;;}mshW!=BvDaDcY=n;gg02*5y zuH*29vp<9SW(HW8=C>Hld2%X*(6hCC*vqegCStEA{E6yeY{*Dp_zC(v8}Bu;^`(k=5@8YiQP8dLQ4& z%(7Lx7RGMVx#241v!Uib+!<9JX4g^JVtYmLG)<^cUk*U;J^ic~>5cSq94~A&>FDLs zpSqyF!@W8504v6*$j2O-I(}&gUOfumICZJi1F`tLs=N017N7jf zPb`9}$^^#o{R?2q&A|*Las=LJOLl%7Tb}5ptGxNP(5$xNn)~*o|9YGtV z&agGCy^@p-J$wKA>sz!FiA?W+{`t}$r@S{hXc-KEtnpu;r8ZKpm`e9J{vVTwZ{H04_EwPY zam1r{;IAB&X)RGX@ekbD>ujrcJ-3Wd7`y9Qs}Eh(x&ai@V=#w9uz6{8b_m zpd9Vg4`GIbIfF}L3|!=eZ}LChY0w3Fbe+9_=YWm>0ia~ojc-ppHZ&vz1>?s^hK}SA z3#TXi--G@qo%-J->tmhg>y!0;NC>!k=U12w(4n7&XDrP=`EWkCK82Ol)B}-9Fjx4qoQ#v?>?L6Dy;mk_Am3_!1ySBw!PO?caulQ>4X{0FKr! zag9YHJdQRn8sl-bICbL!`t@O^_Kj(b&BjziG20Ulgb~i0x;0novuSBm?Pk-pp(i_aMIl{&g$kzz*zxITq}TL-AfQNvnDO{-j(h-a*UdZRB5X^$R(&u zH3+F3?06+=ik_PKRA0Lrn=_ZUY$h1-^F+wqe`o2TBj<{lSW8=CrZELKi6PY;E(p1&WhLMkyM~L&i z=2!B%hfP<>0ji2qOCjYj(8xa=LPEsT6g~5I9`)ktkNnA^yv$&x)z~HH3iN_>9ICKK zVH46iPofr5gk*1|boAwD&mE-i5COkdZD7Bbj@ZmBa5sBM%z-YrVxAij}RLS8xyB1R(nM zSE1rEm+BmvL;KKUXA}lBCri{B#9pu*efLMdNn7c4;d^1Wf}0HIZVb89uR|$<(Pf~B z(?&T*3F1^8sXECrZG3$IH#QS&5RbNtfSkV1`z)B6b20D2WzwL|Ws+w{6xFdM7I=w_ zCsUW>0cJ5$7Ja5B?_(ybMkEToKkSWsml9USGu-digbXvFSit&bx;@jg;Pv zR9cfqrflq5(z>^($iz+Cb_uy->u)rdZ6*q1KkF2z*KNAsOANcyH{LuDpt$n*tBq;w z%k2~nl|H98Wc=bg>^o8B&-+U+RqzyBaV%w?g#E^%I<2h_Fn5nLI4S+gBY??C%tJ*1EuyIXc2 zyDr-{OUU^I?s5qZZk?t!b+WrZu;{m?(*c~as8u+E85n8eKi7&8ufaPK#1oprxH{V7 zM9c)cYtV&DN-w8w@u&t=u?Q#+`*n&P?lIJqhU{fRrHVW8)~Q?NmW&#Lou4&BXr`U6 z(;P}In6btHAZ@BF5u{M?B|ZT7xP`9M{SkPJ1BkyH*U^@deMKf9sDJm`M6Lhy^mY@; z-m+8?{GJ~vjTufv&djfpwj|gx{i)mQTmhd<|BjIj`RoR9~@EJU{yUG#|#N z8-o<~`@kPuA`2({rpN9AR0X$Ti;y;e%jdH1&Dyx(N;nau*!k?)X?rA&oeKSsKcCVpkJQlJ|mj?^m0oTy|o>&(7^f55u%%&!x+l;Ojfz6^^ zfS+oS4#OE%T$Uqrs0AKt15_}|;Oq3s3iR_`<0-E5NOsJy@`JU(3BmU95`LQ=R&>0Q zhUdq0VVKOid85#4OV*ihRgCqy!>o5CXi=g*%Y}QRiH3`?31YfdKzp9C3>~rWqUmFDZ+1+e zG0wv2ibM;K->%3cC&&EL7i=?ACui@9;ICDkRNURN^*&-h8F+0~zwDc)1dS7N_fP&b zN5*9uCsvF(dLiX#+v{xKoV&NvZ7DNF8VR`oO)52qotI245#FJ?ih>m7l?)x(Z#tbWe?zJN_~IJWS)o zA+8Pp#gKdrzL1i7{co|=2`pM7x-Cfx5nBe}B+stS9Yk6Z5NS{QXws`y;QP&S%Y?rL zX?(CC5yWH2MfRr7C3Ga&rC*;9J3>5(){WQl5aFWQM+$N+D}u`{oX%1ra8vQ;7!EVh zgz`8*`Ow9auzJ!8zcOL8uhxBdweeVqd4)Ne}j6nW=IU*~2q) zcmN!2Jv7jdvSLKLvvt0K{MKFN!%H|I$k<3fQscR7fD5?+WIfT7ChDhYH9$S>g2~X9 z65Zfp{9skY%!m|XhKIwUfiB7qo`))%0I$nHvDYgBu5@!e3B4Kq9*9S*OO~0bU;w2o zBTjICr=_pj-A?@LhQCg(*jE2}u-K3%{2_}~?00m+%a?}$0Y|t@m=2!_W_TU6^HOCW zpB2eYeyNPenBnYF{S~A^wqfjji4XA^GkI$$)e?%qPn=DZv5&z`10`m{%e1N9Ce$e2 zO-H-U`rvpM;{Q7^Cw2ne%IXPy?>q7HcMd)r{GT7<%pqg%fN@l-(q-b0DgHKQ-Fdfw zOzCE^GWpQN+g#C-3G+Jf*}2?_^IkGE2jEOZRQyQyU^NSl;%5MbPsigUG+QmvUDIA% zx>5Y49+0-f2;n`7(ph@$HOlC5hpHi|+%7)nIH@bfI0x%F-54}UN!5Spn`f2 znjaWX^I!%n%H?v8VGBD4vSgRE6>>f5i4#6GBkZd8g-D{iJ=GQd5DuTFwWBzb(3H+9RG(zoG?({h zRT!Asl_n=ZjlPz$8V?s`k!G9wshitclokB{pUYVbN_8}G(E;9kji7QkYaj+q|<#POtcpimVXt?1B)$dx6~$eWjVCD zYv5-KONYsDH-*vzLE$I0K|az3=L`bpTGwx?khrjFWu?4gdm$Kjmc zE+sdz!4-e6d9n0w9rXV1plPnT`rM;vFk@7L(i>4{6+$OuBS@2{lH*|hbv}n z1ZG6O5=*B2yN8;gC2T0bgQDf@Vull}$noAbKqtdm5H1<+8I&wjGjvk%dcx<`sf3UE z9!h`V2L3UwuGTOHf=keLoBY{|_kmh@MZm0_yE&BUf%=~h(AR}O$%TjU3kJKE%)Sf! zv!V6EBi`SE_8>%^Z`FB)={a{xmV4hT_BW?4|0j17c@6Un^!9Ks zaILk^)dc^1XY}1Vgpp^x@!{~FLoeq}|Bx_v|0Bki|JHwWeTRZeSc~3OJdpqY@%y5q zxBss${qGC*#qxLV=BGEs)c-tWpZ@{v7~C-d1S5z3QvUmUrj$DZ&1^3OZ03K}HMbrN?mJYaf6TwU zu3zFgn(a9g#{WeA58UQqAOtEhIeO!-?kT?dt9kAqBeMPmbfl+jDdKXw988s;Hw^ir zl#xZ@^`D#{J{&Av*H_Cibj{>CdlA<}|R3{@|TjmLys!5O-;SD*4VYNegaajl2IZCDG<0u!|h`GQAIa zZi6)Xe`v4)iUo_^-$Afar}h(lStFWfBfc3MaN{i1pkoy}LJtxzczSxK4^3}}o}3bl zaEQ)e&8Vu_2)nGmv;LPh+`&#;K}r-4hD?t9C=Rah-(HJ@@6pO2b{)7c zTm3JG&wrJ^qJwB}dQ$*o1OT18&Z%ZQQ{%lZ#s_jCZDoKFs0T?>cL81TYGT1!WRVIRKOXK8fJ9Uc+x zI&j>4i6^EgT7EM1UVTZ@d3lN2TV9FtsBB>NoeI_ufrte9L(gDNMiLf!$FDNVxbo zkyxsFjgwop*>ZtgxX$~3g!BQRlpX%h`ztkCKgD9DG1bGy>lkZ~ZCA2Z$SMA_eAPjn zzkWM>td$ise&GPbCI$SH2d3RWwi5EdR{x0Ylz7?Ka&6 z9$M}JYdk~5nP=XF!9PJ)7ahTtm1l}sB`ZflKV(F{7@|gSOWv;WSiF?2DNGFU_zqks z(cO|;leG^N?LCAzZO>~MX5nP@k<++sseR>vU-)N{jKEbmwFASwDpaHe*p2wv`8n*jR zj=y}@Xou4nXS1W6xa%%Ru{NiTJzXv?yJ5nKm)>* zx*ITN`I86tugo+C$)Y9|4<0JaeGFC!i%qVjc50R3+J3w~T(sL!NgFEs9YyNXP9u2_ z=xMe|W0fzr@O!7WEF@Y*o{@%^WqPMlQ-6lb!i44$pmp<^9!(qC$@tdj%X5IK>EmL5 zUdLyx*jexOP*YV4zhB9&u)~BUVMj-}TSg~0SjO&rpjf>|agwrjvYgVut_jL(9?HJ{ z>;?=SV4tmOI!Ev}c7Shpre4XAH+3+8WDsHQxo!1$^oaj%we)Vs&ynr6=^|so0256p zofge%wyCX%&^aqkcL)rydWqGb&h`&K1;Bi>v|+$g(Fa&}_5<1QJy>e~I!^jsm4hTd3N1DC< zl$GdsQ4__bE*QujizUY&Ek2Q}g1$`~8XWYMzoB4gN_@iCjEeSe`4Sl_=wo+bl)D-A zwU*R8!xuTt_cK@Aij9;e-KCOMb8G^u&)-~%_Fnl=K1OLBTi?@pvnMP{ z|8zl1M-#UiqY9`5T(fDrBUt$SDyGyCC&tzVB>p)O2=%?)xfbUZXr{^GMGw=4HeKXp-7P)tmxT1>eZjo$BU!?aa~Z<f1v|%8sVW*f4}Qk+hb;%v zKjb{>FI2M6fYg%T%cPkOW`LRR!}cBnUtznsU{9R;i6y_NItKVIZXJ!UVZ2uv<8p^n z&>y3WUo?=PmfV+#boXSG^@4orok}GkYgEGW#uw8$!$@M8WVjN)>(spigeV1yGIFUW z8zd{(yPn+Y{`w|8Rz2N&xx^>UgZIv8u=XXL0ol zilkRu*vK2qgO!V1kQ>|1a1||IneVQ!hUI*80N0&qzJZPB*)A{NI0M^!d$9(+p)1to zDyCAOcGLfp99b5$LKOOkbLT*1pSk{e@LdBRr@Q*hpl|xq&`wCf6TgP$;-%_DVp%A) z3A61-oinjmxdHCR)+B4?BNe#BlRU%1Ydp;{;;NkrwQJE=qalYfkq@~5neozcB{Mv! zg|M#1YPL8{3y9N)xQ}m7`kL5=*XlD!^MW~pz0R{M%wiq2jq*h^WU4D{aEEroq1d2< zPG+@=nEtH97v_z3W~n-G+XScWOYNhq+hUGkx@KQ=T3FepI9~}2E|Cn2nC6!wyq66R zw35>JB>4-70^Dbk&$&60U&MXBbF*{si}=kf8MaEII{>%U8^%th9(ovM+#WX6r; zEvxGBI*s02h0cBNe+aCAZ&DsOnYDiJ67t+(+>DOYDQU`qXti`ZL-odt?ak6;cl-K7 zo!$+O$Lw70t!GG!+8uRGO>(mASDd`fRab@-yeP^rPoXiELZ43FCtfMg?Zr7PY07!Y zf@8Yzxgm1fEVBV3XE9ne?N~CaBH)^OtVgih$BXeO8Lg$bJ3i%UE)Rf5j&feZ6Ql`* zm9&g(QV}Pn%}^Y1J>(x+fb1axl3G}d@XTXD`Q2Wz1JuPe3M=m+eai*-b)V}5^ER~Z zk~ia9FogBvl3(kfPj)df++w_V@%3Pht&PofgFBp$$3P}sZxo1~SKI|%9@GVBASEO4 ze#@eZfQvEEf*z_HaH$V)Rk9}g*^<$}v3^X$5kuL30h2Cga>_R;sn zC}5t-ZMY^Awu;&a68Ayt%guk<_b$68UUzns#3PgHS$0uXv1)6u@EvTm9CxXO2Oqo3 ziBb&_gjdn&1n@RW{&?|eZ^X~TBE8ut)8hgn_=F?5Iz4pOXWKv>iO{`Aeyr!6WE0E~ zpK57ZPcoUa0zfzQ3iL1%K<>&V^7{oA1}@xEWWP=1W`p+7u1 zCZfy!J_Xsqt`Z8OjePmZ9HtB{qphDd*=?B#OyYzR8eLTn+vx zCf^e9;B@VUzL0gM2BDb95n~UVzx|?(EKzRcl>n;b^(b@SHA96z95^@7ZsUSa{ens) z$2+Cd!@oKhFr_4HUd=LaJjUDr;su-2r z2Q)`YdF$};0c==*A_^dzoji^sILiLXS<^fP2T-KYih+&Tds{t9eCo1o>g!GdED5uj z-mZJF6)Qoaz?JP`tEe`M5Pl)R`swJiPg_R%<0;ir^|7UW%0RW3+$yhKF0QRpc^B^t zQ#Tgy{XWQ{Bg=i3RnYnbd^A0W`4Ym^pFzrHS@e6Lv*gHPMf_Gfh4KrclxRQ-79pE_ zys@u*KlK?FQ*8qq^qqt6h+-jD*N*+&J3}k-gs}!r1;*vCG7FMab|k04pGdnM8SO@C ziBHwfz}OZ{ih^B5mrcTgH&YcZ%``6-2X=|ER*pZeVzWw3=4fQdowChY8~FM}1>Csu zTPjr70RKf=qsVB}-k_Ui!g7bFgAsqaAucgDO<@vnf7TPYsRbz*9>6}qXn(8h%`%_qRa?x135Jo(y3fBCD1G+R@W%+0I@E++tf1#*`yJ5toiJ}Ykbz88Jsg< zO_6inI=KSSyqhl*x97*sxLY;SV94;c3PXGhN!-tx4$CA@cR0y2Hh zv}qokdex!0svWyN=84er|CVEaG{0a|Xb=Yh=mva6&kZ?4fFSG=)^Qp8Oba%=mYm+R zeF6J@$o(;Fo4#120S=9j4)0eqhn(rgUUKE-q~)*ys@j6$ovUf+24WeMlgsbG60<`G zw1<*3A<6Jw!@MwH7m>?l!vQNyB-NyiqnVNZv%nDPa_#v3>zAlrUih;#=(Pjr;6Q;X zx5DZa5cVbR>vUYE8fN%BhP$r0P;QSmTd|J+?nmDRVaG^&&M_6>ITMrjt!6In>{9^i znXgDhS3!))X4il;xD9 zub`jZX!3vgSt>5B!LFHnd?X!) z8vkG)2f9jyvZZpaWA-g-L@qp#O+}iBqu;);#9^acoeyM|Z*K7Pt2!yNlhV=Dv8uj) zV{8S1aK*NS$Je-Jx!^20NLLgBIZA+*^EQ_ku0!|j9G|XRj4vKP6EUs7GU)bEf!oyA zk=Rqaak!P9deT|Tg2g;Ga8tEgW!n@g68^>TpkO2oXD~>45duTN#dEX>X9SdPAFBIO z#PYzjTELG>70z4n`O9~U^Ti^uQPY+Np()~QogIVf>+jR}DqNGPCVeX5%&b?wTAY^& ziWuPwI9i!`&6+!;GUM8JfbKwb=*>uK&x3cS0tbq}56;_l+PS;c0ru3o42#4Bs?a)M z1|?S5^zeQc+mep0(Qo$DhtFFe<`dP$Q2J|Q^qRo{PuS~q2klo?dCDPzF&t!>^=qdA z-*4Q-GjaHwyvkS`wt-xf8nF#sef@j#d00^{;^Bdhs~i7C+^+}lo48h!S-GB#FX1Gn6-QfK)I&!b;^bQy@ONK%7+dRoHMagHy01$ zQ*ZZJNQ9!huByjE&#}zCJ=^T?XNacKO{oAPXf4x0E4%{mkRX)Ht_DM8g7>??DBn+? z_O}f6Qkr~oe1f*CbjE;WTJibXQNez@muyzVN&NgE*gSQfk?&K+@qYhwT@|d-EaGaM zKR1_j)#u=n#W8&CFdjJ!PM3rqG)gM^>)+J(ue$}6K;^epb8>ES8L@n*g2Nlv>xh9GgM^46G`#TM6qA9fS0YckzOW z5qJQws$<>M{qHd=Jv8su5X(%!F7DWN+fA9Bn`Wn}AjiLrQ6?!gjftQ7{Q}MNwsrC3 zh*5as!Y*YEH=Ep6EyA6I4%J;f7=b&yF~%=klHcZcN!T(_qSDP~H}j|09mTAYx1sZ_byU9QJ6+*>^#Ax`w{Mj>w5&wfxcjL+g15PXP#alYb6y?Un|q4u+$&X2%k?`i{z{7(n1u$27o^ z0s&N2Hmx5nZZ-2kebn*gjtQxLOJBE!OrT%8EMwhAoB7PYo(D`Ps{?hNs(0r15!>ml zbL_dos$A7_;bvqz7e%{p@Xl%>_>)?Kd92lytJOWCgRJigHfhu#gp@C~Q)?>}(~C2m zEv{Ps0h$OB$e;aV%z&=Z$vQI-72oIJsxJ!Nbe(|v;=?^pRmM^?0#7vYGy}2!v|a)+ zLl7qr0y#2<{2nSIB1S$!wUfRu;d4CeOdrd@O8<~4rNGF3S#fAkwYm8;i0`Mk zQRz?h@|V+%!P~{|LnMMjooh3V3%zr zCrit0ll#dKY|7EV1wOO?hrIWIYBF2fh6hCf5l~QR0v3vb6s3a@1p$$!2-1m&^d`L~ zQA7}FN|D|KrAnmtL_t70i1ZSvp$7;pA%uV9%$zgddyaF?%=fPKuYdh(!5ZYzXZG`C z?|tw4y07cHSw9g&Ca_g0_B*a}5WrABNnP46U-CZMGRr_Pue~$r8mITvacSagM(wLD zK!8SVymxBO)y+$~YOR?Fl_ufi>9RE5IWiq7}8=_VWg8*I`bZ9}i=B>I{$%39xY&*o{5(FBv zNY+ycnbl?#>8yIOCV5KObWzUkg`U}gJEYt&R=}|D{=u-n>Es2d^cKKH>5A>Q3&PE! z9a4%C4nxsn0cuI{-oK=IKfk|U;0P}P((1Wk#7ld)tx0f%=GNOYkJhlht*%;JUCZk! zwHEER>w<@{w>E-NG3THulW&~7rS#@6%o<%>o0b+Lz7BGI8}9$lORguVqZ6*lBh2@8 zCMgyTc_L3Pz5 zGY}e%^OHRPYC#^*LPSE{eY|`r6N6gbZs@AREqmPn@z`RfEq;tFKE5T?WT*}o0{m=d z@IR;%={xSvMao^kXGHr1?#z(#GwA-@n{Mz&p;+lp>e9OEztmT}Xt1#UdByTqHOLF= z)=2pO4Q1e{InVo%N1FOFnSZH(1~@!`nZr=8uP3~3Aoi1CX0z>+4$fN;4)4?5;zi8- zfe^==iViIdwFyiHAe#?sg%pa``jiDgIm1D(%5mkPg!MOpWYnBrv|26 zEkzKf|M0WLP?tX_N*ba#|4Gm@UNz3;p#bO%Pe#)d)U1)vfuz*YC`N<`Q{@-nJY9k?&#N2h1N88_LXZ=rhF*(o5w~ma`^RZeXiZ(et|m);E5$+O)*_ zPJ?UCYs#*2!{|^>puBUcq<#eV&;rtfe=DK+hgzd2(*Rhn6vQ-v5)|{-5mUwfc(_t^0|V9U`W+(q&u$I4R&um{&7LB-d{_VBC5B<+JuS6+z~shexQ*1y|xHSAJP++ADBVs-BQpQ3pB zzKLm_Zxx$~X8UxBNp=6Hhr#0F?LA+vgh{_u7HPflb^j-W2O1jqA2-PX#&yT_4G*|> zUA+t7STE+QhQbS$$=nWx920bio$y+h%>d?yYab$72WW>Rh~=NGN?#wEWFViwuzXoa z8SOb1VU|?m4{SPwpu6*W)1WGU^`q3fyth`#C1#4^1W(|xI3{t-#`~>V??Xd9Ubq%F(l^j@KhpVYiDI|rcT78c52xD6Tk|t zW-m7LrAF_2GO1lAp$2L|Ccn5kIZ>35IvDZQo@)(2LrrvrnTUu9z{G#+e^42kOaeNl z)VWuL#83f1O3(6pABSEB+Ttit3o@_O$=*n?Z~$9f`tFRA%RQij$;$*YpjlcJ(A){uEkM>12{+))#fDir-TST?tj?V=3QEEDaI_AQ9`wQ)c>0nfYbL*`WL`$WdiM1P;i3$sz zBe3E(I?mp2oSa9B7-KA9#dROzu8LZ)BvKH6)s579fii#B;im&EfRP55>wdZ@5*iiL z27txS>RnBozUuU{iy|~=tv=fKElT?W!xT*fsE=nVh1BIOw#M9Dk+SJTGOF8$$9up* z*)Y(ZXXr;+J}4oDu^bU|F~LgW)fWX<1Ead9^ZcX$JN0P z1viFE@M^yBn69>&xRv7c=`x2IP)%Oh)olVP+jRVAExA2xQGrOuyq1f7i!~^Tjomw8 zRA#^WLpyh*{QV&~o4j-39n#klf5}vo4Ixk@1RTemizmKg_9@rdum6)a0z{seos2(f zBl`0@Fb^{XU;_PkNqk`8bw~BdeeW5EJ7%WOfF(%5HPezcI)mEj@T|i>431<4=Idq|DpHI4Kx1j8_qA5g!6nUv%DiJ9+X~CI!&CiWA!+h!i)RHOSuY8CHK+nQn4JHrOs3?1fbFCI2{{X^rS{H3N27qy{~ z=W_g?tO8r(y=fVEmJP#60_KBP+p*eO9GcQC16#uuFzLSh=4zyt=1})A4 zCVQIps`Q(G73H+~qYS?5tA~RD!HPYtcB!NpN@&?XH(rzXt)smHv~XeS<~@$T>EOUr z+h37{)d<9;WLeM61HX;y*Z^=21+`w~GRINF@cNwa?tQWX@EF`riF&mJ4`n#H=Y^qW z6HkFKz7%tx{HNN8S3I!d&*C-(U`V?ZtWlmLHOXO(lD=*#zY6qD{Zsc*8aCfb+5u~I zwXB>P`7qpn-ly49dpxqNXQmt!sOS3LC)TVsvX7mu$3@M&LMBTAPbIm^@E9n*?cXU0RADV(nk%c3;|ApF0nNbJV`w!1-fvXokMF^kT_XgZ{&s2`LwxqC9zq z-Z=4O$Kv85|Kfh#g2XpHY|MX=6OBSfhuz-stwtjlZ zH9~ND`_@=FNS+Fq`liY)+u7nJ91`+Ps{7OgNMlL`2_)mwkb(#x9{#5gP|8u?V#oJ1 zdb%~Xb)q4}V!9>9qV=LzS_O$eQs;ZxCsJ3xDIG|lEkz-5=x2m02sI<1+1%)!%`^W( zs4M|WJ6Cw0uD%QW?N!Y}m@8c9^~e*ceRXjYL7G6|3E4td&3CI*r=Wow^+MV5t3TRN z%f%nr0BZcGBOI0MK@Ou;rRvEty2@c}(;SPTq8%?a5+!2DA5W)WIPeFWUC0YzrQ0Jk zcHsDY#k%W^LAj&JMS7?%?2VIdbG#@ESn)1k#V>|S;rlsS#Wn*_HaZO^n*T=iyZ;JZ zL#t8c?Z^j#g{ter45aJJq-L(^#&oVI*J`fj%@bwwF&}mp2aBhlP;u_Ncmo~cvj9x& zN|)PDMG`vCuPM=)i&_?c_3CaJEg}=-)^@0>b*T>q(J_kTy#o~{0a2@tXd#oTo7-|F zKcgEzndiRkTrahtZz4?aXn*>5IhXsU=2uED*0^Nz&|m}7>W}<+=K8P3>vr$=bL9;M zv7fU_bI$@-0&(r18=?PlKnA2{GH`oU3J4E{iP!sGZUq$qNw&Wt7x_IKWocZw%h>g- ze~3m+g|?mrUO+QTG$+^n7aj$jf%_c%|Mxlc`~D2TX~SkZ(6#RC*=K*nA!6TBqVyhu zrLoHA?4L+CdIA1Wz;=<9`lp{61qa1Za}G#ODbL(3W9e}qBKbGtsExB=`<)B$=T;WK zjp>s}U~1%Jp_)DbHyBoS|NFcC9 zX=dx}mIB^pJTp5A>8Etty^I+DFO2zrbI@=&`#YcbUj#Hdmnn`;@}G)Lzy4EXOE!+^MFaZN$s(p?sM1DY=J~bFD&{f z<%VfR|IJ6?GSdF|>o_36 zUEM8VfqzBl1^P79i}dx<23{sbQ@V|pdenXGt^;ev?m!hVB>QiaT*W`8MM&9C@Hjwd z&yD*f(D`IYYuC>L%m0dw1;|PaSsHH{R*olqaJ%_e=O@rjas?I^nErXU4PfG@`H@c;QynqHsy?cAhwZeLFT z0@;6m6#VTB=nul+kK=m0)HZ@wZ8GM#$*fyb(H*;EQv77wcT1|)k?#Z((n8Vf0!zPVG(*ZWTN$Th|5~JrLH-Zn{7(LHv zD1ffmQ8lP_acA`F7=E}*{wjT}mHsJdh2he8@Ix}I-VE6jlww@~i>d{9N8>2GrepM` z$UC@LHKEGPslC1|EiUPW{Nf}I&)!^J7hn|a%Uj{SG2g3JP&LnAxmeWtLMCv5WGqTI zV+F0PJPvRN2)z50w+|fK=A`)QEyI4pEAO4sONJ$1l$NCC&a08$9QK=jE-)3>q0*w1 z5f#5;e0#p-T{MX^32i*)wW;N@^@dBFI~etBqb2g}6V#?Fu(f| z=rST6-EdFiB2p`){UVFrGRbjg=;++=1}*Tyv}T?&+Ij_=U@cI{(o?V1Lp}s0ZV=fo zKL`MgiQWP^OwT2W8Jl9u_DsbfdQJ8DKT6XA{wPh$y-*D7;0oF&?!z}@*g5W8uCMq_ zZ>=|(mP$$Ku{dycU*Sb05-H!?LzOa07`OZzZpE)^uDF?`8ReR%&= zuBb}uGI5m7@Qu@Wk*05Ln$w#e?J?y)wGUlS+JwFi({hN^OqQ9ucFe>X%+8asX^S)^ zzq-8P+A%JP4F!5)duy@iXcbQH8=O9~XCo$mh|;WJ_RsVyFR=l`3Ng_xy?=CHqOz>L zS3t)u@uk6fZ57+?B{R%ibxm1TgYdwo|si zA+#MR3Ht*dFZcjES4GHh;N^b3O~dLGr-B{YPRw`e)X|lsHcrnhdkisY_hax)9tz-c z+M*qq*|v+@7dHaxL!ag9+gMZneac{Mjd5JzzcgL)b+s!Wc=QVTGA55~%&csAbaE6& z()EFE)zkpf0=lCGKRPavKT5{_QH@BSF_$)i4mupt$kF;@uvy^C~U9NUMZG_ zBrfLJkU=A+)x+1zer?1g;De0c+-Y6ett?0wRmmEKd|`uZ9hvjFBnI(A&PLzWdy9yD?*pOmYJtExYKrsRFSqqGs1KhPo;EvBdBfw-0o(iL zLMUn+odM%K77{IFbcvhKNBFjSW9yUuS(EwPjZIXUUT6S7grpiREqelXG$G=Z}P z(h5poMxyJzLHg;X1Gs#^^XIei0E zk3#JEgb_RS(>&iMf#TPrV4uC-{rvcOml5<**|0U}WY&S<(@401P4O-0F_l}c#Bu^T zRdvx~bUWZw9oZZN_NOMs(n~d@0R)+ba)*CTvP6-yUV+v&ODOhhKnt$R!rQTrGm{ACzG>y(H}dQnMbVL-NkD`->449d%b*Esb%yY+z+mT{ktwqbr|%8OSt!8C@Ojr!D<(Z?9o`ji$M`G5*#hX~ld zuVc0wC6jIx76`+a>