diff --git a/.github/workflows/nightly_test.yml b/.github/workflows/nightly_test.yml index 6a3a315785a..bd639415ed9 100644 --- a/.github/workflows/nightly_test.yml +++ b/.github/workflows/nightly_test.yml @@ -62,9 +62,9 @@ jobs: - {test: "vtr_reg_strong", cores: "16", options: "", cmake: "-DVTR_ASSERT_LEVEL=3", extra_pkgs: "libeigen3-dev"} - {test: "vtr_reg_strong_odin", cores: "16", options: "", cmake: "-DVTR_ASSERT_LEVEL=3 -DWITH_ODIN=ON", extra_pkgs: "libeigen3-dev"} - {test: "vtr_reg_strong_odin", cores: "16", options: "-skip_qor", cmake: "-DVTR_ASSERT_LEVEL=3 -DVTR_ENABLE_SANITIZE=ON -DWITH_ODIN=ON", extra_pkgs: "libeigen3-dev"} - - {test: "vtr_reg_system_verilog", cores: "16", options: "", cmake: "-DYOSYS_F4PGA_PLUGINS=ON", extra_pkgs: ""} + # - {test: "vtr_reg_system_verilog", cores: "16", options: "", cmake: "-DYOSYS_F4PGA_PLUGINS=ON", extra_pkgs: ""} # Test turned off -> F4PGA conflicts with Yosys (version 42) - {test: "odin_reg_strong", cores: "16", options: "", cmake: "-DWITH_ODIN=ON", extra_pkgs: ""} - - {test: "parmys_reg_strong", cores: "16", options: "", cmake: "-DYOSYS_F4PGA_PLUGINS=ON", extra_pkgs: ""} + - {test: "parmys_reg_strong", cores: "16", options: "", cmake: "-DYOSYS_F4PGA_PLUGINS=OFF", extra_pkgs: ""} env: DEBIAN_FRONTEND: "noninteractive" diff --git a/parmys/regression_test/benchmark/suite/koios_weekly_suite/task_list.conf b/parmys/regression_test/benchmark/suite/koios_weekly_suite/task_list.conf index a1df12a559b..6cda998cb84 100644 --- a/parmys/regression_test/benchmark/suite/koios_weekly_suite/task_list.conf +++ b/parmys/regression_test/benchmark/suite/koios_weekly_suite/task_list.conf @@ -2,5 +2,5 @@ regression_test/benchmark/task/koios/koios_large regression_test/benchmark/task/koios/koios_large_no_hb regression_test/benchmark/task/koios/koios_proxy regression_test/benchmark/task/koios/koios_proxy_no_hb -regression_test/benchmark/task/koios/koios_sv -regression_test/benchmark/task/koios/koios_sv_no_hb +#regression_test/benchmark/task/koios/koios_sv +#regression_test/benchmark/task/koios/koios_sv_no_hb diff --git a/parmys/regression_test/benchmark/task/freecores/synthesis_result.json b/parmys/regression_test/benchmark/task/freecores/synthesis_result.json index 84434694980..f987e7cb0e6 100644 --- a/parmys/regression_test/benchmark/task/freecores/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/freecores/synthesis_result.json @@ -479,20 +479,20 @@ "Multiplier": 1, "Memory": 8, "generic logic size": 4, - "Longest Path": 269, + "Longest Path": 274, "Average Path": 3, - "Estimated LUTs": 4797, + "Estimated LUTs": 4777, "Total Node": 1957, - "Wires": 5595, - "Wire Bits": 10315, + "Wires": 5591, + "Wire Bits": 10025, "Public Wires": 240, "Public Wire Bits": 240, - "Total Cells": 8221, - "MUX": 2180, + "Total Cells": 8185, + "MUX": 2164, "XOR": 40, - "OR": 2850, - "AND": 1455, - "NOT": 639, + "OR": 2836, + "AND": 1451, + "NOT": 637, "DFFs": [ "$_DFF_P_ 645" ], @@ -533,8 +533,8 @@ "Average Path": 3, "Estimated LUTs": 41888, "Total Node": 5344, - "Wires": 9772, - "Wire Bits": 102222, + "Wires": 9777, + "Wire Bits": 102242, "Public Wires": 391, "Public Wire Bits": 391, "Total Cells": 31999, @@ -585,8 +585,8 @@ "Average Path": 3, "Estimated LUTs": 42386, "Total Node": 5593, - "Wires": 10802, - "Wire Bits": 103242, + "Wires": 10796, + "Wire Bits": 103210, "Public Wires": 648, "Public Wire Bits": 648, "Total Cells": 32995, @@ -840,16 +840,16 @@ "Average Path": 4, "Estimated LUTs": 4564, "Total Node": 2961, - "Wires": 6943, - "Wire Bits": 11526, + "Wires": 6934, + "Wire Bits": 11506, "Public Wires": 501, "Public Wire Bits": 501, - "Total Cells": 8995, + "Total Cells": 8955, "MUX": 2605, "XOR": 311, - "OR": 1858, - "AND": 1687, - "NOT": 711, + "OR": 1861, + "AND": 1683, + "NOT": 672, "DFFs": [ "$_DFF_P_ 1312" ], @@ -861,9 +861,6 @@ "test_name": "freecores/mips_16/k6_frac_N10_frac_chain_mem32K_40nm", "architecture": "k6_frac_N10_frac_chain_mem32K_40nm.xml", "warnings": [ - "mips_16.v:0 System task `$display' outside initial block is unsupported.", - "mips_16.v:0 System task `$display' outside initial block is unsupported.", - "mips_16.v:0 System task `$display' outside initial block is unsupported.", "Replacing memory \\reg_array with list of registers. See ../vtr_flow/benchmarks//freecores/mips_16.v:791", "Ignoring module EX_stage because it contains processes (run 'proc' command first).", "Ignoring module data_mem because it contains processes (run 'proc' command first).", diff --git a/parmys/regression_test/benchmark/task/full/synthesis_result.json b/parmys/regression_test/benchmark/task/full/synthesis_result.json index d768a298d74..8026e17e5e4 100644 --- a/parmys/regression_test/benchmark/task/full/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/full/synthesis_result.json @@ -24,16 +24,16 @@ "Average Path": 3, "Estimated LUTs": 36, "Total Node": 27, - "Wires": 162, - "Wire Bits": 173, + "Wires": 163, + "Wire Bits": 177, "Public Wires": 72, "Public Wire Bits": 72, - "Total Cells": 65, + "Total Cells": 69, "XNOR": 4, "XOR": 8, "OR": 13, "AND": 14, - "NOT": 15, + "NOT": 19, "adder": 10, "multiply": 1 }, @@ -56,16 +56,16 @@ "Average Path": 3, "Estimated LUTs": 36, "Total Node": 27, - "Wires": 162, - "Wire Bits": 173, + "Wires": 163, + "Wire Bits": 177, "Public Wires": 72, "Public Wire Bits": 72, - "Total Cells": 65, + "Total Cells": 69, "XNOR": 4, "XOR": 8, "OR": 13, "AND": 14, - "NOT": 15, + "NOT": 19, "adder": 10, "multiply": 1 }, @@ -88,16 +88,16 @@ "Average Path": 3, "Estimated LUTs": 36, "Total Node": 27, - "Wires": 162, - "Wire Bits": 173, + "Wires": 163, + "Wire Bits": 177, "Public Wires": 72, "Public Wire Bits": 72, - "Total Cells": 65, + "Total Cells": 69, "XNOR": 4, "XOR": 8, "OR": 13, "AND": 14, - "NOT": 15, + "NOT": 19, "adder": 10, "multiply": 1 }, @@ -129,16 +129,16 @@ "Average Path": 4, "Estimated LUTs": 6903, "Total Node": 7285, - "Wires": 14205, - "Wire Bits": 29752, + "Wires": 14208, + "Wire Bits": 29761, "Public Wires": 3004, "Public Wire Bits": 3004, - "Total Cells": 21032, + "Total Cells": 21047, "MUX": 853, "XOR": 1242, - "OR": 3683, - "AND": 7613, - "NOT": 3757, + "OR": 3689, + "AND": 7645, + "NOT": 3734, "DFFs": [ "$_DFF_P_ 533" ], @@ -268,16 +268,16 @@ "Average Path": 14, "Estimated LUTs": 2800, "Total Node": 1974, - "Wires": 9909, - "Wire Bits": 12964, + "Wires": 9441, + "Wire Bits": 12223, "Public Wires": 777, "Public Wire Bits": 777, - "Total Cells": 10268, + "Total Cells": 9782, "MUX": 4590, "XOR": 412, - "OR": 289, - "AND": 1089, - "NOT": 771, + "OR": 55, + "AND": 819, + "NOT": 789, "DFFs": [ "$_DFF_P_ 2052" ], @@ -308,16 +308,16 @@ "Average Path": 8, "Estimated LUTs": 608, "Total Node": 482, - "Wires": 2115, - "Wire Bits": 2616, + "Wires": 2060, + "Wire Bits": 2525, "Public Wires": 186, "Public Wire Bits": 186, - "Total Cells": 2084, + "Total Cells": 2028, "MUX": 970, "XOR": 72, - "OR": 49, - "AND": 161, - "NOT": 166, + "OR": 25, + "AND": 121, + "NOT": 174, "DFFs": [ "$_DFF_P_ 432" ], @@ -612,16 +612,16 @@ "Average Path": 2, "Estimated LUTs": 244, "Total Node": 217, - "Wires": 1491, - "Wire Bits": 1647, + "Wires": 1472, + "Wire Bits": 1654, "Public Wires": 322, "Public Wire Bits": 322, - "Total Cells": 971, + "Total Cells": 978, "MUX": 386, "XOR": 32, - "OR": 33, - "AND": 91, - "NOT": 99, + "OR": 34, + "AND": 96, + "NOT": 100, "DFFs": [ "$_DFF_P_ 193" ], @@ -652,16 +652,16 @@ "Average Path": 1, "Estimated LUTs": 124, "Total Node": 205, - "Wires": 1129, - "Wire Bits": 1253, + "Wires": 1110, + "Wire Bits": 1260, "Public Wires": 226, "Public Wire Bits": 226, - "Total Cells": 674, + "Total Cells": 681, "MUX": 192, "XOR": 32, - "OR": 32, - "AND": 89, - "NOT": 96, + "OR": 33, + "AND": 94, + "NOT": 97, "DFFs": [ "$_DFF_P_ 96" ], @@ -920,16 +920,16 @@ "Average Path": 5, "Estimated LUTs": 33300, "Total Node": 11361, - "Wires": 42801, - "Wire Bits": 123179, + "Wires": 42612, + "Wire Bits": 123374, "Public Wires": 5370, "Public Wire Bits": 5370, - "Total Cells": 78546, + "Total Cells": 78719, "MUX": 16121, "XOR": 1131, - "OR": 23332, - "AND": 22822, - "NOT": 2897, + "OR": 23305, + "AND": 22846, + "NOT": 3073, "DFFs": [ "$_DFF_P_ 6241" ], @@ -954,27 +954,27 @@ "synthesis_time(ms)": 1.8, "Pi": 2, "Po": 7, - "logic element": 8, - "Adder": 13, + "logic element": 14, + "Adder": 23, "Memory": 2, "generic logic size": 4, - "Longest Path": 10, + "Longest Path": 14, "Average Path": 3, - "Estimated LUTs": 15, - "Total Node": 23, - "Wires": 59, - "Wire Bits": 62, - "Public Wires": 15, - "Public Wire Bits": 15, - "Total Cells": 41, + "Estimated LUTs": 21, + "Total Node": 39, + "Wires": 91, + "Wire Bits": 98, + "Public Wires": 21, + "Public Wire Bits": 21, + "Total Cells": 62, "MUX": 13, - "OR": 1, - "AND": 3, - "NOT": 4, + "OR": 4, + "AND": 4, + "NOT": 11, "DFFs": [ "$_DFF_P_ 5" ], - "adder": 13, + "adder": 23, "dual_port_ram": 2 }, "full/mcml/k6_frac_N10_frac_chain_mem32K_40nm": { @@ -1089,16 +1089,16 @@ "Average Path": 3, "Estimated LUTs": 66209, "Total Node": 44291, - "Wires": 230329, - "Wire Bits": 325245, + "Wires": 230211, + "Wire Bits": 325004, "Public Wires": 16394, "Public Wire Bits": 16394, - "Total Cells": 266389, + "Total Cells": 266221, "MUX": 110574, "XOR": 10218, - "OR": 12284, - "AND": 34984, - "NOT": 21221, + "OR": 12219, + "AND": 34973, + "NOT": 21129, "DFFs": [ "$_DFF_P_ 51597" ], @@ -1255,16 +1255,16 @@ "Average Path": 4, "Estimated LUTs": 2142, "Total Node": 773, - "Wires": 2860, - "Wire Bits": 7412, + "Wires": 2865, + "Wire Bits": 7406, "Public Wires": 285, "Public Wire Bits": 285, - "Total Cells": 6103, + "Total Cells": 6097, "MUX": 1637, "XOR": 135, - "OR": 1576, - "AND": 1655, - "NOT": 222, + "OR": 1575, + "AND": 1651, + "NOT": 221, "DFFs": [ "$_DFF_P_ 419" ], @@ -1301,15 +1301,15 @@ "Average Path": 5, "Estimated LUTs": 724, "Total Node": 291, - "Wires": 1828, - "Wire Bits": 2806, + "Wires": 1834, + "Wire Bits": 2809, "Public Wires": 104, "Public Wire Bits": 104, - "Total Cells": 2493, + "Total Cells": 2501, "MUX": 909, "OR": 347, - "AND": 453, - "NOT": 65, + "AND": 457, + "NOT": 69, "DFFs": [ "$_DFF_P_ 601" ], @@ -1338,16 +1338,16 @@ "Average Path": 4, "Estimated LUTs": 2057, "Total Node": 531, - "Wires": 4564, - "Wire Bits": 6187, + "Wires": 4584, + "Wire Bits": 6216, "Public Wires": 76, "Public Wire Bits": 76, - "Total Cells": 5651, + "Total Cells": 5673, "MUX": 2781, "XOR": 256, - "OR": 616, - "AND": 656, - "NOT": 140, + "OR": 625, + "AND": 666, + "NOT": 143, "DFFs": [ "$_DFF_P_ 893" ], @@ -1449,16 +1449,16 @@ "Average Path": 7, "Estimated LUTs": 9185, "Total Node": 7491, - "Wires": 29636, - "Wire Bits": 32704, + "Wires": 29611, + "Wire Bits": 32542, "Public Wires": 5278, "Public Wire Bits": 5278, - "Total Cells": 28353, + "Total Cells": 28215, "MUX": 7168, "XOR": 254, - "OR": 1705, - "AND": 3239, - "NOT": 439, + "OR": 1686, + "AND": 3138, + "NOT": 421, "DFFs": [ "$_DFF_P_ 11801" ], @@ -1525,8 +1525,8 @@ "Average Path": 7, "Estimated LUTs": 9245, "Total Node": 5562, - "Wires": 36769, - "Wire Bits": 38731, + "Wires": 36772, + "Wire Bits": 38739, "Public Wires": 302, "Public Wire Bits": 302, "Total Cells": 25276, diff --git a/parmys/regression_test/benchmark/task/keywords/and/synthesis_result.json b/parmys/regression_test/benchmark/task/keywords/and/synthesis_result.json index 85d96e13b7f..cf59de4c2ac 100644 --- a/parmys/regression_test/benchmark/task/keywords/and/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/keywords/and/synthesis_result.json @@ -95,21 +95,21 @@ "test_name": "and/replicate_and_int_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "and/replicate_and_ultra_wide/no_arch": { "test_name": "and/replicate_and_ultra_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "and/replicate_and_wide/no_arch": { "test_name": "and/replicate_and_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "DEFAULT": { diff --git a/parmys/regression_test/benchmark/task/keywords/defparam/synthesis_result.json b/parmys/regression_test/benchmark/task/keywords/defparam/synthesis_result.json index 8150a123bd6..f8918834b1f 100644 --- a/parmys/regression_test/benchmark/task/keywords/defparam/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/keywords/defparam/synthesis_result.json @@ -31,9 +31,6 @@ "errors": [ "defparam_string.v:0 Can't find object for defparam `simple_op.msg`!" ], - "warnings": [ - "defparam_string.v:0 System task `$display' outside initial block is unsupported." - ], "max_rss(MiB)": 11.4, "exec_time(ms)": 3.1, "elaboration_time(ms)": 0.4, diff --git a/parmys/regression_test/benchmark/task/keywords/else/synthesis_result.json b/parmys/regression_test/benchmark/task/keywords/else/synthesis_result.json index baa9a7f8f95..3e4591cff63 100644 --- a/parmys/regression_test/benchmark/task/keywords/else/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/keywords/else/synthesis_result.json @@ -19,16 +19,16 @@ "Average Path": 4, "Estimated LUTs": 4, "Total Node": 4, - "Wires": 11, - "Wire Bits": 11, + "Wires": 9, + "Wire Bits": 9, "Public Wires": 4, "Public Wire Bits": 4, - "Total Cells": 9, + "Total Cells": 7, "MUX": 1, "XOR": 2, - "OR": 2, + "OR": 1, "AND": 1, - "NOT": 3 + "NOT": 2 }, "else/if_else/no_arch": { "test_name": "else/if_else/no_arch", diff --git a/parmys/regression_test/benchmark/task/keywords/nand/synthesis_result.json b/parmys/regression_test/benchmark/task/keywords/nand/synthesis_result.json index b12fed44f9f..bf5a84407fe 100644 --- a/parmys/regression_test/benchmark/task/keywords/nand/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/keywords/nand/synthesis_result.json @@ -94,21 +94,21 @@ "test_name": "nand/replicate_nand_int_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "nand/replicate_nand_ultra_wide/no_arch": { "test_name": "nand/replicate_nand_ultra_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "nand/replicate_nand_wide/no_arch": { "test_name": "nand/replicate_nand_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "DEFAULT": { diff --git a/parmys/regression_test/benchmark/task/keywords/nor/synthesis_result.json b/parmys/regression_test/benchmark/task/keywords/nor/synthesis_result.json index 9af752dd39d..7cf8c497b1a 100644 --- a/parmys/regression_test/benchmark/task/keywords/nor/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/keywords/nor/synthesis_result.json @@ -100,21 +100,21 @@ "test_name": "nor/replicate_nor_int_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "nor/replicate_nor_ultra_wide/no_arch": { "test_name": "nor/replicate_nor_ultra_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "nor/replicate_nor_wide/no_arch": { "test_name": "nor/replicate_nor_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "DEFAULT": { diff --git a/parmys/regression_test/benchmark/task/keywords/or/synthesis_result.json b/parmys/regression_test/benchmark/task/keywords/or/synthesis_result.json index ca3e0ea8f1d..1910b31c09b 100644 --- a/parmys/regression_test/benchmark/task/keywords/or/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/keywords/or/synthesis_result.json @@ -95,21 +95,21 @@ "test_name": "or/replicate_or_int_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "or/replicate_or_ultra_wide/no_arch": { "test_name": "or/replicate_or_ultra_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "or/replicate_or_wide/no_arch": { "test_name": "or/replicate_or_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "DEFAULT": { diff --git a/parmys/regression_test/benchmark/task/keywords/xnor/synthesis_result.json b/parmys/regression_test/benchmark/task/keywords/xnor/synthesis_result.json index 9078a7acd3c..e225f1235cd 100644 --- a/parmys/regression_test/benchmark/task/keywords/xnor/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/keywords/xnor/synthesis_result.json @@ -54,21 +54,21 @@ "test_name": "xnor/replicate_xnor_int_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "xnor/replicate_xnor_ultra_wide/no_arch": { "test_name": "xnor/replicate_xnor_ultra_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "xnor/replicate_xnor_wide/no_arch": { "test_name": "xnor/replicate_xnor_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "xnor/xnor_indexed_port/no_arch": { diff --git a/parmys/regression_test/benchmark/task/keywords/xor/synthesis_result.json b/parmys/regression_test/benchmark/task/keywords/xor/synthesis_result.json index 6dc736f1790..759ed77af6f 100644 --- a/parmys/regression_test/benchmark/task/keywords/xor/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/keywords/xor/synthesis_result.json @@ -51,21 +51,21 @@ "test_name": "xor/replicate_xor_int_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "xor/replicate_xor_ultra_wide/no_arch": { "test_name": "xor/replicate_xor_ultra_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "xor/replicate_xor_wide/no_arch": { "test_name": "xor/replicate_xor_wide/no_arch", "exit": 1, "errors": [ - "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2710." + "Assert `new_cell->children.at(0)->type == AST_CELLTYPE' failed in frontends/ast/simplify.cc:2686." ] }, "xor/xor_indexed_port/no_arch": { diff --git a/parmys/regression_test/benchmark/task/koios/koios_large/synthesis_result.json b/parmys/regression_test/benchmark/task/koios/koios_large/synthesis_result.json index cc359ed16de..30109d6b5ed 100644 --- a/parmys/regression_test/benchmark/task/koios/koios_large/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/koios/koios_large/synthesis_result.json @@ -268,14 +268,14 @@ "Average Path": 9, "Estimated LUTs": 326321, "Total Node": 139379, - "Wires": 1308719, - "Wire Bits": 1340906, + "Wires": 1308724, + "Wire Bits": 1340959, "Public Wires": 21834, "Public Wire Bits": 21834, - "Total Cells": 1214752, + "Total Cells": 1214757, "MUX": 725160, "XOR": 5865, - "OR": 17952, + "OR": 17957, "AND": 9599, "NOT": 19486, "DFFs": [ @@ -546,14 +546,14 @@ "Average Path": 9, "Estimated LUTs": 218200, "Total Node": 93537, - "Wires": 876728, - "Wire Bits": 898464, + "Wires": 876747, + "Wire Bits": 898561, "Public Wires": 15068, "Public Wire Bits": 15068, - "Total Cells": 813266, + "Total Cells": 813289, "MUX": 484768, "XOR": 3910, - "OR": 12025, + "OR": 12048, "AND": 6499, "NOT": 13099, "DFFs": [ @@ -809,14 +809,14 @@ "Average Path": 8, "Estimated LUTs": 110080, "Total Node": 47700, - "Wires": 444790, - "Wire Bits": 456177, + "Wires": 444781, + "Wire Bits": 456159, "Public Wires": 8302, "Public Wire Bits": 8302, - "Total Cells": 411800, + "Total Cells": 411798, "MUX": 244373, "XOR": 1955, - "OR": 6114, + "OR": 6112, "AND": 3393, "NOT": 6705, "DFFs": [ @@ -1646,16 +1646,16 @@ "Average Path": 4, "Estimated LUTs": 122343, "Total Node": 73492, - "Wires": 446668, - "Wire Bits": 487013, + "Wires": 447124, + "Wire Bits": 486389, "Public Wires": 27891, "Public Wire Bits": 27891, - "Total Cells": 393743, + "Total Cells": 393167, "MUX": 116924, "XOR": 9576, - "OR": 2475, - "AND": 17088, - "NOT": 7004, + "OR": 2379, + "AND": 16704, + "NOT": 6908, "DFFs": [ "$_DFF_P_ 185499" ], @@ -2576,17 +2576,17 @@ "Average Path": 3, "Estimated LUTs": 115821, "Total Node": 18211, - "Wires": 37253, - "Wire Bits": 338917, + "Wires": 37272, + "Wire Bits": 338972, "Public Wires": 261, "Public Wire Bits": 261, - "Total Cells": 69318, - "MUX": 9186, - "OR": 37033, - "AND": 2134, - "NOT": 9610, + "Total Cells": 69334, + "MUX": 9185, + "OR": 37041, + "AND": 2130, + "NOT": 9624, "DFFs": [ - "$_DFF_P_ 4685" + "$_DFF_P_ 4684" ], "adder": 6668, "multiply": 2 @@ -2647,16 +2647,16 @@ "Average Path": 2, "Estimated LUTs": 55978, "Total Node": 58534, - "Wires": 247022, - "Wire Bits": 259382, + "Wires": 246998, + "Wire Bits": 259272, "Public Wires": 14773, "Public Wire Bits": 14773, - "Total Cells": 178047, + "Total Cells": 178011, "MUX": 46164, "XOR": 659, - "OR": 3292, - "AND": 1708, - "NOT": 23682, + "OR": 3275, + "AND": 1684, + "NOT": 23687, "DFFs": [ "$_DFF_P_ 53526" ], @@ -5958,19 +5958,20 @@ "Average Path": 8, "Estimated LUTs": 166353, "Total Node": 71655, - "Wires": 346791, - "Wire Bits": 399765, + "Wires": 347478, + "Wire Bits": 403809, "Public Wires": 33916, "Public Wire Bits": 33916, - "Total Cells": 332959, - "MUX": 152624, - "OR": 18997, - "AND": 15580, - "NOT": 14025, + "Total Cells": 336233, + "MUX": 155586, + "XOR": 9, + "OR": 18941, + "AND": 15592, + "NOT": 14221, "DFFs": [ - "$_DFF_P_ 109863" + "$_DFF_P_ 109951" ], - "adder": 9445, + "adder": 9508, "addition_fp_16": 320, "dual_port_ram": 11968, "mult_fp_16": 135, @@ -8274,19 +8275,20 @@ "Average Path": 9, "Estimated LUTs": 53915, "Total Node": 31324, - "Wires": 127104, - "Wire Bits": 150303, + "Wires": 127172, + "Wire Bits": 150719, "Public Wires": 17209, "Public Wire Bits": 17209, - "Total Cells": 122969, - "MUX": 49028, - "OR": 10849, - "AND": 8413, - "NOT": 6457, + "Total Cells": 123344, + "MUX": 49357, + "XOR": 2, + "OR": 10838, + "AND": 8417, + "NOT": 6500, "DFFs": [ - "$_DFF_P_ 35993" + "$_DFF_P_ 35994" ], - "adder": 6839, + "adder": 6846, "addition_fp_16": 76, "dual_port_ram": 5280, "mult_fp_16": 32, @@ -19350,16 +19352,16 @@ "Average Path": 3, "Estimated LUTs": 87494, "Total Node": 10996, - "Wires": 219375, - "Wire Bits": 258974, + "Wires": 219313, + "Wire Bits": 258724, "Public Wires": 154089, "Public Wire Bits": 154089, - "Total Cells": 92412, + "Total Cells": 92228, "MUX": 37270, "XOR": 711, - "OR": 13248, - "AND": 11840, - "NOT": 1936, + "OR": 13215, + "AND": 11812, + "NOT": 1813, "DFFs": [ "$_DFF_P_ 22414" ], diff --git a/parmys/regression_test/benchmark/task/koios/koios_large_no_hb/synthesis_result.json b/parmys/regression_test/benchmark/task/koios/koios_large_no_hb/synthesis_result.json index 703581dfe12..45d8b16f19c 100644 --- a/parmys/regression_test/benchmark/task/koios/koios_large_no_hb/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/koios/koios_large_no_hb/synthesis_result.json @@ -268,14 +268,14 @@ "Average Path": 9, "Estimated LUTs": 326321, "Total Node": 139379, - "Wires": 1308712, - "Wire Bits": 1340874, + "Wires": 1308733, + "Wire Bits": 1341001, "Public Wires": 21834, "Public Wire Bits": 21834, - "Total Cells": 1214745, + "Total Cells": 1214761, "MUX": 725160, "XOR": 5865, - "OR": 17945, + "OR": 17961, "AND": 9599, "NOT": 19486, "DFFs": [ @@ -546,8 +546,8 @@ "Average Path": 9, "Estimated LUTs": 218200, "Total Node": 93537, - "Wires": 876733, - "Wire Bits": 898473, + "Wires": 876738, + "Wire Bits": 898530, "Public Wires": 15068, "Public Wire Bits": 15068, "Total Cells": 813277, @@ -809,14 +809,14 @@ "Average Path": 8, "Estimated LUTs": 110080, "Total Node": 47700, - "Wires": 444791, - "Wire Bits": 456186, + "Wires": 444779, + "Wire Bits": 456146, "Public Wires": 8302, "Public Wire Bits": 8302, - "Total Cells": 411795, + "Total Cells": 411797, "MUX": 244373, "XOR": 1955, - "OR": 6109, + "OR": 6111, "AND": 3393, "NOT": 6705, "DFFs": [ @@ -1638,16 +1638,16 @@ "Average Path": 4, "Estimated LUTs": 119751, "Total Node": 86020, - "Wires": 509160, - "Wire Bits": 549473, + "Wires": 509618, + "Wire Bits": 548881, "Public Wires": 6579, "Public Wire Bits": 6579, - "Total Cells": 435931, + "Total Cells": 435355, "MUX": 127292, "XOR": 9576, - "OR": 2475, - "AND": 17084, - "NOT": 7004, + "OR": 2379, + "AND": 16700, + "NOT": 6908, "DFFs": [ "$_DFF_P_ 206235" ], @@ -2382,15 +2382,15 @@ "Average Path": 3, "Estimated LUTs": 419446, "Total Node": 178966, - "Wires": 711753, - "Wire Bits": 1193861, + "Wires": 699745, + "Wire Bits": 1191812, "Public Wires": 25351, "Public Wire Bits": 25351, - "Total Cells": 1013781, + "Total Cells": 1008595, "MUX": 356305, "XOR": 17603, - "OR": 185697, - "AND": 192462, + "OR": 181710, + "AND": 191263, "NOT": 59360, "DFFs": [ "$_DFF_P_ 137440" @@ -2618,17 +2618,17 @@ "Average Path": 3, "Estimated LUTs": 115821, "Total Node": 18211, - "Wires": 37253, - "Wire Bits": 338917, + "Wires": 37272, + "Wire Bits": 338972, "Public Wires": 261, "Public Wire Bits": 261, - "Total Cells": 69318, - "MUX": 9186, - "OR": 37033, - "AND": 2134, - "NOT": 9610, + "Total Cells": 69334, + "MUX": 9185, + "OR": 37041, + "AND": 2130, + "NOT": 9624, "DFFs": [ - "$_DFF_P_ 4685" + "$_DFF_P_ 4684" ], "adder": 6668, "multiply": 2 @@ -2689,16 +2689,16 @@ "Average Path": 2, "Estimated LUTs": 55978, "Total Node": 58534, - "Wires": 247010, - "Wire Bits": 259331, + "Wires": 246987, + "Wire Bits": 259225, "Public Wires": 14773, "Public Wire Bits": 14773, - "Total Cells": 178042, + "Total Cells": 178006, "MUX": 46161, "XOR": 659, - "OR": 3294, - "AND": 1708, - "NOT": 23682, + "OR": 3277, + "AND": 1684, + "NOT": 23687, "DFFs": [ "$_DFF_P_ 53524" ], @@ -6030,20 +6030,20 @@ "Average Path": 8, "Estimated LUTs": 258693, "Total Node": 130874, - "Wires": 489065, - "Wire Bits": 636586, + "Wires": 489095, + "Wire Bits": 643119, "Public Wires": 32103, "Public Wire Bits": 32103, - "Total Cells": 514205, - "MUX": 203502, - "XOR": 8563, - "OR": 54872, - "AND": 58140, - "NOT": 36873, + "Total Cells": 520044, + "MUX": 206464, + "XOR": 8572, + "OR": 55141, + "AND": 60072, + "NOT": 37389, "DFFs": [ - "$_DFF_P_ 109479" + "$_DFF_P_ 109567" ], - "adder": 30683, + "adder": 30746, "dual_port_ram": 11968, "multiply": 125 }, @@ -8375,20 +8375,20 @@ "Average Path": 9, "Estimated LUTs": 75983, "Total Node": 45448, - "Wires": 161358, - "Wire Bits": 206940, + "Wires": 161282, + "Wire Bits": 207989, "Public Wires": 16781, "Public Wire Bits": 16781, - "Total Cells": 166306, - "MUX": 61268, - "XOR": 2032, - "OR": 19374, - "AND": 18517, - "NOT": 11881, + "Total Cells": 167291, + "MUX": 61597, + "XOR": 2034, + "OR": 19441, + "AND": 18977, + "NOT": 12000, "DFFs": [ - "$_DFF_P_ 35993" + "$_DFF_P_ 35994" ], - "adder": 11927, + "adder": 11934, "dual_port_ram": 5280, "multiply": 34 }, @@ -19112,16 +19112,16 @@ "Average Path": 3, "Estimated LUTs": 86726, "Total Node": 35394, - "Wires": 293263, - "Wire Bits": 337569, + "Wires": 293198, + "Wire Bits": 337308, "Public Wires": 3561, "Public Wire Bits": 3561, - "Total Cells": 256756, + "Total Cells": 256574, "MUX": 132308, "XOR": 711, - "OR": 22483, - "AND": 13885, - "NOT": 1949, + "OR": 22452, + "AND": 13857, + "NOT": 1826, "DFFs": [ "$_DFF_P_ 71499" ], diff --git a/parmys/regression_test/benchmark/task/koios/koios_medium/synthesis_result.json b/parmys/regression_test/benchmark/task/koios/koios_medium/synthesis_result.json index 1edb52d82ef..4ff3586159b 100644 --- a/parmys/regression_test/benchmark/task/koios/koios_medium/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/koios/koios_medium/synthesis_result.json @@ -94,16 +94,16 @@ "Average Path": 3, "Estimated LUTs": 28425, "Total Node": 16656, - "Wires": 58035, - "Wire Bits": 82397, + "Wires": 58023, + "Wire Bits": 81442, "Public Wires": 8453, "Public Wire Bits": 8453, - "Total Cells": 42029, + "Total Cells": 42044, "MUX": 10563, "XOR": 326, - "OR": 3612, - "AND": 415, - "NOT": 6477, + "OR": 3618, + "AND": 418, + "NOT": 6483, "DFFs": [ "$_DFF_P_ 8096" ], @@ -357,15 +357,16 @@ "Average Path": 4, "Estimated LUTs": 20367, "Total Node": 3582, - "Wires": 20528, - "Wire Bits": 30830, + "Wires": 25202, + "Wire Bits": 56222, "Public Wires": 5264, "Public Wire Bits": 5264, - "Total Cells": 18753, - "MUX": 7815, - "OR": 2064, - "AND": 3753, - "NOT": 783, + "Total Cells": 29499, + "MUX": 15873, + "XOR": 6, + "OR": 3216, + "AND": 5100, + "NOT": 966, "DFFs": [ "$_DFF_P_ 3345" ], @@ -565,16 +566,16 @@ "Average Path": 5, "Estimated LUTs": 15571, "Total Node": 4796, - "Wires": 45933, - "Wire Bits": 49670, + "Wires": 45912, + "Wire Bits": 49616, "Public Wires": 5161, "Public Wire Bits": 5161, - "Total Cells": 42907, + "Total Cells": 42880, "MUX": 22203, "XOR": 15, - "OR": 2991, - "AND": 2641, - "NOT": 255, + "OR": 2976, + "AND": 2632, + "NOT": 252, "DFFs": [ "$_DFF_P_ 11798" ], @@ -1019,16 +1020,16 @@ "Average Path": 4, "Estimated LUTs": 48603, "Total Node": 34434, - "Wires": 187072, - "Wire Bits": 207294, + "Wires": 187300, + "Wire Bits": 206982, "Public Wires": 7467, "Public Wire Bits": 7467, - "Total Cells": 166749, + "Total Cells": 166461, "MUX": 46810, "XOR": 4788, - "OR": 1257, - "AND": 8531, - "NOT": 3474, + "OR": 1209, + "AND": 8339, + "NOT": 3426, "DFFs": [ "$_DFF_P_ 75245" ], @@ -1126,16 +1127,16 @@ "Average Path": 3, "Estimated LUTs": 11429, "Total Node": 5611, - "Wires": 23477, - "Wire Bits": 36834, + "Wires": 23476, + "Wire Bits": 36833, "Public Wires": 2102, "Public Wire Bits": 2102, - "Total Cells": 31480, + "Total Cells": 31479, "MUX": 11207, "XOR": 2213, "OR": 2367, "AND": 6380, - "NOT": 2354, + "NOT": 2353, "DFFs": [ "$_DFF_P_ 2383" ], @@ -1221,16 +1222,16 @@ "Average Path": 4, "Estimated LUTs": 13223, "Total Node": 5602, - "Wires": 28995, - "Wire Bits": 50685, + "Wires": 28845, + "Wire Bits": 50523, "Public Wires": 3999, "Public Wire Bits": 3999, - "Total Cells": 42441, + "Total Cells": 42292, "MUX": 11266, "XOR": 3492, - "OR": 5745, - "AND": 8421, - "NOT": 3193, + "OR": 5673, + "AND": 8416, + "NOT": 3121, "DFFs": [ "$_DFF_P_ 6976" ], @@ -1322,16 +1323,16 @@ "Average Path": 6, "Estimated LUTs": 19996, "Total Node": 2823, - "Wires": 16402, - "Wire Bits": 66252, + "Wires": 16436, + "Wire Bits": 66380, "Public Wires": 1608, "Public Wire Bits": 1608, - "Total Cells": 25190, + "Total Cells": 25311, "MUX": 8606, "XOR": 322, - "OR": 10476, - "AND": 774, - "NOT": 1918, + "OR": 10495, + "AND": 843, + "NOT": 1951, "DFFs": [ "$_DFF_P_ 2525" ], @@ -1419,8 +1420,8 @@ "Average Path": 4, "Estimated LUTs": 6918, "Total Node": 7979, - "Wires": 25580, - "Wire Bits": 33143, + "Wires": 25579, + "Wire Bits": 33142, "Public Wires": 4755, "Public Wire Bits": 4755, "Total Cells": 21954, @@ -5184,16 +5185,16 @@ "Average Path": 3, "Estimated LUTs": 26601, "Total Node": 5142, - "Wires": 65256, - "Wire Bits": 80227, + "Wires": 65236, + "Wire Bits": 80097, "Public Wires": 39529, "Public Wire Bits": 39529, - "Total Cells": 33500, + "Total Cells": 33387, "MUX": 13126, "XOR": 408, - "OR": 4911, - "AND": 4150, - "NOT": 1080, + "OR": 4888, + "AND": 4147, + "NOT": 993, "DFFs": [ "$_DFF_P_ 7390" ], diff --git a/parmys/regression_test/benchmark/task/koios/koios_medium_no_hb/synthesis_result.json b/parmys/regression_test/benchmark/task/koios/koios_medium_no_hb/synthesis_result.json index 693e61aa495..9a69f2195d6 100644 --- a/parmys/regression_test/benchmark/task/koios/koios_medium_no_hb/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/koios/koios_medium_no_hb/synthesis_result.json @@ -169,16 +169,16 @@ "Average Path": 3, "Estimated LUTs": 28720, "Total Node": 16803, - "Wires": 57995, - "Wire Bits": 80304, + "Wires": 58024, + "Wire Bits": 81592, "Public Wires": 8454, "Public Wire Bits": 8454, - "Total Cells": 42029, + "Total Cells": 42044, "MUX": 10563, "XOR": 326, - "OR": 3612, - "AND": 415, - "NOT": 6477, + "OR": 3618, + "AND": 418, + "NOT": 6483, "DFFs": [ "$_DFF_P_ 8096" ], @@ -463,16 +463,16 @@ "Average Path": 4, "Estimated LUTs": 22752, "Total Node": 5094, - "Wires": 23999, - "Wire Bits": 37480, + "Wires": 28654, + "Wire Bits": 62943, "Public Wires": 5228, "Public Wire Bits": 5228, - "Total Cells": 23924, - "MUX": 9276, - "XOR": 246, - "OR": 3080, - "AND": 4959, - "NOT": 1431, + "Total Cells": 34741, + "MUX": 17334, + "XOR": 252, + "OR": 4240, + "AND": 6360, + "NOT": 1623, "DFFs": [ "$_DFF_P_ 3345" ], @@ -670,16 +670,16 @@ "Average Path": 5, "Estimated LUTs": 16387, "Total Node": 6500, - "Wires": 57057, - "Wire Bits": 60794, + "Wires": 57036, + "Wire Bits": 60740, "Public Wires": 2053, "Public Wire Bits": 2053, - "Total Cells": 51018, + "Total Cells": 50991, "MUX": 26043, "XOR": 15, - "OR": 2990, - "AND": 2641, - "NOT": 255, + "OR": 2975, + "AND": 2632, + "NOT": 252, "DFFs": [ "$_DFF_P_ 14486" ], @@ -1120,16 +1120,16 @@ "Average Path": 4, "Estimated LUTs": 47955, "Total Node": 36666, - "Wires": 203488, - "Wire Bits": 223710, + "Wires": 203716, + "Wire Bits": 223398, "Public Wires": 2139, "Public Wire Bits": 2139, - "Total Cells": 178989, + "Total Cells": 178701, "MUX": 51994, "XOR": 4788, - "OR": 1257, - "AND": 8531, - "NOT": 3474, + "OR": 1209, + "AND": 8339, + "NOT": 3426, "DFFs": [ "$_DFF_P_ 80429" ], @@ -1227,16 +1227,16 @@ "Average Path": 5, "Estimated LUTs": 13699, "Total Node": 7769, - "Wires": 29682, - "Wire Bits": 41641, + "Wires": 29635, + "Wire Bits": 41808, "Public Wires": 3147, "Public Wire Bits": 3147, - "Total Cells": 33328, + "Total Cells": 33495, "MUX": 8974, "XOR": 624, - "OR": 4192, - "AND": 5500, - "NOT": 1685, + "OR": 4205, + "AND": 5632, + "NOT": 1707, "DFFs": [ "$_DFF_P_ 8160" ], @@ -1272,16 +1272,16 @@ "Average Path": 3, "Estimated LUTs": 11429, "Total Node": 5611, - "Wires": 23477, - "Wire Bits": 36834, + "Wires": 23476, + "Wire Bits": 36833, "Public Wires": 2102, "Public Wire Bits": 2102, - "Total Cells": 31480, + "Total Cells": 31479, "MUX": 11207, "XOR": 2213, "OR": 2367, "AND": 6380, - "NOT": 2354, + "NOT": 2353, "DFFs": [ "$_DFF_P_ 2383" ], @@ -1335,16 +1335,16 @@ "Average Path": 4, "Estimated LUTs": 13223, "Total Node": 5602, - "Wires": 28997, - "Wire Bits": 50646, + "Wires": 28852, + "Wire Bits": 50506, "Public Wires": 3999, "Public Wire Bits": 3999, - "Total Cells": 42389, + "Total Cells": 42243, "MUX": 11266, "XOR": 3492, - "OR": 5692, - "AND": 8422, - "NOT": 3193, + "OR": 5621, + "AND": 8419, + "NOT": 3121, "DFFs": [ "$_DFF_P_ 6976" ], @@ -1467,16 +1467,16 @@ "Average Path": 8, "Estimated LUTs": 37160, "Total Node": 11295, - "Wires": 46283, - "Wire Bits": 117301, + "Wires": 46219, + "Wire Bits": 118261, "Public Wires": 1513, "Public Wire Bits": 1513, - "Total Cells": 70144, + "Total Cells": 70962, "MUX": 22023, "XOR": 1594, - "OR": 17522, - "AND": 10524, - "NOT": 5134, + "OR": 17567, + "AND": 10886, + "NOT": 5545, "DFFs": [ "$_DFF_P_ 9256" ], @@ -1563,15 +1563,15 @@ "Average Path": 4, "Estimated LUTs": 6918, "Total Node": 7979, - "Wires": 25451, - "Wire Bits": 33014, + "Wires": 25450, + "Wire Bits": 33013, "Public Wires": 4691, "Public Wire Bits": 4691, - "Total Cells": 21826, + "Total Cells": 21825, "MUX": 8305, "XOR": 1413, "OR": 1380, - "AND": 1132, + "AND": 1131, "NOT": 848, "DFFs": [ "$_DFF_P_ 3977" @@ -2171,14 +2171,14 @@ "Average Path": 3, "Estimated LUTs": 22982, "Total Node": 9499, - "Wires": 75188, - "Wire Bits": 81419, + "Wires": 75193, + "Wire Bits": 81429, "Public Wires": 1314, "Public Wire Bits": 1314, - "Total Cells": 57385, + "Total Cells": 57394, "MUX": 23987, "XOR": 3, - "OR": 3466, + "OR": 3475, "AND": 1527, "NOT": 730, "DFFs": [ @@ -4987,16 +4987,16 @@ "Average Path": 3, "Estimated LUTs": 26483, "Total Node": 11204, - "Wires": 83537, - "Wire Bits": 99795, + "Wires": 83520, + "Wire Bits": 99654, "Public Wires": 1897, "Public Wire Bits": 1897, - "Total Cells": 74497, + "Total Cells": 74399, "MUX": 36836, "XOR": 408, - "OR": 7226, - "AND": 4696, - "NOT": 1093, + "OR": 7218, + "AND": 4693, + "NOT": 1006, "DFFs": [ "$_DFF_P_ 19643" ], diff --git a/parmys/regression_test/benchmark/task/koios/koios_proxy/synthesis_result.json b/parmys/regression_test/benchmark/task/koios/koios_proxy/synthesis_result.json index fde6163bf12..c3fe6b2563f 100644 --- a/parmys/regression_test/benchmark/task/koios/koios_proxy/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/koios/koios_proxy/synthesis_result.json @@ -360,16 +360,16 @@ "Average Path": 7, "Estimated LUTs": 243737, "Total Node": 97700, - "Wires": 457731, - "Wire Bits": 599949, + "Wires": 457777, + "Wire Bits": 600025, "Public Wires": 85601, "Public Wire Bits": 85601, - "Total Cells": 379942, + "Total Cells": 380273, "MUX": 130475, "XOR": 10865, - "OR": 53905, - "AND": 23402, - "NOT": 20994, + "OR": 54278, + "AND": 23338, + "NOT": 21016, "DFFs": [ "$_DFF_P_ 103965" ], @@ -524,16 +524,16 @@ "Average Path": 5, "Estimated LUTs": 1217802, "Total Node": 664917, - "Wires": 1306225, - "Wire Bits": 1765042, + "Wires": 1305796, + "Wire Bits": 1764125, "Public Wires": 21374, "Public Wire Bits": 21374, - "Total Cells": 1582560, + "Total Cells": 1582043, "MUX": 674460, "XOR": 11355, - "OR": 372660, - "AND": 133287, - "NOT": 170213, + "OR": 372243, + "AND": 133165, + "NOT": 170235, "DFFs": [ "$_DFF_P_ 182478" ], @@ -771,16 +771,16 @@ "Average Path": 7, "Estimated LUTs": 220799, "Total Node": 118082, - "Wires": 409089, - "Wire Bits": 518066, + "Wires": 406966, + "Wire Bits": 520594, "Public Wires": 15922, "Public Wire Bits": 15922, - "Total Cells": 447939, + "Total Cells": 449970, "MUX": 166506, "XOR": 15487, - "OR": 47217, - "AND": 69505, - "NOT": 27338, + "OR": 47344, + "AND": 71232, + "NOT": 27515, "DFFs": [ "$_DFF_P_ 85355" ], @@ -1052,18 +1052,18 @@ "Average Path": 7, "Estimated LUTs": 978864, "Total Node": 470749, - "Wires": 1041547, - "Wire Bits": 1477905, + "Wires": 1043019, + "Wire Bits": 1480869, "Public Wires": 48488, "Public Wire Bits": 48488, - "Total Cells": 1221220, - "MUX": 520433, + "Total Cells": 1223366, + "MUX": 521321, "XOR": 866, - "OR": 290609, - "AND": 105879, - "NOT": 133164, + "OR": 291278, + "AND": 105886, + "NOT": 133475, "DFFs": [ - "$_DFF_P_ 146385" + "$_DFF_P_ 146656" ], "adder": 14100, "dual_port_ram": 8440, @@ -1192,16 +1192,16 @@ "Average Path": 6, "Estimated LUTs": 73057, "Total Node": 30337, - "Wires": 193579, - "Wire Bits": 230094, + "Wires": 193438, + "Wire Bits": 229852, "Public Wires": 3526, "Public Wire Bits": 3526, - "Total Cells": 170045, + "Total Cells": 169643, "MUX": 78058, "XOR": 1622, - "OR": 15513, - "AND": 6996, - "NOT": 5017, + "OR": 15233, + "AND": 6981, + "NOT": 4910, "DFFs": [ "$_DFF_P_ 48086" ], @@ -1559,14 +1559,14 @@ "Average Path": 3, "Estimated LUTs": 317664, "Total Node": 182209, - "Wires": 423561, - "Wire Bits": 524360, + "Wires": 423573, + "Wire Bits": 524372, "Public Wires": 26885, "Public Wire Bits": 26885, - "Total Cells": 457419, + "Total Cells": 457440, "MUX": 184125, "XOR": 6124, - "OR": 99475, + "OR": 99496, "AND": 30644, "NOT": 43331, "DFFs": [ @@ -1705,16 +1705,16 @@ "Average Path": 9, "Estimated LUTs": 166920, "Total Node": 71396, - "Wires": 345493, - "Wire Bits": 454483, + "Wires": 345479, + "Wire Bits": 454521, "Public Wires": 13335, "Public Wire Bits": 13335, - "Total Cells": 325382, + "Total Cells": 325197, "MUX": 128624, "XOR": 5061, - "OR": 42993, - "AND": 21797, - "NOT": 16573, + "OR": 42978, + "AND": 21783, + "NOT": 16417, "DFFs": [ "$_DFF_P_ 84542" ], @@ -1940,16 +1940,16 @@ "Average Path": 8, "Estimated LUTs": 204194, "Total Node": 101714, - "Wires": 290978, - "Wire Bits": 368984, + "Wires": 290853, + "Wire Bits": 368525, "Public Wires": 15580, "Public Wire Bits": 15580, - "Total Cells": 306137, + "Total Cells": 305965, "MUX": 134400, "XOR": 487, - "OR": 58465, - "AND": 22224, - "NOT": 27334, + "OR": 58455, + "AND": 22211, + "NOT": 27185, "DFFs": [ "$_DFF_P_ 50694" ], diff --git a/parmys/regression_test/benchmark/task/koios/koios_proxy_no_hb/synthesis_result.json b/parmys/regression_test/benchmark/task/koios/koios_proxy_no_hb/synthesis_result.json index faa618902ce..958d536de84 100644 --- a/parmys/regression_test/benchmark/task/koios/koios_proxy_no_hb/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/koios/koios_proxy_no_hb/synthesis_result.json @@ -348,10 +348,10 @@ "Ignoring module tanh because it contains processes (run 'proc' command first).", "Ignoring module xor_module because it contains processes (run 'proc' command first)." ], - "elaboration_time(ms)": 433.6, - "optimization_time(ms)": 1594.8, - "techmap_time(ms)": 1885.6, - "synthesis_time(ms)": 3914.2, + "elaboration_time(ms)": 305, + "optimization_time(ms)": 1212.8, + "techmap_time(ms)": 881.9, + "synthesis_time(ms)": 2399.8, "Pi": 938, "Po": 175, "logic element": 67048, @@ -363,16 +363,16 @@ "Average Path": 10, "Estimated LUTs": 269751, "Total Node": 155595, - "Wires": 785203, - "Wire Bits": 923436, + "Wires": 785194, + "Wire Bits": 923217, "Public Wires": 25513, "Public Wire Bits": 25513, - "Total Cells": 627393, + "Total Cells": 627342, "MUX": 229921, "XOR": 10865, - "OR": 50235, - "AND": 23398, - "NOT": 20964, + "OR": 50226, + "AND": 23334, + "NOT": 20986, "DFFs": [ "$_DFF_P_ 203463" ], @@ -511,10 +511,10 @@ "Ignoring module tensor_block because it contains processes (run 'proc' command first).", "Ignoring module xor_module because it contains processes (run 'proc' command first)." ], - "elaboration_time(ms)": 1621.3, - "optimization_time(ms)": 1123.3, - "techmap_time(ms)": 5526.4, - "synthesis_time(ms)": 8271.2, + "elaboration_time(ms)": 786.7, + "optimization_time(ms)": 442.6, + "techmap_time(ms)": 1739, + "synthesis_time(ms)": 2968.4, "Pi": 318, "Po": 256, "logic element": 626810, @@ -526,16 +526,16 @@ "Average Path": 5, "Estimated LUTs": 1217802, "Total Node": 664917, - "Wires": 1306480, - "Wire Bits": 1765361, + "Wires": 1306054, + "Wire Bits": 1764428, "Public Wires": 21374, "Public Wire Bits": 21374, - "Total Cells": 1582848, + "Total Cells": 1582348, "MUX": 674460, "XOR": 11355, - "OR": 372949, - "AND": 133286, - "NOT": 170213, + "OR": 372549, + "AND": 133164, + "NOT": 170235, "DFFs": [ "$_DFF_P_ 182478" ], @@ -798,10 +798,10 @@ "Ignoring module tensor_block_bf16 because it contains processes (run 'proc' command first).", "Ignoring module xor_module because it contains processes (run 'proc' command first)." ], - "elaboration_time(ms)": 431.2, - "optimization_time(ms)": 1447.2, - "techmap_time(ms)": 1766.9, - "synthesis_time(ms)": 3645.5, + "elaboration_time(ms)": 306.5, + "optimization_time(ms)": 1022, + "techmap_time(ms)": 769.8, + "synthesis_time(ms)": 2098.5, "Pi": 732, "Po": 304, "logic element": 130765, @@ -813,18 +813,18 @@ "Average Path": 6, "Estimated LUTs": 378985, "Total Node": 194726, - "Wires": 681769, - "Wire Bits": 913307, + "Wires": 674754, + "Wire Bits": 914754, "Public Wires": 22802, "Public Wire Bits": 22802, - "Total Cells": 774411, - "MUX": 290760, + "Total Cells": 774284, + "MUX": 290761, "XOR": 22527, - "OR": 96796, - "AND": 127745, - "NOT": 48460, + "OR": 95236, + "AND": 128999, + "NOT": 48637, "DFFs": [ - "$_DFF_P_ 126472" + "$_DFF_P_ 126473" ], "adder": 56986, "multiply": 265, @@ -1107,37 +1107,37 @@ "Ignoring module sigmoid because it contains processes (run 'proc' command first).", "Ignoring module tanh because it contains processes (run 'proc' command first)." ], - "elaboration_time(ms)": 2003.9, - "optimization_time(ms)": 12127.4, + "elaboration_time(ms)": 1167.7, + "optimization_time(ms)": 7367.2, "techmap_time(ms)": 6923.6, "synthesis_time(ms)": 21055.1, "Pi": 546, - "Po": 1846, - "logic element": 676917, - "Adder": 139378, - "Multiplier": 1792, - "Memory": 8440, - "generic logic size": 4, - "Longest Path": 1593, - "Average Path": 7, - "Estimated LUTs": 1739873, - "Total Node": 826527, - "Wires": 2262908, - "Wire Bits": 3140448, - "Public Wires": 56427, - "Public Wire Bits": 56427, - "Total Cells": 2632946, - "MUX": 1053815, - "XOR": 45940, - "OR": 486320, - "AND": 400142, - "NOT": 224448, - "DFFs": [ - "$_DFF_P_ 276197" - ], - "adder": 135852, - "dual_port_ram": 8440, - "multiply": 1792 + "Po": 1846, + "logic element": 676917, + "Adder": 139378, + "Multiplier": 1792, + "Memory": 8440, + "generic logic size": 4, + "Longest Path": 1593, + "Average Path": 7, + "Estimated LUTs": 1739873, + "Total Node": 826527, + "Wires": 2251428, + "Wire Bits": 3148026, + "Public Wires": 56427, + "Public Wire Bits": 56427, + "Total Cells": 2639757, + "MUX": 1053787, + "XOR": 45940, + "OR": 487146, + "AND": 405322, + "NOT": 225295, + "DFFs": [ + "$_DFF_P_ 276183" + ], + "adder": 135852, + "dual_port_ram": 8440, + "multiply": 1792 }, "koios_proxy_no_hb/proxy.5/k6FracN10LB_mem20K_complexDSP_customSB_22nm": { "test_name": "koios_proxy_no_hb/proxy.5/k6FracN10LB_mem20K_complexDSP_customSB_22nm", @@ -1246,10 +1246,10 @@ "Ignoring module systolic_data_setup_systolic_8x8 because it contains processes (run 'proc' command first).", "Ignoring module xor_module because it contains processes (run 'proc' command first)." ], - "elaboration_time(ms)": 85.1, - "optimization_time(ms)": 133.6, - "techmap_time(ms)": 357.2, - "synthesis_time(ms)": 576, + "elaboration_time(ms)": 75.5, + "optimization_time(ms)": 125.5, + "techmap_time(ms)": 242, + "synthesis_time(ms)": 443.1, "Pi": 465, "Po": 320, "logic element": 15584, @@ -1261,16 +1261,16 @@ "Average Path": 6, "Estimated LUTs": 73057, "Total Node": 30337, - "Wires": 193617, - "Wire Bits": 230171, + "Wires": 193426, + "Wire Bits": 229898, "Public Wires": 3526, "Public Wire Bits": 3526, - "Total Cells": 170104, + "Total Cells": 169677, "MUX": 78058, "XOR": 1622, - "OR": 15572, - "AND": 6996, - "NOT": 5017, + "OR": 15266, + "AND": 6982, + "NOT": 4910, "DFFs": [ "$_DFF_P_ 48086" ], @@ -1618,10 +1618,10 @@ "Ignoring module tensor_block because it contains processes (run 'proc' command first).", "Ignoring module xor_module because it contains processes (run 'proc' command first)." ], - "elaboration_time(ms)": 377.3, - "optimization_time(ms)": 586.3, - "techmap_time(ms)": 1526.1, - "synthesis_time(ms)": 2489.9, + "elaboration_time(ms)": 546.3, + "optimization_time(ms)": 1288.8, + "techmap_time(ms)": 1128, + "synthesis_time(ms)": 2963.2, "Pi": 605, "Po": 420, "logic element": 161045, @@ -1633,14 +1633,14 @@ "Average Path": 3, "Estimated LUTs": 321954, "Total Node": 191267, - "Wires": 477724, - "Wire Bits": 574751, + "Wires": 477651, + "Wire Bits": 574678, "Public Wires": 17265, "Public Wire Bits": 17265, - "Total Cells": 496751, + "Total Cells": 496669, "MUX": 203749, "XOR": 6124, - "OR": 91414, + "OR": 91332, "AND": 30644, "NOT": 43331, "DFFs": [ @@ -1875,10 +1875,10 @@ "Ignoring module systolic_data_setup_systolic_4x4_fp because it contains processes (run 'proc' command first).", "Ignoring module xor_module because it contains processes (run 'proc' command first)." ], - "elaboration_time(ms)": 373.9, - "optimization_time(ms)": 1011.4, - "techmap_time(ms)": 1465.7, - "synthesis_time(ms)": 2851.2, + "elaboration_time(ms)": 415.5, + "optimization_time(ms)": 1073.5, + "techmap_time(ms)": 851.3, + "synthesis_time(ms)": 2340.4, "Pi": 354, "Po": 144, "logic element": 90388, @@ -1890,16 +1890,16 @@ "Average Path": 9, "Estimated LUTs": 309336, "Total Node": 140372, - "Wires": 588420, - "Wire Bits": 804719, + "Wires": 584554, + "Wire Bits": 805743, "Public Wires": 19527, "Public Wire Bits": 19527, - "Total Cells": 616310, + "Total Cells": 614269, "MUX": 240512, "XOR": 11397, - "OR": 84658, - "AND": 74212, - "NOT": 35581, + "OR": 83221, + "AND": 73764, + "NOT": 35425, "DFFs": [ "$_DFF_P_ 121550" ], @@ -2115,10 +2115,10 @@ "Ignoring module systolic_data_setup because it contains processes (run 'proc' command first).", "Ignoring module systolic_data_setup_systolic_8x8 because it contains processes (run 'proc' command first)." ], - "elaboration_time(ms)": 247, - "optimization_time(ms)": 280.9, - "techmap_time(ms)": 924.8, - "synthesis_time(ms)": 1452.9, + "elaboration_time(ms)": 248.7, + "optimization_time(ms)": 244.9, + "techmap_time(ms)": 793.2, + "synthesis_time(ms)": 1286.9, "Pi": 346, "Po": 656, "logic element": 90035, @@ -2130,16 +2130,16 @@ "Average Path": 8, "Estimated LUTs": 208086, "Total Node": 110213, - "Wires": 340422, - "Wire Bits": 418474, + "Wires": 340428, + "Wire Bits": 418320, "Public Wires": 6552, "Public Wire Bits": 6552, - "Total Cells": 344137, + "Total Cells": 344012, "MUX": 149527, "XOR": 487, - "OR": 58564, - "AND": 22226, - "NOT": 27334, + "OR": 58602, + "AND": 22212, + "NOT": 27185, "DFFs": [ "$_DFF_P_ 65821" ], diff --git a/parmys/regression_test/benchmark/task/large/synthesis_result.json b/parmys/regression_test/benchmark/task/large/synthesis_result.json index c9f4adc0164..e3c7eee50f8 100644 --- a/parmys/regression_test/benchmark/task/large/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/large/synthesis_result.json @@ -130,16 +130,16 @@ "Average Path": 8, "Estimated LUTs": 16199, "Total Node": 4054, - "Wires": 23585, - "Wire Bits": 27679, + "Wires": 23584, + "Wire Bits": 27678, "Public Wires": 1528, "Public Wire Bits": 1528, - "Total Cells": 25889, + "Total Cells": 25885, "MUX": 16499, "XOR": 407, - "OR": 2363, - "AND": 1366, - "NOT": 677, + "OR": 2356, + "AND": 1368, + "NOT": 678, "DFFs": [ "$_DFF_P_ 3001" ], @@ -236,16 +236,16 @@ "Average Path": 8, "Estimated LUTs": 51808, "Total Node": 9115, - "Wires": 36804, - "Wire Bits": 177752, + "Wires": 36947, + "Wire Bits": 177934, "Public Wires": 969, "Public Wire Bits": 969, - "Total Cells": 104329, + "Total Cells": 104312, "MUX": 12179, "XOR": 1091, - "OR": 44631, - "AND": 34525, - "NOT": 4501, + "OR": 44639, + "AND": 34509, + "NOT": 4492, "DFFs": [ "$_DFF_P_ 5132" ], @@ -312,16 +312,16 @@ "Average Path": 4, "Estimated LUTs": 2406, "Total Node": 973, - "Wires": 4530, - "Wire Bits": 6664, + "Wires": 4399, + "Wire Bits": 6533, "Public Wires": 529, "Public Wire Bits": 529, - "Total Cells": 5514, + "Total Cells": 5373, "MUX": 1998, "XOR": 228, - "OR": 856, - "AND": 928, - "NOT": 459, + "OR": 866, + "AND": 963, + "NOT": 273, "DFFs": [ "$_DFF_P_ 862" ], @@ -399,8 +399,8 @@ "Average Path": 3, "Estimated LUTs": 3361, "Total Node": 1319, - "Wires": 1972, - "Wire Bits": 5439, + "Wires": 1973, + "Wire Bits": 5446, "Public Wires": 190, "Public Wire Bits": 190, "Total Cells": 4292, @@ -464,8 +464,8 @@ "Average Path": 9, "Estimated LUTs": 38136, "Total Node": 10576, - "Wires": 27447, - "Wire Bits": 49545, + "Wires": 27448, + "Wire Bits": 49554, "Public Wires": 186, "Public Wire Bits": 186, "Total Cells": 34416, @@ -571,8 +571,8 @@ "Average Path": 4, "Estimated LUTs": 2883573, "Total Node": 3407861, - "Wires": 4815960, - "Wire Bits": 4930094, + "Wires": 4815963, + "Wire Bits": 4930109, "Public Wires": 4456472, "Public Wire Bits": 4456472, "Total Cells": 2725962, @@ -710,16 +710,16 @@ "Average Path": 5, "Estimated LUTs": 117248, "Total Node": 34253, - "Wires": 138485, - "Wire Bits": 439779, + "Wires": 137884, + "Wire Bits": 440449, "Public Wires": 17074, "Public Wire Bits": 17074, - "Total Cells": 276817, + "Total Cells": 277568, "MUX": 54769, "XOR": 2711, - "OR": 89057, - "AND": 83808, - "NOT": 8071, + "OR": 89009, + "AND": 83955, + "NOT": 8723, "DFFs": [ "$_DFF_P_ 19695" ], @@ -856,16 +856,16 @@ "Average Path": 5, "Estimated LUTs": 229187, "Total Node": 64719, - "Wires": 265482, - "Wire Bits": 861875, + "Wires": 264332, + "Wire Bits": 863207, "Public Wires": 32666, "Public Wire Bits": 32666, - "Total Cells": 540618, + "Total Cells": 542139, "MUX": 106133, "XOR": 4801, - "OR": 176646, - "AND": 165180, - "NOT": 14958, + "OR": 176568, + "AND": 165489, + "NOT": 16248, "DFFs": [ "$_DFF_P_ 37302" ], @@ -1786,8 +1786,8 @@ "Average Path": 1, "Estimated LUTs": 5594, "Total Node": 4179, - "Wires": 6441, - "Wire Bits": 10429, + "Wires": 6445, + "Wire Bits": 10441, "Public Wires": 211, "Public Wire Bits": 211, "Total Cells": 9958, @@ -5711,16 +5711,16 @@ "Average Path": 6, "Estimated LUTs": 6581, "Total Node": 3022, - "Wires": 12850, - "Wire Bits": 27185, + "Wires": 12843, + "Wire Bits": 27160, "Public Wires": 3798, "Public Wire Bits": 3798, - "Total Cells": 21025, + "Total Cells": 21001, "MUX": 7919, "XOR": 195, - "OR": 3180, - "AND": 5182, - "NOT": 294, + "OR": 3153, + "AND": 5157, + "NOT": 322, "DFFs": [ "$_DFF_P_ 2151" ], @@ -5773,16 +5773,16 @@ "Average Path": 5, "Estimated LUTs": 2565, "Total Node": 1142, - "Wires": 4487, - "Wire Bits": 9878, + "Wires": 4486, + "Wire Bits": 9886, "Public Wires": 754, "Public Wire Bits": 754, - "Total Cells": 7790, + "Total Cells": 7803, "MUX": 2953, "XOR": 41, "OR": 1427, - "AND": 1829, - "NOT": 157, + "AND": 1828, + "NOT": 171, "DFFs": [ "$_DFF_P_ 886" ], @@ -5895,15 +5895,15 @@ "Estimated LUTs": 4344, "Total Node": 1461, "Wires": 6405, - "Wire Bits": 12435, + "Wire Bits": 12439, "Public Wires": 1044, "Public Wire Bits": 1044, - "Total Cells": 9094, + "Total Cells": 9100, "MUX": 3445, "XOR": 59, - "OR": 2148, - "AND": 1680, - "NOT": 526, + "OR": 2151, + "AND": 1682, + "NOT": 527, "DFFs": [ "$_DFF_P_ 675" ], @@ -5981,8 +5981,8 @@ "Average Path": 3, "Estimated LUTs": 1716, "Total Node": 946, - "Wires": 4520, - "Wire Bits": 6774, + "Wires": 4521, + "Wire Bits": 6777, "Public Wires": 619, "Public Wire Bits": 619, "Total Cells": 4421, @@ -6125,13 +6125,13 @@ "Estimated LUTs": 1787, "Total Node": 805, "Wires": 2325, - "Wire Bits": 3625, + "Wire Bits": 3628, "Public Wires": 324, "Public Wire Bits": 324, - "Total Cells": 2980, + "Total Cells": 2962, "MUX": 938, "XOR": 138, - "OR": 872, + "OR": 854, "AND": 408, "NOT": 232, "DFFs": [ @@ -6231,16 +6231,16 @@ "Average Path": 7, "Estimated LUTs": 6838, "Total Node": 4366, - "Wires": 23424, - "Wire Bits": 26475, + "Wires": 23401, + "Wire Bits": 26319, "Public Wires": 467, "Public Wire Bits": 467, - "Total Cells": 23087, + "Total Cells": 22949, "MUX": 6514, "XOR": 254, - "OR": 745, - "AND": 1273, - "NOT": 435, + "OR": 726, + "AND": 1172, + "NOT": 417, "DFFs": [ "$_DFF_P_ 11143" ], @@ -6306,8 +6306,8 @@ "Average Path": 8, "Estimated LUTs": 9070, "Total Node": 5286, - "Wires": 35627, - "Wire Bits": 38178, + "Wires": 35628, + "Wire Bits": 38181, "Public Wires": 237, "Public Wire Bits": 237, "Total Cells": 24423, diff --git a/parmys/regression_test/benchmark/task/micro/synthesis_result.json b/parmys/regression_test/benchmark/task/micro/synthesis_result.json index 1968e99a682..a53cf006365 100644 --- a/parmys/regression_test/benchmark/task/micro/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/micro/synthesis_result.json @@ -530,14 +530,14 @@ "Average Path": 5, "Estimated LUTs": 714, "Total Node": 693, - "Wires": 1082, - "Wire Bits": 1394, + "Wires": 1083, + "Wire Bits": 1395, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1204, + "Total Cells": 1206, "MUX": 538, "XOR": 386, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 54" ] @@ -608,14 +608,14 @@ "Average Path": 5, "Estimated LUTs": 693, "Total Node": 693, - "Wires": 1082, - "Wire Bits": 1394, + "Wires": 1083, + "Wire Bits": 1395, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1204, + "Total Cells": 1206, "MUX": 538, "XOR": 386, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 54" ] @@ -3929,8 +3929,8 @@ "Average Path": 3, "Estimated LUTs": 53, "Total Node": 10, - "Wires": 46, - "Wire Bits": 130, + "Wires": 45, + "Wire Bits": 128, "Public Wires": 11, "Public Wire Bits": 11, "Total Cells": 61, @@ -3960,8 +3960,8 @@ "Average Path": 3, "Estimated LUTs": 37, "Total Node": 10, - "Wires": 46, - "Wire Bits": 130, + "Wires": 45, + "Wire Bits": 128, "Public Wires": 11, "Public Wire Bits": 11, "Total Cells": 61, @@ -3991,8 +3991,8 @@ "Average Path": 3, "Estimated LUTs": 37, "Total Node": 10, - "Wires": 46, - "Wire Bits": 130, + "Wires": 45, + "Wire Bits": 128, "Public Wires": 11, "Public Wire Bits": 11, "Total Cells": 61, @@ -4020,8 +4020,8 @@ "Average Path": 3, "Estimated LUTs": 10, "Total Node": 10, - "Wires": 46, - "Wire Bits": 130, + "Wires": 45, + "Wire Bits": 128, "Public Wires": 11, "Public Wire Bits": 11, "Total Cells": 61, @@ -4052,15 +4052,15 @@ "Average Path": 5, "Estimated LUTs": 7, "Total Node": 19, - "Wires": 53, - "Wire Bits": 59, + "Wires": 55, + "Wire Bits": 62, "Public Wires": 19, "Public Wire Bits": 19, - "Total Cells": 26, + "Total Cells": 33, "MUX": 4, - "OR": 1, - "AND": 3, - "NOT": 1, + "OR": 3, + "AND": 4, + "NOT": 5, "adder": 17 }, "micro/bm_DL_BCD_adder/k6_N10_40nm": { @@ -4085,16 +4085,16 @@ "Average Path": 4, "Estimated LUTs": 31, "Total Node": 27, - "Wires": 49, - "Wire Bits": 61, + "Wires": 50, + "Wire Bits": 60, "Public Wires": 19, "Public Wire Bits": 19, - "Total Cells": 42, + "Total Cells": 48, "MUX": 18, "XOR": 15, - "OR": 1, - "AND": 5, - "NOT": 3 + "OR": 3, + "AND": 6, + "NOT": 6 }, "micro/bm_DL_BCD_adder/k6_N10_mem32K_40nm": { "test_name": "micro/bm_DL_BCD_adder/k6_N10_mem32K_40nm", @@ -4118,16 +4118,16 @@ "Average Path": 4, "Estimated LUTs": 31, "Total Node": 27, - "Wires": 49, - "Wire Bits": 61, + "Wires": 50, + "Wire Bits": 60, "Public Wires": 19, "Public Wire Bits": 19, - "Total Cells": 42, + "Total Cells": 48, "MUX": 18, "XOR": 15, - "OR": 1, - "AND": 5, - "NOT": 3 + "OR": 3, + "AND": 6, + "NOT": 6 }, "micro/bm_DL_BCD_adder/no_arch": { "test_name": "micro/bm_DL_BCD_adder/no_arch", @@ -4149,16 +4149,16 @@ "Average Path": 4, "Estimated LUTs": 27, "Total Node": 27, - "Wires": 49, - "Wire Bits": 61, + "Wires": 50, + "Wire Bits": 60, "Public Wires": 19, "Public Wire Bits": 19, - "Total Cells": 42, + "Total Cells": 48, "MUX": 18, "XOR": 15, - "OR": 1, - "AND": 5, - "NOT": 3 + "OR": 3, + "AND": 6, + "NOT": 6 }, "micro/bm_DL_behavioural_full_adder/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "micro/bm_DL_behavioural_full_adder/k6_frac_N10_frac_chain_mem32K_40nm", @@ -5133,14 +5133,14 @@ "Average Path": 3, "Estimated LUTs": 644, "Total Node": 218, - "Wires": 1458, - "Wire Bits": 1955, + "Wires": 1459, + "Wire Bits": 1956, "Public Wires": 814, "Public Wire Bits": 814, - "Total Cells": 1386, + "Total Cells": 1387, "XNOR": 63, "XOR": 191, - "OR": 256, + "OR": 257, "AND": 310, "NOT": 133, "DFFs": [ @@ -5170,15 +5170,15 @@ "Average Path": 3, "Estimated LUTs": 623, "Total Node": 307, - "Wires": 1600, - "Wire Bits": 2254, + "Wires": 1633, + "Wire Bits": 2225, "Public Wires": 751, "Public Wire Bits": 751, - "Total Cells": 1746, + "Total Cells": 1748, "MUX": 267, "XNOR": 63, - "XOR": 377, - "OR": 258, + "XOR": 378, + "OR": 259, "AND": 311, "NOT": 135, "DFFs": [ @@ -5207,15 +5207,15 @@ "Average Path": 3, "Estimated LUTs": 623, "Total Node": 307, - "Wires": 1600, - "Wire Bits": 2254, + "Wires": 1633, + "Wire Bits": 2225, "Public Wires": 751, "Public Wire Bits": 751, - "Total Cells": 1746, + "Total Cells": 1748, "MUX": 267, "XNOR": 63, - "XOR": 377, - "OR": 258, + "XOR": 378, + "OR": 259, "AND": 311, "NOT": 135, "DFFs": [ @@ -5242,15 +5242,15 @@ "Average Path": 3, "Estimated LUTs": 307, "Total Node": 307, - "Wires": 1600, - "Wire Bits": 2254, + "Wires": 1633, + "Wire Bits": 2225, "Public Wires": 751, "Public Wire Bits": 751, - "Total Cells": 1746, + "Total Cells": 1748, "MUX": 267, "XNOR": 63, - "XOR": 377, - "OR": 258, + "XOR": 378, + "OR": 259, "AND": 311, "NOT": 135, "DFFs": [ @@ -5895,7 +5895,7 @@ "Estimated LUTs": 407, "Total Node": 264, "Wires": 739, - "Wire Bits": 1045, + "Wire Bits": 1076, "Public Wires": 322, "Public Wire Bits": 322, "Total Cells": 943, @@ -5923,7 +5923,7 @@ "Estimated LUTs": 407, "Total Node": 264, "Wires": 739, - "Wire Bits": 1045, + "Wire Bits": 1076, "Public Wires": 322, "Public Wire Bits": 322, "Total Cells": 943, @@ -5949,7 +5949,7 @@ "Estimated LUTs": 264, "Total Node": 264, "Wires": 739, - "Wire Bits": 1045, + "Wire Bits": 1076, "Public Wires": 322, "Public Wire Bits": 322, "Total Cells": 943, @@ -6633,14 +6633,14 @@ "Average Path": 6, "Estimated LUTs": 1605, "Total Node": 1595, - "Wires": 2628, - "Wire Bits": 3353, + "Wires": 2631, + "Wire Bits": 3356, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 2999, + "Total Cells": 3001, "MUX": 1404, "XOR": 1002, - "AND": 547, + "AND": 549, "DFFs": [ "$_DFF_P_ 46" ] @@ -6701,14 +6701,14 @@ "Average Path": 6, "Estimated LUTs": 1595, "Total Node": 1595, - "Wires": 2628, - "Wire Bits": 3353, + "Wires": 2631, + "Wire Bits": 3356, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 2999, + "Total Cells": 3001, "MUX": 1404, "XOR": 1002, - "AND": 547, + "AND": 549, "DFFs": [ "$_DFF_P_ 46" ] @@ -7474,8 +7474,8 @@ "Average Path": 4, "Estimated LUTs": 76, "Total Node": 17, - "Wires": 53, - "Wire Bits": 178, + "Wires": 52, + "Wire Bits": 176, "Public Wires": 11, "Public Wire Bits": 11, "Total Cells": 74, @@ -7508,8 +7508,8 @@ "Average Path": 4, "Estimated LUTs": 58, "Total Node": 17, - "Wires": 53, - "Wire Bits": 178, + "Wires": 52, + "Wire Bits": 176, "Public Wires": 11, "Public Wire Bits": 11, "Total Cells": 74, @@ -7542,8 +7542,8 @@ "Average Path": 4, "Estimated LUTs": 58, "Total Node": 17, - "Wires": 53, - "Wire Bits": 178, + "Wires": 52, + "Wire Bits": 176, "Public Wires": 11, "Public Wire Bits": 11, "Total Cells": 74, @@ -7574,8 +7574,8 @@ "Average Path": 4, "Estimated LUTs": 17, "Total Node": 17, - "Wires": 53, - "Wire Bits": 178, + "Wires": 52, + "Wire Bits": 176, "Public Wires": 11, "Public Wire Bits": 11, "Total Cells": 74, @@ -8032,14 +8032,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "micro/multiply_hard_block/k6_N10_mem32K_40nm": { "test_name": "micro/multiply_hard_block/k6_N10_mem32K_40nm", @@ -8058,14 +8058,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "micro/multiply_hard_block/no_arch": { "test_name": "micro/multiply_hard_block/no_arch", @@ -8076,14 +8076,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "micro/parameter_2/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "micro/parameter_2/k6_frac_N10_frac_chain_mem32K_40nm", diff --git a/parmys/regression_test/benchmark/task/mixing_optimization/config_file_half/synthesis_result.json b/parmys/regression_test/benchmark/task/mixing_optimization/config_file_half/synthesis_result.json index bd815de43c1..296cbd53d9f 100644 --- a/parmys/regression_test/benchmark/task/mixing_optimization/config_file_half/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/mixing_optimization/config_file_half/synthesis_result.json @@ -28,14 +28,14 @@ "Average Path": 4, "Estimated LUTs": 384, "Total Node": 354, - "Wires": 674, - "Wire Bits": 819, + "Wires": 675, + "Wire Bits": 820, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 678, + "Total Cells": 680, "MUX": 269, "XOR": 194, - "AND": 158, + "AND": 160, "DFFs": [ "$_DFF_P_ 55" ], @@ -72,14 +72,14 @@ "Average Path": 5, "Estimated LUTs": 714, "Total Node": 693, - "Wires": 1082, - "Wire Bits": 1394, + "Wires": 1083, + "Wire Bits": 1395, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1204, + "Total Cells": 1206, "MUX": 538, "XOR": 386, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 54" ] @@ -398,14 +398,14 @@ "Average Path": 6, "Estimated LUTs": 719, "Total Node": 811, - "Wires": 1466, - "Wire Bits": 1784, + "Wires": 1468, + "Wire Bits": 1786, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 1401, + "Total Cells": 1403, "MUX": 570, "XOR": 405, - "AND": 271, + "AND": 273, "DFFs": [ "$_DFF_P_ 46" ], @@ -436,14 +436,14 @@ "Average Path": 6, "Estimated LUTs": 1605, "Total Node": 1595, - "Wires": 2628, - "Wire Bits": 3353, + "Wires": 2631, + "Wire Bits": 3356, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 2999, + "Total Cells": 3001, "MUX": 1404, "XOR": 1002, - "AND": 547, + "AND": 549, "DFFs": [ "$_DFF_P_ 46" ] @@ -489,14 +489,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "config_file_half/twobits_arithmetic_multiply/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "config_file_half/twobits_arithmetic_multiply/k6_frac_N10_frac_chain_mem32K_40nm", @@ -596,14 +596,14 @@ "Average Path": 4, "Estimated LUTs": 378, "Total Node": 354, - "Wires": 674, - "Wire Bits": 819, + "Wires": 675, + "Wire Bits": 820, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 678, + "Total Cells": 680, "MUX": 269, "XOR": 194, - "AND": 158, + "AND": 160, "DFFs": [ "$_DFF_P_ 55" ], @@ -638,14 +638,14 @@ "Average Path": 4, "Estimated LUTs": 373, "Total Node": 354, - "Wires": 674, - "Wire Bits": 819, + "Wires": 675, + "Wire Bits": 820, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 678, + "Total Cells": 680, "MUX": 269, "XOR": 194, - "AND": 158, + "AND": 160, "DFFs": [ "$_DFF_P_ 55" ], @@ -946,14 +946,14 @@ "Average Path": 6, "Estimated LUTs": 907, "Total Node": 899, - "Wires": 1628, - "Wire Bits": 2034, + "Wires": 1630, + "Wire Bits": 2036, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 1757, + "Total Cells": 1759, "MUX": 834, "XOR": 597, - "AND": 277, + "AND": 279, "DFFs": [ "$_DFF_P_ 46" ], @@ -981,14 +981,14 @@ "Average Path": 6, "Estimated LUTs": 906, "Total Node": 899, - "Wires": 1628, - "Wire Bits": 2034, + "Wires": 1630, + "Wire Bits": 2036, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 1757, + "Total Cells": 1759, "MUX": 834, "XOR": 597, - "AND": 277, + "AND": 279, "DFFs": [ "$_DFF_P_ 46" ], diff --git a/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_full/synthesis_result.json b/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_full/synthesis_result.json index 4f9974a0a0c..92debe8a3bd 100644 --- a/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_full/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_full/synthesis_result.json @@ -67,14 +67,14 @@ "Average Path": 5, "Estimated LUTs": 714, "Total Node": 693, - "Wires": 1082, - "Wire Bits": 1394, + "Wires": 1083, + "Wire Bits": 1395, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1204, + "Total Cells": 1206, "MUX": 538, "XOR": 386, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 54" ] @@ -410,14 +410,14 @@ "Average Path": 6, "Estimated LUTs": 1605, "Total Node": 1595, - "Wires": 2628, - "Wire Bits": 3353, + "Wires": 2631, + "Wire Bits": 3356, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 2999, + "Total Cells": 3001, "MUX": 1404, "XOR": 1002, - "AND": 547, + "AND": 549, "DFFs": [ "$_DFF_P_ 46" ] @@ -459,14 +459,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "mults_auto_full/twobits_arithmetic_multiply/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "mults_auto_full/twobits_arithmetic_multiply/k6_frac_N10_frac_chain_mem32K_40nm", @@ -953,14 +953,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "mults_auto_full/multiply_hard_block/k6_N10_mem32K_40nm": { "test_name": "mults_auto_full/multiply_hard_block/k6_N10_mem32K_40nm", @@ -976,14 +976,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "mults_auto_full/twobits_arithmetic_multiply/k6_frac_N10_mem32K_40nm": { "test_name": "mults_auto_full/twobits_arithmetic_multiply/k6_frac_N10_mem32K_40nm", diff --git a/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_half/synthesis_result.json b/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_half/synthesis_result.json index ddb09fdd857..e203b322e51 100644 --- a/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_half/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_half/synthesis_result.json @@ -28,14 +28,14 @@ "Average Path": 4, "Estimated LUTs": 717, "Total Node": 687, - "Wires": 787, - "Wire Bits": 947, + "Wires": 788, + "Wire Bits": 948, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 678, + "Total Cells": 680, "MUX": 269, "XOR": 194, - "AND": 158, + "AND": 160, "DFFs": [ "$_DFF_P_ 55" ], @@ -69,14 +69,14 @@ "Average Path": 5, "Estimated LUTs": 714, "Total Node": 693, - "Wires": 1082, - "Wire Bits": 1394, + "Wires": 1083, + "Wire Bits": 1395, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1204, + "Total Cells": 1206, "MUX": 538, "XOR": 386, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 54" ] @@ -389,14 +389,14 @@ "Average Path": 6, "Estimated LUTs": 1400, "Total Node": 1492, - "Wires": 1628, - "Wire Bits": 1946, + "Wires": 1630, + "Wire Bits": 1948, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 1401, + "Total Cells": 1403, "MUX": 570, "XOR": 405, - "AND": 271, + "AND": 273, "DFFs": [ "$_DFF_P_ 46" ], @@ -425,14 +425,14 @@ "Average Path": 6, "Estimated LUTs": 1605, "Total Node": 1595, - "Wires": 2628, - "Wire Bits": 3353, + "Wires": 2631, + "Wire Bits": 3356, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 2999, + "Total Cells": 3001, "MUX": 1404, "XOR": 1002, - "AND": 547, + "AND": 549, "DFFs": [ "$_DFF_P_ 46" ] @@ -474,14 +474,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "mults_auto_half/twobits_arithmetic_multiply/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "mults_auto_half/twobits_arithmetic_multiply/k6_frac_N10_frac_chain_mem32K_40nm", @@ -582,14 +582,14 @@ "Average Path": 4, "Estimated LUTs": 711, "Total Node": 687, - "Wires": 787, - "Wire Bits": 947, + "Wires": 788, + "Wire Bits": 948, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 678, + "Total Cells": 680, "MUX": 269, "XOR": 194, - "AND": 158, + "AND": 160, "DFFs": [ "$_DFF_P_ 55" ], @@ -621,14 +621,14 @@ "Average Path": 4, "Estimated LUTs": 706, "Total Node": 687, - "Wires": 787, - "Wire Bits": 947, + "Wires": 788, + "Wire Bits": 948, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 678, + "Total Cells": 680, "MUX": 269, "XOR": 194, - "AND": 158, + "AND": 160, "DFFs": [ "$_DFF_P_ 55" ], @@ -914,14 +914,14 @@ "Average Path": 6, "Estimated LUTs": 1588, "Total Node": 1580, - "Wires": 1790, - "Wire Bits": 2196, + "Wires": 1792, + "Wire Bits": 2198, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 1757, + "Total Cells": 1759, "MUX": 834, "XOR": 597, - "AND": 277, + "AND": 279, "DFFs": [ "$_DFF_P_ 46" ], @@ -947,14 +947,14 @@ "Average Path": 6, "Estimated LUTs": 1587, "Total Node": 1580, - "Wires": 1790, - "Wire Bits": 2196, + "Wires": 1792, + "Wire Bits": 2198, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 1757, + "Total Cells": 1759, "MUX": 834, "XOR": 597, - "AND": 277, + "AND": 279, "DFFs": [ "$_DFF_P_ 46" ], @@ -974,14 +974,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "mults_auto_half/multiply_hard_block/k6_N10_mem32K_40nm": { "test_name": "mults_auto_half/multiply_hard_block/k6_N10_mem32K_40nm", @@ -997,14 +997,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "mults_auto_half/twobits_arithmetic_multiply/k6_frac_N10_mem32K_40nm": { "test_name": "mults_auto_half/twobits_arithmetic_multiply/k6_frac_N10_mem32K_40nm", diff --git a/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_none/synthesis_result.json b/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_none/synthesis_result.json index 3ef02403e49..a55448e2339 100644 --- a/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_none/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/mixing_optimization/mults_auto_none/synthesis_result.json @@ -27,14 +27,14 @@ "Average Path": 5, "Estimated LUTs": 1394, "Total Node": 1362, - "Wires": 1082, - "Wire Bits": 1397, + "Wires": 1083, + "Wire Bits": 1398, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1204, + "Total Cells": 1206, "MUX": 538, "XOR": 386, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 54" ] @@ -67,14 +67,14 @@ "Average Path": 5, "Estimated LUTs": 714, "Total Node": 693, - "Wires": 1082, - "Wire Bits": 1394, + "Wires": 1083, + "Wire Bits": 1395, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1204, + "Total Cells": 1206, "MUX": 538, "XOR": 386, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 54" ] @@ -380,14 +380,14 @@ "Average Path": 6, "Estimated LUTs": 2780, "Total Node": 2869, - "Wires": 2466, - "Wire Bits": 3012, + "Wires": 2468, + "Wire Bits": 3014, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 2642, + "Total Cells": 2644, "MUX": 1140, "XOR": 810, - "AND": 540, + "AND": 542, "DFFs": [ "$_DFF_P_ 46" ], @@ -415,14 +415,14 @@ "Average Path": 6, "Estimated LUTs": 1605, "Total Node": 1595, - "Wires": 2628, - "Wire Bits": 3353, + "Wires": 2631, + "Wire Bits": 3356, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 2999, + "Total Cells": 3001, "MUX": 1404, "XOR": 1002, - "AND": 547, + "AND": 549, "DFFs": [ "$_DFF_P_ 46" ] @@ -464,14 +464,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "mults_auto_none/twobits_arithmetic_multiply/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "mults_auto_none/twobits_arithmetic_multiply/k6_frac_N10_frac_chain_mem32K_40nm", @@ -571,14 +571,14 @@ "Average Path": 5, "Estimated LUTs": 1388, "Total Node": 1362, - "Wires": 1082, - "Wire Bits": 1397, + "Wires": 1083, + "Wire Bits": 1398, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1204, + "Total Cells": 1206, "MUX": 538, "XOR": 386, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 54" ] @@ -608,14 +608,14 @@ "Average Path": 5, "Estimated LUTs": 1383, "Total Node": 1362, - "Wires": 1082, - "Wire Bits": 1397, + "Wires": 1083, + "Wire Bits": 1398, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1204, + "Total Cells": 1206, "MUX": 538, "XOR": 386, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 54" ] @@ -887,14 +887,14 @@ "Average Path": 6, "Estimated LUTs": 2968, "Total Node": 2957, - "Wires": 2628, - "Wire Bits": 3275, + "Wires": 2631, + "Wire Bits": 3278, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 2999, + "Total Cells": 3001, "MUX": 1404, "XOR": 1002, - "AND": 547, + "AND": 549, "DFFs": [ "$_DFF_P_ 46" ] @@ -918,14 +918,14 @@ "Average Path": 6, "Estimated LUTs": 2967, "Total Node": 2957, - "Wires": 2628, - "Wire Bits": 3275, + "Wires": 2631, + "Wire Bits": 3278, "Public Wires": 146, "Public Wire Bits": 146, - "Total Cells": 2999, + "Total Cells": 3001, "MUX": 1404, "XOR": 1002, - "AND": 547, + "AND": 549, "DFFs": [ "$_DFF_P_ 46" ] @@ -944,14 +944,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "mults_auto_none/multiply_hard_block/k6_N10_mem32K_40nm": { "test_name": "mults_auto_none/multiply_hard_block/k6_N10_mem32K_40nm", @@ -967,14 +967,14 @@ "Average Path": 4, "Estimated LUTs": 18, "Total Node": 18, - "Wires": 24, - "Wire Bits": 26, + "Wires": 26, + "Wire Bits": 28, "Public Wires": 16, "Public Wire Bits": 16, - "Total Cells": 18, + "Total Cells": 20, "MUX": 2, "XOR": 4, - "AND": 12 + "AND": 14 }, "mults_auto_none/twobits_arithmetic_multiply/k6_frac_N10_mem32K_40nm": { "test_name": "mults_auto_none/twobits_arithmetic_multiply/k6_frac_N10_mem32K_40nm", diff --git a/parmys/regression_test/benchmark/task/operators/synthesis_result.json b/parmys/regression_test/benchmark/task/operators/synthesis_result.json index b99a53d5197..631b4a6c938 100644 --- a/parmys/regression_test/benchmark/task/operators/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/operators/synthesis_result.json @@ -559,16 +559,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 15, - "Wire Bits": 15, + "Wires": 13, + "Wire Bits": 13, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 10, + "Total Cells": 8, "MUX": 1, "XOR": 1, - "OR": 3, + "OR": 2, "AND": 1, - "NOT": 3, + "NOT": 2, "DFFs": [ "$_DFF_P_ 1" ] @@ -597,16 +597,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 15, - "Wire Bits": 15, + "Wires": 13, + "Wire Bits": 13, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 10, + "Total Cells": 8, "MUX": 1, "XOR": 1, - "OR": 3, + "OR": 2, "AND": 1, - "NOT": 3, + "NOT": 2, "DFFs": [ "$_DFF_P_ 1" ] @@ -635,16 +635,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 15, - "Wire Bits": 15, + "Wires": 13, + "Wire Bits": 13, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 10, + "Total Cells": 8, "MUX": 1, "XOR": 1, - "OR": 3, + "OR": 2, "AND": 1, - "NOT": 3, + "NOT": 2, "DFFs": [ "$_DFF_P_ 1" ] @@ -671,16 +671,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 15, - "Wire Bits": 15, + "Wires": 13, + "Wire Bits": 13, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 10, + "Total Cells": 8, "MUX": 1, "XOR": 1, - "OR": 3, + "OR": 2, "AND": 1, - "NOT": 3, + "NOT": 2, "DFFs": [ "$_DFF_P_ 1" ] @@ -709,16 +709,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 14, - "Wire Bits": 14, + "Wires": 12, + "Wire Bits": 12, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 9, + "Total Cells": 7, "MUX": 1, "XOR": 1, - "OR": 2, + "OR": 1, "AND": 1, - "NOT": 3, + "NOT": 2, "DFFs": [ "$_DFF_P_ 1" ] @@ -747,16 +747,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 14, - "Wire Bits": 14, + "Wires": 12, + "Wire Bits": 12, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 9, + "Total Cells": 7, "MUX": 1, "XOR": 1, - "OR": 2, + "OR": 1, "AND": 1, - "NOT": 3, + "NOT": 2, "DFFs": [ "$_DFF_P_ 1" ] @@ -785,16 +785,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 14, - "Wire Bits": 14, + "Wires": 12, + "Wire Bits": 12, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 9, + "Total Cells": 7, "MUX": 1, "XOR": 1, - "OR": 2, + "OR": 1, "AND": 1, - "NOT": 3, + "NOT": 2, "DFFs": [ "$_DFF_P_ 1" ] @@ -821,16 +821,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 14, - "Wire Bits": 14, + "Wires": 12, + "Wire Bits": 12, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 9, + "Total Cells": 7, "MUX": 1, "XOR": 1, - "OR": 2, + "OR": 1, "AND": 1, - "NOT": 3, + "NOT": 2, "DFFs": [ "$_DFF_P_ 1" ] @@ -859,16 +859,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 13, - "Wire Bits": 13, + "Wires": 15, + "Wire Bits": 15, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 8, + "Total Cells": 10, "MUX": 1, "XOR": 1, - "OR": 2, + "OR": 3, "AND": 1, - "NOT": 2, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -897,16 +897,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 13, - "Wire Bits": 13, + "Wires": 15, + "Wire Bits": 15, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 8, + "Total Cells": 10, "MUX": 1, "XOR": 1, - "OR": 2, + "OR": 3, "AND": 1, - "NOT": 2, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -935,16 +935,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 13, - "Wire Bits": 13, + "Wires": 15, + "Wire Bits": 15, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 8, + "Total Cells": 10, "MUX": 1, "XOR": 1, - "OR": 2, + "OR": 3, "AND": 1, - "NOT": 2, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -971,16 +971,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 13, - "Wire Bits": 13, + "Wires": 15, + "Wire Bits": 15, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 8, + "Total Cells": 10, "MUX": 1, "XOR": 1, - "OR": 2, + "OR": 3, "AND": 1, - "NOT": 2, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -1009,16 +1009,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 12, - "Wire Bits": 12, + "Wires": 14, + "Wire Bits": 14, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 7, + "Total Cells": 9, "MUX": 1, "XOR": 1, - "OR": 1, + "OR": 2, "AND": 1, - "NOT": 2, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -1047,16 +1047,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 12, - "Wire Bits": 12, + "Wires": 14, + "Wire Bits": 14, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 7, + "Total Cells": 9, "MUX": 1, "XOR": 1, - "OR": 1, + "OR": 2, "AND": 1, - "NOT": 2, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -1085,16 +1085,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 12, - "Wire Bits": 12, + "Wires": 14, + "Wire Bits": 14, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 7, + "Total Cells": 9, "MUX": 1, "XOR": 1, - "OR": 1, + "OR": 2, "AND": 1, - "NOT": 2, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -1121,16 +1121,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 12, - "Wire Bits": 12, + "Wires": 14, + "Wire Bits": 14, "Public Wires": 6, "Public Wire Bits": 6, - "Total Cells": 7, + "Total Cells": 9, "MUX": 1, "XOR": 1, - "OR": 1, + "OR": 2, "AND": 1, - "NOT": 2, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -3419,16 +3419,16 @@ "Average Path": 4, "Estimated LUTs": 7, "Total Node": 2, - "Wires": 183, - "Wire Bits": 628, + "Wires": 175, + "Wire Bits": 627, "Public Wires": 19, "Public Wire Bits": 19, - "Total Cells": 345, + "Total Cells": 339, "MUX": 40, "XOR": 53, - "OR": 75, - "AND": 129, - "NOT": 40, + "OR": 70, + "AND": 126, + "NOT": 42, "DFFs": [ "$_DFF_P_ 8" ] @@ -3451,16 +3451,16 @@ "Average Path": 4, "Estimated LUTs": 6, "Total Node": 2, - "Wires": 183, - "Wire Bits": 628, + "Wires": 175, + "Wire Bits": 627, "Public Wires": 19, "Public Wire Bits": 19, - "Total Cells": 345, + "Total Cells": 339, "MUX": 40, "XOR": 53, - "OR": 75, - "AND": 129, - "NOT": 40, + "OR": 70, + "AND": 126, + "NOT": 42, "DFFs": [ "$_DFF_P_ 8" ] @@ -3483,16 +3483,16 @@ "Average Path": 4, "Estimated LUTs": 6, "Total Node": 2, - "Wires": 183, - "Wire Bits": 628, + "Wires": 175, + "Wire Bits": 627, "Public Wires": 19, "Public Wire Bits": 19, - "Total Cells": 345, + "Total Cells": 339, "MUX": 40, "XOR": 53, - "OR": 75, - "AND": 129, - "NOT": 40, + "OR": 70, + "AND": 126, + "NOT": 42, "DFFs": [ "$_DFF_P_ 8" ] @@ -3513,16 +3513,16 @@ "Average Path": 4, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 183, - "Wire Bits": 628, + "Wires": 175, + "Wire Bits": 627, "Public Wires": 19, "Public Wire Bits": 19, - "Total Cells": 345, + "Total Cells": 339, "MUX": 40, "XOR": 53, - "OR": 75, - "AND": 129, - "NOT": 40, + "OR": 70, + "AND": 126, + "NOT": 42, "DFFs": [ "$_DFF_P_ 8" ] @@ -3691,15 +3691,15 @@ "Average Path": 3, "Estimated LUTs": 4, "Total Node": 2, - "Wires": 44, - "Wire Bits": 87, + "Wires": 46, + "Wire Bits": 97, "Public Wires": 10, "Public Wire Bits": 10, - "Total Cells": 57, + "Total Cells": 62, "MUX": 9, - "XOR": 7, + "XOR": 9, "OR": 11, - "AND": 14, + "AND": 17, "NOT": 13, "DFFs": [ "$_DFF_P_ 3" @@ -3723,15 +3723,15 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 44, - "Wire Bits": 87, + "Wires": 46, + "Wire Bits": 97, "Public Wires": 10, "Public Wire Bits": 10, - "Total Cells": 57, + "Total Cells": 62, "MUX": 9, - "XOR": 7, + "XOR": 9, "OR": 11, - "AND": 14, + "AND": 17, "NOT": 13, "DFFs": [ "$_DFF_P_ 3" @@ -3755,15 +3755,15 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 44, - "Wire Bits": 87, + "Wires": 46, + "Wire Bits": 97, "Public Wires": 10, "Public Wire Bits": 10, - "Total Cells": 57, + "Total Cells": 62, "MUX": 9, - "XOR": 7, + "XOR": 9, "OR": 11, - "AND": 14, + "AND": 17, "NOT": 13, "DFFs": [ "$_DFF_P_ 3" @@ -3785,15 +3785,15 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 44, - "Wire Bits": 87, + "Wires": 46, + "Wire Bits": 97, "Public Wires": 10, "Public Wire Bits": 10, - "Total Cells": 57, + "Total Cells": 62, "MUX": 9, - "XOR": 7, + "XOR": 9, "OR": 11, - "AND": 14, + "AND": 17, "NOT": 13, "DFFs": [ "$_DFF_P_ 3" @@ -4108,16 +4108,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 19, - "Wire Bits": 23, + "Wires": 17, + "Wire Bits": 21, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 16, + "Total Cells": 14, "MUX": 1, "XOR": 2, - "OR": 4, + "OR": 3, "AND": 4, - "NOT": 4, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -4146,16 +4146,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 19, - "Wire Bits": 23, + "Wires": 17, + "Wire Bits": 21, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 16, + "Total Cells": 14, "MUX": 1, "XOR": 2, - "OR": 4, + "OR": 3, "AND": 4, - "NOT": 4, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -4184,16 +4184,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 19, - "Wire Bits": 23, + "Wires": 17, + "Wire Bits": 21, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 16, + "Total Cells": 14, "MUX": 1, "XOR": 2, - "OR": 4, + "OR": 3, "AND": 4, - "NOT": 4, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -4220,16 +4220,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 19, - "Wire Bits": 23, + "Wires": 17, + "Wire Bits": 21, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 16, + "Total Cells": 14, "MUX": 1, "XOR": 2, - "OR": 4, + "OR": 3, "AND": 4, - "NOT": 4, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -4258,16 +4258,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 18, - "Wire Bits": 22, + "Wires": 15, + "Wire Bits": 19, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 15, + "Total Cells": 12, "MUX": 1, "XOR": 2, - "OR": 3, - "AND": 4, - "NOT": 4, + "OR": 2, + "AND": 3, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -4296,16 +4296,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 18, - "Wire Bits": 22, + "Wires": 15, + "Wire Bits": 19, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 15, + "Total Cells": 12, "MUX": 1, "XOR": 2, - "OR": 3, - "AND": 4, - "NOT": 4, + "OR": 2, + "AND": 3, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -4334,16 +4334,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 18, - "Wire Bits": 22, + "Wires": 15, + "Wire Bits": 19, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 15, + "Total Cells": 12, "MUX": 1, "XOR": 2, - "OR": 3, - "AND": 4, - "NOT": 4, + "OR": 2, + "AND": 3, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -4370,16 +4370,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 18, - "Wire Bits": 22, + "Wires": 15, + "Wire Bits": 19, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 15, + "Total Cells": 12, "MUX": 1, "XOR": 2, - "OR": 3, - "AND": 4, - "NOT": 4, + "OR": 2, + "AND": 3, + "NOT": 3, "DFFs": [ "$_DFF_P_ 1" ] @@ -4408,16 +4408,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 17, - "Wire Bits": 21, + "Wires": 19, + "Wire Bits": 23, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 14, + "Total Cells": 16, "MUX": 1, "XOR": 2, - "OR": 3, + "OR": 4, "AND": 4, - "NOT": 3, + "NOT": 4, "DFFs": [ "$_DFF_P_ 1" ] @@ -4446,16 +4446,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 17, - "Wire Bits": 21, + "Wires": 19, + "Wire Bits": 23, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 14, + "Total Cells": 16, "MUX": 1, "XOR": 2, - "OR": 3, + "OR": 4, "AND": 4, - "NOT": 3, + "NOT": 4, "DFFs": [ "$_DFF_P_ 1" ] @@ -4484,16 +4484,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 17, - "Wire Bits": 21, + "Wires": 19, + "Wire Bits": 23, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 14, + "Total Cells": 16, "MUX": 1, "XOR": 2, - "OR": 3, + "OR": 4, "AND": 4, - "NOT": 3, + "NOT": 4, "DFFs": [ "$_DFF_P_ 1" ] @@ -4520,16 +4520,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 17, - "Wire Bits": 21, + "Wires": 19, + "Wire Bits": 23, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 14, + "Total Cells": 16, "MUX": 1, "XOR": 2, - "OR": 3, + "OR": 4, "AND": 4, - "NOT": 3, + "NOT": 4, "DFFs": [ "$_DFF_P_ 1" ] @@ -4558,16 +4558,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 15, - "Wire Bits": 19, + "Wires": 18, + "Wire Bits": 22, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 12, + "Total Cells": 15, "MUX": 1, "XOR": 2, - "OR": 2, - "AND": 3, - "NOT": 3, + "OR": 3, + "AND": 4, + "NOT": 4, "DFFs": [ "$_DFF_P_ 1" ] @@ -4596,16 +4596,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 15, - "Wire Bits": 19, + "Wires": 18, + "Wire Bits": 22, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 12, + "Total Cells": 15, "MUX": 1, "XOR": 2, - "OR": 2, - "AND": 3, - "NOT": 3, + "OR": 3, + "AND": 4, + "NOT": 4, "DFFs": [ "$_DFF_P_ 1" ] @@ -4634,16 +4634,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 15, - "Wire Bits": 19, + "Wires": 18, + "Wire Bits": 22, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 12, + "Total Cells": 15, "MUX": 1, "XOR": 2, - "OR": 2, - "AND": 3, - "NOT": 3, + "OR": 3, + "AND": 4, + "NOT": 4, "DFFs": [ "$_DFF_P_ 1" ] @@ -4670,16 +4670,16 @@ "Average Path": 3, "Estimated LUTs": 2, "Total Node": 2, - "Wires": 15, - "Wire Bits": 19, + "Wires": 18, + "Wire Bits": 22, "Public Wires": 8, "Public Wire Bits": 8, - "Total Cells": 12, + "Total Cells": 15, "MUX": 1, "XOR": 2, - "OR": 2, - "AND": 3, - "NOT": 3, + "OR": 3, + "AND": 4, + "NOT": 4, "DFFs": [ "$_DFF_P_ 1" ] diff --git a/parmys/regression_test/benchmark/task/syntax/synthesis_result.json b/parmys/regression_test/benchmark/task/syntax/synthesis_result.json index 5c77ad2f651..8a198e0c1ce 100644 --- a/parmys/regression_test/benchmark/task/syntax/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/syntax/synthesis_result.json @@ -1393,16 +1393,16 @@ "Average Path": 2, "Estimated LUTs": 244, "Total Node": 217, - "Wires": 1491, - "Wire Bits": 1647, + "Wires": 1472, + "Wire Bits": 1654, "Public Wires": 322, "Public Wire Bits": 322, - "Total Cells": 971, + "Total Cells": 978, "MUX": 386, "XOR": 32, - "OR": 33, - "AND": 91, - "NOT": 99, + "OR": 34, + "AND": 96, + "NOT": 100, "DFFs": [ "$_DFF_P_ 193" ], @@ -2081,14 +2081,14 @@ "Average Path": 5, "Estimated LUTs": 693, "Total Node": 693, - "Wires": 1082, - "Wire Bits": 1394, + "Wires": 1083, + "Wire Bits": 1395, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1204, + "Total Cells": 1206, "MUX": 538, "XOR": 386, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 54" ] @@ -2160,15 +2160,15 @@ "Average Path": 5, "Estimated LUTs": 693, "Total Node": 693, - "Wires": 1090, - "Wire Bits": 1403, + "Wires": 1091, + "Wire Bits": 1404, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1213, + "Total Cells": 1215, "MUX": 538, "XOR": 386, "OR": 8, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 55" ] @@ -2239,14 +2239,14 @@ "Average Path": 5, "Estimated LUTs": 693, "Total Node": 693, - "Wires": 1082, - "Wire Bits": 1394, + "Wires": 1083, + "Wire Bits": 1395, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1204, + "Total Cells": 1206, "MUX": 538, "XOR": 386, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 54" ] @@ -3294,15 +3294,15 @@ "Average Path": 5, "Estimated LUTs": 693, "Total Node": 693, - "Wires": 1090, - "Wire Bits": 1403, + "Wires": 1091, + "Wire Bits": 1404, "Public Wires": 127, "Public Wire Bits": 127, - "Total Cells": 1213, + "Total Cells": 1215, "MUX": 538, "XOR": 386, "OR": 8, - "AND": 226, + "AND": 228, "DFFs": [ "$_DFF_P_ 55" ] @@ -3672,15 +3672,15 @@ "Average Path": 4, "Estimated LUTs": 1475, "Total Node": 647, - "Wires": 3780, - "Wire Bits": 4165, + "Wires": 3776, + "Wire Bits": 4164, "Public Wires": 32, "Public Wire Bits": 32, - "Total Cells": 2948, + "Total Cells": 2949, "MUX": 1513, "XOR": 470, - "OR": 269, - "AND": 70, + "OR": 268, + "AND": 72, "NOT": 65, "DFFs": [ "$_DFF_P_ 517" @@ -3797,15 +3797,15 @@ "Average Path": 5, "Estimated LUTs": 1050, "Total Node": 1050, - "Wires": 3330, - "Wire Bits": 3847, + "Wires": 3337, + "Wire Bits": 3876, "Public Wires": 20, "Public Wire Bits": 20, - "Total Cells": 3513, + "Total Cells": 3518, "MUX": 1639, "XOR": 692, - "OR": 266, - "AND": 331, + "OR": 265, + "AND": 337, "NOT": 68, "DFFs": [ "$_DFF_P_ 517" @@ -3903,8 +3903,8 @@ "Average Path": 4, "Estimated LUTs": 6802, "Total Node": 939, - "Wires": 4686, - "Wire Bits": 14480, + "Wires": 4689, + "Wire Bits": 14487, "Public Wires": 51, "Public Wire Bits": 51, "Total Cells": 6551, @@ -4008,7 +4008,7 @@ "Estimated LUTs": 1934, "Total Node": 1934, "Wires": 5450, - "Wire Bits": 15654, + "Wire Bits": 15657, "Public Wires": 32, "Public Wire Bits": 32, "Total Cells": 8193, @@ -4345,15 +4345,15 @@ "Average Path": 4, "Estimated LUTs": 8744, "Total Node": 12840, - "Wires": 25838, - "Wire Bits": 30231, + "Wires": 25832, + "Wire Bits": 30207, "Public Wires": 25146, "Public Wire Bits": 25146, - "Total Cells": 25949, + "Total Cells": 25944, "MUX": 14, "XOR": 7, "OR": 8176, - "AND": 13384, + "AND": 13379, "NOT": 264, "DFFs": [ "$_DFF_P_ 4104" diff --git a/parmys/regression_test/benchmark/task/ultraembedded/synthesis_result.json b/parmys/regression_test/benchmark/task/ultraembedded/synthesis_result.json index 705f7c1d634..35fc0362bcc 100644 --- a/parmys/regression_test/benchmark/task/ultraembedded/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/ultraembedded/synthesis_result.json @@ -56,17 +56,17 @@ "generic logic size": 4, "Longest Path": 72, "Average Path": 4, - "Estimated LUTs": 1529, + "Estimated LUTs": 1517, "Total Node": 1023, - "Wires": 2656, - "Wire Bits": 3799, + "Wires": 2658, + "Wire Bits": 3780, "Public Wires": 415, "Public Wire Bits": 415, - "Total Cells": 3030, - "MUX": 985, + "Total Cells": 3034, + "MUX": 983, "XOR": 425, - "OR": 409, - "AND": 323, + "OR": 414, + "AND": 324, "NOT": 208, "DFFs": [ "$_DFF_P_ 445" @@ -112,26 +112,26 @@ "synthesis_time(ms)": 4.3, "Pi": 63, "Po": 187, - "logic element": 866, - "Adder": 434, + "logic element": 801, + "Adder": 359, "Memory": 32, "generic logic size": 4, "Longest Path": 109, "Average Path": 2, - "Estimated LUTs": 2183, - "Total Node": 1332, - "Wires": 4346, - "Wire Bits": 5972, - "Public Wires": 662, - "Public Wire Bits": 662, - "Total Cells": 4548, - "MUX": 1801, - "XOR": 20, - "OR": 891, - "AND": 465, - "NOT": 204, + "Estimated LUTs": 2118, + "Total Node": 1192, + "Wires": 4506, + "Wire Bits": 8715, + "Public Wires": 597, + "Public Wire Bits": 597, + "Total Cells": 5099, + "MUX": 2110, + "XOR": 34, + "OR": 906, + "AND": 538, + "NOT": 273, "DFFs": [ - "$_DFF_P_ 776" + "$_DFF_P_ 847" ], "adder": 359, "dual_port_ram": 32 @@ -180,14 +180,14 @@ "Average Path": 2, "Estimated LUTs": 2659, "Total Node": 1092, - "Wires": 4955, - "Wire Bits": 7419, + "Wires": 4952, + "Wire Bits": 7400, "Public Wires": 792, "Public Wire Bits": 792, - "Total Cells": 5993, + "Total Cells": 5992, "MUX": 1794, "XOR": 129, - "OR": 1348, + "OR": 1347, "AND": 1157, "NOT": 353, "DFFs": [ @@ -233,16 +233,16 @@ "Average Path": 4, "Estimated LUTs": 3309, "Total Node": 1108, - "Wires": 5388, - "Wire Bits": 8023, + "Wires": 5361, + "Wire Bits": 7965, "Public Wires": 459, "Public Wire Bits": 459, - "Total Cells": 6356, + "Total Cells": 6329, "MUX": 2960, - "XOR": 221, + "XOR": 189, "OR": 864, - "AND": 1010, - "NOT": 339, + "AND": 983, + "NOT": 371, "DFFs": [ "$_DFF_P_ 514" ], @@ -256,16 +256,6 @@ "warnings": [ "Replacing memory \\tx_valid_q with list of registers. See ../vtr_flow/benchmarks//ultraembedded/usb_uart_core.v:284", "Replacing memory \\tx_buffer_q with list of registers. See ../vtr_flow/benchmarks//ultraembedded/usb_uart_core.v:282", - "usb_uart_core.v:0 System task `$display' outside initial block is unsupported.", - "usb_uart_core.v:0 System task `$display' outside initial block is unsupported.", - "usb_uart_core.v:0 System task `$display' outside initial block is unsupported.", - "usb_uart_core.v:0 System task `$display' outside initial block is unsupported.", - "usb_uart_core.v:0 System task `$display' outside initial block is unsupported.", - "usb_uart_core.v:0 System task `$display' outside initial block is unsupported.", - "usb_uart_core.v:0 System task `$display' outside initial block is unsupported.", - "usb_uart_core.v:0 System task `$display' outside initial block is unsupported.", - "usb_uart_core.v:0 System task `$display' outside initial block is unsupported.", - "usb_uart_core.v:0 System task `$display' outside initial block is unsupported.", "Replacing memory \\setup_packet_q with list of registers. See ../vtr_flow/benchmarks//ultraembedded/usb_uart_core.v:1124", "Ignoring module usb_cdc_core because it contains processes (run 'proc' command first).", "Ignoring module ulpi_wrapper because it contains processes (run 'proc' command first).", @@ -324,19 +314,19 @@ "Adder": 189, "Memory": 16, "generic logic size": 4, - "Longest Path": 200, + "Longest Path": 171, "Average Path": 2, "Estimated LUTs": 2852, "Total Node": 1381, - "Wires": 3617, - "Wire Bits": 5284, + "Wires": 3621, + "Wire Bits": 5292, "Public Wires": 119, "Public Wire Bits": 119, - "Total Cells": 4099, + "Total Cells": 4101, "MUX": 1258, "XOR": 139, "OR": 1192, - "AND": 360, + "AND": 362, "NOT": 421, "DFFs": [ "$_DFF_P_ 524" diff --git a/parmys/regression_test/benchmark/task/vexriscv/synthesis_result.json b/parmys/regression_test/benchmark/task/vexriscv/synthesis_result.json index 055bc6d459c..f381e55300e 100644 --- a/parmys/regression_test/benchmark/task/vexriscv/synthesis_result.json +++ b/parmys/regression_test/benchmark/task/vexriscv/synthesis_result.json @@ -408,20 +408,20 @@ "Multiplier": 4, "Memory": 242, "generic logic size": 4, - "Longest Path": 836, + "Longest Path": 843, "Average Path": 4, - "Estimated LUTs": 8063, + "Estimated LUTs": 8043, "Total Node": 4065, - "Wires": 14711, - "Wire Bits": 20573, + "Wires": 14732, + "Wire Bits": 20401, "Public Wires": 877, "Public Wire Bits": 877, - "Total Cells": 17365, - "MUX": 6898, + "Total Cells": 17372, + "MUX": 6882, "XOR": 454, - "OR": 2549, - "AND": 1966, - "NOT": 926, + "OR": 2559, + "AND": 1970, + "NOT": 935, "DFFs": [ "$_DFF_N_ 1", "$_DFF_P_ 3386" @@ -920,7 +920,7 @@ "test_name": "vexriscv/BrieyWithMemoryInit/k6_frac_N10_frac_chain_mem32K_40nm", "exit": 1, "errors": [ - "BrieyWithMemoryInit.v:0 Can not open file `BrieyWithMemoryInit.v_toplevel_axi_ram_ram_symbol0.bin` for \\$readmemb." + "BrieyWithMemoryInit.v:11987 Can not open file `BrieyWithMemoryInit.v_toplevel_axi_ram_ram_symbol0.bin` for \\$readmemb." ] }, "vexriscv/MuraxCfu/k6_frac_N10_frac_chain_mem32K_40nm": { @@ -1066,16 +1066,16 @@ "generic logic size": 4, "Longest Path": 314, "Average Path": 4, - "Estimated LUTs": 3260, + "Estimated LUTs": 3240, "Total Node": 1609, "Wires": 5542, - "Wire Bits": 8491, + "Wire Bits": 8275, "Public Wires": 421, "Public Wire Bits": 421, - "Total Cells": 6839, - "MUX": 2597, + "Total Cells": 6824, + "MUX": 2581, "XOR": 144, - "OR": 1089, + "OR": 1090, "AND": 870, "NOT": 382, "DFFs": [ @@ -1229,17 +1229,17 @@ "generic logic size": 4, "Longest Path": 325, "Average Path": 3, - "Estimated LUTs": 3602, + "Estimated LUTs": 3582, "Total Node": 1645, - "Wires": 5930, - "Wire Bits": 8949, + "Wires": 5925, + "Wire Bits": 8729, "Public Wires": 464, "Public Wire Bits": 464, - "Total Cells": 7342, - "MUX": 3103, + "Total Cells": 7324, + "MUX": 3087, "XOR": 144, - "OR": 1044, - "AND": 916, + "OR": 1043, + "AND": 915, "NOT": 348, "DFFs": [ "$_DFF_N_ 1", @@ -1545,7 +1545,7 @@ "test_name": "vexriscv/MuraxWithRamInit/k6_frac_N10_frac_chain_mem32K_40nm", "exit": 1, "errors": [ - "MuraxWithRamInit.v:0 Can not open file `MuraxWithRamInit.v_toplevel_system_ram_ram_symbol0.bin` for \\$readmemb." + "MuraxWithRamInit.v:1616 Can not open file `MuraxWithRamInit.v_toplevel_system_ram_ram_symbol0.bin` for \\$readmemb." ] }, "vexriscv/VexRiscvFull/k6_frac_N10_frac_chain_mem32K_40nm": { @@ -1591,8 +1591,8 @@ "Average Path": 4, "Estimated LUTs": 4666, "Total Node": 1960, - "Wires": 8363, - "Wire Bits": 11546, + "Wires": 8366, + "Wire Bits": 11552, "Public Wires": 584, "Public Wire Bits": 584, "Total Cells": 9681, @@ -1818,16 +1818,16 @@ "Average Path": 3, "Estimated LUTs": 6611, "Total Node": 2574, - "Wires": 10968, - "Wire Bits": 15106, + "Wires": 10967, + "Wire Bits": 15099, "Public Wires": 583, "Public Wire Bits": 583, - "Total Cells": 13096, + "Total Cells": 13098, "MUX": 5888, "XOR": 331, - "OR": 1994, - "AND": 1246, - "NOT": 674, + "OR": 1996, + "AND": 1247, + "NOT": 673, "DFFs": [ "$_DFF_P_ 2303" ], @@ -1866,15 +1866,15 @@ "Estimated LUTs": 7117, "Total Node": 3035, "Wires": 11995, - "Wire Bits": 16363, + "Wire Bits": 16356, "Public Wires": 699, "Public Wire Bits": 699, - "Total Cells": 14058, + "Total Cells": 14060, "MUX": 6209, "XOR": 392, - "OR": 2170, - "AND": 1324, - "NOT": 727, + "OR": 2172, + "AND": 1325, + "NOT": 726, "DFFs": [ "$_DFF_P_ 2455" ], @@ -2220,16 +2220,16 @@ "Average Path": 4, "Estimated LUTs": 8969, "Total Node": 2639, - "Wires": 13227, - "Wire Bits": 18782, + "Wires": 13233, + "Wire Bits": 18788, "Public Wires": 674, "Public Wire Bits": 674, - "Total Cells": 16338, + "Total Cells": 16344, "MUX": 6669, "XOR": 1029, - "OR": 2804, - "AND": 1917, - "NOT": 779, + "OR": 2808, + "AND": 1918, + "NOT": 780, "DFFs": [ "$_DFF_P_ 2391" ], @@ -2360,8 +2360,8 @@ "Average Path": 5, "Estimated LUTs": 1535, "Total Node": 673, - "Wires": 2398, - "Wire Bits": 3931, + "Wires": 2397, + "Wire Bits": 3929, "Public Wires": 253, "Public Wire Bits": 253, "Total Cells": 3009, @@ -2426,56 +2426,56 @@ "test_name": "vexriscv/VexRiscvThreeStagesBar/k6_frac_N10_frac_chain_mem32K_40nm", "exit": 1, "errors": [ - "VexRiscvThreeStagesBar.v:0 Can not open file `VexRiscvThreeStagesBar.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." + "VexRiscvThreeStagesBar.v:851 Can not open file `VexRiscvThreeStagesBar.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." ] }, "vexriscv/VexRiscvThreeStages/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "vexriscv/VexRiscvThreeStages/k6_frac_N10_frac_chain_mem32K_40nm", "exit": 1, "errors": [ - "VexRiscvThreeStages.v:0 Can not open file `VexRiscvThreeStages.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." + "VexRiscvThreeStages.v:858 Can not open file `VexRiscvThreeStages.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." ] }, "vexriscv/VexRiscvThreeStagesMDfast/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "vexriscv/VexRiscvThreeStagesMDfast/k6_frac_N10_frac_chain_mem32K_40nm", "exit": 1, "errors": [ - "VexRiscvThreeStagesMDfast.v:0 Can not open file `VexRiscvThreeStagesMDfast.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." + "VexRiscvThreeStagesMDfast.v:998 Can not open file `VexRiscvThreeStagesMDfast.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." ] }, "vexriscv/VexRiscvThreeStagesMD/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "vexriscv/VexRiscvThreeStagesMD/k6_frac_N10_frac_chain_mem32K_40nm", "exit": 1, "errors": [ - "VexRiscvThreeStagesMD.v:0 Can not open file `VexRiscvThreeStagesMD.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." + "VexRiscvThreeStagesMD.v:1009 Can not open file `VexRiscvThreeStagesMD.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." ] }, "vexriscv/VexRiscvTwoStagesBar/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "vexriscv/VexRiscvTwoStagesBar/k6_frac_N10_frac_chain_mem32K_40nm", "exit": 1, "errors": [ - "VexRiscvTwoStagesBar.v:0 Can not open file `VexRiscvTwoStagesBar.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." + "VexRiscvTwoStagesBar.v:790 Can not open file `VexRiscvTwoStagesBar.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." ] }, "vexriscv/VexRiscvTwoStages/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "vexriscv/VexRiscvTwoStages/k6_frac_N10_frac_chain_mem32K_40nm", "exit": 1, "errors": [ - "VexRiscvTwoStages.v:0 Can not open file `VexRiscvTwoStages.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." + "VexRiscvTwoStages.v:801 Can not open file `VexRiscvTwoStages.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." ] }, "vexriscv/VexRiscvTwoStagesMDfast/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "vexriscv/VexRiscvTwoStagesMDfast/k6_frac_N10_frac_chain_mem32K_40nm", "exit": 1, "errors": [ - "VexRiscvTwoStagesMDfast.v:0 Can not open file `VexRiscvTwoStagesMDfast.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." + "VexRiscvTwoStagesMDfast.v:935 Can not open file `VexRiscvTwoStagesMDfast.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." ] }, "vexriscv/VexRiscvTwoStagesMD/k6_frac_N10_frac_chain_mem32K_40nm": { "test_name": "vexriscv/VexRiscvTwoStagesMD/k6_frac_N10_frac_chain_mem32K_40nm", "exit": 1, "errors": [ - "VexRiscvTwoStagesMD.v:0 Can not open file `VexRiscvTwoStagesMD.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." + "VexRiscvTwoStagesMD.v:946 Can not open file `VexRiscvTwoStagesMD.v_toplevel_RegFilePlugin_regFile.bin` for \\$readmemb." ] }, "DEFAULT": { diff --git a/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_pack_place.txt b/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_pack_place.txt index 3c84703b628..77669800b60 100644 --- a/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_pack_place.txt +++ b/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_pack_place.txt @@ -19,5 +19,5 @@ device_limiting_resources;Equal() device_name;Equal() #Run-time -pack_time;RangeAbs(0.10,10.0,2) +pack_time;RangeAbs(0.10,10.0,3) place_time;RangeAbs(0.10,10.0,2) diff --git a/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_route_min_chan_width.txt b/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_route_min_chan_width.txt index 8986c61e434..5b6056f843f 100644 --- a/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_route_min_chan_width.txt +++ b/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_route_min_chan_width.txt @@ -11,7 +11,7 @@ min_chan_width_routing_area_total;Range(0.7,1.3) min_chan_width_routing_area_per_tile;Range(0.7,1.3) #Run-time metrics -min_chan_width_route_time;RangeAbs(0.10,15.0,2) +min_chan_width_route_time;RangeAbs(0.10,15.0,3) #Peak memory #We set a 100MiB minimum threshold since the memory diff --git a/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_route_min_chan_width_small.txt b/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_route_min_chan_width_small.txt index d596cc849ad..062332324ed 100644 --- a/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_route_min_chan_width_small.txt +++ b/vtr_flow/parse/pass_requirements/common/pass_requirements.vpr_route_min_chan_width_small.txt @@ -11,7 +11,8 @@ min_chan_width_routing_area_total;Range(0.5,1.6) min_chan_width_routing_area_per_tile;Range(0.5,1.6) #Run-time metrics -min_chan_width_route_time;RangeAbs(0.10,15.0,2) +min_chan_width_route_time;RangeAbs(0.05,15.0,4) + #Peak memory #We set a 100MiB minimum threshold since the memory diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_no_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_no_timing/config/golden_results.txt index 25b9c578dc1..c5913be18a1 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_no_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_no_timing/config/golden_results.txt @@ -1,5 +1,5 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time - k4_N10_memSize16384_memData64.xml ch_intrinsics.v common 1.80 vpr 62.16 MiB -1 -1 0.23 21952 3 0.05 -1 -1 37108 -1 -1 70 99 1 0 success v8.0.0-6956-gf669015f3-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-01-27T02:28:44 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63656 99 130 351 481 1 224 300 13 13 169 clb auto 23.7 MiB 0.04 527 62.2 MiB 0.10 0.00 30 1250 10 3.33e+06 2.22e+06 408126. 2414.95 0.79 - k4_N10_memSize16384_memData64.xml diffeq1.v common 4.74 vpr 66.30 MiB -1 -1 0.34 26676 23 0.30 -1 -1 37692 -1 -1 69 162 0 5 success v8.0.0-6956-gf669015f3-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-01-27T02:28:44 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 67888 162 96 1186 1127 1 676 332 13 13 169 clb auto 28.3 MiB 0.14 4471 66.3 MiB 0.13 0.00 54 9470 21 3.33e+06 2.52e+06 696024. 4118.48 2.84 - k4_N10_memSize16384_memData64.xml single_wire.v common 0.35 vpr 59.43 MiB -1 -1 0.05 19896 1 0.01 -1 -1 33124 -1 -1 0 1 0 0 success v8.0.0-6956-gf669015f3-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-01-27T02:28:44 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 60860 1 1 1 2 0 1 2 3 3 9 -1 auto 20.6 MiB 0.00 2 59.4 MiB 0.00 0.00 2 2 1 30000 0 1489.46 165.495 0.00 - k4_N10_memSize16384_memData64.xml single_ff.v common 0.35 vpr 59.51 MiB -1 -1 0.06 20320 1 0.00 -1 -1 33480 -1 -1 1 2 0 0 success v8.0.0-6956-gf669015f3-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-01-27T02:28:44 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 60936 2 1 3 4 1 3 4 3 3 9 -1 auto 20.8 MiB 0.00 4 59.5 MiB 0.00 0.00 16 5 1 30000 30000 2550.78 283.420 0.00 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time +k4_N10_memSize16384_memData64.xml ch_intrinsics.v common 5.61 vpr 63.25 MiB -1 -1 0.54 21584 3 0.17 -1 -1 36764 -1 -1 69 99 1 0 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64772 99 130 353 483 1 220 299 13 13 169 clb auto 24.9 MiB 0.08 540 29270 3582 8022 17666 63.3 MiB 0.05 0.00 26 1466 14 3.33e+06 2.19e+06 360896. 2135.48 1.66 +k4_N10_memSize16384_memData64.xml diffeq1.v common 7.52 vpr 67.18 MiB -1 -1 0.93 26616 23 0.75 -1 -1 38172 -1 -1 71 162 0 5 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 68792 162 96 1200 1141 1 688 334 13 13 169 clb auto 29.0 MiB 0.33 4622 86026 24278 56070 5678 67.2 MiB 0.25 0.00 52 9757 19 3.33e+06 2.58e+06 671819. 3975.26 2.27 +k4_N10_memSize16384_memData64.xml single_wire.v common 1.82 vpr 61.08 MiB -1 -1 0.22 20368 1 0.14 -1 -1 33456 -1 -1 0 1 0 0 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 62544 1 1 1 2 0 1 2 3 3 9 -1 auto 22.5 MiB 0.00 2 3 3 0 0 61.1 MiB 0.00 0.00 2 2 1 30000 0 1489.46 165.495 0.00 +k4_N10_memSize16384_memData64.xml single_ff.v common 1.90 vpr 61.09 MiB -1 -1 0.17 20672 1 0.13 -1 -1 33160 -1 -1 1 2 0 0 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 62560 2 1 3 4 1 3 4 3 3 9 -1 auto 22.6 MiB 0.00 4 9 6 0 3 61.1 MiB 0.00 0.00 16 5 1 30000 30000 2550.78 283.420 0.00 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing/config/golden_results.txt index 30f52ddc7e1..bc720ab209c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing/config/golden_results.txt @@ -1,9 +1,9 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_N10_mem32K_40nm.xml ch_intrinsics.v common 4.12 vpr 64.05 MiB -1 -1 0.40 22828 3 0.10 -1 -1 35680 -1 -1 69 99 1 0 success v8.0.0-7648-g96837b3-dirty Release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-20T17:14:09 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 65592 99 130 343 473 1 230 299 12 12 144 clb auto 25.2 MiB 0.08 549 64.1 MiB 0.29 0.00 1.50234 -115.736 -1.50234 1.50234 0.36 0.000528173 0.000468779 0.0446154 0.0396412 38 1131 13 5.66058e+06 4.26669e+06 306247. 2126.71 1.60 0.287927 0.261936 10492 58364 -1 987 12 590 807 47353 14609 0 0 47353 14609 807 676 0 0 2367 2185 0 0 2871 2373 0 0 3489 1937 0 0 17526 3976 0 0 20293 3462 0 0 807 0 0 217 364 301 2591 0 0 2.0266 2.0266 -132.636 -2.0266 -0.822662 -0.224738 388532. 2698.14 0.16 0.04 0.06 -1 -1 0.16 0.0236207 0.0221146 -k6_N10_mem32K_40nm.xml ch_intrinsics.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 4.01 vpr 64.07 MiB -1 -1 0.39 22244 3 0.10 -1 -1 35692 -1 -1 69 99 1 0 success v8.0.0-7648-g96837b3-dirty Release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-20T17:14:09 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 65612 99 130 343 473 1 230 299 12 12 144 clb auto 25.2 MiB 0.07 549 64.1 MiB 0.29 0.00 1.50234 -115.736 -1.50234 1.50234 0.36 0.000540195 0.000479551 0.0448647 0.0397297 38 1131 13 5.66058e+06 4.26669e+06 306247. 2126.71 1.59 0.287235 0.260905 10492 58364 -1 987 12 590 807 47353 14609 0 0 47353 14609 807 676 0 0 2367 2185 0 0 2871 2373 0 0 3489 1937 0 0 17526 3976 0 0 20293 3462 0 0 807 0 0 217 364 301 2591 0 0 2.0266 2.0266 -132.636 -2.0266 -0.822662 -0.224738 388532. 2698.14 0.16 0.04 0.06 -1 -1 0.16 0.0235992 0.0221462 -k6_N10_mem32K_40nm.xml diffeq1.v common 13.81 vpr 67.15 MiB -1 -1 0.51 27032 15 0.43 -1 -1 36828 -1 -1 49 162 0 5 success v8.0.0-7648-g96837b3-dirty Release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-20T17:14:09 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 68760 162 96 993 934 1 713 312 16 16 256 mult_36 auto 28.9 MiB 0.25 5746 67.1 MiB 0.79 0.01 20.3951 -1668.77 -20.3951 20.3951 0.75 0.00150327 0.00133516 0.153223 0.136061 56 11561 48 1.21132e+07 4.62081e+06 696785. 2721.82 8.19 0.911932 0.824514 20912 135057 -1 9601 22 4023 8415 2463394 631001 0 0 2463394 631001 8415 5123 0 0 97767 95649 0 0 102378 98023 0 0 36495 18990 0 0 1074861 208603 0 0 1143478 204613 0 0 8415 0 0 4694 13491 11952 82900 0 0 22.3597 22.3597 -1812.79 -22.3597 0 0 894618. 3494.60 0.34 0.57 0.14 -1 -1 0.34 0.0929882 0.0866082 -k6_N10_mem32K_40nm.xml diffeq1.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 13.64 vpr 67.22 MiB -1 -1 0.52 26884 15 0.44 -1 -1 36692 -1 -1 49 162 0 5 success v8.0.0-7648-g96837b3-dirty Release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-20T17:14:09 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 68832 162 96 993 934 1 713 312 16 16 256 mult_36 auto 28.9 MiB 0.28 5746 67.2 MiB 0.81 0.01 20.3951 -1668.77 -20.3951 20.3951 0.72 0.00154782 0.00135789 0.155453 0.138243 56 11561 48 1.21132e+07 4.62081e+06 696785. 2721.82 8.00 0.901464 0.815455 20912 135057 -1 9601 22 4023 8415 2463394 631001 0 0 2463394 631001 8415 5123 0 0 97767 95649 0 0 102378 98023 0 0 36495 18990 0 0 1074861 208603 0 0 1143478 204613 0 0 8415 0 0 4694 13491 11952 82900 0 0 22.3597 22.3597 -1812.79 -22.3597 0 0 894618. 3494.60 0.34 0.57 0.14 -1 -1 0.34 0.0935784 0.0870901 -k6_N10_mem32K_40nm.xml single_wire.v common 0.53 vpr 60.99 MiB -1 -1 0.10 19712 1 0.01 -1 -1 32156 -1 -1 0 1 0 0 success v8.0.0-7648-g96837b3-dirty Release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-20T17:14:09 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 62452 1 1 1 2 0 1 2 3 3 9 -1 auto 22.2 MiB 0.00 2 61.0 MiB 0.00 0.00 0.205011 -0.205011 -0.205011 nan 0.01 6.508e-06 4.21e-06 4.7302e-05 3.2578e-05 2 1 1 53894 0 1165.58 129.509 0.00 0.000133792 9.5007e-05 254 297 -1 1 1 1 1 17 8 0 0 17 8 1 1 0 0 4 1 0 0 8 4 0 0 1 1 0 0 2 1 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 6.1111e-05 4.4458e-05 -k6_N10_mem32K_40nm.xml single_wire.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 0.58 vpr 61.23 MiB -1 -1 0.13 19728 1 0.00 -1 -1 32200 -1 -1 0 1 0 0 success v8.0.0-7648-g96837b3-dirty Release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-20T17:14:09 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 62696 1 1 1 2 0 1 2 3 3 9 -1 auto 22.4 MiB 0.00 2 61.2 MiB 0.00 0.00 0.205011 -0.205011 -0.205011 nan 0.01 6.184e-06 3.993e-06 4.3641e-05 2.9251e-05 2 1 1 53894 0 1165.58 129.509 0.00 0.000125688 8.6197e-05 254 297 -1 1 1 1 1 17 8 0 0 17 8 1 1 0 0 4 1 0 0 8 4 0 0 1 1 0 0 2 1 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 6.1706e-05 4.4581e-05 -k6_N10_mem32K_40nm.xml single_ff.v common 0.58 vpr 61.16 MiB -1 -1 0.15 20380 1 0.00 -1 -1 32344 -1 -1 1 2 0 0 success v8.0.0-7648-g96837b3-dirty Release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-20T17:14:09 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 62628 2 1 3 4 1 3 4 3 3 9 -1 auto 22.3 MiB 0.00 4 61.2 MiB 0.00 0.00 0.570641 -0.944653 -0.570641 0.570641 0.01 8.111e-06 5.609e-06 6.9436e-05 5.2818e-05 2 4 2 53894 53894 1165.58 129.509 0.00 0.000214692 0.000163548 254 297 -1 3 2 3 3 75 50 0 0 75 50 3 3 0 0 18 17 0 0 18 18 0 0 21 3 0 0 7 6 0 0 8 3 0 0 3 0 0 0 0 0 3 0 0 0.577715 0.577715 -1.12352 -0.577715 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.000126735 9.9717e-05 -k6_N10_mem32K_40nm.xml single_ff.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 0.53 vpr 61.28 MiB -1 -1 0.10 20236 1 0.00 -1 -1 32312 -1 -1 1 2 0 0 success v8.0.0-7648-g96837b3-dirty Release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-20T17:14:09 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 62752 2 1 3 4 1 3 4 3 3 9 -1 auto 22.4 MiB 0.00 4 61.3 MiB 0.00 0.00 0.570641 -0.944653 -0.570641 0.570641 0.01 8.326e-06 5.482e-06 6.6158e-05 4.802e-05 2 4 2 53894 53894 1165.58 129.509 0.00 0.000213451 0.000160083 254 297 -1 3 2 3 3 75 50 0 0 75 50 3 3 0 0 18 17 0 0 18 18 0 0 21 3 0 0 7 6 0 0 8 3 0 0 3 0 0 0 0 0 3 0 0 0.577715 0.577715 -1.12352 -0.577715 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.000106785 8.5521e-05 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time +k6_N10_mem32K_40nm.xml ch_intrinsics.v common 6.13 vpr 64.31 MiB -1 -1 0.56 21584 3 0.20 -1 -1 37220 -1 -1 69 99 1 0 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65856 99 130 344 474 1 226 299 12 12 144 clb auto 26.1 MiB 0.13 553 74225 23267 37569 13389 64.3 MiB 0.29 0.00 2.151 -125.239 -2.151 2.151 0.51 0.000437262 0.000388316 0.0356825 0.0315033 38 1171 12 5.66058e+06 4.26669e+06 306247. 2126.71 1.35 0.168145 0.155599 10492 58364 -1 969 11 534 813 36412 10203 2.02018 2.02018 -131.044 -2.02018 -0.488017 -0.167912 388532. 2698.14 0.19 0.03 0.16 -1 -1 0.19 0.0185018 0.0174867 +k6_N10_mem32K_40nm.xml ch_intrinsics.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 6.35 vpr 64.80 MiB -1 -1 0.59 21736 3 0.23 -1 -1 37088 -1 -1 69 99 1 0 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66352 99 130 344 474 1 226 299 12 12 144 clb auto 26.1 MiB 0.13 553 74225 23267 37569 13389 64.8 MiB 0.26 0.00 2.151 -125.239 -2.151 2.151 0.63 0.000459627 0.000407531 0.0693116 0.0652866 38 1171 12 5.66058e+06 4.26669e+06 306247. 2126.71 1.12 0.292271 0.24972 10492 58364 -1 969 11 534 813 36412 10203 2.02018 2.02018 -131.044 -2.02018 -0.488017 -0.167912 388532. 2698.14 0.18 0.03 0.10 -1 -1 0.18 0.0210822 0.0200509 +k6_N10_mem32K_40nm.xml diffeq1.v common 15.17 vpr 68.23 MiB -1 -1 0.92 26828 15 0.68 -1 -1 38352 -1 -1 50 162 0 5 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 69864 162 96 1009 950 1 722 313 16 16 256 mult_36 auto 30.1 MiB 0.33 5538 91645 27307 55775 8563 68.2 MiB 0.63 0.01 22.3603 -1598.09 -22.3603 22.3603 1.14 0.00122557 0.00108911 0.163641 0.150499 54 11020 37 1.21132e+07 4.6747e+06 799729. 3123.94 7.11 0.905847 0.833501 22188 156650 -1 9139 25 4261 9174 1245522 324553 22.5758 22.5758 -1643.99 -22.5758 0 0 1.03873e+06 4057.55 0.36 0.31 0.12 -1 -1 0.36 0.103306 0.0972579 +k6_N10_mem32K_40nm.xml diffeq1.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 15.48 vpr 68.38 MiB -1 -1 0.96 26524 15 0.91 -1 -1 38192 -1 -1 50 162 0 5 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70016 162 96 1009 950 1 722 313 16 16 256 mult_36 auto 30.2 MiB 0.45 5538 91645 27307 55775 8563 68.4 MiB 0.57 0.01 22.3603 -1598.09 -22.3603 22.3603 0.99 0.0013534 0.00121614 0.131191 0.118212 54 11020 37 1.21132e+07 4.6747e+06 799729. 3123.94 7.19 0.881356 0.808482 22188 156650 -1 9139 25 4261 9174 1245522 324553 22.5758 22.5758 -1643.99 -22.5758 0 0 1.03873e+06 4057.55 0.36 0.31 0.13 -1 -1 0.36 0.096959 0.0911819 +k6_N10_mem32K_40nm.xml single_wire.v common 2.44 vpr 61.77 MiB -1 -1 0.22 20216 1 0.02 -1 -1 33348 -1 -1 0 1 0 0 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63256 1 1 1 2 0 1 2 3 3 9 -1 auto 23.4 MiB 0.00 2 3 1 2 0 61.8 MiB 0.00 0.00 0.18684 -0.18684 -0.18684 nan 0.00 1.2847e-05 5.445e-06 7.1033e-05 3.1161e-05 2 1 1 53894 0 1165.58 129.509 0.00 0.000162488 7.3941e-05 254 297 -1 1 1 1 1 16 7 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 7.3732e-05 3.7051e-05 +k6_N10_mem32K_40nm.xml single_wire.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 1.87 vpr 61.85 MiB -1 -1 0.12 20368 1 0.05 -1 -1 33152 -1 -1 0 1 0 0 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63332 1 1 1 2 0 1 2 3 3 9 -1 auto 23.5 MiB 0.00 2 3 1 2 0 61.8 MiB 0.00 0.00 0.18684 -0.18684 -0.18684 nan 0.00 1.1084e-05 4.137e-06 6.828e-05 2.8484e-05 2 1 1 53894 0 1165.58 129.509 0.00 0.000166109 7.6652e-05 254 297 -1 1 1 1 1 16 7 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 7.7818e-05 4.1009e-05 +k6_N10_mem32K_40nm.xml single_ff.v common 1.44 vpr 61.94 MiB -1 -1 0.09 20520 1 0.06 -1 -1 33380 -1 -1 1 2 0 0 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63424 2 1 3 4 1 3 4 3 3 9 -1 auto 23.4 MiB 0.00 4 9 3 5 1 61.9 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.3466e-05 5.995e-06 8.4449e-05 4.5422e-05 2 2 2 53894 53894 1165.58 129.509 0.00 0.000233674 0.000134991 254 297 -1 2 2 3 3 69 42 0.577715 0.577715 -0.9588 -0.577715 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.000133968 8.2918e-05 +k6_N10_mem32K_40nm.xml single_ff.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 2.11 vpr 61.94 MiB -1 -1 0.07 20520 1 0.02 -1 -1 33332 -1 -1 1 2 0 0 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63424 2 1 3 4 1 3 4 3 3 9 -1 auto 23.4 MiB 0.00 4 9 3 5 1 61.9 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.4805e-05 7.063e-06 8.2145e-05 4.4068e-05 2 2 2 53894 53894 1165.58 129.509 0.04 0.000238174 0.000137206 254 297 -1 2 2 3 3 69 42 0.577715 0.577715 -0.9588 -0.577715 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.000144485 8.9592e-05 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing_no_sdc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing_no_sdc/config/golden_results.txt index 8d859e143f1..c8553228279 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing_no_sdc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing_no_sdc/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml mkPktMerge.v common 12.83 vpr 69.31 MiB -1 -1 0.71 28676 2 0.09 -1 -1 37424 -1 -1 32 311 15 0 success v8.0.0-6956-gf669015f3-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-01-27T02:28:44 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 70976 311 156 972 1128 1 953 514 28 28 784 memory auto 31.2 MiB 0.36 8263 69.3 MiB 0.59 0.01 4.10714 -4079.96 -4.10714 4.10714 1.72 0.00214647 0.00185203 0.23994 0.207616 40 13618 30 4.25198e+07 9.94461e+06 2.03169e+06 2591.44 5.22 0.85966 0.771476 12768 27 2728 3251 4233754 1299121 4.07346 4.07346 -4907.34 -4.07346 -19.7517 -0.360359 2.90406e+06 3909.73 0.67 0.81 0.165376 0.152898 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time +k6_N10_mem32K_40nm.xml mkPktMerge.v common 17.57 vpr 70.20 MiB -1 -1 1.91 28576 2 0.25 -1 -1 38284 -1 -1 32 311 15 0 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 71888 311 156 972 1128 1 953 514 28 28 784 memory auto 31.8 MiB 0.82 8193 193966 69704 114383 9879 70.2 MiB 0.84 0.02 4.25634 -4335.96 -4.25634 4.25634 2.18 0.00242851 0.00203716 0.261558 0.220104 40 13464 15 4.25198e+07 9.94461e+06 2.03169e+06 2591.44 4.67 0.896776 0.789976 62360 400487 -1 12535 13 2659 3089 851389 226316 4.61366 4.61366 -4967.31 -4.61366 -20.0771 -0.341744 2.55406e+06 3257.73 0.96 0.26 0.29 -1 -1 0.96 0.131411 0.12325 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_basic/hdl_include_yosys/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_basic/hdl_include_yosys/config/golden_results.txt index 3a1e36dbc32..91baa7766ae 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_basic/hdl_include_yosys/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_basic/hdl_include_yosys/config/golden_results.txt @@ -1,2 +1,2 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time -k4_N10_memSize16384_memData64.xml ch_intrinsics_modified.v common 1.57 vpr 62.44 MiB -1 -1 0.19 21964 3 0.04 -1 -1 36808 -1 -1 70 99 1 0 success v8.0.0-6956-gf669015f3-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-01-27T02:28:44 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 63936 99 130 351 481 1 224 300 13 13 169 clb auto 24.0 MiB 0.04 530 62.4 MiB 0.10 0.00 28 1586 22 3.33e+06 2.22e+06 384474. 2275.00 0.65 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time +k4_N10_memSize16384_memData64.xml ch_intrinsics_modified.v common 5.60 vpr 63.80 MiB -1 -1 0.54 21584 3 0.16 -1 -1 36916 -1 -1 69 99 1 0 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:46:43 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65332 99 130 353 483 1 220 299 13 13 169 clb auto 24.9 MiB 0.11 530 30269 3558 8528 18183 63.8 MiB 0.09 0.00 38 1242 8 3.33e+06 2.19e+06 504671. 2986.22 2.07 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt index 656cc397df0..114c32a01a7 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt @@ -1,221 +1,221 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_003bits.v common 2.65 vpr 60.46 MiB -1 -1 0.08 16720 1 0.06 -1 -1 31876 -1 -1 2 7 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 61908 7 4 21 25 1 15 13 17 17 289 -1 unnamed_device 21.9 MiB 0.01 66 238 53 181 4 60.5 MiB 0.00 0.00 0.701249 -7.34893 -0.701249 0.701249 0.63 6.5623e-05 5.859e-05 0.00125898 0.00112383 20 136 6 6.55708e+06 24110 394039. 1363.46 0.44 0.00390366 0.0035344 19870 87366 -1 145 9 54 54 4038 1145 0.83871 0.83871 -8.27133 -0.83871 0 0 477104. 1650.88 0.14 0.01 0.08 -1 -1 0.14 0.00239767 0.00215084 10 4 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_004bits.v common 2.71 vpr 60.25 MiB -1 -1 0.12 16484 2 0.05 -1 -1 32124 -1 -1 2 9 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 61692 9 5 28 33 1 21 16 17 17 289 -1 unnamed_device 21.7 MiB 0.01 138 156 48 106 2 60.2 MiB 0.00 0.00 0.900447 -11.3379 -0.900447 0.900447 0.63 8.5423e-05 7.7213e-05 0.000917628 0.000834798 20 231 9 6.55708e+06 24110 394039. 1363.46 0.46 0.00423497 0.0037552 19870 87366 -1 228 10 89 92 5407 1535 0.819447 0.819447 -11.9992 -0.819447 0 0 477104. 1650.88 0.13 0.01 0.08 -1 -1 0.13 0.0029281 0.00259062 13 6 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_005bits.v common 2.91 vpr 60.39 MiB -1 -1 0.07 16680 2 0.05 -1 -1 31860 -1 -1 2 11 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 61844 11 6 34 40 1 24 19 17 17 289 -1 unnamed_device 21.8 MiB 0.01 61 544 113 372 59 60.4 MiB 0.01 0.00 0.900447 -11.5662 -0.900447 0.900447 0.68 0.000104033 9.4899e-05 0.00263029 0.00239838 26 179 14 6.55708e+06 24110 477104. 1650.88 0.59 0.018432 0.015572 21022 109990 -1 178 11 118 123 6517 2261 0.83871 0.83871 -12.751 -0.83871 0 0 585099. 2024.56 0.16 0.01 0.10 -1 -1 0.16 0.00351429 0.00308676 16 7 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_006bits.v common 2.68 vpr 60.53 MiB -1 -1 0.14 16652 3 0.05 -1 -1 31992 -1 -1 3 13 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 61984 13 7 41 48 1 32 23 17 17 289 -1 unnamed_device 21.9 MiB 0.01 79 663 125 500 38 60.5 MiB 0.01 0.00 1.58811 -15.8163 -1.58811 1.58811 0.63 0.000123989 0.000113555 0.00298674 0.00273135 20 277 11 6.55708e+06 36165 394039. 1363.46 0.44 0.00757243 0.00674859 19870 87366 -1 256 11 102 126 6957 2244 1.58811 1.58811 -17.5334 -1.58811 0 0 477104. 1650.88 0.14 0.01 0.08 -1 -1 0.14 0.00405288 0.00356653 19 9 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_007bits.v common 2.92 vpr 60.49 MiB -1 -1 0.08 16492 3 0.06 -1 -1 31876 -1 -1 3 15 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 61940 15 8 47 55 1 38 26 17 17 289 -1 unnamed_device 21.9 MiB 0.02 92 976 219 613 144 60.5 MiB 0.01 0.00 1.23151 -17.401 -1.23151 1.23151 0.63 0.000143142 0.000131669 0.00409759 0.0037682 26 376 22 6.55708e+06 36165 477104. 1650.88 0.59 0.0244375 0.0206112 21022 109990 -1 314 15 197 220 10340 3670 1.26105 1.26105 -19.5706 -1.26105 0 0 585099. 2024.56 0.16 0.01 0.10 -1 -1 0.16 0.0052823 0.00456806 23 10 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_008bits.v common 3.06 vpr 60.46 MiB -1 -1 0.10 16716 3 0.06 -1 -1 31884 -1 -1 4 17 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 61912 17 9 56 65 1 42 30 17 17 289 -1 unnamed_device 21.8 MiB 0.07 118 2468 742 1169 557 60.5 MiB 0.02 0.00 1.70831 -21.0444 -1.70831 1.70831 0.63 0.000172724 0.000158947 0.00982995 0.00905969 24 451 20 6.55708e+06 48220 448715. 1552.65 0.63 0.0318886 0.0273412 20734 103517 -1 362 17 214 239 13095 4014 1.82851 1.82851 -23.755 -1.82851 0 0 554710. 1919.41 0.15 0.02 0.10 -1 -1 0.15 0.0068379 0.00584841 25 14 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_009bits.v common 2.98 vpr 60.75 MiB -1 -1 0.12 16604 4 0.05 -1 -1 31880 -1 -1 4 19 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62208 19 10 60 70 1 48 33 17 17 289 -1 unnamed_device 22.3 MiB 0.02 141 2165 594 1180 391 60.8 MiB 0.02 0.00 1.58811 -24.792 -1.58811 1.58811 0.66 0.000183191 0.00016922 0.00825336 0.00761989 26 489 18 6.55708e+06 48220 477104. 1650.88 0.61 0.0301964 0.025817 21022 109990 -1 408 16 272 322 16154 5204 1.58811 1.58811 -26.7618 -1.58811 0 0 585099. 2024.56 0.17 0.02 0.10 -1 -1 0.17 0.00680944 0.00585398 29 13 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_010bits.v common 3.25 vpr 60.78 MiB -1 -1 0.13 16820 4 0.06 -1 -1 31672 -1 -1 5 21 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62236 21 11 69 80 1 53 37 17 17 289 -1 unnamed_device 22.4 MiB 0.03 284 1928 664 976 288 60.8 MiB 0.02 0.00 1.68077 -30.4899 -1.68077 1.68077 0.63 0.00020797 0.000192157 0.00740403 0.00685018 26 602 17 6.55708e+06 60275 477104. 1650.88 0.60 0.0320105 0.0273466 21022 109990 -1 563 10 204 258 19069 4698 1.46791 1.46791 -31.4334 -1.46791 0 0 585099. 2024.56 0.16 0.02 0.10 -1 -1 0.16 0.00560317 0.0049047 33 17 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_011bits.v common 2.94 vpr 60.66 MiB -1 -1 0.13 16660 5 0.06 -1 -1 32020 -1 -1 6 23 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62112 23 12 76 88 1 61 41 17 17 289 -1 unnamed_device 22.2 MiB 0.02 228 3471 1214 1851 406 60.7 MiB 0.01 0.00 2.07857 -32.6138 -2.07857 2.07857 0.68 0.000102676 9.2732e-05 0.00567095 0.00514026 26 592 14 6.55708e+06 72330 477104. 1650.88 0.54 0.032643 0.0276682 21022 109990 -1 499 12 253 322 18656 5176 1.83817 1.83817 -32.8906 -1.83817 0 0 585099. 2024.56 0.17 0.02 0.10 -1 -1 0.17 0.00678081 0.0059276 37 19 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.14 vpr 60.70 MiB -1 -1 0.14 16780 5 0.06 -1 -1 31996 -1 -1 6 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62156 25 13 83 96 1 66 44 17 17 289 -1 unnamed_device 22.2 MiB 0.02 230 1661 271 1364 26 60.7 MiB 0.02 0.00 1.80097 -35.7252 -1.80097 1.80097 0.64 0.000284494 0.000263031 0.00650923 0.00602471 28 736 26 6.55708e+06 72330 500653. 1732.36 0.67 0.0407289 0.0347151 21310 115450 -1 592 14 285 416 23910 6562 1.65685 1.65685 -36.7426 -1.65685 0 0 612192. 2118.31 0.19 0.02 0.12 -1 -1 0.19 0.00788404 0.00686082 40 21 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_013bits.v common 2.83 vpr 60.83 MiB -1 -1 0.12 16492 5 0.07 -1 -1 31712 -1 -1 7 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62288 27 14 91 105 1 70 48 17 17 289 -1 unnamed_device 22.3 MiB 0.02 298 2223 446 1605 172 60.8 MiB 0.02 0.00 1.82851 -37.5 -1.82851 1.82851 0.63 0.000272125 0.000252336 0.0078292 0.00726507 26 738 11 6.55708e+06 84385 477104. 1650.88 0.59 0.0363304 0.0312697 21022 109990 -1 693 13 272 401 26652 6685 1.73584 1.73584 -41.0215 -1.73584 0 0 585099. 2024.56 0.20 0.03 0.10 -1 -1 0.20 0.00960711 0.00846654 42 24 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_014bits.v common 3.09 vpr 60.79 MiB -1 -1 0.14 16796 6 0.07 -1 -1 32004 -1 -1 7 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62248 29 15 95 110 1 74 51 17 17 289 -1 unnamed_device 22.2 MiB 0.04 329 2401 440 1903 58 60.8 MiB 0.02 0.00 2.39596 -45.5411 -2.39596 2.39596 0.63 0.000281775 0.000261263 0.00803435 0.00745009 28 774 10 6.55708e+06 84385 500653. 1732.36 0.62 0.0375269 0.0323234 21310 115450 -1 702 10 245 346 19196 5024 2.15556 2.15556 -45.0938 -2.15556 0 0 612192. 2118.31 0.21 0.02 0.11 -1 -1 0.21 0.00728969 0.00640713 45 23 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.07 vpr 60.84 MiB -1 -1 0.13 16868 6 0.06 -1 -1 31888 -1 -1 10 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62304 31 16 104 120 1 81 57 17 17 289 -1 unnamed_device 22.3 MiB 0.04 463 3000 671 1855 474 60.8 MiB 0.03 0.00 1.9467 -49.1372 -1.9467 1.9467 0.64 0.000309138 0.00028615 0.00960573 0.00892098 28 998 15 6.55708e+06 120550 500653. 1732.36 0.66 0.0438761 0.0377256 21310 115450 -1 941 12 326 505 37081 8826 1.85404 1.85404 -50.5621 -1.85404 0 0 612192. 2118.31 0.17 0.03 0.11 -1 -1 0.17 0.00883191 0.00770253 50 27 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.20 vpr 60.82 MiB -1 -1 0.14 16756 7 0.07 -1 -1 31936 -1 -1 7 33 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62284 33 17 112 129 1 86 57 17 17 289 -1 unnamed_device 22.2 MiB 0.05 322 6597 2323 2891 1383 60.8 MiB 0.05 0.00 2.57253 -53.1074 -2.57253 2.57253 0.63 0.000328617 0.000304953 0.020892 0.0193774 28 1092 19 6.55708e+06 84385 500653. 1732.36 0.77 0.0591956 0.0515879 21310 115450 -1 816 16 378 498 30624 8568 2.3425 2.3425 -55.6072 -2.3425 0 0 612192. 2118.31 0.17 0.03 0.10 -1 -1 0.17 0.0112833 0.00976052 52 30 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_018bits.v common 3.19 vpr 61.02 MiB -1 -1 0.13 16908 7 0.07 -1 -1 31964 -1 -1 10 37 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62480 37 19 127 146 1 99 66 17 17 289 -1 unnamed_device 22.6 MiB 0.03 517 7514 3058 4421 35 61.0 MiB 0.05 0.00 3.08562 -69.1539 -3.08562 3.08562 0.63 0.000370744 0.000344252 0.0221054 0.0205089 32 1148 10 6.55708e+06 120550 554710. 1919.41 0.68 0.0594607 0.0522452 22174 131602 -1 983 9 353 478 32011 8084 2.87276 2.87276 -68.1383 -2.87276 0 0 701300. 2426.64 0.19 0.02 0.12 -1 -1 0.19 0.00858866 0.00759768 59 35 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_020bits.v common 3.37 vpr 61.13 MiB -1 -1 0.15 16908 8 0.07 -1 -1 31932 -1 -1 11 41 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62596 41 21 139 160 1 110 73 17 17 289 -1 unnamed_device 22.6 MiB 0.06 423 10105 3105 5166 1834 61.1 MiB 0.06 0.00 2.75456 -69.4691 -2.75456 2.75456 0.63 0.00039922 0.000370431 0.0277219 0.0256995 28 1250 21 6.55708e+06 132605 500653. 1732.36 0.83 0.0755643 0.0665154 21310 115450 -1 992 16 441 573 36905 10105 2.5417 2.5417 -70.7443 -2.5417 0 0 612192. 2118.31 0.18 0.03 0.10 -1 -1 0.18 0.0134827 0.0117817 67 37 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_022bits.v common 3.38 vpr 61.22 MiB -1 -1 0.14 16736 9 0.07 -1 -1 31880 -1 -1 13 45 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62688 45 23 153 176 1 123 81 17 17 289 -1 unnamed_device 22.8 MiB 0.21 454 9181 2871 4452 1858 61.2 MiB 0.06 0.00 3.20898 -80.9842 -3.20898 3.20898 0.63 0.00043289 0.000401842 0.0241711 0.0224244 30 1168 19 6.55708e+06 156715 526063. 1820.29 0.71 0.0752235 0.0662982 21886 126133 -1 889 14 415 520 24296 7325 3.02956 3.02956 -79.7163 -3.02956 0 0 666494. 2306.21 0.18 0.03 0.11 -1 -1 0.18 0.013182 0.011579 74 41 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_024bits.v common 3.40 vpr 61.21 MiB -1 -1 0.14 16756 10 0.07 -1 -1 32164 -1 -1 12 49 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62684 49 25 166 191 1 129 86 17 17 289 -1 unnamed_device 22.7 MiB 0.07 677 9725 2981 5215 1529 61.2 MiB 0.07 0.00 3.75902 -99.1822 -3.75902 3.75902 0.63 0.000557411 0.000517701 0.0295043 0.0274031 32 1394 11 6.55708e+06 144660 554710. 1919.41 0.73 0.0786949 0.0697744 22174 131602 -1 1254 11 431 592 33718 8880 3.63882 3.63882 -98.0078 -3.63882 0 0 701300. 2426.64 0.19 0.03 0.12 -1 -1 0.19 0.0121919 0.0108187 79 44 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_028bits.v common 5.14 vpr 61.37 MiB -1 -1 0.12 16944 11 0.07 -1 -1 32080 -1 -1 14 57 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62840 57 29 198 227 1 159 100 17 17 289 -1 unnamed_device 22.7 MiB 0.18 710 9844 2338 6831 675 61.4 MiB 0.06 0.00 4.36968 -119.507 -4.36968 4.36968 0.63 0.000571738 0.000532336 0.0262142 0.024434 28 1705 16 6.55708e+06 168770 500653. 1732.36 2.39 0.140714 0.122605 21310 115450 -1 1511 17 608 811 55320 13520 3.88888 3.88888 -117.48 -3.88888 0 0 612192. 2118.31 0.17 0.04 0.10 -1 -1 0.17 0.0197156 0.0173305 93 56 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_032bits.v common 3.74 vpr 61.82 MiB -1 -1 0.17 17232 13 0.08 -1 -1 32264 -1 -1 16 65 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63300 65 33 224 257 1 180 114 17 17 289 -1 unnamed_device 23.3 MiB 0.24 986 13638 3602 8892 1144 61.8 MiB 0.08 0.00 4.63562 -152.464 -4.63562 4.63562 0.64 0.000646181 0.000602189 0.0340583 0.031737 30 1917 16 6.55708e+06 192880 526063. 1820.29 0.83 0.106919 0.0950129 21886 126133 -1 1709 11 608 831 48719 12016 4.18236 4.18236 -146.723 -4.18236 0 0 666494. 2306.21 0.21 0.04 0.11 -1 -1 0.21 0.0168737 0.0151215 107 62 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_048bits.v common 4.02 vpr 62.53 MiB -1 -1 0.17 17192 19 0.10 -1 -1 32280 -1 -1 24 97 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64032 97 49 340 389 1 266 170 17 17 289 -1 unnamed_device 23.7 MiB 0.22 1351 35890 10935 20783 4172 62.5 MiB 0.18 0.00 7.54965 -280.539 -7.54965 7.54965 0.64 0.000983322 0.000917572 0.0787329 0.073413 30 2881 23 6.55708e+06 289320 526063. 1820.29 0.90 0.20617 0.185836 21886 126133 -1 2382 13 864 1200 64716 17253 6.85599 6.85599 -267.096 -6.85599 0 0 666494. 2306.21 0.20 0.06 0.12 -1 -1 0.20 0.0278331 0.0250099 161 98 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_064bits.v common 4.63 vpr 63.22 MiB -1 -1 0.19 17672 26 0.11 -1 -1 32356 -1 -1 35 129 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64740 129 65 453 518 1 353 229 17 17 289 -1 unnamed_device 24.5 MiB 0.20 1861 50629 13453 32595 4581 63.2 MiB 0.24 0.00 10.1317 -478.148 -10.1317 10.1317 0.63 0.00132531 0.00123998 0.0995224 0.0930643 30 4170 22 6.55708e+06 421925 526063. 1820.29 1.26 0.263864 0.239621 21886 126133 -1 3376 12 1195 1629 98642 24697 9.39576 9.39576 -452.566 -9.39576 0 0 666494. 2306.21 0.26 0.08 0.13 -1 -1 0.26 0.0352153 0.0319146 213 131 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 0.54 abc 29.30 MiB -1 -1 0.11 16792 1 0.02 -1 -1 30000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22632 7 4 24 25 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 0.52 abc 29.20 MiB -1 -1 0.11 16688 1 0.02 -1 -1 29900 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22692 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.56 abc 29.35 MiB -1 -1 0.13 16700 1 0.02 -1 -1 30056 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22780 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.51 abc 29.25 MiB -1 -1 0.12 16660 1 0.02 -1 -1 29952 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22772 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.56 abc 29.25 MiB -1 -1 0.11 16752 1 0.02 -1 -1 29956 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22704 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.61 abc 29.29 MiB -1 -1 0.13 16492 1 0.02 -1 -1 29996 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22676 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.57 abc 29.26 MiB -1 -1 0.09 16716 1 0.02 -1 -1 29964 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22788 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.56 abc 29.28 MiB -1 -1 0.10 16716 1 0.02 -1 -1 29980 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22732 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.52 abc 29.41 MiB -1 -1 0.11 16448 1 0.02 -1 -1 30116 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22756 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.49 abc 29.36 MiB -1 -1 0.10 16556 1 0.02 -1 -1 30068 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22732 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.46 abc 29.44 MiB -1 -1 0.10 16476 1 0.02 -1 -1 30144 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22912 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.56 abc 29.30 MiB -1 -1 0.12 16656 1 0.02 -1 -1 30000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22760 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.48 abc 29.27 MiB -1 -1 0.11 16660 1 0.02 -1 -1 29972 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22844 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.53 abc 29.43 MiB -1 -1 0.10 16780 1 0.02 -1 -1 30132 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22852 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.51 abc 29.21 MiB -1 -1 0.11 16512 1 0.03 -1 -1 29916 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22932 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.46 abc 29.36 MiB -1 -1 0.10 16980 1 0.02 -1 -1 30064 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22832 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.57 abc 29.37 MiB -1 -1 0.13 16792 1 0.03 -1 -1 30072 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22840 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.54 abc 29.29 MiB -1 -1 0.14 16952 1 0.04 -1 -1 29992 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23076 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.67 abc 29.38 MiB -1 -1 0.14 16964 1 0.02 -1 -1 30084 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23100 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.61 abc 29.29 MiB -1 -1 0.15 16760 1 0.03 -1 -1 29996 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23036 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.58 abc 29.35 MiB -1 -1 0.15 17172 1 0.03 -1 -1 30056 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23384 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.59 abc 29.61 MiB -1 -1 0.15 17132 1 0.03 -1 -1 30324 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23736 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 0.49 abc 29.25 MiB -1 -1 0.11 16472 1 0.02 -1 -1 29956 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22512 7 4 24 25 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 0.43 abc 29.26 MiB -1 -1 0.08 16604 1 0.02 -1 -1 29964 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22396 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.51 abc 29.30 MiB -1 -1 0.13 16596 1 0.02 -1 -1 30000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22332 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.45 abc 29.34 MiB -1 -1 0.11 16544 1 0.02 -1 -1 30048 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22672 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.51 abc 29.46 MiB -1 -1 0.09 16488 1 0.02 -1 -1 30164 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22476 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.51 abc 29.35 MiB -1 -1 0.13 16644 1 0.02 -1 -1 30052 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22436 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.51 abc 29.45 MiB -1 -1 0.10 16700 1 0.02 -1 -1 30152 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22696 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.50 abc 29.37 MiB -1 -1 0.13 16644 1 0.02 -1 -1 30072 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22424 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.45 abc 29.31 MiB -1 -1 0.11 16492 1 0.02 -1 -1 30012 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22388 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.52 abc 29.31 MiB -1 -1 0.12 16604 1 0.02 -1 -1 30012 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22572 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.53 abc 29.34 MiB -1 -1 0.13 16700 1 0.02 -1 -1 30048 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22604 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.51 abc 29.41 MiB -1 -1 0.14 16780 1 0.02 -1 -1 30112 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22504 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.52 abc 29.16 MiB -1 -1 0.13 16700 1 0.03 -1 -1 29856 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22568 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.51 abc 29.22 MiB -1 -1 0.10 16492 1 0.03 -1 -1 29924 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22588 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.48 abc 29.42 MiB -1 -1 0.10 16512 1 0.02 -1 -1 30124 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22548 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.53 abc 29.27 MiB -1 -1 0.13 16812 1 0.02 -1 -1 29968 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22644 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.48 abc 29.26 MiB -1 -1 0.10 16948 1 0.02 -1 -1 29960 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22652 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.47 abc 29.39 MiB -1 -1 0.10 17044 1 0.02 -1 -1 30096 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22776 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.52 abc 29.34 MiB -1 -1 0.13 16924 1 0.03 -1 -1 30040 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22872 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.52 abc 29.31 MiB -1 -1 0.14 16764 1 0.02 -1 -1 30016 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22876 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.56 abc 29.46 MiB -1 -1 0.13 17000 1 0.03 -1 -1 30172 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23084 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.64 abc 29.70 MiB -1 -1 0.16 17348 1 0.03 -1 -1 30412 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23348 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 2.31 vpr 60.80 MiB -1 -1 0.09 16544 1 0.02 -1 -1 29892 -1 -1 2 7 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62256 7 4 27 28 1 14 13 17 17 289 -1 unnamed_device 22.2 MiB 0.01 45 88 36 48 4 60.8 MiB 0.00 0.00 0.824016 -7.40657 -0.824016 0.824016 0.61 7.1861e-05 6.4481e-05 0.000645561 0.000582389 12 109 9 6.64007e+06 25116 231691. 801.699 0.32 0.00369487 0.00331247 19090 58805 -1 116 7 43 43 3050 941 0.770048 0.770048 -8.25533 -0.770048 0 0 318358. 1101.58 0.13 0.01 0.06 -1 -1 0.13 0.002533 0.00233422 10 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 2.70 vpr 60.77 MiB -1 -1 0.11 16636 1 0.02 -1 -1 29992 -1 -1 2 9 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62232 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 22.2 MiB 0.01 43 476 113 309 54 60.8 MiB 0.01 0.00 0.792048 -9.40096 -0.792048 0.792048 0.63 8.9668e-05 8.138e-05 0.00237289 0.00215164 18 145 16 6.64007e+06 25116 355633. 1230.56 0.47 0.0132706 0.0111796 20242 81429 -1 133 12 122 122 4774 1730 0.901248 0.901248 -10.0628 -0.901248 0 0 448715. 1552.65 0.18 0.01 0.08 -1 -1 0.18 0.0032743 0.00288588 13 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 2.86 vpr 60.89 MiB -1 -1 0.09 16544 1 0.02 -1 -1 29960 -1 -1 2 11 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62348 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 22.3 MiB 0.02 57 869 279 533 57 60.9 MiB 0.01 0.00 0.803048 -11.5224 -0.803048 0.803048 0.64 0.000104496 9.5389e-05 0.00400225 0.00365417 26 195 28 6.64007e+06 25116 477104. 1650.88 0.58 0.0184162 0.015531 21682 110474 -1 179 34 222 222 11617 3507 0.923248 0.923248 -13.188 -0.923248 0 0 585099. 2024.56 0.16 0.02 0.12 -1 -1 0.16 0.00717393 0.00603806 16 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 2.95 vpr 60.98 MiB -1 -1 0.13 16700 1 0.02 -1 -1 29936 -1 -1 4 13 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62444 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 22.3 MiB 0.02 139 840 239 448 153 61.0 MiB 0.01 0.00 0.825048 -15.1967 -0.825048 0.825048 0.65 0.000144712 0.000132473 0.00406375 0.00372378 26 312 14 6.64007e+06 50232 477104. 1650.88 0.59 0.0215838 0.0183325 21682 110474 -1 274 8 126 126 6936 1958 0.945248 0.945248 -16.8085 -0.945248 0 0 585099. 2024.56 0.20 0.01 0.11 -1 -1 0.20 0.00356814 0.00324351 20 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 3.02 vpr 60.86 MiB -1 -1 0.12 16684 1 0.02 -1 -1 29944 -1 -1 3 15 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62316 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 22.2 MiB 0.02 109 1242 363 655 224 60.9 MiB 0.01 0.00 1.18536 -16.9279 -1.18536 1.18536 0.67 0.000140069 0.000128731 0.00507204 0.00466481 32 257 8 6.64007e+06 37674 554710. 1919.41 0.69 0.0199951 0.0171014 22834 132086 -1 233 12 141 141 7600 2273 1.08545 1.08545 -18.3302 -1.08545 0 0 701300. 2426.64 0.19 0.01 0.12 -1 -1 0.19 0.00456305 0.00399182 22 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 3.15 vpr 61.09 MiB -1 -1 0.09 16700 1 0.02 -1 -1 30016 -1 -1 4 17 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62556 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 22.7 MiB 0.03 121 1870 575 914 381 61.1 MiB 0.02 0.00 1.19636 -19.6512 -1.19636 1.19636 0.63 0.000156917 0.000144325 0.00700165 0.00644272 32 290 10 6.64007e+06 50232 554710. 1919.41 0.66 0.024347 0.0209001 22834 132086 -1 249 11 159 159 7628 2242 0.976248 0.976248 -19.6804 -0.976248 0 0 701300. 2426.64 0.20 0.01 0.14 -1 -1 0.20 0.00486641 0.00436093 25 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.00 vpr 61.04 MiB -1 -1 0.10 16808 1 0.02 -1 -1 30104 -1 -1 4 19 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62504 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 22.6 MiB 0.03 130 1749 486 940 323 61.0 MiB 0.02 0.00 1.20736 -22.2432 -1.20736 1.20736 0.66 0.000175738 0.000162453 0.00653357 0.00604036 26 355 9 6.64007e+06 50232 477104. 1650.88 0.61 0.0256081 0.0220285 21682 110474 -1 329 9 164 164 10033 2816 1.10745 1.10745 -24.287 -1.10745 0 0 585099. 2024.56 0.17 0.01 0.10 -1 -1 0.17 0.00506426 0.00448325 28 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 3.17 vpr 60.99 MiB -1 -1 0.12 16700 1 0.02 -1 -1 30052 -1 -1 5 21 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62456 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 22.5 MiB 0.03 173 2599 639 1402 558 61.0 MiB 0.02 0.00 1.21836 -24.9885 -1.21836 1.21836 0.55 0.000200513 0.000185947 0.00894621 0.0082799 26 463 13 6.64007e+06 62790 477104. 1650.88 0.62 0.0306974 0.0264201 21682 110474 -1 398 13 189 189 14144 3858 1.09645 1.09645 -26.9476 -1.09645 0 0 585099. 2024.56 0.23 0.02 0.11 -1 -1 0.23 0.00563182 0.00497228 31 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.24 vpr 61.14 MiB -1 -1 0.13 16680 1 0.02 -1 -1 30000 -1 -1 5 23 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62612 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 22.7 MiB 0.03 164 2964 931 1425 608 61.1 MiB 0.02 0.00 1.22936 -27.3898 -1.22936 1.22936 0.71 0.000206251 0.000190541 0.00963184 0.00889713 28 517 23 6.64007e+06 62790 500653. 1732.36 0.61 0.0317241 0.0272701 21970 115934 -1 442 20 303 303 26861 7031 1.12945 1.12945 -29.4465 -1.12945 0 0 612192. 2118.31 0.17 0.02 0.10 -1 -1 0.17 0.00878731 0.00750444 34 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.22 vpr 61.13 MiB -1 -1 0.11 16532 1 0.02 -1 -1 30024 -1 -1 5 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62600 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 22.7 MiB 0.03 184 3793 1189 1796 808 61.1 MiB 0.03 0.00 1.24036 -30.1907 -1.24036 1.24036 0.81 0.000234728 0.000212555 0.0117985 0.0107414 28 547 21 6.64007e+06 62790 500653. 1732.36 0.67 0.0365875 0.0314951 21970 115934 -1 457 15 336 336 22420 6117 1.15145 1.15145 -31.9263 -1.15145 0 0 612192. 2118.31 0.19 0.02 0.10 -1 -1 0.19 0.00780256 0.00676725 37 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 2.96 vpr 61.04 MiB -1 -1 0.10 16660 1 0.03 -1 -1 30020 -1 -1 6 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62500 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 22.5 MiB 0.03 211 3827 1497 2158 172 61.0 MiB 0.03 0.00 1.25136 -33.107 -1.25136 1.25136 0.63 0.000240191 0.000221993 0.0116847 0.0108247 30 630 22 6.64007e+06 75348 526063. 1820.29 0.67 0.0419168 0.0362549 22546 126617 -1 502 16 371 371 19340 5571 1.13065 1.13065 -33.3987 -1.13065 0 0 666494. 2306.21 0.18 0.02 0.11 -1 -1 0.18 0.00849324 0.0073704 40 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 3.10 vpr 61.07 MiB -1 -1 0.09 16820 1 0.02 -1 -1 29904 -1 -1 7 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62540 29 15 104 105 1 73 51 17 17 289 -1 unnamed_device 22.6 MiB 0.02 224 4187 1190 2032 965 61.1 MiB 0.03 0.00 1.26236 -35.4106 -1.26236 1.26236 0.64 0.000191842 0.000174379 0.00948282 0.00864468 28 767 24 6.64007e+06 87906 500653. 1732.36 0.78 0.0371463 0.0318996 21970 115934 -1 562 22 473 473 32624 8956 1.16245 1.16245 -35.8258 -1.16245 0 0 612192. 2118.31 0.17 0.03 0.10 -1 -1 0.17 0.0110433 0.00947793 44 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.19 vpr 61.17 MiB -1 -1 0.13 16472 1 0.03 -1 -1 30332 -1 -1 7 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62640 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 22.6 MiB 0.03 288 4950 1971 2770 209 61.2 MiB 0.04 0.00 1.62267 -39.7496 -1.62267 1.62267 0.64 0.000273136 0.000252828 0.0141066 0.01308 30 673 18 6.64007e+06 87906 526063. 1820.29 0.68 0.046775 0.0407575 22546 126617 -1 522 15 299 299 16763 4558 1.05125 1.05125 -38.0908 -1.05125 0 0 666494. 2306.21 0.18 0.03 0.11 -1 -1 0.18 0.00950889 0.00826898 46 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.23 vpr 61.34 MiB -1 -1 0.10 16756 1 0.02 -1 -1 30108 -1 -1 7 33 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62808 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 22.8 MiB 0.03 307 5834 1940 2742 1152 61.3 MiB 0.04 0.00 1.63367 -42.0204 -1.63367 1.63367 0.64 0.000309775 0.000288281 0.0163676 0.015193 32 745 14 6.64007e+06 87906 554710. 1919.41 0.77 0.0474426 0.0415042 22834 132086 -1 626 15 398 398 30740 8144 1.05245 1.05245 -41.309 -1.05245 0 0 701300. 2426.64 0.29 0.02 0.12 -1 -1 0.29 0.0087433 0.00772429 49 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 3.16 vpr 61.51 MiB -1 -1 0.13 16924 1 0.02 -1 -1 30096 -1 -1 8 37 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62988 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 22.9 MiB 0.04 341 7938 3219 4414 305 61.5 MiB 0.06 0.00 1.65567 -48.7751 -1.65567 1.65567 0.64 0.000375025 0.000343894 0.0240677 0.0221515 30 827 19 6.64007e+06 100464 526063. 1820.29 0.70 0.0619723 0.0541748 22546 126617 -1 666 16 413 413 26469 6911 1.08425 1.08425 -46.5193 -1.08425 0 0 666494. 2306.21 0.20 0.03 0.13 -1 -1 0.20 0.0104982 0.00920944 55 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 3.35 vpr 61.34 MiB -1 -1 0.12 16964 1 0.03 -1 -1 30268 -1 -1 8 41 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62812 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 22.9 MiB 0.04 384 7846 3210 4515 121 61.3 MiB 0.06 0.00 1.67767 -55.4572 -1.67767 1.67767 0.71 0.000372911 0.000340499 0.019576 0.0179302 32 1081 20 6.64007e+06 100464 554710. 1919.41 0.76 0.0640442 0.0556817 22834 132086 -1 897 14 538 538 45146 11476 1.19345 1.19345 -54.3768 -1.19345 0 0 701300. 2426.64 0.22 0.03 0.13 -1 -1 0.22 0.0109347 0.0095655 61 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 3.40 vpr 61.44 MiB -1 -1 0.12 16796 1 0.02 -1 -1 30376 -1 -1 10 45 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62912 45 23 160 161 1 114 78 17 17 289 -1 unnamed_device 23.0 MiB 0.04 432 8876 3599 5116 161 61.4 MiB 0.06 0.00 1.69967 -61.7455 -1.69967 1.69967 0.66 0.000389559 0.000362152 0.0220002 0.0204503 32 1352 32 6.64007e+06 125580 554710. 1919.41 0.83 0.075997 0.0665848 22834 132086 -1 963 14 609 609 48826 12639 1.22645 1.22645 -60.6664 -1.22645 0 0 701300. 2426.64 0.20 0.03 0.12 -1 -1 0.20 0.0100134 0.00882417 68 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 3.55 vpr 61.59 MiB -1 -1 0.14 16908 1 0.02 -1 -1 30284 -1 -1 10 49 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63068 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 23.1 MiB 0.04 558 5025 968 3800 257 61.6 MiB 0.04 0.00 2.07098 -71.2143 -2.07098 2.07098 0.84 0.000425139 0.000396498 0.0128448 0.0119737 28 1421 23 6.64007e+06 125580 500653. 1732.36 0.77 0.064188 0.0560199 21970 115934 -1 1169 12 565 565 48093 12624 1.41065 1.41065 -72.8345 -1.41065 0 0 612192. 2118.31 0.17 0.03 0.10 -1 -1 0.17 0.0113809 0.0100226 73 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 3.72 vpr 61.61 MiB -1 -1 0.15 16808 1 0.02 -1 -1 29924 -1 -1 11 57 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63088 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 23.1 MiB 0.04 667 10531 2201 7783 547 61.6 MiB 0.07 0.00 2.11498 -86.1894 -2.11498 2.11498 0.77 0.000491136 0.000457618 0.0245838 0.0229161 30 1522 22 6.64007e+06 138138 526063. 1820.29 0.91 0.086643 0.0767132 22546 126617 -1 1274 15 667 667 47564 12306 1.36745 1.36745 -82.8702 -1.36745 0 0 666494. 2306.21 0.29 0.04 0.13 -1 -1 0.29 0.0151487 0.0133522 85 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 3.49 vpr 61.94 MiB -1 -1 0.15 16860 1 0.02 -1 -1 30168 -1 -1 13 65 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63424 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 23.3 MiB 0.06 868 13145 3010 9693 442 61.9 MiB 0.09 0.00 2.50829 -103.421 -2.50829 2.50829 0.64 0.000570883 0.000533702 0.0296851 0.0277135 30 1790 25 6.64007e+06 163254 526063. 1820.29 0.82 0.10217 0.0907429 22546 126617 -1 1552 18 664 664 47432 11648 1.28925 1.28925 -92.3169 -1.28925 0 0 666494. 2306.21 0.19 0.05 0.11 -1 -1 0.19 0.0198114 0.0174165 97 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 3.53 vpr 62.65 MiB -1 -1 0.10 17192 1 0.03 -1 -1 30252 -1 -1 19 97 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64156 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 23.9 MiB 0.06 1568 34065 14169 19781 115 62.7 MiB 0.20 0.00 3.38291 -182.49 -3.38291 3.38291 0.65 0.00087162 0.000816927 0.0678489 0.0635614 32 2880 15 6.64007e+06 238602 554710. 1919.41 0.82 0.162904 0.147991 22834 132086 -1 2659 17 1121 1121 110787 24043 1.50525 1.50525 -149.272 -1.50525 0 0 701300. 2426.64 0.19 0.07 0.08 -1 -1 0.19 0.0290638 0.0260129 145 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 4.15 vpr 63.34 MiB -1 -1 0.16 17336 1 0.03 -1 -1 30516 -1 -1 25 129 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64856 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 24.5 MiB 0.08 2005 50303 16107 29492 4704 63.3 MiB 0.28 0.01 4.25753 -269.889 -4.25753 4.25753 0.63 0.00119166 0.00112036 0.0936853 0.0880086 30 4093 39 6.64007e+06 313950 526063. 1820.29 1.12 0.270887 0.246716 22546 126617 -1 3365 16 1268 1268 109386 27629 1.79745 1.79745 -209.019 -1.79745 0 0 666494. 2306.21 0.18 0.10 0.12 -1 -1 0.18 0.0375427 0.0339136 193 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 2.39 vpr 60.54 MiB -1 -1 0.09 16652 1 0.02 -1 -1 29908 -1 -1 2 7 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 61992 7 4 27 28 1 14 13 17 17 289 -1 unnamed_device 21.9 MiB 0.01 45 88 36 48 4 60.5 MiB 0.00 0.00 0.824016 -7.37037 -0.824016 0.824016 0.63 7.2216e-05 6.4634e-05 0.000659497 0.000594791 12 108 7 6.65987e+06 25356 231691. 801.699 0.31 0.0035037 0.00316559 19090 58805 -1 106 5 36 36 2491 792 0.770048 0.770048 -7.85853 -0.770048 0 0 318358. 1101.58 0.09 0.01 0.06 -1 -1 0.09 0.00209331 0.00192134 10 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 2.80 vpr 60.77 MiB -1 -1 0.09 16820 1 0.02 -1 -1 30040 -1 -1 2 9 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62228 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 22.1 MiB 0.01 41 456 116 304 36 60.8 MiB 0.01 0.00 0.781048 -9.22036 -0.781048 0.781048 0.68 9.5142e-05 8.4304e-05 0.00257641 0.00228466 26 152 18 6.65987e+06 25356 477104. 1650.88 0.55 0.00918979 0.00778672 21682 110474 -1 116 7 74 74 3007 1013 0.779989 0.779989 -9.21718 -0.779989 0 0 585099. 2024.56 0.16 0.01 0.10 -1 -1 0.16 0.0026344 0.00237773 13 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 2.85 vpr 60.78 MiB -1 -1 0.09 16808 1 0.02 -1 -1 30116 -1 -1 2 11 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62236 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 22.1 MiB 0.01 72 1094 334 508 252 60.8 MiB 0.01 0.00 0.803048 -11.753 -0.803048 0.803048 0.63 0.000106068 9.6643e-05 0.00499134 0.00455456 26 224 15 6.65987e+06 25356 477104. 1650.88 0.58 0.0174645 0.014836 21682 110474 -1 167 11 125 125 5716 1772 1.02145 1.02145 -12.529 -1.02145 0 0 585099. 2024.56 0.21 0.03 0.10 -1 -1 0.21 0.00847052 0.00746355 16 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 3.04 vpr 60.73 MiB -1 -1 0.09 16700 1 0.03 -1 -1 30044 -1 -1 4 13 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62192 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 22.1 MiB 0.01 116 976 287 524 165 60.7 MiB 0.01 0.00 0.825048 -14.7932 -0.825048 0.825048 0.68 0.000123237 0.000112748 0.00395645 0.00362361 32 258 13 6.65987e+06 50712 554710. 1919.41 0.64 0.0181149 0.0154004 22834 132086 -1 233 15 168 168 9348 2946 0.912248 0.912248 -15.665 -0.912248 0 0 701300. 2426.64 0.28 0.01 0.13 -1 -1 0.28 0.00464616 0.00410451 20 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 3.06 vpr 60.61 MiB -1 -1 0.13 16700 1 0.02 -1 -1 30016 -1 -1 3 15 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62060 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 22.0 MiB 0.01 108 1090 278 634 178 60.6 MiB 0.03 0.00 1.18536 -17.031 -1.18536 1.18536 0.71 0.00030521 0.000280018 0.0108311 0.00993712 26 321 15 6.65987e+06 38034 477104. 1650.88 0.58 0.0271715 0.0234877 21682 110474 -1 266 15 195 195 15675 4276 1.07445 1.07445 -18.5126 -1.07445 0 0 585099. 2024.56 0.21 0.02 0.11 -1 -1 0.21 0.00539231 0.0046838 22 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 2.94 vpr 60.71 MiB -1 -1 0.13 16548 1 0.02 -1 -1 30000 -1 -1 4 17 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62172 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 22.3 MiB 0.02 121 1870 577 901 392 60.7 MiB 0.02 0.00 1.19636 -19.7591 -1.19636 1.19636 0.65 0.000156655 0.000144065 0.00690437 0.00635479 26 301 14 6.65987e+06 50712 477104. 1650.88 0.58 0.0247911 0.0211864 21682 110474 -1 307 14 174 174 14296 3814 1.10745 1.10745 -21.924 -1.10745 0 0 585099. 2024.56 0.16 0.02 0.10 -1 -1 0.16 0.00551437 0.00476794 25 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.24 vpr 60.75 MiB -1 -1 0.12 16476 1 0.04 -1 -1 30012 -1 -1 4 19 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62212 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 22.3 MiB 0.02 130 1489 379 854 256 60.8 MiB 0.02 0.00 1.20736 -22.2972 -1.20736 1.20736 0.66 0.000179202 0.000164099 0.00558311 0.00516194 28 383 14 6.65987e+06 50712 500653. 1732.36 0.76 0.0226792 0.0193651 21970 115934 -1 314 13 189 189 13693 3682 1.07445 1.07445 -23.2798 -1.07445 0 0 612192. 2118.31 0.17 0.02 0.10 -1 -1 0.17 0.00572719 0.00499125 28 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 2.96 vpr 60.96 MiB -1 -1 0.10 16604 1 0.02 -1 -1 30016 -1 -1 5 21 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62424 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 22.5 MiB 0.02 174 2721 690 1411 620 61.0 MiB 0.02 0.00 1.21836 -25.1455 -1.21836 1.21836 0.64 0.000195028 0.000180362 0.00932152 0.00862531 26 459 11 6.65987e+06 63390 477104. 1650.88 0.60 0.0301707 0.0259866 21682 110474 -1 429 17 252 252 26655 6869 1.11845 1.11845 -27.7026 -1.11845 0 0 585099. 2024.56 0.21 0.02 0.11 -1 -1 0.21 0.00742205 0.0063806 31 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.08 vpr 60.95 MiB -1 -1 0.13 16516 1 0.02 -1 -1 29992 -1 -1 5 23 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62408 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 22.5 MiB 0.02 167 2964 885 1525 554 60.9 MiB 0.02 0.00 1.22936 -27.524 -1.22936 1.22936 0.64 0.000208731 0.000193017 0.00971386 0.00897663 32 479 16 6.65987e+06 63390 554710. 1919.41 0.67 0.0338142 0.0291387 22834 132086 -1 395 21 324 324 25600 6914 1.12945 1.12945 -28.8455 -1.12945 0 0 701300. 2426.64 0.19 0.03 0.11 -1 -1 0.19 0.00918646 0.00786476 34 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 2.99 vpr 60.94 MiB -1 -1 0.07 16688 1 0.02 -1 -1 30032 -1 -1 5 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62404 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 22.4 MiB 0.02 182 3793 1277 1774 742 60.9 MiB 0.03 0.00 1.24036 -30.1073 -1.24036 1.24036 0.62 0.000226158 0.000209534 0.0120714 0.0111803 30 533 22 6.65987e+06 63390 526063. 1820.29 0.65 0.0396974 0.0343319 22546 126617 -1 415 15 303 303 18773 5450 1.11845 1.11845 -31.306 -1.11845 0 0 666494. 2306.21 0.19 0.02 0.12 -1 -1 0.19 0.00764893 0.00662829 37 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.22 vpr 61.12 MiB -1 -1 0.12 16596 1 0.02 -1 -1 29992 -1 -1 6 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62584 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 22.6 MiB 0.02 242 3827 1191 1808 828 61.1 MiB 0.03 0.00 1.25136 -33.3667 -1.25136 1.25136 0.68 0.00023863 0.000220928 0.0116184 0.0107621 32 602 23 6.65987e+06 76068 554710. 1919.41 0.71 0.0412691 0.0356594 22834 132086 -1 551 15 329 329 25798 6746 1.16245 1.16245 -36.4677 -1.16245 0 0 701300. 2426.64 0.24 0.02 0.13 -1 -1 0.24 0.00849487 0.00737783 40 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 3.06 vpr 60.93 MiB -1 -1 0.08 16484 1 0.02 -1 -1 29904 -1 -1 7 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62388 29 15 104 105 1 73 51 17 17 289 -1 unnamed_device 22.4 MiB 0.02 232 4187 1348 1837 1002 60.9 MiB 0.03 0.00 1.26236 -35.7901 -1.26236 1.26236 0.66 0.000251011 0.000232275 0.012034 0.0111472 32 784 18 6.65987e+06 88746 554710. 1919.41 0.72 0.0415616 0.0360182 22834 132086 -1 649 20 448 448 37391 10296 1.18565 1.18565 -38.5204 -1.18565 0 0 701300. 2426.64 0.19 0.03 0.12 -1 -1 0.19 0.0111503 0.00958348 44 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.09 vpr 61.03 MiB -1 -1 0.13 16576 1 0.02 -1 -1 30296 -1 -1 7 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62492 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 22.5 MiB 0.02 288 4950 1999 2838 113 61.0 MiB 0.04 0.00 1.62267 -39.6644 -1.62267 1.62267 0.65 0.000270503 0.000250606 0.0142365 0.0131715 32 720 13 6.65987e+06 88746 554710. 1919.41 0.71 0.0439331 0.0382457 22834 132086 -1 581 15 323 323 23790 6339 1.11139 1.11139 -39.4077 -1.11139 0 0 701300. 2426.64 0.19 0.02 0.12 -1 -1 0.19 0.00898417 0.00782433 46 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.06 vpr 61.00 MiB -1 -1 0.13 16944 1 0.03 -1 -1 30068 -1 -1 7 33 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62468 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 22.4 MiB 0.02 303 5834 2357 3389 88 61.0 MiB 0.04 0.00 1.63367 -43.2049 -1.63367 1.63367 0.65 0.000289613 0.000268407 0.0164896 0.0153003 30 736 23 6.65987e+06 88746 526063. 1820.29 0.71 0.0527476 0.0460293 22546 126617 -1 585 11 314 314 16980 4853 1.09525 1.09525 -41.4234 -1.09525 0 0 666494. 2306.21 0.18 0.02 0.07 -1 -1 0.18 0.00770111 0.00676809 49 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 3.16 vpr 61.24 MiB -1 -1 0.12 16868 1 0.02 -1 -1 30020 -1 -1 8 37 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62708 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 22.6 MiB 0.03 345 7938 3016 3746 1176 61.2 MiB 0.05 0.00 1.65567 -49.0688 -1.65567 1.65567 0.64 0.000328603 0.000305597 0.0214596 0.0199392 32 864 23 6.65987e+06 101424 554710. 1919.41 0.73 0.0612624 0.0535617 22834 132086 -1 714 17 458 458 35870 9202 1.21545 1.21545 -48.6316 -1.21545 0 0 701300. 2426.64 0.19 0.03 0.12 -1 -1 0.19 0.0116204 0.0100606 55 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 4.67 vpr 61.14 MiB -1 -1 0.11 16756 1 0.02 -1 -1 30288 -1 -1 8 41 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62608 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 22.7 MiB 0.03 384 7846 3176 4532 138 61.1 MiB 0.05 0.00 1.67767 -55.9313 -1.67767 1.67767 0.64 0.000359787 0.000334507 0.0207435 0.0192742 32 1100 37 6.65987e+06 101424 554710. 1919.41 2.16 0.138143 0.118286 22834 132086 -1 834 15 513 513 50895 14061 1.35765 1.35765 -56.977 -1.35765 0 0 701300. 2426.64 0.20 0.03 0.11 -1 -1 0.20 0.0113818 0.00996538 61 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 3.30 vpr 61.33 MiB -1 -1 0.14 16792 1 0.03 -1 -1 30240 -1 -1 10 45 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62804 45 23 160 161 1 114 78 17 17 289 -1 unnamed_device 22.9 MiB 0.03 501 8876 3644 5119 113 61.3 MiB 0.06 0.00 1.69967 -63.1909 -1.69967 1.69967 0.66 0.000296671 0.000272461 0.0219232 0.0203732 32 1229 21 6.65987e+06 126780 554710. 1919.41 0.79 0.0681071 0.0598606 22834 132086 -1 983 14 503 503 41044 10497 1.35765 1.35765 -63.3446 -1.35765 0 0 701300. 2426.64 0.19 0.03 0.12 -1 -1 0.19 0.0117639 0.0103107 68 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 3.48 vpr 61.43 MiB -1 -1 0.10 16964 1 0.02 -1 -1 30280 -1 -1 10 49 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62908 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 22.9 MiB 0.03 511 5574 1066 4247 261 61.4 MiB 0.04 0.00 2.07098 -69.2626 -2.07098 2.07098 0.86 0.000334543 0.000306134 0.0132025 0.0122392 30 1160 19 6.65987e+06 126780 526063. 1820.29 0.77 0.0619854 0.0540699 22546 126617 -1 994 17 530 530 32427 9110 1.23039 1.23039 -65.5714 -1.23039 0 0 666494. 2306.21 0.18 0.04 0.11 -1 -1 0.18 0.0144555 0.0126318 73 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 3.79 vpr 61.57 MiB -1 -1 0.14 17036 1 0.03 -1 -1 29956 -1 -1 11 57 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63052 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 23.0 MiB 0.03 651 8311 1647 6236 428 61.6 MiB 0.06 0.00 2.11498 -86.6461 -2.11498 2.11498 0.69 0.000488037 0.00045472 0.0196026 0.0182552 32 1634 45 6.65987e+06 139458 554710. 1919.41 1.15 0.105909 0.0928275 22834 132086 -1 1319 25 699 699 115082 47232 1.51479 1.51479 -86.6431 -1.51479 0 0 701300. 2426.64 0.21 0.07 0.13 -1 -1 0.21 0.0242339 0.0212661 85 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 5.21 vpr 61.84 MiB -1 -1 0.14 16944 1 0.03 -1 -1 30112 -1 -1 13 65 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63324 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 23.1 MiB 0.04 868 11549 2508 8660 381 61.8 MiB 0.08 0.00 2.50829 -103.583 -2.50829 2.50829 0.64 0.000565266 0.000527895 0.0262663 0.0245342 36 1671 12 6.65987e+06 164814 612192. 2118.31 2.53 0.175483 0.153476 23410 145293 -1 1494 16 639 639 51471 12603 1.31639 1.31639 -91.5905 -1.31639 0 0 782063. 2706.10 0.23 0.05 0.13 -1 -1 0.23 0.0187444 0.0165488 97 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 5.55 vpr 62.43 MiB -1 -1 0.14 17244 1 0.04 -1 -1 30256 -1 -1 19 97 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63928 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 23.7 MiB 0.06 1535 34065 11310 19970 2785 62.4 MiB 0.20 0.00 3.38291 -182.175 -3.38291 3.38291 0.65 0.000871086 0.000815999 0.0682818 0.0639526 34 2861 18 6.65987e+06 240882 585099. 2024.56 2.60 0.262571 0.235683 23122 138558 -1 2590 14 1010 1010 95313 21795 1.76765 1.76765 -159.313 -1.76765 0 0 742403. 2568.87 0.21 0.07 0.12 -1 -1 0.21 0.0246844 0.0221704 145 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 4.77 vpr 63.03 MiB -1 -1 0.13 17572 1 0.03 -1 -1 30524 -1 -1 25 129 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64544 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 24.3 MiB 0.08 1995 50303 15701 29972 4630 63.0 MiB 0.29 0.01 4.25753 -271.034 -4.25753 4.25753 0.63 0.00120181 0.0011316 0.0944409 0.0888144 34 3946 34 6.65987e+06 316950 585099. 2024.56 1.66 0.365082 0.331036 23122 138558 -1 3373 19 1322 1322 123702 29938 1.87959 1.87959 -212.925 -1.87959 0 0 742403. 2568.87 0.20 0.10 0.12 -1 -1 0.20 0.0433375 0.0391393 193 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_003bits.v common 2.83 vpr 61.50 MiB -1 -1 0.12 16660 1 0.02 -1 -1 29900 -1 -1 1 7 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62972 7 4 27 28 1 12 12 17 17 289 -1 unnamed_device 22.9 MiB 0.02 35 142 65 72 5 61.5 MiB 0.00 0.00 0.712895 -7.85699 -0.712895 0.712895 0.69 7.0776e-05 6.351e-05 0.00102225 0.000917552 18 101 12 6.95648e+06 14475.7 376052. 1301.22 0.48 0.0094283 0.00787663 22882 88689 -1 85 6 30 30 1665 579 0.74674 0.74674 -8.48094 -0.74674 0 0 470940. 1629.55 0.15 0.01 0.08 -1 -1 0.15 0.00232772 0.00217204 5 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_004bits.v common 2.66 vpr 61.48 MiB -1 -1 0.13 16596 1 0.03 -1 -1 29952 -1 -1 1 9 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62960 9 5 34 35 1 15 15 17 17 289 -1 unnamed_device 22.9 MiB 0.03 31 339 89 221 29 61.5 MiB 0.01 0.00 0.583992 -8.96727 -0.583992 0.583992 0.68 8.7789e-05 7.9566e-05 0.00197013 0.00178682 18 107 11 6.95648e+06 14475.7 376052. 1301.22 0.47 0.0122517 0.0103568 22882 88689 -1 104 15 80 80 5143 1701 0.74674 0.74674 -9.62957 -0.74674 0 0 470940. 1629.55 0.12 0.01 0.05 -1 -1 0.12 0.0025915 0.00232026 7 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_005bits.v common 2.80 vpr 61.79 MiB -1 -1 0.13 16828 1 0.02 -1 -1 29968 -1 -1 1 11 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63276 11 6 41 42 1 19 18 17 17 289 -1 unnamed_device 23.2 MiB 0.03 45 386 75 296 15 61.8 MiB 0.01 0.00 0.701895 -11.7042 -0.701895 0.701895 0.65 0.000105744 9.659e-05 0.00212845 0.00194235 20 170 7 6.95648e+06 14475.7 414966. 1435.87 0.48 0.00547974 0.00492535 23170 95770 -1 132 10 67 67 3716 1135 0.709292 0.709292 -12.5375 -0.709292 0 0 503264. 1741.40 0.19 0.01 0.07 -1 -1 0.19 0.00362899 0.0031977 8 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_006bits.v common 2.80 vpr 61.50 MiB -1 -1 0.08 16680 1 0.02 -1 -1 29884 -1 -1 2 13 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62972 13 7 48 49 1 25 22 17 17 289 -1 unnamed_device 23.1 MiB 0.03 102 442 97 336 9 61.5 MiB 0.01 0.00 0.834592 -15.6382 -0.834592 0.834592 0.69 0.000122547 0.000112206 0.0022557 0.00206458 14 266 10 6.95648e+06 28951.4 292583. 1012.40 0.43 0.0160055 0.0134776 22018 70521 -1 244 10 94 94 5449 1761 0.955932 0.955932 -17.8072 -0.955932 0 0 376052. 1301.22 0.11 0.01 0.09 -1 -1 0.11 0.00504022 0.00450224 10 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_007bits.v common 2.94 vpr 61.49 MiB -1 -1 0.12 16604 1 0.03 -1 -1 29960 -1 -1 2 15 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62964 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 23.1 MiB 0.04 130 961 301 532 128 61.5 MiB 0.01 0.00 0.881906 -18.7043 -0.881906 0.881906 0.65 0.000141523 0.000129909 0.00425537 0.00390416 26 280 16 6.95648e+06 28951.4 503264. 1741.40 0.60 0.0204775 0.0173691 24322 120374 -1 256 17 144 144 10809 2941 0.841632 0.841632 -19.3755 -0.841632 0 0 618332. 2139.56 0.16 0.02 0.11 -1 -1 0.16 0.00567022 0.004882 11 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_008bits.v common 3.01 vpr 61.67 MiB -1 -1 0.12 16484 1 0.02 -1 -1 30028 -1 -1 2 17 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63148 17 9 62 63 1 37 28 17 17 289 -1 unnamed_device 23.2 MiB 0.04 257 910 229 586 95 61.7 MiB 0.01 0.00 0.852632 -22.7137 -0.852632 0.852632 0.66 0.000157647 0.000145299 0.00390956 0.00361113 26 472 15 6.95648e+06 28951.4 503264. 1741.40 0.61 0.0225391 0.0191026 24322 120374 -1 448 12 147 147 11564 2660 0.977932 0.977932 -25.1573 -0.977932 0 0 618332. 2139.56 0.17 0.01 0.11 -1 -1 0.17 0.00506492 0.00442416 13 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_009bits.v common 3.14 vpr 61.76 MiB -1 -1 0.12 16488 1 0.02 -1 -1 29968 -1 -1 2 19 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63240 19 10 69 70 1 44 31 17 17 289 -1 unnamed_device 23.2 MiB 0.04 130 1471 299 1132 40 61.8 MiB 0.02 0.00 1.04807 -22.9622 -1.04807 1.04807 0.67 0.000114512 0.000102238 0.00573301 0.0052562 32 406 10 6.95648e+06 28951.4 586450. 2029.24 0.69 0.0242401 0.0207271 25474 144626 -1 382 17 207 207 16113 4728 1.08603 1.08603 -26.1011 -1.08603 0 0 744469. 2576.02 0.19 0.02 0.13 -1 -1 0.19 0.00671449 0.00587308 14 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_010bits.v common 3.20 vpr 61.65 MiB -1 -1 0.12 16700 1 0.02 -1 -1 30076 -1 -1 2 21 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63132 21 11 76 77 1 49 34 17 17 289 -1 unnamed_device 23.2 MiB 0.04 132 1464 547 909 8 61.7 MiB 0.02 0.00 0.896632 -24.9691 -0.896632 0.896632 0.67 0.000156235 0.000140751 0.00515574 0.00467451 32 454 39 6.95648e+06 28951.4 586450. 2029.24 0.75 0.0349468 0.029311 25474 144626 -1 328 12 265 265 19156 5537 1.27253 1.27253 -26.7182 -1.27253 0 0 744469. 2576.02 0.19 0.02 0.13 -1 -1 0.19 0.0060207 0.0052458 16 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_011bits.v common 3.20 vpr 61.82 MiB -1 -1 0.14 16684 1 0.02 -1 -1 30072 -1 -1 3 23 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63304 23 12 83 84 1 55 38 17 17 289 -1 unnamed_device 23.3 MiB 0.04 156 1235 276 946 13 61.8 MiB 0.01 0.00 0.879432 -27.3624 -0.879432 0.879432 0.66 0.000209239 0.000193793 0.00482751 0.00446882 30 531 15 6.95648e+06 43427 556674. 1926.21 0.67 0.0282997 0.0240598 25186 138497 -1 468 15 324 324 23093 6634 1.10803 1.10803 -32.2256 -1.10803 0 0 706193. 2443.58 0.18 0.02 0.12 -1 -1 0.18 0.00735433 0.00636356 17 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_012bits.v common 3.03 vpr 61.90 MiB -1 -1 0.08 16488 1 0.02 -1 -1 30144 -1 -1 3 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63384 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 23.4 MiB 0.03 171 1581 310 1236 35 61.9 MiB 0.02 0.00 0.918632 -29.9024 -0.918632 0.918632 0.67 0.00027692 0.000254471 0.00593109 0.00549007 26 698 24 6.95648e+06 43427 503264. 1741.40 0.66 0.0340083 0.0289382 24322 120374 -1 532 13 316 316 22025 6538 1.13623 1.13623 -35.6567 -1.13623 0 0 618332. 2139.56 0.16 0.02 0.11 -1 -1 0.16 0.00705053 0.00614595 19 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_013bits.v common 3.53 vpr 61.76 MiB -1 -1 0.09 16580 1 0.02 -1 -1 30004 -1 -1 3 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63244 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 23.3 MiB 0.04 436 1584 370 1078 136 61.8 MiB 0.02 0.00 0.951632 -37.9619 -0.951632 0.951632 0.65 0.000238862 0.000221183 0.00566183 0.00526353 34 905 24 6.95648e+06 43427 618332. 2139.56 1.11 0.0539096 0.0455303 25762 151098 -1 792 15 361 361 37468 7937 1.15203 1.15203 -42.7802 -1.15203 0 0 787024. 2723.27 0.20 0.03 0.13 -1 -1 0.20 0.00814154 0.00706011 20 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_014bits.v common 3.62 vpr 61.91 MiB -1 -1 0.13 16700 1 0.02 -1 -1 29896 -1 -1 4 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63400 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 23.4 MiB 0.03 474 4050 1146 2192 712 61.9 MiB 0.03 0.00 0.951632 -40.2713 -0.951632 0.951632 0.65 0.000251101 0.000232369 0.0125422 0.0116254 34 943 22 6.95648e+06 57902.7 618332. 2139.56 1.15 0.0626903 0.053615 25762 151098 -1 922 18 415 415 43226 9012 1.29933 1.29933 -49.2834 -1.29933 0 0 787024. 2723.27 0.20 0.03 0.13 -1 -1 0.20 0.00989338 0.00859038 23 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_015bits.v common 3.67 vpr 61.82 MiB -1 -1 0.13 16512 1 0.03 -1 -1 30292 -1 -1 3 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63308 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 23.3 MiB 0.05 265 3730 1483 2213 34 61.8 MiB 0.03 0.00 1.33396 -40.6409 -1.33396 1.33396 0.65 0.000272213 0.000252371 0.0119379 0.0110919 34 823 28 6.95648e+06 43427 618332. 2139.56 1.18 0.0679833 0.0579851 25762 151098 -1 676 21 494 494 46660 10924 1.28633 1.28633 -44.7499 -1.28633 0 0 787024. 2723.27 0.20 0.03 0.13 -1 -1 0.20 0.0115361 0.0099394 24 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_016bits.v common 3.70 vpr 61.91 MiB -1 -1 0.11 16880 1 0.03 -1 -1 30196 -1 -1 4 33 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63400 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 23.4 MiB 0.07 279 4848 1968 2843 37 61.9 MiB 0.04 0.00 1.34496 -43.5864 -1.34496 1.34496 0.65 0.000288172 0.000267143 0.0146798 0.0136281 34 870 27 6.95648e+06 57902.7 618332. 2139.56 1.16 0.0742228 0.0635983 25762 151098 -1 676 14 421 421 37243 9470 1.31933 1.31933 -48.683 -1.31933 0 0 787024. 2723.27 0.20 0.03 0.13 -1 -1 0.20 0.00932695 0.00815116 25 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_018bits.v common 3.85 vpr 62.06 MiB -1 -1 0.12 16736 1 0.02 -1 -1 29960 -1 -1 4 37 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63552 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 23.5 MiB 0.09 365 6144 2547 3561 36 62.1 MiB 0.04 0.00 1.36696 -50.3211 -1.36696 1.36696 0.65 0.000329126 0.000306193 0.0183696 0.0171043 34 942 18 6.95648e+06 57902.7 618332. 2139.56 1.18 0.0815789 0.0703098 25762 151098 -1 813 19 472 472 73579 19568 1.29733 1.29733 -54.787 -1.29733 0 0 787024. 2723.27 0.20 0.04 0.14 -1 -1 0.20 0.012772 0.0110386 28 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_020bits.v common 5.74 vpr 62.12 MiB -1 -1 0.12 16964 1 0.03 -1 -1 30336 -1 -1 4 41 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63608 41 21 146 147 1 96 66 17 17 289 -1 unnamed_device 23.4 MiB 0.08 353 6716 2777 3889 50 62.1 MiB 0.05 0.00 1.38896 -56.1965 -1.38896 1.38896 0.65 0.000349698 0.000323715 0.0190772 0.0177341 38 940 27 6.95648e+06 57902.7 678818. 2348.85 3.03 0.114823 0.0983948 26626 170182 -1 742 15 504 504 41784 10379 1.37433 1.37433 -58.3371 -1.37433 0 0 902133. 3121.57 0.22 0.03 0.15 -1 -1 0.22 0.0118042 0.0103205 31 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_022bits.v common 4.13 vpr 62.17 MiB -1 -1 0.13 16756 1 0.03 -1 -1 30356 -1 -1 5 45 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63664 45 23 160 161 1 108 73 17 17 289 -1 unnamed_device 23.8 MiB 0.10 426 8889 3770 5070 49 62.2 MiB 0.06 0.00 1.41096 -62.769 -1.41096 1.41096 0.66 0.000389812 0.000362211 0.023998 0.0223317 36 1166 26 6.95648e+06 72378.4 648988. 2245.63 1.46 0.103193 0.0898077 26050 158493 -1 889 16 551 551 50529 11993 1.44653 1.44653 -67.6272 -1.44653 0 0 828058. 2865.25 0.21 0.04 0.14 -1 -1 0.21 0.0132009 0.0115874 34 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_024bits.v common 4.22 vpr 62.21 MiB -1 -1 0.09 16924 1 0.02 -1 -1 30264 -1 -1 5 49 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63700 49 25 174 175 1 119 79 17 17 289 -1 unnamed_device 23.8 MiB 0.10 449 10895 4547 6264 84 62.2 MiB 0.07 0.00 1.43296 -68.3754 -1.43296 1.43296 0.65 0.000421261 0.000391889 0.0284063 0.0264314 36 1396 29 6.95648e+06 72378.4 648988. 2245.63 1.53 0.0989952 0.0867809 26050 158493 -1 1000 18 676 676 76588 19780 1.38533 1.38533 -71.9222 -1.38533 0 0 828058. 2865.25 0.21 0.05 0.14 -1 -1 0.21 0.0157871 0.0138535 37 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_028bits.v common 9.51 vpr 62.32 MiB -1 -1 0.13 16776 1 0.02 -1 -1 29988 -1 -1 6 57 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63820 57 29 202 203 1 142 92 17 17 289 -1 unnamed_device 23.9 MiB 0.07 552 14789 6414 8303 72 62.3 MiB 0.09 0.00 1.47696 -82.0834 -1.47696 1.47696 0.65 0.000490966 0.000457108 0.0367542 0.0342433 42 1675 42 6.95648e+06 86854.1 744469. 2576.02 6.60 0.244458 0.212913 27202 183097 -1 1251 18 786 786 91448 22161 1.48433 1.48433 -89.0015 -1.48433 0 0 949917. 3286.91 0.36 0.07 0.18 -1 -1 0.36 0.0247827 0.0216797 43 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_032bits.v common 4.44 vpr 62.48 MiB -1 -1 0.13 16860 1 0.02 -1 -1 30132 -1 -1 7 65 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63976 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 23.8 MiB 0.10 753 15419 6653 8659 107 62.5 MiB 0.09 0.00 1.88129 -97.4586 -1.88129 1.88129 0.66 0.000565936 0.000527952 0.0370862 0.0346024 38 1989 34 6.95648e+06 101330 678818. 2348.85 1.60 0.136718 0.120733 26626 170182 -1 1418 19 907 907 90327 20161 1.42303 1.42303 -98.2916 -1.42303 0 0 902133. 3121.57 0.22 0.06 0.14 -1 -1 0.22 0.0212693 0.0187488 49 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_048bits.v common 7.08 vpr 63.27 MiB -1 -1 0.10 17132 1 0.03 -1 -1 30244 -1 -1 10 97 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64788 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 24.6 MiB 0.13 1496 27810 8354 17704 1752 63.3 MiB 0.16 0.00 2.41762 -167.817 -2.41762 2.41762 0.67 0.000905487 0.000849404 0.0627705 0.0589219 54 2784 19 6.95648e+06 144757 949917. 3286.91 4.01 0.306953 0.275715 29506 232905 -1 2526 21 1230 1230 208732 42172 1.53733 1.53733 -155.57 -1.53733 0 0 1.17392e+06 4061.99 0.29 0.10 0.20 -1 -1 0.29 0.0350739 0.031404 73 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_064bits.v common 8.79 vpr 63.96 MiB -1 -1 0.14 17572 1 0.03 -1 -1 30588 -1 -1 13 129 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65496 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 25.2 MiB 0.12 2187 38763 11991 23991 2781 64.0 MiB 0.22 0.01 2.95395 -243.557 -2.95395 2.95395 0.66 0.0011966 0.00112513 0.0792 0.0744865 64 3675 27 6.95648e+06 188184 1.08113e+06 3740.92 5.29 0.418586 0.378286 31522 276338 -1 3357 19 1447 1447 179272 34330 1.79303 1.79303 -218.422 -1.79303 0 0 1.36325e+06 4717.13 0.36 0.12 0.24 -1 -1 0.36 0.0450743 0.0407772 97 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_003bits.v common 2.78 vpr 61.39 MiB -1 -1 0.09 16716 1 0.02 -1 -1 30036 -1 -1 1 7 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62860 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 22.8 MiB 0.01 38 142 63 74 5 61.4 MiB 0.00 0.00 0.815432 -8.51669 -0.815432 0.815432 0.65 7.1123e-05 6.3854e-05 0.00102174 0.000917314 14 104 9 6.99608e+06 14715.7 292583. 1012.40 0.38 0.00387953 0.00345788 22018 70521 -1 88 6 29 29 1510 531 0.87204 0.87204 -8.99744 -0.87204 0 0 376052. 1301.22 0.11 0.01 0.07 -1 -1 0.11 0.00230051 0.00210342 5 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_004bits.v common 2.86 vpr 61.37 MiB -1 -1 0.12 16488 1 0.02 -1 -1 29976 -1 -1 1 9 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62844 9 5 34 35 1 17 15 17 17 289 -1 unnamed_device 22.7 MiB 0.01 35 375 107 237 31 61.4 MiB 0.01 0.00 0.712895 -9.56286 -0.712895 0.712895 0.66 8.788e-05 7.9712e-05 0.00214886 0.00194984 22 122 13 6.99608e+06 14715.7 443629. 1535.05 0.54 0.0123324 0.0103769 23458 102101 -1 89 8 41 41 2009 718 0.74674 0.74674 -9.34806 -0.74674 0 0 531479. 1839.03 0.14 0.01 0.09 -1 -1 0.14 0.00278337 0.00250644 7 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_005bits.v common 2.95 vpr 61.28 MiB -1 -1 0.13 16484 1 0.02 -1 -1 29904 -1 -1 1 11 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62752 11 6 41 42 1 20 18 17 17 289 -1 unnamed_device 22.6 MiB 0.01 44 409 94 303 12 61.3 MiB 0.01 0.00 0.837432 -12.9697 -0.837432 0.837432 0.65 0.000104917 9.5715e-05 0.00223046 0.00203322 26 147 10 6.99608e+06 14715.7 503264. 1741.40 0.59 0.0153447 0.0127561 24322 120374 -1 143 5 52 52 3813 1146 0.837432 0.837432 -14.2048 -0.837432 0 0 618332. 2139.56 0.16 0.01 0.11 -1 -1 0.16 0.00272616 0.00248653 8 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_006bits.v common 2.93 vpr 61.42 MiB -1 -1 0.11 16484 1 0.02 -1 -1 29972 -1 -1 2 13 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62896 13 7 48 49 1 25 22 17 17 289 -1 unnamed_device 22.8 MiB 0.01 105 502 118 371 13 61.4 MiB 0.01 0.00 0.87204 -15.6049 -0.87204 0.87204 0.69 0.00012322 0.000111238 0.00244103 0.00221641 26 223 10 6.99608e+06 29431.4 503264. 1741.40 0.59 0.0157128 0.01323 24322 120374 -1 213 6 56 56 3881 1075 0.99734 0.99734 -16.2101 -0.99734 0 0 618332. 2139.56 0.17 0.01 0.11 -1 -1 0.17 0.00304025 0.00274327 10 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_007bits.v common 2.96 vpr 61.58 MiB -1 -1 0.12 16780 1 0.02 -1 -1 30076 -1 -1 2 15 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63060 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 22.8 MiB 0.02 221 889 226 585 78 61.6 MiB 0.01 0.00 0.859432 -20.3506 -0.859432 0.859432 0.66 0.00013897 0.00012775 0.00402186 0.00369369 26 389 16 6.99608e+06 29431.4 503264. 1741.40 0.61 0.0200751 0.0169654 24322 120374 -1 367 12 122 122 11818 2681 0.859432 0.859432 -22.0875 -0.859432 0 0 618332. 2139.56 0.17 0.02 0.11 -1 -1 0.17 0.00541542 0.00469116 11 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_008bits.v common 3.03 vpr 61.52 MiB -1 -1 0.13 16492 1 0.02 -1 -1 29980 -1 -1 2 17 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62996 17 9 62 63 1 36 28 17 17 289 -1 unnamed_device 23.1 MiB 0.02 254 910 227 603 80 61.5 MiB 0.01 0.00 0.835432 -22.1941 -0.835432 0.835432 0.65 0.000157329 0.000144997 0.00390305 0.0036061 26 490 15 6.99608e+06 29431.4 503264. 1741.40 0.61 0.0219863 0.0185664 24322 120374 -1 458 14 164 164 15885 3660 1.07503 1.07503 -25.7095 -1.07503 0 0 618332. 2139.56 0.21 0.02 0.14 -1 -1 0.21 0.00565055 0.00488757 13 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_009bits.v common 3.32 vpr 61.55 MiB -1 -1 0.13 16716 1 0.02 -1 -1 30040 -1 -1 2 19 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63032 19 10 69 70 1 44 31 17 17 289 -1 unnamed_device 23.1 MiB 0.02 127 1327 280 1001 46 61.6 MiB 0.01 0.00 0.99734 -22.7448 -0.99734 0.99734 0.65 0.000176733 0.000161683 0.00550299 0.00507595 30 399 12 6.99608e+06 29431.4 556674. 1926.21 0.83 0.0215413 0.0185478 25186 138497 -1 336 15 222 222 12475 3709 1.08603 1.08603 -25.228 -1.08603 0 0 706193. 2443.58 0.22 0.02 0.13 -1 -1 0.22 0.00633735 0.00548246 14 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_010bits.v common 3.15 vpr 61.49 MiB -1 -1 0.12 16448 1 0.02 -1 -1 30116 -1 -1 2 21 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62964 21 11 76 77 1 49 34 17 17 289 -1 unnamed_device 23.0 MiB 0.01 126 1574 441 793 340 61.5 MiB 0.02 0.00 0.857432 -24.0154 -0.857432 0.857432 0.65 0.00019227 0.000177874 0.00614309 0.00567934 30 436 46 6.99608e+06 29431.4 556674. 1926.21 0.72 0.0369604 0.0311169 25186 138497 -1 332 25 393 393 15591 5216 0.971732 0.971732 -25.2759 -0.971732 0 0 706193. 2443.58 0.19 0.04 0.12 -1 -1 0.19 0.0158904 0.0133578 16 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_011bits.v common 3.14 vpr 61.55 MiB -1 -1 0.13 16644 1 0.02 -1 -1 30040 -1 -1 3 23 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63024 23 12 83 84 1 54 38 17 17 289 -1 unnamed_device 23.0 MiB 0.02 183 1550 351 1152 47 61.5 MiB 0.02 0.00 0.99734 -28.2495 -0.99734 0.99734 0.67 0.000213818 0.000195374 0.00587824 0.00542983 30 517 14 6.99608e+06 44147 556674. 1926.21 0.67 0.0290247 0.0247712 25186 138497 -1 445 14 233 233 14190 4203 1.16733 1.16733 -31.0675 -1.16733 0 0 706193. 2443.58 0.19 0.02 0.12 -1 -1 0.19 0.0069568 0.00605039 17 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_012bits.v common 3.12 vpr 61.70 MiB -1 -1 0.12 16700 1 0.02 -1 -1 30152 -1 -1 3 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63176 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 23.2 MiB 0.02 204 1721 329 1376 16 61.7 MiB 0.02 0.00 0.890432 -30.9922 -0.890432 0.890432 0.65 0.000228172 0.000211523 0.00632011 0.00584971 30 557 16 6.99608e+06 44147 556674. 1926.21 0.69 0.0320261 0.0274407 25186 138497 -1 448 14 266 266 16876 4505 0.837379 0.837379 -31.2383 -0.837379 0 0 706193. 2443.58 0.19 0.02 0.12 -1 -1 0.19 0.00735214 0.00637679 19 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_013bits.v common 3.50 vpr 61.51 MiB -1 -1 0.13 16604 1 0.02 -1 -1 30076 -1 -1 3 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62984 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 23.0 MiB 0.01 436 1892 450 1259 183 61.5 MiB 0.02 0.00 0.912432 -36.8018 -0.912432 0.912432 0.65 0.000238944 0.000221266 0.00658638 0.00611595 34 852 14 6.99608e+06 44147 618332. 2139.56 1.07 0.0507025 0.0429024 25762 151098 -1 831 16 373 373 47234 9494 1.12098 1.12098 -42.5216 -1.12098 0 0 787024. 2723.27 0.20 0.03 0.13 -1 -1 0.20 0.00853822 0.0074069 20 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_014bits.v common 3.59 vpr 61.64 MiB -1 -1 0.13 16484 1 0.02 -1 -1 29896 -1 -1 4 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63120 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 23.2 MiB 0.02 450 1875 444 1300 131 61.6 MiB 0.02 0.00 0.923432 -40.3253 -0.923432 0.923432 0.65 0.000252351 0.000233727 0.0062455 0.00579773 34 937 24 6.99608e+06 58862.7 618332. 2139.56 1.16 0.0574865 0.0487798 25762 151098 -1 859 15 415 415 55847 10881 1.28833 1.28833 -47.6517 -1.28833 0 0 787024. 2723.27 0.20 0.03 0.13 -1 -1 0.20 0.00868378 0.00756049 23 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_015bits.v common 3.67 vpr 61.77 MiB -1 -1 0.09 16680 1 0.03 -1 -1 30252 -1 -1 3 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63252 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 23.2 MiB 0.02 264 3730 1488 2207 35 61.8 MiB 0.03 0.00 1.29476 -39.8553 -1.29476 1.29476 0.65 0.000271507 0.000251476 0.0118482 0.0109749 34 802 16 6.99608e+06 44147 618332. 2139.56 1.16 0.062788 0.0536938 25762 151098 -1 623 13 400 400 34400 8741 1.18303 1.18303 -43.1955 -1.18303 0 0 787024. 2723.27 0.20 0.03 0.13 -1 -1 0.20 0.00824872 0.00718958 24 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_016bits.v common 5.34 vpr 61.82 MiB -1 -1 0.14 16944 1 0.02 -1 -1 30044 -1 -1 4 33 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63304 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 23.2 MiB 0.02 281 4848 2013 2797 38 61.8 MiB 0.04 0.00 1.30576 -42.5699 -1.30576 1.30576 0.66 0.000296525 0.000275307 0.0148284 0.0137512 36 724 20 6.99608e+06 58862.7 648988. 2245.63 2.88 0.0872153 0.0744722 26050 158493 -1 674 16 435 435 45126 11427 1.29733 1.29733 -47.6316 -1.29733 0 0 828058. 2865.25 0.21 0.03 0.14 -1 -1 0.21 0.00997458 0.00865755 25 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_018bits.v common 3.75 vpr 61.75 MiB -1 -1 0.10 16924 1 0.02 -1 -1 30016 -1 -1 4 37 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63232 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 23.2 MiB 0.03 364 6027 2460 3523 44 61.8 MiB 0.04 0.00 1.33876 -49.9999 -1.33876 1.33876 0.66 0.000326431 0.000303358 0.017935 0.0166852 34 968 18 6.99608e+06 58862.7 618332. 2139.56 1.23 0.0805506 0.0692926 25762 151098 -1 814 16 444 444 47586 10641 1.37863 1.37863 -54.0309 -1.37863 0 0 787024. 2723.27 0.20 0.03 0.13 -1 -1 0.20 0.0112702 0.00981872 28 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_020bits.v common 5.52 vpr 61.92 MiB -1 -1 0.13 16980 1 0.02 -1 -1 30468 -1 -1 4 41 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63404 41 21 146 147 1 94 66 17 17 289 -1 unnamed_device 23.3 MiB 0.03 346 6716 2738 3934 44 61.9 MiB 0.05 0.00 1.34976 -55.1748 -1.34976 1.34976 0.66 0.000356876 0.000331631 0.0191613 0.0178231 36 977 32 6.99608e+06 58862.7 648988. 2245.63 2.93 0.111936 0.0959844 26050 158493 -1 819 20 558 558 54416 12290 1.18303 1.18303 -57.3377 -1.18303 0 0 828058. 2865.25 0.21 0.04 0.14 -1 -1 0.21 0.0144039 0.0124659 31 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_022bits.v common 3.99 vpr 62.00 MiB -1 -1 0.10 16756 1 0.02 -1 -1 30332 -1 -1 5 45 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63492 45 23 160 161 1 107 73 17 17 289 -1 unnamed_device 23.4 MiB 0.03 396 8889 3651 5188 50 62.0 MiB 0.06 0.00 1.37176 -60.7615 -1.37176 1.37176 0.66 0.000388235 0.000361 0.0239717 0.0222944 36 1214 26 6.99608e+06 73578.4 648988. 2245.63 1.40 0.10303 0.0895374 26050 158493 -1 923 12 508 508 48485 11691 1.40733 1.40733 -67.273 -1.40733 0 0 828058. 2865.25 0.21 0.03 0.14 -1 -1 0.21 0.0110055 0.00972414 34 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_024bits.v common 4.16 vpr 62.04 MiB -1 -1 0.11 17084 1 0.03 -1 -1 30316 -1 -1 5 49 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63532 49 25 174 175 1 118 79 17 17 289 -1 unnamed_device 23.6 MiB 0.03 445 10895 4568 6256 71 62.0 MiB 0.07 0.00 1.39376 -67.8862 -1.39376 1.39376 0.66 0.000422059 0.0003925 0.0285416 0.0265669 36 1491 44 6.99608e+06 73578.4 648988. 2245.63 1.55 0.114775 0.100192 26050 158493 -1 1093 19 698 698 70768 16760 1.25718 1.25718 -70.7449 -1.25718 0 0 828058. 2865.25 0.21 0.05 0.14 -1 -1 0.21 0.0159008 0.0138803 37 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_028bits.v common 4.40 vpr 62.13 MiB -1 -1 0.14 16792 1 0.03 -1 -1 29992 -1 -1 6 57 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63620 57 29 202 203 1 141 92 17 17 289 -1 unnamed_device 23.7 MiB 0.04 635 14168 6072 8041 55 62.1 MiB 0.08 0.00 1.43776 -80.6872 -1.43776 1.43776 0.67 0.000486414 0.000452369 0.0349287 0.0325012 38 1434 38 6.99608e+06 88294.1 678818. 2348.85 1.65 0.145281 0.127954 26626 170182 -1 1217 19 722 722 62833 15192 1.34803 1.34803 -84.4465 -1.34803 0 0 902133. 3121.57 0.22 0.05 0.15 -1 -1 0.22 0.0184863 0.016281 43 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_032bits.v common 4.59 vpr 62.31 MiB -1 -1 0.14 16748 1 0.04 -1 -1 30068 -1 -1 7 65 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63808 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 23.6 MiB 0.04 752 15419 6606 8671 142 62.3 MiB 0.09 0.00 1.85309 -96.2187 -1.85309 1.85309 0.70 0.000564296 0.000525848 0.0371154 0.0346018 40 1684 44 6.99608e+06 103010 706193. 2443.58 1.71 0.167999 0.147773 26914 176310 -1 1421 17 802 802 88938 19909 1.41203 1.41203 -97.9476 -1.41203 0 0 926341. 3205.33 0.25 0.06 0.15 -1 -1 0.25 0.0195178 0.0172037 49 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_048bits.v common 7.34 vpr 63.32 MiB -1 -1 0.12 17188 1 0.03 -1 -1 30264 -1 -1 10 97 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64836 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 24.4 MiB 0.07 1468 27810 8060 18307 1443 63.3 MiB 0.16 0.00 2.38942 -165.278 -2.38942 2.38942 0.65 0.00086764 0.000813103 0.0613794 0.0575197 50 2828 42 6.99608e+06 147157 902133. 3121.57 4.39 0.360706 0.321992 28642 213929 -1 2491 18 1092 1092 117761 25302 1.46503 1.46503 -152.372 -1.46503 0 0 1.08113e+06 3740.92 0.26 0.08 0.18 -1 -1 0.26 0.0310629 0.0278503 73 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_064bits.v common 8.09 vpr 63.76 MiB -1 -1 0.15 17284 1 0.03 -1 -1 30504 -1 -1 13 129 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65288 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 24.7 MiB 0.08 2210 38763 12390 23782 2591 63.8 MiB 0.23 0.00 2.92575 -242.6 -2.92575 2.92575 0.68 0.00121 0.00113872 0.0798398 0.0750301 56 3706 17 6.99608e+06 191304 973134. 3367.25 4.79 0.440156 0.39732 29794 239141 -1 3635 18 1462 1462 199910 38062 1.72703 1.72703 -216.431 -1.72703 0 0 1.19926e+06 4149.71 0.29 0.11 0.21 -1 -1 0.29 0.0423199 0.0382353 97 2 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_003bits.v common 2.66 vpr 60.90 MiB -1 -1 0.10 16556 1 0.05 -1 -1 31892 -1 -1 1 7 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62364 7 4 21 25 1 11 12 17 17 289 -1 unnamed_device 22.3 MiB 0.01 84 103 37 64 2 60.9 MiB 0.00 0.00 0.77095 -8.74779 -0.77095 0.77095 0.66 5.5192e-05 4.7641e-05 0.000734592 0.000656877 18 138 7 6.79088e+06 13472 376052. 1301.22 0.44 0.00360227 0.00324839 22222 88205 -1 139 7 36 36 3391 861 0.834592 0.834592 -9.43991 -0.834592 0 0 470940. 1629.55 0.13 0.01 0.08 -1 -1 0.13 0.00224456 0.00203448 6 4 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_004bits.v common 2.74 vpr 60.98 MiB -1 -1 0.12 16484 2 0.05 -1 -1 32328 -1 -1 1 9 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62444 9 5 28 33 1 16 15 17 17 289 -1 unnamed_device 22.3 MiB 0.01 35 357 92 226 39 61.0 MiB 0.01 0.00 0.883748 -9.933 -0.883748 0.883748 0.66 8.5825e-05 7.7586e-05 0.0019415 0.00175195 18 138 22 6.79088e+06 13472 376052. 1301.22 0.46 0.00675937 0.00585571 22222 88205 -1 111 7 46 46 2348 827 0.883748 0.883748 -10.8459 -0.883748 0 0 470940. 1629.55 0.13 0.01 0.10 -1 -1 0.13 0.0026264 0.00237285 8 6 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_005bits.v common 2.71 vpr 60.73 MiB -1 -1 0.13 16688 2 0.05 -1 -1 31964 -1 -1 2 11 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62188 11 6 34 40 1 23 19 17 17 289 -1 unnamed_device 22.1 MiB 0.01 64 419 103 298 18 60.7 MiB 0.01 0.00 1.02368 -13.4613 -1.02368 1.02368 0.65 0.000104593 9.508e-05 0.00210186 0.00191806 18 187 7 6.79088e+06 26944 376052. 1301.22 0.44 0.00568217 0.00513414 22222 88205 -1 173 6 63 71 3124 1095 1.02368 1.02368 -14.8017 -1.02368 0 0 470940. 1629.55 0.12 0.01 0.08 -1 -1 0.12 0.00279368 0.00252626 10 7 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_006bits.v common 3.08 vpr 60.84 MiB -1 -1 0.13 16484 3 0.05 -1 -1 32004 -1 -1 2 13 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62304 13 7 41 48 1 30 22 17 17 289 -1 unnamed_device 22.2 MiB 0.01 76 532 100 418 14 60.8 MiB 0.01 0.00 1.14898 -15.9034 -1.14898 1.14898 0.65 0.00012456 0.000113894 0.00262057 0.00240249 30 233 9 6.79088e+06 26944 556674. 1926.21 0.65 0.0160016 0.0134701 24526 138013 -1 186 7 73 77 3376 1079 1.05944 1.05944 -16.4549 -1.05944 0 0 706193. 2443.58 0.19 0.01 0.12 -1 -1 0.19 0.00347721 0.003123 11 9 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_007bits.v common 3.06 vpr 60.83 MiB -1 -1 0.12 16644 3 0.06 -1 -1 31840 -1 -1 2 15 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62292 15 8 47 55 1 36 25 17 17 289 -1 unnamed_device 22.2 MiB 0.01 104 1285 405 745 135 60.8 MiB 0.01 0.00 1.18818 -18.8265 -1.18818 1.18818 0.72 0.000143767 0.000131958 0.00565365 0.00519494 26 349 21 6.79088e+06 26944 503264. 1741.40 0.61 0.0238229 0.0201815 23662 119890 -1 251 11 123 138 5744 1961 1.13784 1.13784 -19.4351 -1.13784 0 0 618332. 2139.56 0.19 0.01 0.11 -1 -1 0.19 0.00448969 0.00394274 13 10 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_008bits.v common 2.89 vpr 61.00 MiB -1 -1 0.10 16528 3 0.06 -1 -1 32040 -1 -1 2 17 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62468 17 9 56 65 1 43 28 17 17 289 -1 unnamed_device 22.6 MiB 0.04 140 1036 259 742 35 61.0 MiB 0.01 0.00 1.52493 -22.992 -1.52493 1.52493 0.65 0.000174357 0.000160435 0.0048709 0.00449761 22 536 11 6.79088e+06 26944 443629. 1535.05 0.57 0.0252634 0.0215593 22798 101617 -1 395 10 193 217 10692 3258 1.27433 1.27433 -24.9429 -1.27433 0 0 531479. 1839.03 0.15 0.01 0.07 -1 -1 0.15 0.00502365 0.00440425 16 14 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_009bits.v common 3.03 vpr 61.12 MiB -1 -1 0.11 16596 4 0.05 -1 -1 31712 -1 -1 3 19 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62588 19 10 60 70 1 49 32 17 17 289 -1 unnamed_device 22.7 MiB 0.05 146 1882 579 931 372 61.1 MiB 0.02 0.00 1.31348 -24.6536 -1.31348 1.31348 0.65 0.00018408 0.000169754 0.00747374 0.00690617 28 465 16 6.79088e+06 40416 531479. 1839.03 0.64 0.0288565 0.0246526 23950 126010 -1 383 14 216 222 15370 4447 1.34919 1.34919 -26.4299 -1.34919 0 0 648988. 2245.63 0.17 0.02 0.11 -1 -1 0.17 0.00636295 0.00552181 17 13 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_010bits.v common 3.21 vpr 60.99 MiB -1 -1 0.10 16820 4 0.06 -1 -1 31676 -1 -1 3 21 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62452 21 11 69 80 1 55 35 17 17 289 -1 unnamed_device 22.5 MiB 0.19 300 2030 503 1451 76 61.0 MiB 0.02 0.00 1.85398 -35.071 -1.85398 1.85398 0.65 0.00020711 0.000191259 0.00804925 0.00742778 28 666 20 6.79088e+06 40416 531479. 1839.03 0.68 0.0340282 0.0291159 23950 126010 -1 606 9 223 263 19980 4923 1.60338 1.60338 -35.3659 -1.60338 0 0 648988. 2245.63 0.18 0.02 0.12 -1 -1 0.18 0.00551482 0.00486956 21 17 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_011bits.v common 3.21 vpr 60.96 MiB -1 -1 0.09 16596 5 0.05 -1 -1 32088 -1 -1 3 23 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62420 23 12 76 88 1 61 38 17 17 289 -1 unnamed_device 22.5 MiB 0.09 166 1865 679 1174 12 61.0 MiB 0.02 0.00 1.90432 -33.8065 -1.90432 1.90432 0.65 0.000223507 0.000206491 0.00744149 0.0068789 30 555 40 6.79088e+06 40416 556674. 1926.21 0.73 0.0412619 0.0350577 24526 138013 -1 449 15 314 367 14797 4946 1.81478 1.81478 -33.5534 -1.81478 0 0 706193. 2443.58 0.18 0.02 0.12 -1 -1 0.18 0.00789845 0.00687332 22 19 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_012bits.v common 3.31 vpr 61.25 MiB -1 -1 0.14 16528 5 0.07 -1 -1 32116 -1 -1 3 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62716 25 13 83 96 1 66 41 17 17 289 -1 unnamed_device 22.7 MiB 0.20 220 1581 312 1246 23 61.2 MiB 0.02 0.00 1.86512 -39.3139 -1.86512 1.86512 0.68 0.000241721 0.000223195 0.00624293 0.00577214 30 659 13 6.79088e+06 40416 556674. 1926.21 0.66 0.0326847 0.0280371 24526 138013 -1 547 13 277 332 18060 5236 1.67834 1.67834 -38.4235 -1.67834 0 0 706193. 2443.58 0.18 0.02 0.12 -1 -1 0.18 0.00771635 0.0067491 23 21 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_013bits.v common 3.72 vpr 61.15 MiB -1 -1 0.11 16688 5 0.08 -1 -1 31748 -1 -1 4 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62616 27 14 91 105 1 72 45 17 17 289 -1 unnamed_device 22.7 MiB 0.23 364 3005 1063 1662 280 61.1 MiB 0.03 0.00 2.15497 -46.6453 -2.15497 2.15497 0.65 0.000272669 0.000252666 0.0110862 0.0102761 34 783 11 6.79088e+06 53888 618332. 2139.56 1.04 0.0599517 0.0511089 25102 150614 -1 725 11 221 294 21162 5045 1.90093 1.90093 -44.7961 -1.90093 0 0 787024. 2723.27 0.20 0.02 0.13 -1 -1 0.20 0.00772697 0.00678686 27 24 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_014bits.v common 4.69 vpr 61.16 MiB -1 -1 0.14 16900 6 0.07 -1 -1 32040 -1 -1 4 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62624 29 15 95 110 1 77 48 17 17 289 -1 unnamed_device 22.6 MiB 0.25 255 3963 1479 2060 424 61.2 MiB 0.03 0.00 2.36642 -47.9969 -2.36642 2.36642 0.65 0.000281701 0.000260882 0.0139122 0.0128997 30 775 48 6.79088e+06 53888 556674. 1926.21 1.92 0.0945044 0.0802124 24526 138013 -1 568 12 263 300 19966 5335 2.06549 2.06549 -45.4295 -2.06549 0 0 706193. 2443.58 0.19 0.02 0.12 -1 -1 0.19 0.00843371 0.00739498 28 23 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_015bits.v common 4.09 vpr 61.43 MiB -1 -1 0.14 16868 6 0.07 -1 -1 31896 -1 -1 5 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62908 31 16 104 120 1 82 52 17 17 289 -1 unnamed_device 22.9 MiB 0.35 458 5484 1992 2787 705 61.4 MiB 0.04 0.00 2.44482 -57.1239 -2.44482 2.44482 0.65 0.00031351 0.000291059 0.018788 0.0174459 34 914 12 6.79088e+06 67360 618332. 2139.56 1.08 0.0756281 0.0649461 25102 150614 -1 817 11 288 403 28924 6607 2.14389 2.14389 -55.4209 -2.14389 0 0 787024. 2723.27 0.21 0.02 0.14 -1 -1 0.21 0.00860813 0.00754855 31 27 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_016bits.v common 3.92 vpr 61.24 MiB -1 -1 0.13 16736 7 0.07 -1 -1 31900 -1 -1 5 33 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62712 33 17 112 129 1 88 55 17 17 289 -1 unnamed_device 22.7 MiB 0.60 550 4423 1218 2590 615 61.2 MiB 0.03 0.00 2.73468 -63.1333 -2.73468 2.73468 0.65 0.000328071 0.000304346 0.0150588 0.0139903 28 1257 34 6.79088e+06 67360 531479. 1839.03 0.75 0.0607391 0.0523896 23950 126010 -1 1059 11 370 464 42792 9109 2.51984 2.51984 -64.8834 -2.51984 0 0 648988. 2245.63 0.17 0.03 0.11 -1 -1 0.17 0.00900819 0.0079129 32 30 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_018bits.v common 4.58 vpr 61.25 MiB -1 -1 0.13 16796 7 0.06 -1 -1 32036 -1 -1 6 37 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62716 37 19 127 146 1 99 62 17 17 289 -1 unnamed_device 22.7 MiB 1.11 427 3600 772 2818 10 61.2 MiB 0.03 0.00 3.37591 -73.3749 -3.37591 3.37591 0.67 0.000368212 0.000342127 0.0120643 0.0112241 30 1098 27 6.79088e+06 80832 556674. 1926.21 0.77 0.0594558 0.0513483 24526 138013 -1 907 9 338 418 28742 7172 3.00001 3.00001 -71.4677 -3.00001 0 0 706193. 2443.58 0.18 0.02 0.12 -1 -1 0.18 0.00884598 0.00785095 37 35 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_020bits.v common 4.58 vpr 61.75 MiB -1 -1 0.13 17084 8 0.05 -1 -1 32144 -1 -1 6 41 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63232 41 21 139 160 1 106 68 17 17 289 -1 unnamed_device 23.1 MiB 0.40 538 6140 1482 4552 106 61.8 MiB 0.04 0.00 2.83873 -76.6247 -2.83873 2.83873 0.65 0.000398531 0.000369935 0.0191164 0.017759 34 1322 18 6.79088e+06 80832 618332. 2139.56 1.31 0.0960504 0.0830003 25102 150614 -1 1113 11 435 551 44365 10307 2.78163 2.78163 -79.0631 -2.78163 0 0 787024. 2723.27 0.20 0.03 0.13 -1 -1 0.20 0.0110265 0.00978163 41 37 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_022bits.v common 4.53 vpr 61.50 MiB -1 -1 0.19 16952 9 0.07 -1 -1 31776 -1 -1 6 45 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62976 45 23 153 176 1 119 74 17 17 289 -1 unnamed_device 23.1 MiB 0.43 495 8909 2437 5871 601 61.5 MiB 0.06 0.00 3.32208 -88.8928 -3.32208 3.32208 0.67 0.00043339 0.000402141 0.0265572 0.0246633 34 1107 11 6.79088e+06 80832 618332. 2139.56 1.11 0.105704 0.0923173 25102 150614 -1 1007 16 459 554 37622 9513 2.98195 2.98195 -84.8302 -2.98195 0 0 787024. 2723.27 0.27 0.04 0.15 -1 -1 0.27 0.0150468 0.0132725 43 41 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_024bits.v common 4.62 vpr 61.55 MiB -1 -1 0.17 17044 10 0.09 -1 -1 32020 -1 -1 8 49 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63028 49 25 166 191 1 133 82 17 17 289 -1 unnamed_device 23.0 MiB 0.82 443 10406 3257 5328 1821 61.6 MiB 0.07 0.00 3.69804 -97.8019 -3.69804 3.69804 0.68 0.000472991 0.00043807 0.0295683 0.0274989 30 1213 22 6.79088e+06 107776 556674. 1926.21 0.82 0.0864163 0.076293 24526 138013 -1 917 14 568 651 31674 9707 3.4363 3.4363 -92.7641 -3.4363 0 0 706193. 2443.58 0.18 0.04 0.12 -1 -1 0.18 0.0146178 0.0129197 48 44 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_028bits.v common 5.66 vpr 61.81 MiB -1 -1 0.19 16984 11 0.07 -1 -1 32124 -1 -1 8 57 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63292 57 29 198 227 1 158 94 17 17 289 -1 unnamed_device 23.2 MiB 1.25 693 10744 2889 6912 943 61.8 MiB 0.07 0.00 4.24198 -129.885 -4.24198 4.24198 0.65 0.000576583 0.000535281 0.0311901 0.029007 34 1779 15 6.79088e+06 107776 618332. 2139.56 1.43 0.11488 0.10096 25102 150614 -1 1549 13 640 920 62246 15307 3.77654 3.77654 -125.083 -3.77654 0 0 787024. 2723.27 0.20 0.04 0.13 -1 -1 0.20 0.015537 0.0138954 59 56 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_032bits.v common 5.84 vpr 61.95 MiB -1 -1 0.13 17192 13 0.08 -1 -1 32116 -1 -1 9 65 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63440 65 33 224 257 1 176 107 17 17 289 -1 unnamed_device 23.3 MiB 1.37 926 16805 6949 9813 43 62.0 MiB 0.10 0.00 5.07578 -160.683 -5.07578 5.07578 0.65 0.000641319 0.000596741 0.045013 0.0419028 36 1839 17 6.79088e+06 121248 648988. 2245.63 1.30 0.167233 0.147435 25390 158009 -1 1677 15 677 874 52182 13074 4.57458 4.57458 -152.855 -4.57458 0 0 828058. 2865.25 0.21 0.05 0.13 -1 -1 0.21 0.0205336 0.0182109 66 62 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_048bits.v common 7.78 vpr 62.90 MiB -1 -1 0.15 17324 19 0.44 -1 -1 32272 -1 -1 13 97 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64412 97 49 340 389 1 267 159 17 17 289 -1 unnamed_device 24.1 MiB 2.80 1392 28109 7029 18915 2165 62.9 MiB 0.15 0.00 7.59683 -301.558 -7.59683 7.59683 0.65 0.00098897 0.000924113 0.0681109 0.0636246 38 2979 20 6.79088e+06 175136 678818. 2348.85 1.83 0.257004 0.229672 25966 169698 -1 2669 16 1063 1447 107709 25005 6.84503 6.84503 -284.795 -6.84503 0 0 902133. 3121.57 0.22 0.07 0.14 -1 -1 0.22 0.0329526 0.0295648 100 98 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_064bits.v common 11.28 vpr 63.71 MiB -1 -1 0.22 17712 26 0.43 -1 -1 32352 -1 -1 18 129 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65236 129 65 453 518 1 350 212 17 17 289 -1 unnamed_device 24.8 MiB 3.41 1833 46906 17001 25907 3998 63.7 MiB 0.26 0.00 10.4862 -509.792 -10.4862 10.4862 0.65 0.00133317 0.00124682 0.104301 0.0970424 44 3836 35 6.79088e+06 242496 787024. 2723.27 4.30 0.618033 0.554495 27118 194962 -1 3133 32 1156 1558 223883 106445 9.82387 9.82387 -480.907 -9.82387 0 0 997811. 3452.63 0.25 0.16 0.16 -1 -1 0.25 0.0779388 0.0699852 129 131 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_003bits.v common 2.85 vpr 61.21 MiB -1 -1 0.09 16688 1 0.02 -1 -1 30076 -1 -1 1 7 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62680 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 22.6 MiB 0.02 25 155 63 90 2 61.2 MiB 0.00 0.00 0.605992 -7.06722 -0.605992 0.605992 0.67 7.1981e-05 6.3403e-05 0.00106693 0.000954724 22 62 10 6.87369e+06 13973.8 443629. 1535.05 0.55 0.00914781 0.00763673 23458 102101 -1 59 3 19 19 950 335 0.74674 0.74674 -6.60182 -0.74674 0 0 531479. 1839.03 0.14 0.00 0.09 -1 -1 0.14 0.00191096 0.0017818 8 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_004bits.v common 2.75 vpr 61.19 MiB -1 -1 0.10 16476 1 0.02 -1 -1 29980 -1 -1 2 9 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62656 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 22.6 MiB 0.05 44 336 94 221 21 61.2 MiB 0.01 0.00 0.789073 -9.95572 -0.789073 0.789073 0.66 8.8933e-05 8.068e-05 0.00179568 0.00162538 18 134 9 6.87369e+06 27947.7 376052. 1301.22 0.46 0.0119303 0.0100351 22882 88689 -1 122 8 64 64 3692 1164 0.914373 0.914373 -10.4211 -0.914373 0 0 470940. 1629.55 0.12 0.01 0.08 -1 -1 0.12 0.00278078 0.00249434 10 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_005bits.v common 3.04 vpr 61.22 MiB -1 -1 0.13 16648 1 0.02 -1 -1 29984 -1 -1 3 11 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62692 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 22.5 MiB 0.06 61 695 189 478 28 61.2 MiB 0.01 0.00 0.811073 -12.6848 -0.811073 0.811073 0.66 0.000104777 9.5766e-05 0.00309753 0.00282283 26 206 9 6.87369e+06 41921.5 503264. 1741.40 0.59 0.0168385 0.0145444 24322 120374 -1 190 10 104 104 5604 1825 0.936373 0.936373 -14.3495 -0.936373 0 0 618332. 2139.56 0.20 0.01 0.12 -1 -1 0.20 0.00337871 0.00298031 13 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_006bits.v common 3.08 vpr 61.31 MiB -1 -1 0.12 16460 1 0.02 -1 -1 29888 -1 -1 3 13 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62784 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 22.7 MiB 0.05 79 823 189 488 146 61.3 MiB 0.01 0.00 0.833073 -15.431 -0.833073 0.833073 0.65 0.000125874 0.000115138 0.00353866 0.00323347 28 275 22 6.87369e+06 41921.5 531479. 1839.03 0.63 0.0191272 0.0160803 24610 126494 -1 248 29 339 339 21178 6570 1.32327 1.32327 -18.416 -1.32327 0 0 648988. 2245.63 0.17 0.02 0.11 -1 -1 0.17 0.00730647 0.00617873 15 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_007bits.v common 3.28 vpr 61.32 MiB -1 -1 0.13 16480 1 0.02 -1 -1 30036 -1 -1 3 15 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62788 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 22.7 MiB 0.07 110 1774 718 1009 47 61.3 MiB 0.02 0.00 1.38906 -18.7903 -1.38906 1.38906 0.66 0.000164381 0.000150906 0.00820035 0.00753496 26 271 18 6.87369e+06 41921.5 503264. 1741.40 0.63 0.0253726 0.0217446 24322 120374 -1 229 11 141 141 6134 1985 0.945373 0.945373 -17.851 -0.945373 0 0 618332. 2139.56 0.17 0.01 0.11 -1 -1 0.17 0.00437944 0.00383468 17 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_008bits.v common 3.35 vpr 61.29 MiB -1 -1 0.12 16492 1 0.02 -1 -1 30136 -1 -1 3 17 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62764 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 22.6 MiB 0.05 121 1965 774 1136 55 61.3 MiB 0.02 0.00 1.2154 -21.6367 -1.2154 1.2154 0.66 0.000157532 0.000145046 0.00750314 0.00691083 26 314 12 6.87369e+06 41921.5 503264. 1741.40 0.60 0.0246582 0.0211194 24322 120374 -1 279 15 162 162 11128 3289 0.978373 0.978373 -21.9378 -0.978373 0 0 618332. 2139.56 0.16 0.02 0.11 -1 -1 0.16 0.00586222 0.00508173 18 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_009bits.v common 3.83 vpr 61.45 MiB -1 -1 0.10 16468 1 0.03 -1 -1 29984 -1 -1 3 19 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62920 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 23.0 MiB 0.05 132 2382 822 1143 417 61.4 MiB 0.02 0.00 1.2264 -24.2078 -1.2264 1.2264 0.66 0.000174666 0.000161137 0.00883153 0.00814252 34 333 14 6.87369e+06 41921.5 618332. 2139.56 1.03 0.0435855 0.0367511 25762 151098 -1 294 11 171 171 10024 3007 1.01137 1.01137 -24.8669 -1.01137 0 0 787024. 2723.27 0.22 0.01 0.13 -1 -1 0.22 0.00500173 0.00437819 20 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_010bits.v common 3.57 vpr 61.50 MiB -1 -1 0.12 16768 1 0.02 -1 -1 30036 -1 -1 3 21 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62972 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 23.1 MiB 0.05 144 2942 1070 1287 585 61.5 MiB 0.03 0.00 1.2374 -27.3029 -1.2374 1.2374 0.66 0.000228953 0.000211759 0.0121339 0.0112283 32 404 11 6.87369e+06 41921.5 586450. 2029.24 0.71 0.0327598 0.0283801 25474 144626 -1 344 18 232 232 17547 4520 1.14767 1.14767 -28.4348 -1.14767 0 0 744469. 2576.02 0.19 0.02 0.16 -1 -1 0.19 0.00755288 0.00646504 22 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_011bits.v common 3.50 vpr 61.41 MiB -1 -1 0.10 16524 1 0.03 -1 -1 29996 -1 -1 4 23 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62888 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 23.0 MiB 0.06 162 3207 1137 1446 624 61.4 MiB 0.03 0.00 1.2484 -29.9497 -1.2484 1.2484 0.67 0.000209777 0.000193926 0.010744 0.00992409 32 466 13 6.87369e+06 55895.4 586450. 2029.24 0.71 0.0335961 0.0289767 25474 144626 -1 381 10 227 227 16721 4459 1.13667 1.13667 -31.4038 -1.13667 0 0 744469. 2576.02 0.19 0.02 0.13 -1 -1 0.19 0.00561323 0.00491219 24 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_012bits.v common 3.78 vpr 61.50 MiB -1 -1 0.15 16688 1 0.03 -1 -1 30076 -1 -1 4 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62972 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 23.0 MiB 0.06 186 2994 1117 1328 549 61.5 MiB 0.02 0.00 1.2594 -33.2136 -1.2594 1.2594 0.68 0.000221356 0.000204235 0.00986052 0.00910649 32 655 21 6.87369e+06 55895.4 586450. 2029.24 0.76 0.0372797 0.0320428 25474 144626 -1 502 14 345 345 26892 7071 1.27297 1.27297 -35.3227 -1.27297 0 0 744469. 2576.02 0.20 0.02 0.14 -1 -1 0.20 0.00643415 0.00559893 26 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_013bits.v common 3.32 vpr 61.67 MiB -1 -1 0.10 16676 1 0.02 -1 -1 30000 -1 -1 4 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63148 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 23.2 MiB 0.07 207 4445 1357 2117 971 61.7 MiB 0.05 0.00 1.2704 -35.3786 -1.2704 1.2704 0.71 0.000774831 0.000716686 0.019367 0.0177904 30 663 27 6.87369e+06 55895.4 556674. 1926.21 0.74 0.0509034 0.0442536 25186 138497 -1 483 24 507 507 28642 7986 1.06167 1.06167 -33.9181 -1.06167 0 0 706193. 2443.58 0.21 0.03 0.13 -1 -1 0.21 0.0113634 0.00966199 28 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_014bits.v common 3.89 vpr 61.53 MiB -1 -1 0.12 16672 1 0.03 -1 -1 29852 -1 -1 5 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63004 29 15 104 105 1 74 49 17 17 289 -1 unnamed_device 23.1 MiB 0.09 236 3520 1361 2099 60 61.5 MiB 0.03 0.00 1.2814 -38.667 -1.2814 1.2814 0.65 0.000250384 0.000231023 0.0105768 0.00976776 34 720 29 6.87369e+06 69869.2 618332. 2139.56 1.24 0.0576053 0.0490166 25762 151098 -1 587 33 592 592 54027 13510 1.18697 1.18697 -39.0629 -1.18697 0 0 787024. 2723.27 0.20 0.05 0.14 -1 -1 0.20 0.0166257 0.0141299 31 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_015bits.v common 3.29 vpr 61.61 MiB -1 -1 0.13 16632 1 0.02 -1 -1 30168 -1 -1 5 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63088 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 23.1 MiB 0.10 290 5290 2175 3012 103 61.6 MiB 0.04 0.00 1.65273 -43.1654 -1.65273 1.65273 0.65 0.000271141 0.000251301 0.015609 0.0144668 32 796 21 6.87369e+06 69869.2 586450. 2029.24 0.72 0.0477628 0.0415656 25474 144626 -1 595 14 348 348 23916 6708 1.18967 1.18967 -42.5277 -1.18967 0 0 744469. 2576.02 0.19 0.02 0.13 -1 -1 0.19 0.00846663 0.007356 33 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_016bits.v common 3.26 vpr 61.60 MiB -1 -1 0.09 16916 1 0.03 -1 -1 30148 -1 -1 5 33 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63076 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 23.0 MiB 0.11 305 5567 2291 3184 92 61.6 MiB 0.04 0.00 1.66373 -46.4834 -1.66373 1.66373 0.66 0.000292722 0.000271304 0.0162602 0.0150865 32 846 12 6.87369e+06 69869.2 586450. 2029.24 0.72 0.0468873 0.0410302 25474 144626 -1 624 13 397 397 28097 7660 1.18967 1.18967 -45.6334 -1.18967 0 0 744469. 2576.02 0.20 0.03 0.12 -1 -1 0.20 0.00864672 0.0075447 34 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_018bits.v common 3.30 vpr 61.58 MiB -1 -1 0.10 17072 1 0.03 -1 -1 30056 -1 -1 5 37 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63056 37 19 132 133 1 89 61 17 17 289 -1 unnamed_device 23.0 MiB 0.10 337 7021 2897 4010 114 61.6 MiB 0.05 0.00 1.68573 -53.53 -1.68573 1.68573 0.65 0.000329513 0.000306542 0.0201083 0.0187056 30 948 30 6.87369e+06 69869.2 556674. 1926.21 0.79 0.0637635 0.055543 25186 138497 -1 761 15 505 505 47600 13595 1.15867 1.15867 -52.1566 -1.15867 0 0 706193. 2443.58 0.19 0.03 0.12 -1 -1 0.19 0.0105987 0.00918697 38 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_020bits.v common 3.86 vpr 61.79 MiB -1 -1 0.12 17036 1 0.02 -1 -1 30184 -1 -1 6 41 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63272 41 21 146 147 1 101 68 17 17 289 -1 unnamed_device 23.2 MiB 0.08 385 8348 3442 4769 137 61.8 MiB 0.05 0.00 1.70773 -60.4248 -1.70773 1.70773 0.66 0.00036076 0.000335487 0.0225047 0.0209068 36 1028 14 6.87369e+06 83843 648988. 2245.63 1.22 0.0886879 0.0767738 26050 158493 -1 867 17 566 566 52806 13360 1.29497 1.29497 -58.8375 -1.29497 0 0 828058. 2865.25 0.23 0.04 0.14 -1 -1 0.23 0.0125856 0.0109143 42 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_022bits.v common 4.62 vpr 61.82 MiB -1 -1 0.10 16764 1 0.02 -1 -1 30308 -1 -1 7 45 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63308 45 23 160 161 1 115 75 17 17 289 -1 unnamed_device 23.2 MiB 0.12 501 8923 3695 5118 110 61.8 MiB 0.06 0.00 1.72973 -68.4498 -1.72973 1.72973 0.65 0.000392855 0.00036483 0.0228853 0.0212498 30 1320 27 6.87369e+06 97816.9 556674. 1926.21 1.93 0.11678 0.101005 25186 138497 -1 1004 19 683 683 58196 13765 1.24467 1.24467 -66.3045 -1.24467 0 0 706193. 2443.58 0.20 0.04 0.13 -1 -1 0.20 0.0155085 0.0134455 47 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_024bits.v common 3.90 vpr 61.85 MiB -1 -1 0.14 16896 1 0.02 -1 -1 30244 -1 -1 7 49 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63336 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 23.4 MiB 0.12 546 8481 1841 6167 473 61.9 MiB 0.06 0.00 2.11206 -76.8106 -2.11206 2.11206 0.66 0.000426808 0.000397371 0.021658 0.0201678 34 1528 39 6.87369e+06 97816.9 618332. 2139.56 1.23 0.115496 0.100314 25762 151098 -1 1253 15 644 644 53348 13861 1.45597 1.45597 -79.0101 -1.45597 0 0 787024. 2723.27 0.20 0.04 0.10 -1 -1 0.20 0.0125784 0.0110664 50 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_028bits.v common 3.78 vpr 62.04 MiB -1 -1 0.12 17072 1 0.03 -1 -1 29924 -1 -1 8 57 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63524 57 29 202 203 1 142 94 17 17 289 -1 unnamed_device 23.6 MiB 0.07 715 11383 2391 8777 215 62.0 MiB 0.07 0.00 2.15606 -94.3707 -2.15606 2.15606 0.65 0.000486228 0.000453006 0.0273479 0.0254997 34 1673 19 6.87369e+06 111791 618332. 2139.56 1.22 0.120191 0.105441 25762 151098 -1 1369 12 654 654 61233 14395 1.26667 1.26667 -86.12 -1.26667 0 0 787024. 2723.27 0.20 0.04 0.13 -1 -1 0.20 0.0127482 0.011293 58 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_032bits.v common 3.92 vpr 62.34 MiB -1 -1 0.14 16780 1 0.03 -1 -1 30008 -1 -1 9 65 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63836 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 23.7 MiB 0.13 934 14022 3954 9126 942 62.3 MiB 0.09 0.00 2.56039 -113.242 -2.56039 2.56039 0.65 0.000572291 0.000534807 0.0329071 0.0306727 34 2070 14 6.87369e+06 125765 618332. 2139.56 1.20 0.137947 0.121526 25762 151098 -1 1863 15 839 839 90840 19446 1.46697 1.46697 -107.907 -1.46697 0 0 787024. 2723.27 0.21 0.05 0.13 -1 -1 0.21 0.0173579 0.0153092 66 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_048bits.v common 4.82 vpr 63.05 MiB -1 -1 0.13 17016 1 0.04 -1 -1 30280 -1 -1 13 97 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64560 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 24.2 MiB 0.11 1452 25529 6578 17249 1702 63.0 MiB 0.16 0.00 3.45705 -194.211 -3.45705 3.45705 0.65 0.000869358 0.000815276 0.0537895 0.0504466 34 3437 23 6.87369e+06 181660 618332. 2139.56 1.76 0.228641 0.204901 25762 151098 -1 2791 18 1243 1243 137552 30003 1.76427 1.76427 -170.62 -1.76427 0 0 787024. 2723.27 0.29 0.08 0.15 -1 -1 0.29 0.0301318 0.0269146 98 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_064bits.v common 5.80 vpr 63.61 MiB -1 -1 0.16 17324 1 0.03 -1 -1 30540 -1 -1 17 129 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65136 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 24.7 MiB 0.15 1980 44728 13702 26774 4252 63.6 MiB 0.25 0.01 4.35372 -288.703 -4.35372 4.35372 0.67 0.00119795 0.00112735 0.0877406 0.0825363 34 4970 29 6.87369e+06 237555 618332. 2139.56 2.49 0.331433 0.300404 25762 151098 -1 3877 15 1517 1517 184252 40796 1.96297 1.96297 -237.316 -1.96297 0 0 787024. 2723.27 0.21 0.13 0.14 -1 -1 0.21 0.0416698 0.0376132 130 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_003bits.v common 2.83 vpr 61.01 MiB -1 -1 0.14 16708 1 0.02 -1 -1 29992 -1 -1 1 7 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62476 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 22.5 MiB 0.02 25 155 63 90 2 61.0 MiB 0.00 0.00 0.605992 -7.06722 -0.605992 0.605992 0.65 7.2065e-05 6.3415e-05 0.00106937 0.000955439 22 62 10 6.89349e+06 14093.8 443629. 1535.05 0.52 0.00901743 0.00750333 23458 102101 -1 59 3 19 19 950 335 0.74674 0.74674 -6.60182 -0.74674 0 0 531479. 1839.03 0.15 0.01 0.10 -1 -1 0.15 0.00212086 0.00197865 8 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_004bits.v common 3.04 vpr 61.03 MiB -1 -1 0.09 16472 1 0.02 -1 -1 29900 -1 -1 2 9 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62496 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 22.4 MiB 0.03 48 336 89 227 20 61.0 MiB 0.01 0.00 0.789073 -10.0695 -0.789073 0.789073 0.69 8.8146e-05 8.0003e-05 0.00176694 0.00160244 30 124 15 6.89349e+06 28187.7 556674. 1926.21 0.68 0.0123629 0.010355 25186 138497 -1 83 10 44 44 1245 475 0.74674 0.74674 -9.21032 -0.74674 0 0 706193. 2443.58 0.18 0.01 0.12 -1 -1 0.18 0.00306247 0.00272181 10 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_005bits.v common 2.88 vpr 61.09 MiB -1 -1 0.09 16532 1 0.02 -1 -1 29896 -1 -1 3 11 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62552 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 22.5 MiB 0.04 113 641 164 461 16 61.1 MiB 0.01 0.00 0.817273 -13.5684 -0.817273 0.817273 0.69 8.9142e-05 7.8024e-05 0.00241105 0.00214513 22 279 13 6.89349e+06 42281.5 443629. 1535.05 0.56 0.0145198 0.0121324 23458 102101 -1 234 8 72 72 4015 1125 0.87204 0.87204 -14.1973 -0.87204 0 0 531479. 1839.03 0.15 0.01 0.09 -1 -1 0.15 0.00307644 0.00275412 13 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_006bits.v common 3.02 vpr 61.24 MiB -1 -1 0.08 16588 1 0.02 -1 -1 29916 -1 -1 3 13 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62708 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 22.6 MiB 0.04 78 887 222 492 173 61.2 MiB 0.01 0.00 0.833073 -15.4163 -0.833073 0.833073 0.66 0.00012213 0.000111849 0.00377039 0.00344581 28 314 32 6.89349e+06 42281.5 531479. 1839.03 0.67 0.0261137 0.0217306 24610 126494 -1 251 27 283 283 18623 5790 1.18697 1.18697 -17.118 -1.18697 0 0 648988. 2245.63 0.23 0.01 0.12 -1 -1 0.23 0.00437604 0.00375571 15 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_007bits.v common 3.01 vpr 61.25 MiB -1 -1 0.09 16684 1 0.02 -1 -1 30068 -1 -1 3 15 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62724 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 22.5 MiB 0.06 111 1774 737 1001 36 61.3 MiB 0.02 0.00 1.38906 -18.6829 -1.38906 1.38906 0.71 0.000164162 0.000150858 0.00800867 0.00735697 24 336 24 6.89349e+06 42281.5 470940. 1629.55 0.58 0.0260497 0.0222353 24034 113901 -1 233 11 129 129 6343 1856 0.95832 0.95832 -18.2336 -0.95832 0 0 586450. 2029.24 0.16 0.01 0.10 -1 -1 0.16 0.00429926 0.00377497 17 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_008bits.v common 3.18 vpr 61.25 MiB -1 -1 0.09 16768 1 0.02 -1 -1 29916 -1 -1 3 17 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62720 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 22.6 MiB 0.06 120 2009 782 1060 167 61.2 MiB 0.02 0.00 1.2154 -21.1249 -1.2154 1.2154 0.66 0.000156617 0.000144195 0.0076333 0.00703189 32 281 13 6.89349e+06 42281.5 586450. 2029.24 0.72 0.0253939 0.0217983 25474 144626 -1 258 14 176 176 11590 3344 0.96932 0.96932 -21.1815 -0.96932 0 0 744469. 2576.02 0.19 0.02 0.15 -1 -1 0.19 0.00531779 0.00460024 18 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_009bits.v common 3.06 vpr 61.29 MiB -1 -1 0.10 16504 1 0.02 -1 -1 29996 -1 -1 3 19 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62756 19 10 69 70 1 46 32 17 17 289 -1 unnamed_device 22.6 MiB 0.05 134 2432 901 1105 426 61.3 MiB 0.02 0.00 1.2264 -24.0836 -1.2264 1.2264 0.69 0.000175311 0.000161682 0.00908264 0.00838126 26 423 15 6.89349e+06 42281.5 503264. 1741.40 0.64 0.0290985 0.0249782 24322 120374 -1 337 10 191 191 15998 4389 0.989373 0.989373 -25.3023 -0.989373 0 0 618332. 2139.56 0.20 0.02 0.11 -1 -1 0.20 0.00592084 0.00526675 20 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_010bits.v common 3.18 vpr 61.41 MiB -1 -1 0.10 16484 1 0.02 -1 -1 30224 -1 -1 3 21 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62880 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 22.9 MiB 0.05 144 2942 987 1222 733 61.4 MiB 0.02 0.00 1.2374 -27.2687 -1.2374 1.2374 0.66 0.000193553 0.000178744 0.010517 0.00972136 32 414 12 6.89349e+06 42281.5 586450. 2029.24 0.69 0.0314625 0.0271354 25474 144626 -1 341 13 213 213 15856 4170 1.26197 1.26197 -29.6078 -1.26197 0 0 744469. 2576.02 0.20 0.02 0.13 -1 -1 0.20 0.00614113 0.0053038 22 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_011bits.v common 3.27 vpr 61.41 MiB -1 -1 0.09 16500 1 0.02 -1 -1 29984 -1 -1 4 23 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62884 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 23.0 MiB 0.05 161 3207 1115 1384 708 61.4 MiB 0.03 0.00 1.2484 -30.0612 -1.2484 1.2484 0.68 0.000209517 0.000193428 0.0107961 0.00998722 32 509 14 6.89349e+06 56375.4 586450. 2029.24 0.70 0.0341872 0.0294845 25474 144626 -1 468 12 263 263 20243 5386 1.14767 1.14767 -32.7798 -1.14767 0 0 744469. 2576.02 0.23 0.02 0.13 -1 -1 0.23 0.00618993 0.00537483 24 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_012bits.v common 3.22 vpr 61.44 MiB -1 -1 0.12 16808 1 0.02 -1 -1 29936 -1 -1 4 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62912 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 22.9 MiB 0.06 202 2994 1191 1765 38 61.4 MiB 0.03 0.00 1.2594 -33.7803 -1.2594 1.2594 0.66 0.000223987 0.000206964 0.0104078 0.00963942 32 646 19 6.89349e+06 56375.4 586450. 2029.24 0.72 0.037422 0.0322816 25474 144626 -1 511 14 312 312 25642 6859 1.28397 1.28397 -36.2114 -1.28397 0 0 744469. 2576.02 0.19 0.03 0.13 -1 -1 0.19 0.00865048 0.00752349 26 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_013bits.v common 3.51 vpr 61.65 MiB -1 -1 0.15 16472 1 0.02 -1 -1 29928 -1 -1 4 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63128 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 23.2 MiB 0.05 209 4445 1740 2185 520 61.6 MiB 0.03 0.00 1.2704 -36.1806 -1.2704 1.2704 0.66 0.000238222 0.00022001 0.0139354 0.0128725 32 702 20 6.89349e+06 56375.4 586450. 2029.24 0.71 0.0420044 0.0364154 25474 144626 -1 587 16 372 372 30438 8155 1.30127 1.30127 -38.873 -1.30127 0 0 744469. 2576.02 0.19 0.02 0.13 -1 -1 0.19 0.00825027 0.00712649 28 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_014bits.v common 5.84 vpr 61.44 MiB -1 -1 0.10 16604 1 0.02 -1 -1 30004 -1 -1 5 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62916 29 15 104 105 1 74 49 17 17 289 -1 unnamed_device 23.0 MiB 0.07 236 3431 1335 2039 57 61.4 MiB 0.05 0.00 1.2814 -39.5467 -1.2814 1.2814 0.65 0.000293934 0.000268322 0.0132802 0.0121633 34 788 40 6.89349e+06 70469.2 618332. 2139.56 3.02 0.119057 0.100027 25762 151098 -1 644 19 438 438 39082 11795 1.21092 1.21092 -41.8688 -1.21092 0 0 787024. 2723.27 0.20 0.03 0.13 -1 -1 0.20 0.00979634 0.00843881 31 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_015bits.v common 3.80 vpr 61.42 MiB -1 -1 0.16 16600 1 0.02 -1 -1 30200 -1 -1 5 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62896 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 22.9 MiB 0.10 290 5290 2177 3014 99 61.4 MiB 0.04 0.00 1.65273 -42.9944 -1.65273 1.65273 0.71 0.000321624 0.000298254 0.0185513 0.0171867 32 754 15 6.89349e+06 70469.2 586450. 2029.24 0.75 0.0520618 0.04542 25474 144626 -1 652 12 358 358 30036 8004 1.22267 1.22267 -44.327 -1.22267 0 0 744469. 2576.02 0.26 0.02 0.13 -1 -1 0.26 0.00756037 0.00658613 33 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_016bits.v common 3.57 vpr 61.56 MiB -1 -1 0.15 16512 1 0.02 -1 -1 29996 -1 -1 5 33 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63036 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 23.0 MiB 0.09 305 5567 2266 3212 89 61.6 MiB 0.04 0.00 1.66373 -46.9867 -1.66373 1.66373 0.65 0.000288954 0.000267917 0.0163323 0.0151642 32 772 28 6.89349e+06 70469.2 586450. 2029.24 0.74 0.054002 0.0469278 25474 144626 -1 651 17 393 393 33429 8671 1.22267 1.22267 -46.5575 -1.22267 0 0 744469. 2576.02 0.19 0.03 0.13 -1 -1 0.19 0.0101812 0.00877998 34 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_018bits.v common 3.57 vpr 61.42 MiB -1 -1 0.12 16900 1 0.03 -1 -1 30140 -1 -1 5 37 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62892 37 19 132 133 1 90 61 17 17 289 -1 unnamed_device 22.9 MiB 0.09 342 7021 2879 4035 107 61.4 MiB 0.05 0.00 1.68573 -54.0175 -1.68573 1.68573 0.65 0.000328475 0.000305303 0.0202021 0.0187965 32 1038 24 6.89349e+06 70469.2 586450. 2029.24 0.74 0.0607916 0.0531097 25474 144626 -1 790 12 409 409 37211 9347 1.25762 1.25762 -54.418 -1.25762 0 0 744469. 2576.02 0.19 0.03 0.13 -1 -1 0.19 0.00900894 0.00785376 38 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_020bits.v common 4.16 vpr 61.68 MiB -1 -1 0.14 16960 1 0.02 -1 -1 30208 -1 -1 6 41 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63164 41 21 146 147 1 102 68 17 17 289 -1 unnamed_device 23.0 MiB 0.06 445 8348 3515 4709 124 61.7 MiB 0.06 0.00 1.70773 -61.5625 -1.70773 1.70773 0.65 0.000359436 0.000333995 0.0227573 0.0211642 34 1072 38 6.89349e+06 84563 618332. 2139.56 1.27 0.0884418 0.0765159 25762 151098 -1 848 13 477 477 40087 9860 1.30597 1.30597 -58.4273 -1.30597 0 0 787024. 2723.27 0.20 0.03 0.13 -1 -1 0.20 0.0103176 0.00901222 42 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_022bits.v common 6.07 vpr 61.57 MiB -1 -1 0.15 16756 1 0.02 -1 -1 30324 -1 -1 7 45 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63048 45 23 160 161 1 115 75 17 17 289 -1 unnamed_device 22.9 MiB 0.11 455 8923 3808 5002 113 61.6 MiB 0.06 0.00 1.72973 -68.2155 -1.72973 1.72973 0.65 0.000387675 0.000360028 0.0229866 0.0213649 38 1248 26 6.89349e+06 98656.9 678818. 2348.85 3.14 0.13918 0.120001 26626 170182 -1 982 17 612 612 52147 13240 1.47057 1.47057 -67.1359 -1.47057 0 0 902133. 3121.57 0.22 0.04 0.14 -1 -1 0.22 0.0133983 0.0116589 47 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_024bits.v common 4.28 vpr 61.82 MiB -1 -1 0.16 16964 1 0.03 -1 -1 30244 -1 -1 7 49 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63304 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 23.1 MiB 0.10 551 7431 1680 5324 427 61.8 MiB 0.05 0.00 2.11206 -77.2602 -2.11206 2.11206 0.65 0.000420992 0.000392372 0.0190073 0.0177119 34 1526 30 6.89349e+06 98656.9 618332. 2139.56 1.36 0.10589 0.0917478 25762 151098 -1 1247 13 610 610 53381 13866 1.55927 1.55927 -81.2966 -1.55927 0 0 787024. 2723.27 0.21 0.04 0.13 -1 -1 0.21 0.0104588 0.00923158 50 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_028bits.v common 4.47 vpr 61.94 MiB -1 -1 0.17 16756 1 0.04 -1 -1 30016 -1 -1 8 57 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63428 57 29 202 203 1 143 94 17 17 289 -1 unnamed_device 23.5 MiB 0.09 650 12022 2723 8727 572 61.9 MiB 0.07 0.00 2.15606 -92.9813 -2.15606 2.15606 0.66 0.000485491 0.000452399 0.0287371 0.0267766 34 1768 42 6.89349e+06 112751 618332. 2139.56 1.52 0.138504 0.12126 25762 151098 -1 1440 26 780 780 113009 43077 1.45792 1.45792 -89.3873 -1.45792 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0230578 0.0201182 58 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_032bits.v common 4.18 vpr 62.07 MiB -1 -1 0.15 16784 1 0.03 -1 -1 30012 -1 -1 9 65 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63564 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 23.4 MiB 0.08 1002 14022 4194 8891 937 62.1 MiB 0.10 0.00 2.56039 -114.689 -2.56039 2.56039 0.66 0.000567925 0.000530486 0.034558 0.0322645 34 2113 22 6.89349e+06 126845 618332. 2139.56 1.18 0.134159 0.118184 25762 151098 -1 1850 17 747 747 77723 16422 1.44497 1.44497 -106.052 -1.44497 0 0 787024. 2723.27 0.25 0.05 0.13 -1 -1 0.25 0.018985 0.0166954 66 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_048bits.v common 4.73 vpr 62.94 MiB -1 -1 0.16 17320 1 0.03 -1 -1 30220 -1 -1 13 97 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64452 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1473 25959 7791 16267 1901 62.9 MiB 0.16 0.00 3.45705 -194.328 -3.45705 3.45705 0.66 0.000865978 0.000812199 0.0545854 0.0511764 34 3279 29 6.89349e+06 183220 618332. 2139.56 1.54 0.239136 0.212773 25762 151098 -1 2746 17 1077 1077 128114 28725 1.64092 1.64092 -165.883 -1.64092 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0290197 0.0257057 98 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_064bits.v common 5.62 vpr 63.70 MiB -1 -1 0.16 17152 1 0.03 -1 -1 30532 -1 -1 17 129 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65224 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 24.8 MiB 0.14 1995 44728 13697 26621 4410 63.7 MiB 0.25 0.01 4.35372 -288.217 -4.35372 4.35372 0.66 0.00119031 0.00111846 0.0879428 0.0826944 34 4749 24 6.89349e+06 239595 618332. 2139.56 2.23 0.338744 0.307021 25762 151098 -1 3879 16 1532 1532 168494 37924 1.91627 1.91627 -230.63 -1.91627 0 0 787024. 2723.27 0.21 0.11 0.13 -1 -1 0.21 0.0371324 0.0335078 130 2 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_003bits.v common 5.38 vpr 61.79 MiB -1 -1 0.17 20216 1 0.10 -1 -1 35844 -1 -1 2 7 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63268 7 4 21 25 1 15 13 17 17 289 -1 unnamed_device 23.2 MiB 0.00 71 268 69 183 16 61.8 MiB 0.00 0.00 0.701249 -7.22873 -0.701249 0.701249 1.21 1.9941e-05 1.0625e-05 0.000588909 0.000332651 20 164 10 6.55708e+06 24110 394039. 1363.46 0.70 0.00139611 0.000918998 19870 87366 -1 150 8 56 56 3527 967 0.821448 0.821448 -8.31053 -0.821448 0 0 477104. 1650.88 0.20 0.00 0.06 -1 -1 0.20 0.000758241 0.000584084 10 4 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_004bits.v common 5.43 vpr 61.82 MiB -1 -1 0.15 20672 2 0.07 -1 -1 35680 -1 -1 2 9 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63304 9 5 28 33 1 21 16 17 17 289 -1 unnamed_device 23.2 MiB 0.04 135 76 35 40 1 61.8 MiB 0.00 0.00 0.900447 -11.7037 -0.900447 0.900447 1.20 2.6423e-05 1.5616e-05 0.000272073 0.000202567 20 236 7 6.55708e+06 24110 394039. 1363.46 0.61 0.0016096 0.00130633 19870 87366 -1 219 9 65 70 4938 1249 0.83871 0.83871 -12.0384 -0.83871 0 0 477104. 1650.88 0.19 0.00 0.06 -1 -1 0.19 0.00106749 0.000797429 13 6 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_005bits.v common 5.34 vpr 61.86 MiB -1 -1 0.13 20368 2 0.13 -1 -1 35408 -1 -1 2 11 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63348 11 6 34 40 1 24 19 17 17 289 -1 unnamed_device 23.4 MiB 0.01 55 569 131 359 79 61.9 MiB 0.00 0.00 0.900447 -11.5834 -0.900447 0.900447 1.13 2.8569e-05 1.7314e-05 0.000929752 0.000618461 26 210 18 6.55708e+06 24110 477104. 1650.88 0.78 0.00604896 0.00422244 21022 109990 -1 168 10 131 136 5947 2149 0.821448 0.821448 -11.9096 -0.821448 0 0 585099. 2024.56 0.23 0.01 0.07 -1 -1 0.23 0.00132124 0.00101161 16 7 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_006bits.v common 5.66 vpr 61.90 MiB -1 -1 0.26 20216 3 0.11 -1 -1 35572 -1 -1 3 13 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63388 13 7 41 48 1 32 23 17 17 289 -1 unnamed_device 23.5 MiB 0.01 85 567 111 433 23 61.9 MiB 0.00 0.00 1.58811 -16.0101 -1.58811 1.58811 1.16 3.2575e-05 2.0258e-05 0.000909538 0.000609743 20 281 10 6.55708e+06 36165 394039. 1363.46 0.76 0.00242852 0.00184424 19870 87366 -1 238 10 108 128 6249 1969 1.50711 1.50711 -17.0526 -1.50711 0 0 477104. 1650.88 0.23 0.00 0.06 -1 -1 0.23 0.00133934 0.00108087 19 9 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_007bits.v common 5.89 vpr 61.94 MiB -1 -1 0.28 20672 3 0.18 -1 -1 35820 -1 -1 3 15 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63428 15 8 47 55 1 38 26 17 17 289 -1 unnamed_device 23.5 MiB 0.01 208 862 165 657 40 61.9 MiB 0.01 0.00 1.23151 -19.784 -1.23151 1.23151 1.17 3.7235e-05 2.4013e-05 0.00117286 0.000814717 20 451 13 6.55708e+06 36165 394039. 1363.46 0.80 0.00314342 0.00240565 19870 87366 -1 409 8 146 164 9675 2532 1.05785 1.05785 -21.0828 -1.05785 0 0 477104. 1650.88 0.26 0.00 0.08 -1 -1 0.26 0.00139678 0.00117942 23 10 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_008bits.v common 6.87 vpr 61.85 MiB -1 -1 0.42 20368 3 0.14 -1 -1 35616 -1 -1 4 17 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63336 17 9 56 65 1 42 30 17 17 289 -1 unnamed_device 23.4 MiB 0.06 126 720 180 518 22 61.9 MiB 0.00 0.00 1.70831 -21.378 -1.70831 1.70831 1.25 4.3648e-05 2.8462e-05 0.00114916 0.000840919 26 318 9 6.55708e+06 48220 477104. 1650.88 0.87 0.00711556 0.00544199 21022 109990 -1 294 14 168 178 8995 2876 1.70831 1.70831 -22.4328 -1.70831 0 0 585099. 2024.56 0.29 0.01 0.14 -1 -1 0.29 0.00466294 0.00196426 25 14 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_009bits.v common 12.19 vpr 62.03 MiB -1 -1 0.21 20520 4 0.24 -1 -1 35648 -1 -1 4 19 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63520 19 10 60 70 1 48 33 17 17 289 -1 unnamed_device 23.5 MiB 0.01 138 1593 371 925 297 62.0 MiB 0.00 0.00 1.58811 -24.9956 -1.58811 1.58811 2.52 1.8144e-05 1.2182e-05 0.000809484 0.000583379 30 391 15 6.55708e+06 48220 526063. 1820.29 2.04 0.00741544 0.00578279 21886 126133 -1 301 11 188 226 8989 3008 1.50711 1.50711 -24.1514 -1.50711 0 0 666494. 2306.21 0.71 0.01 0.17 -1 -1 0.71 0.00195239 0.00166637 29 13 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_010bits.v common 12.87 vpr 62.11 MiB -1 -1 0.36 20672 4 0.12 -1 -1 35476 -1 -1 5 21 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63604 21 11 69 80 1 53 37 17 17 289 -1 unnamed_device 23.5 MiB 0.01 155 2355 551 1281 523 62.1 MiB 0.01 0.00 1.68077 -27.5084 -1.68077 1.68077 2.45 4.929e-05 3.3847e-05 0.00231772 0.00167044 32 463 10 6.55708e+06 60275 554710. 1919.41 2.31 0.15701 0.1549 22174 131602 -1 387 11 223 268 19676 5833 1.46791 1.46791 -27.5478 -1.46791 0 0 701300. 2426.64 0.75 0.01 0.28 -1 -1 0.75 0.00246106 0.00210154 33 17 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_011bits.v common 12.59 vpr 62.16 MiB -1 -1 0.32 20672 5 0.26 -1 -1 35676 -1 -1 6 23 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63652 23 12 76 88 1 61 41 17 17 289 -1 unnamed_device 23.5 MiB 0.01 238 4031 1480 1575 976 62.2 MiB 0.01 0.00 2.07857 -33.206 -2.07857 2.07857 2.70 2.2853e-05 1.5587e-05 0.00162352 0.00116695 28 591 13 6.55708e+06 72330 500653. 1732.36 2.13 0.00837298 0.00645275 21310 115450 -1 529 14 259 335 21502 5832 1.77504 1.77504 -34.2403 -1.77504 0 0 612192. 2118.31 0.66 0.03 0.19 -1 -1 0.66 0.0221081 0.0217231 37 19 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_012bits.v common 11.68 vpr 62.21 MiB -1 -1 0.26 20520 5 0.25 -1 -1 35532 -1 -1 6 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63700 25 13 83 96 1 66 44 17 17 289 -1 unnamed_device 23.7 MiB 0.01 377 3894 1439 2026 429 62.2 MiB 0.04 0.00 1.80097 -39.3697 -1.80097 1.80097 2.62 7.9738e-05 6.1457e-05 0.0277289 0.02663 26 789 18 6.55708e+06 72330 477104. 1650.88 1.88 0.0369521 0.0338877 21022 109990 -1 724 15 307 437 25143 6412 1.73584 1.73584 -42.2656 -1.73584 0 0 585099. 2024.56 0.57 0.01 0.45 -1 -1 0.57 0.00315337 0.00271158 40 21 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_013bits.v common 12.76 vpr 62.26 MiB -1 -1 0.48 20672 5 0.13 -1 -1 35688 -1 -1 7 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63752 27 14 91 105 1 70 48 17 17 289 -1 unnamed_device 23.7 MiB 0.02 311 3963 1152 2039 772 62.3 MiB 0.01 0.00 1.72548 -36.9438 -1.72548 1.72548 2.79 6.0214e-05 4.2761e-05 0.00359942 0.00269558 26 772 15 6.55708e+06 84385 477104. 1650.88 1.86 0.0135503 0.0107861 21022 109990 -1 704 13 255 357 21633 5754 1.70831 1.70831 -39.6993 -1.70831 0 0 585099. 2024.56 0.77 0.03 0.21 -1 -1 0.77 0.00322475 0.00280852 42 24 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_014bits.v common 12.82 vpr 62.28 MiB -1 -1 0.36 20824 6 0.12 -1 -1 35516 -1 -1 7 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63776 29 15 95 110 1 74 51 17 17 289 -1 unnamed_device 23.7 MiB 0.02 458 5503 1602 3150 751 62.3 MiB 0.13 0.00 2.39596 -48.8547 -2.39596 2.39596 2.81 6.5391e-05 4.7683e-05 0.00471541 0.00356477 26 928 17 6.55708e+06 84385 477104. 1650.88 1.75 0.0151589 0.0120377 21022 109990 -1 822 10 255 357 25216 5776 2.15556 2.15556 -48.4222 -2.15556 0 0 585099. 2024.56 0.59 0.05 0.24 -1 -1 0.59 0.00301393 0.00266837 45 23 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_015bits.v common 12.46 vpr 62.34 MiB -1 -1 0.37 20520 6 0.22 -1 -1 35768 -1 -1 10 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63832 31 16 104 120 1 81 57 17 17 289 -1 unnamed_device 23.7 MiB 0.13 439 6052 2332 3296 424 62.3 MiB 0.03 0.00 1.96388 -48.153 -1.96388 1.96388 2.70 9.2362e-05 6.987e-05 0.00504376 0.00383516 28 925 12 6.55708e+06 120550 500653. 1732.36 2.72 0.0157328 0.012446 21310 115450 -1 855 10 297 457 31695 7952 1.9467 1.9467 -50.3217 -1.9467 0 0 612192. 2118.31 0.69 0.03 0.43 -1 -1 0.69 0.0199009 0.019307 50 27 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_016bits.v common 12.77 vpr 62.37 MiB -1 -1 0.38 20672 7 0.14 -1 -1 35780 -1 -1 7 33 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63864 33 17 112 129 1 86 57 17 17 289 -1 unnamed_device 23.8 MiB 0.13 289 5943 1914 2723 1306 62.4 MiB 0.17 0.00 2.57253 -52.3053 -2.57253 2.57253 2.25 7.549e-05 5.5173e-05 0.149983 0.148737 26 1068 34 6.55708e+06 84385 477104. 1650.88 2.34 0.161297 0.157978 21022 109990 -1 798 11 396 536 39199 11676 2.43516 2.43516 -55.6876 -2.43516 0 0 585099. 2024.56 0.79 0.11 0.21 -1 -1 0.79 0.0747217 0.0740949 52 30 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_018bits.v common 12.17 vpr 62.50 MiB -1 -1 0.19 20824 7 0.41 -1 -1 35688 -1 -1 10 37 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64000 37 19 127 146 1 99 66 17 17 289 -1 unnamed_device 24.1 MiB 0.07 515 7248 1983 4155 1110 62.5 MiB 0.12 0.00 3.08562 -68.3297 -3.08562 3.08562 2.72 8.2667e-05 6.2316e-05 0.00591627 0.00458978 28 1076 10 6.55708e+06 120550 500653. 1732.36 2.32 0.0185783 0.0151974 21310 115450 -1 998 9 326 459 26259 6837 2.84522 2.84522 -70.0641 -2.84522 0 0 612192. 2118.31 0.84 0.05 0.32 -1 -1 0.84 0.00418888 0.00365409 59 35 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_020bits.v common 12.09 vpr 62.62 MiB -1 -1 0.24 20976 8 0.44 -1 -1 35732 -1 -1 11 41 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64128 41 21 139 160 1 110 73 17 17 289 -1 unnamed_device 24.0 MiB 0.10 468 9497 2136 5919 1442 62.6 MiB 0.03 0.00 3.11516 -75.6589 -3.11516 3.11516 2.78 9.6981e-05 7.4114e-05 0.00760574 0.005975 26 1241 16 6.55708e+06 132605 477104. 1650.88 2.57 0.0231752 0.0190804 21022 109990 -1 1135 17 482 675 45245 11599 2.9023 2.9023 -77.7159 -2.9023 0 0 585099. 2024.56 0.76 0.11 0.23 -1 -1 0.76 0.00549306 0.00485951 67 37 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_022bits.v common 12.59 vpr 62.73 MiB -1 -1 0.28 20672 9 0.12 -1 -1 35896 -1 -1 13 45 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64240 45 23 153 176 1 123 81 17 17 289 -1 unnamed_device 24.1 MiB 0.95 635 10406 3278 5552 1576 62.7 MiB 0.15 0.00 3.26996 -84.3895 -3.26996 3.26996 2.91 0.000141007 0.00011384 0.00746392 0.0058863 26 1386 13 6.55708e+06 156715 477104. 1650.88 2.21 0.0238052 0.0197161 21022 109990 -1 1258 17 479 598 43278 12179 3.14976 3.14976 -88.4909 -3.14976 0 0 585099. 2024.56 1.02 0.04 0.21 -1 -1 1.02 0.00488544 0.00429784 74 41 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_024bits.v common 11.22 vpr 62.65 MiB -1 -1 0.17 20976 10 0.17 -1 -1 35604 -1 -1 12 49 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64156 49 25 166 191 1 129 86 17 17 289 -1 unnamed_device 24.1 MiB 0.17 683 5378 1001 3837 540 62.7 MiB 0.09 0.00 3.75902 -99.229 -3.75902 3.75902 2.58 0.000114406 8.7206e-05 0.00457321 0.00368865 26 1511 32 6.55708e+06 144660 477104. 1650.88 2.50 0.173084 0.0230037 21022 109990 -1 1392 11 501 667 47166 11692 3.63882 3.63882 -102.215 -3.63882 0 0 585099. 2024.56 0.72 0.02 0.14 -1 -1 0.72 0.00529511 0.00477308 79 44 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_028bits.v common 14.02 vpr 63.03 MiB -1 -1 0.22 21128 11 0.14 -1 -1 35760 -1 -1 14 57 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64540 57 29 198 227 1 159 100 17 17 289 -1 unnamed_device 24.3 MiB 0.42 922 12860 3205 8260 1395 63.0 MiB 0.06 0.00 4.12928 -123.876 -4.12928 4.12928 3.42 0.000142566 0.000113375 0.0293584 0.00748923 32 1876 39 6.55708e+06 168770 554710. 1919.41 2.84 0.0576435 0.0317671 22174 131602 -1 1719 14 578 751 57347 13289 3.88888 3.88888 -120.008 -3.88888 0 0 701300. 2426.64 0.68 0.02 0.20 -1 -1 0.68 0.00754264 0.00685213 93 56 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_032bits.v common 13.48 vpr 63.17 MiB -1 -1 0.36 20824 13 0.19 -1 -1 36244 -1 -1 16 65 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64684 65 33 224 257 1 180 114 17 17 289 -1 unnamed_device 24.4 MiB 0.85 822 10602 2133 8245 224 63.2 MiB 0.06 0.00 4.39522 -142.214 -4.39522 4.39522 2.47 0.000177514 0.000143994 0.0334604 0.031958 30 2035 28 6.55708e+06 192880 526063. 1820.29 3.41 0.0645034 0.0592321 21886 126133 -1 1654 13 698 939 54547 14327 4.06216 4.06216 -140.833 -4.06216 0 0 666494. 2306.21 0.83 0.09 0.26 -1 -1 0.83 0.00812073 0.0074049 107 62 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_048bits.v common 14.73 vpr 64.07 MiB -1 -1 0.39 20976 19 0.16 -1 -1 36008 -1 -1 24 97 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65608 97 49 340 389 1 266 170 17 17 289 -1 unnamed_device 25.3 MiB 0.52 1220 34480 11205 18771 4504 64.1 MiB 0.43 0.00 7.30925 -279.81 -7.30925 7.30925 2.67 0.000314684 0.000267419 0.194503 0.157467 34 2965 19 6.55708e+06 289320 585099. 2024.56 4.20 0.587692 0.544211 22462 138074 -1 2448 12 954 1328 87149 23405 6.97619 6.97619 -277.114 -6.97619 0 0 742403. 2568.87 0.73 0.03 0.18 -1 -1 0.73 0.0118705 0.0109768 161 98 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_064bits.v common 15.16 vpr 64.91 MiB -1 -1 0.23 21736 26 0.14 -1 -1 35956 -1 -1 35 129 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66464 129 65 453 518 1 353 229 17 17 289 -1 unnamed_device 25.9 MiB 0.40 1949 52029 16089 30447 5493 64.9 MiB 0.63 0.00 10.0778 -486.366 -10.0778 10.0778 2.54 0.000184566 0.00015737 0.200287 0.196713 36 3892 37 6.55708e+06 421925 612192. 2118.31 6.05 1.03311 0.99668 22750 144809 -1 3447 12 1162 1593 104559 25785 9.15536 9.15536 -452.326 -9.15536 0 0 782063. 2706.10 0.48 0.06 0.21 -1 -1 0.48 0.0345673 0.0334765 213 131 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 1.95 abc 32.82 MiB -1 -1 0.13 20672 1 0.06 -1 -1 33608 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24052 7 4 24 25 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 2.44 abc 32.36 MiB -1 -1 0.18 20520 1 0.03 -1 -1 33140 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23600 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 1.61 abc 32.83 MiB -1 -1 0.20 20520 1 0.03 -1 -1 33620 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24052 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 1.70 abc 32.79 MiB -1 -1 0.23 20520 1 0.18 -1 -1 33580 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23900 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 2.03 abc 32.82 MiB -1 -1 0.32 20672 1 0.01 -1 -1 33608 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24204 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 2.30 abc 32.71 MiB -1 -1 0.34 20520 1 0.07 -1 -1 33496 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23748 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 1.70 abc 32.86 MiB -1 -1 0.22 20672 1 0.06 -1 -1 33652 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24204 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 1.45 abc 32.89 MiB -1 -1 0.19 20824 1 0.03 -1 -1 33684 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24204 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 2.11 abc 32.70 MiB -1 -1 0.15 20672 1 0.07 -1 -1 33488 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24052 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 1.55 abc 33.20 MiB -1 -1 0.13 20976 1 0.04 -1 -1 33992 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24204 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 1.41 abc 32.88 MiB -1 -1 0.20 20672 1 0.05 -1 -1 33668 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24204 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 1.59 abc 32.71 MiB -1 -1 0.14 20520 1 0.13 -1 -1 33500 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24356 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 1.56 abc 32.69 MiB -1 -1 0.14 20824 1 0.05 -1 -1 33472 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24204 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 1.72 abc 32.83 MiB -1 -1 0.14 20824 1 0.05 -1 -1 33620 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24356 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 1.82 abc 33.11 MiB -1 -1 0.21 20672 1 0.03 -1 -1 33904 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24356 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 1.52 abc 33.11 MiB -1 -1 0.13 20672 1 0.03 -1 -1 33908 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24356 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 1.62 abc 32.81 MiB -1 -1 0.16 20672 1 0.03 -1 -1 33600 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24204 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 1.77 abc 33.02 MiB -1 -1 0.16 20976 1 0.19 -1 -1 33816 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24356 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 1.42 abc 32.90 MiB -1 -1 0.15 20976 1 0.03 -1 -1 33688 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24508 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 1.32 abc 32.54 MiB -1 -1 0.17 20672 1 0.06 -1 -1 33324 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24508 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 1.41 abc 32.92 MiB -1 -1 0.17 21128 1 0.05 -1 -1 33708 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24660 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 1.47 abc 33.13 MiB -1 -1 0.21 21128 1 0.06 -1 -1 33928 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 25116 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 1.29 abc 32.84 MiB -1 -1 0.18 20672 1 0.03 -1 -1 33628 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23808 7 4 24 25 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 1.48 abc 32.65 MiB -1 -1 0.12 20368 1 0.03 -1 -1 33432 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23804 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 1.55 abc 32.66 MiB -1 -1 0.14 20368 1 0.03 -1 -1 33444 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23832 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 1.49 abc 32.79 MiB -1 -1 0.15 20824 1 0.03 -1 -1 33580 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23956 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 1.87 abc 32.86 MiB -1 -1 0.30 20520 1 0.09 -1 -1 33648 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23896 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 1.63 abc 32.88 MiB -1 -1 0.19 20824 1 0.01 -1 -1 33672 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24108 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 1.71 abc 32.72 MiB -1 -1 0.17 20064 1 0.04 -1 -1 33508 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23956 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 1.99 abc 33.01 MiB -1 -1 0.17 20672 1 0.04 -1 -1 33804 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23908 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 1.88 abc 32.89 MiB -1 -1 0.26 20672 1 0.12 -1 -1 33680 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23804 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 1.69 abc 32.72 MiB -1 -1 0.20 20672 1 0.07 -1 -1 33508 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23956 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 2.04 abc 32.74 MiB -1 -1 0.41 20672 1 0.20 -1 -1 33524 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23804 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 1.86 abc 32.73 MiB -1 -1 0.32 20976 1 0.19 -1 -1 33520 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24108 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 1.86 abc 32.66 MiB -1 -1 0.31 20824 1 0.03 -1 -1 33448 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24072 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 2.28 abc 32.75 MiB -1 -1 0.25 20976 1 0.17 -1 -1 33532 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24260 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 2.60 abc 33.11 MiB -1 -1 0.24 20520 1 0.02 -1 -1 33904 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24104 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 2.90 abc 33.08 MiB -1 -1 0.42 20824 1 0.04 -1 -1 33876 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23652 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 2.88 abc 33.08 MiB -1 -1 0.33 20672 1 0.07 -1 -1 33872 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24108 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 3.30 abc 33.09 MiB -1 -1 0.23 20976 1 0.06 -1 -1 33888 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 23652 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 2.04 abc 32.61 MiB -1 -1 0.28 20672 1 0.18 -1 -1 33388 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24264 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 3.26 abc 32.68 MiB -1 -1 0.27 20976 1 0.08 -1 -1 33460 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24260 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 2.28 abc 33.07 MiB -1 -1 0.32 20976 1 0.09 -1 -1 33864 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24564 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 2.71 abc 33.40 MiB -1 -1 0.46 21128 1 0.03 -1 -1 34200 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 24868 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 8.48 vpr 62.21 MiB -1 -1 0.18 20672 1 0.01 -1 -1 33296 -1 -1 2 7 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63700 7 4 27 28 1 14 13 17 17 289 -1 unnamed_device 23.8 MiB 0.07 45 88 36 48 4 62.2 MiB 0.00 0.00 0.824016 -7.40657 -0.824016 0.824016 2.28 2.6609e-05 1.5386e-05 0.000323065 0.000227864 12 106 9 6.64007e+06 25116 231691. 801.699 0.76 0.00127935 0.000958079 19090 58805 -1 116 7 43 43 3050 941 0.770048 0.770048 -8.25533 -0.770048 0 0 318358. 1101.58 0.27 0.00 0.13 -1 -1 0.27 0.000750281 0.000596892 10 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 8.99 vpr 62.23 MiB -1 -1 0.07 20520 1 0.08 -1 -1 33448 -1 -1 2 9 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63724 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 23.6 MiB 0.01 43 476 113 309 54 62.2 MiB 0.00 0.00 0.792048 -9.40096 -0.792048 0.792048 2.15 2.3096e-05 1.2901e-05 0.000816489 0.000517897 18 169 9 6.64007e+06 25116 355633. 1230.56 0.94 0.00416804 0.00286688 20242 81429 -1 123 16 122 122 5330 1771 1.03245 1.03245 -10.208 -1.03245 0 0 448715. 1552.65 0.30 0.01 0.09 -1 -1 0.30 0.00124613 0.000927081 13 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 9.94 vpr 62.27 MiB -1 -1 0.23 20520 1 0.40 -1 -1 33644 -1 -1 2 11 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63768 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 23.8 MiB 0.07 57 869 279 533 57 62.3 MiB 0.01 0.00 0.803048 -11.5224 -0.803048 0.803048 2.26 2.7969e-05 1.6891e-05 0.00130377 0.000862017 26 188 38 6.64007e+06 25116 477104. 1650.88 1.69 0.00695897 0.00488533 21682 110474 -1 164 12 124 124 5487 1920 0.923248 0.923248 -12.587 -0.923248 0 0 585099. 2024.56 0.50 0.00 0.21 -1 -1 0.50 0.00128359 0.00103239 16 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 10.25 vpr 62.31 MiB -1 -1 0.23 20672 1 0.05 -1 -1 33708 -1 -1 4 13 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63804 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 23.8 MiB 0.01 117 1010 285 572 153 62.3 MiB 0.01 0.00 0.825048 -14.462 -0.825048 0.825048 2.18 3.2537e-05 2.0454e-05 0.00124569 0.000806046 26 311 18 6.64007e+06 50232 477104. 1650.88 1.91 0.00631761 0.00443865 21682 110474 -1 262 12 142 142 9033 2342 0.923248 0.923248 -16.2227 -0.923248 0 0 585099. 2024.56 0.57 0.01 0.17 -1 -1 0.57 0.00159324 0.00124695 20 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 10.31 vpr 62.19 MiB -1 -1 0.56 20520 1 0.01 -1 -1 33672 -1 -1 3 15 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63680 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 23.6 MiB 0.02 110 1508 446 794 268 62.2 MiB 0.01 0.00 1.18536 -16.9991 -1.18536 1.18536 2.42 3.4464e-05 2.1951e-05 0.00175811 0.00119432 26 285 16 6.64007e+06 37674 477104. 1650.88 1.78 0.0071198 0.00514232 21682 110474 -1 254 11 159 159 8209 2600 0.965248 0.965248 -17.9696 -0.965248 0 0 585099. 2024.56 0.52 0.01 0.24 -1 -1 0.52 0.00158506 0.00130224 22 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 11.15 vpr 62.23 MiB -1 -1 0.12 20520 1 0.18 -1 -1 33356 -1 -1 4 17 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63720 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 23.9 MiB 0.17 120 1870 496 982 392 62.2 MiB 0.02 0.00 1.19636 -19.4451 -1.19636 1.19636 2.40 3.7551e-05 2.448e-05 0.00208367 0.00148115 32 304 13 6.64007e+06 50232 554710. 1919.41 2.17 0.109511 0.10745 22834 132086 -1 278 13 195 195 9562 2967 0.965248 0.965248 -20.0952 -0.965248 0 0 701300. 2426.64 0.88 0.01 0.20 -1 -1 0.88 0.00184136 0.00152593 25 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 11.67 vpr 62.44 MiB -1 -1 0.42 20672 1 0.14 -1 -1 33344 -1 -1 4 19 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63936 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 23.9 MiB 0.03 130 2165 691 1177 297 62.4 MiB 0.01 0.00 1.20736 -22.2309 -1.20736 1.20736 2.12 4.6206e-05 3.0499e-05 0.00223431 0.0015646 30 305 14 6.64007e+06 50232 526063. 1820.29 2.10 0.00848877 0.00621376 22546 126617 -1 263 12 166 166 8586 2519 0.943248 0.943248 -21.1902 -0.943248 0 0 666494. 2306.21 0.99 0.15 0.28 -1 -1 0.99 0.00455345 0.004251 28 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 12.00 vpr 62.50 MiB -1 -1 0.19 20824 1 0.03 -1 -1 33820 -1 -1 5 21 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64004 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 23.9 MiB 0.02 165 2599 732 1310 557 62.5 MiB 0.15 0.00 1.21836 -25.3249 -1.21836 1.21836 2.45 5.1644e-05 3.5057e-05 0.00158046 0.00114333 26 437 16 6.64007e+06 62790 477104. 1650.88 1.77 0.00852253 0.00636628 21682 110474 -1 397 11 212 212 17327 4546 1.12945 1.12945 -27.9248 -1.12945 0 0 585099. 2024.56 0.61 0.01 0.12 -1 -1 0.61 0.00213001 0.00179936 31 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 10.65 vpr 62.37 MiB -1 -1 0.22 20824 1 0.03 -1 -1 33668 -1 -1 5 23 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63868 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 23.9 MiB 0.11 164 2828 891 1242 695 62.4 MiB 0.01 0.00 1.22936 -27.5958 -1.22936 1.22936 2.21 4.854e-05 3.2426e-05 0.00262652 0.00190085 30 454 16 6.64007e+06 62790 526063. 1820.29 1.99 0.0105108 0.007891 22546 126617 -1 362 10 222 222 11096 3326 1.08545 1.08545 -26.8407 -1.08545 0 0 666494. 2306.21 0.59 0.01 0.26 -1 -1 0.59 0.00186369 0.00158508 34 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 11.05 vpr 62.55 MiB -1 -1 0.45 20824 1 0.12 -1 -1 33536 -1 -1 5 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64052 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 24.1 MiB 0.02 222 3193 974 1551 668 62.6 MiB 0.06 0.00 1.24036 -30.5145 -1.24036 1.24036 2.22 6.2061e-05 4.5181e-05 0.0541061 0.0142985 32 529 11 6.64007e+06 62790 554710. 1919.41 2.38 0.0616625 0.0202159 22834 132086 -1 454 13 240 240 14680 3911 1.02025 1.02025 -30.7205 -1.02025 0 0 701300. 2426.64 0.75 0.01 0.64 -1 -1 0.75 0.00245049 0.00204726 37 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 10.25 vpr 62.60 MiB -1 -1 0.21 20824 1 0.14 -1 -1 33236 -1 -1 6 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64100 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 24.1 MiB 0.04 213 3743 1348 1918 477 62.6 MiB 0.02 0.00 1.25136 -33.0163 -1.25136 1.25136 2.24 5.4144e-05 3.7637e-05 0.00292877 0.0021081 30 572 23 6.64007e+06 75348 526063. 1820.29 1.85 0.0102157 0.00792042 22546 126617 -1 469 19 343 343 21111 5985 1.06545 1.06545 -32.6715 -1.06545 0 0 666494. 2306.21 0.78 0.01 0.37 -1 -1 0.78 0.003265 0.00270897 40 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 11.18 vpr 62.63 MiB -1 -1 0.20 20672 1 0.10 -1 -1 33600 -1 -1 7 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64136 29 15 104 105 1 73 51 17 17 289 -1 unnamed_device 24.2 MiB 0.01 274 4093 1352 1973 768 62.6 MiB 0.02 0.00 1.26236 -36.4784 -1.26236 1.26236 2.68 6.5983e-05 4.8108e-05 0.00326386 0.00239844 28 682 16 6.64007e+06 87906 500653. 1732.36 2.23 0.0122412 0.00949591 21970 115934 -1 555 16 298 298 19833 5185 1.04225 1.04225 -36.9076 -1.04225 0 0 612192. 2118.31 0.89 0.01 0.21 -1 -1 0.89 0.00150915 0.00128545 44 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 11.20 vpr 62.67 MiB -1 -1 0.19 20824 1 0.05 -1 -1 33884 -1 -1 7 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64172 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 24.1 MiB 0.12 288 4848 1950 2799 99 62.7 MiB 0.02 0.00 1.62267 -39.6749 -1.62267 1.62267 2.18 6.4137e-05 4.5299e-05 0.00367333 0.00267953 32 653 15 6.64007e+06 87906 554710. 1919.41 2.47 0.0137278 0.0106569 22834 132086 -1 593 14 356 356 24127 6463 1.08425 1.08425 -39.5936 -1.08425 0 0 701300. 2426.64 0.74 0.01 0.28 -1 -1 0.74 0.0032119 0.00270729 46 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 10.72 vpr 62.70 MiB -1 -1 0.36 20520 1 0.03 -1 -1 33728 -1 -1 7 33 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64208 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 24.2 MiB 0.02 322 6597 2432 2696 1469 62.7 MiB 0.02 0.00 1.63367 -43.7768 -1.63367 1.63367 2.09 6.3901e-05 4.5016e-05 0.0046946 0.00344363 32 788 17 6.64007e+06 87906 554710. 1919.41 2.09 0.0477106 0.044447 22834 132086 -1 624 18 413 413 27004 7158 1.20445 1.20445 -43.7796 -1.20445 0 0 701300. 2426.64 0.64 0.08 0.29 -1 -1 0.64 0.070691 0.0700791 49 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 12.16 vpr 62.67 MiB -1 -1 0.24 20520 1 0.08 -1 -1 33856 -1 -1 8 37 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64172 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 24.2 MiB 0.07 339 6795 2758 3901 136 62.7 MiB 0.07 0.00 1.66184 -49.3449 -1.66184 1.66184 1.92 7.7354e-05 5.6776e-05 0.00500355 0.00379048 30 863 20 6.64007e+06 100464 526063. 1820.29 2.13 0.0153654 0.012162 22546 126617 -1 724 12 390 390 31617 8054 0.998248 0.998248 -46.0177 -0.998248 0 0 666494. 2306.21 0.91 0.01 0.49 -1 -1 0.91 0.00350457 0.00304339 55 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 12.16 vpr 63.09 MiB -1 -1 0.40 20672 1 0.12 -1 -1 33868 -1 -1 8 41 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64608 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 24.4 MiB 0.15 391 7990 3267 4602 121 63.1 MiB 0.01 0.00 1.67767 -55.9871 -1.67767 1.67767 2.80 3.6776e-05 2.6341e-05 0.00240334 0.00180682 30 1096 34 6.64007e+06 100464 526063. 1820.29 2.46 0.0147805 0.0119198 22546 126617 -1 831 18 561 561 50215 12634 1.15145 1.15145 -52.6253 -1.15145 0 0 666494. 2306.21 0.75 0.04 0.25 -1 -1 0.75 0.00464398 0.00399291 61 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 12.25 vpr 63.01 MiB -1 -1 0.30 20976 1 0.03 -1 -1 33904 -1 -1 10 45 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64520 45 23 160 161 1 114 78 17 17 289 -1 unnamed_device 24.4 MiB 0.17 547 9208 3773 5318 117 63.0 MiB 0.21 0.00 1.69967 -63.665 -1.69967 1.69967 2.85 9.0679e-05 6.8367e-05 0.00508939 0.00388694 32 1178 21 6.64007e+06 125580 554710. 1919.41 2.40 0.0192278 0.0155975 22834 132086 -1 989 21 594 594 55253 12975 1.16125 1.16125 -61.7686 -1.16125 0 0 701300. 2426.64 1.16 0.02 0.34 -1 -1 1.16 0.0053506 0.00457251 68 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 12.12 vpr 63.10 MiB -1 -1 0.36 20824 1 0.04 -1 -1 33908 -1 -1 10 49 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64616 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 24.5 MiB 0.13 529 5574 1023 4232 319 63.1 MiB 0.02 0.00 2.07098 -70.8466 -2.07098 2.07098 2.69 9.9599e-05 7.7808e-05 0.00433361 0.00342044 30 1203 14 6.64007e+06 125580 526063. 1820.29 2.96 0.147754 0.145397 22546 126617 -1 1047 12 497 497 35292 9410 1.29045 1.29045 -68.5213 -1.29045 0 0 666494. 2306.21 0.65 0.01 0.29 -1 -1 0.65 0.00428024 0.00376914 73 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 11.11 vpr 63.12 MiB -1 -1 0.15 20976 1 0.06 -1 -1 33604 -1 -1 11 57 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64640 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 24.5 MiB 0.20 688 14749 3296 10627 826 63.1 MiB 0.02 0.00 2.11498 -86.7749 -2.11498 2.11498 2.37 5.4104e-05 4.1267e-05 0.00411628 0.0031968 32 1615 13 6.64007e+06 138138 554710. 1919.41 2.05 0.0212947 0.0176605 22834 132086 -1 1377 16 687 687 51144 13035 1.59685 1.59685 -89.1812 -1.59685 0 0 701300. 2426.64 0.82 0.19 0.34 -1 -1 0.82 0.0060186 0.00525838 85 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 13.16 vpr 63.27 MiB -1 -1 0.35 21128 1 0.07 -1 -1 33308 -1 -1 13 65 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64792 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 24.8 MiB 0.07 791 18731 4146 13545 1040 63.3 MiB 0.03 0.00 2.50829 -102.158 -2.50829 2.50829 2.54 5.9685e-05 4.7213e-05 0.00661618 0.00528175 32 1833 31 6.64007e+06 163254 554710. 1919.41 2.61 0.0327079 0.0275612 22834 132086 -1 1523 16 759 759 67770 16580 1.37645 1.37645 -93.7067 -1.37645 0 0 701300. 2426.64 0.77 0.01 0.23 -1 -1 0.77 0.00414823 0.00372966 97 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 12.43 vpr 64.17 MiB -1 -1 0.26 20976 1 0.12 -1 -1 33804 -1 -1 19 97 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65712 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 25.4 MiB 0.13 1480 31353 9242 19771 2340 64.2 MiB 0.23 0.00 3.38291 -181.53 -3.38291 3.38291 2.29 0.000286648 0.000244081 0.0191959 0.0161984 32 2902 20 6.64007e+06 238602 554710. 1919.41 2.60 0.0910191 0.0834303 22834 132086 -1 2553 15 1039 1039 95766 22209 1.73465 1.73465 -159.111 -1.73465 0 0 701300. 2426.64 0.87 0.08 0.19 -1 -1 0.87 0.0108483 0.00988063 145 2 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 14.10 vpr 64.82 MiB -1 -1 0.44 21280 1 0.15 -1 -1 34032 -1 -1 25 129 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66376 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 26.0 MiB 0.04 2038 51621 17664 30557 3400 64.8 MiB 0.57 0.01 4.25753 -269.429 -4.25753 4.25753 2.16 0.000391401 0.000341118 0.0302312 0.0263916 32 4026 35 6.64007e+06 313950 554710. 1919.41 3.13 0.30837 0.298374 22834 132086 -1 3511 16 1376 1376 131216 29937 1.76645 1.76645 -207.549 -1.76645 0 0 701300. 2426.64 0.93 0.06 0.49 -1 -1 0.93 0.017885 0.0165673 193 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_003bits.v common 9.94 vpr 62.03 MiB -1 -1 0.19 20368 1 0.03 -1 -1 33576 -1 -1 2 7 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63520 7 4 27 28 1 14 13 17 17 289 -1 unnamed_device 23.7 MiB 0.01 45 88 36 48 4 62.0 MiB 0.00 0.00 0.824016 -7.37037 -0.824016 0.824016 2.68 2.5873e-05 1.5321e-05 0.000344207 0.000253116 12 109 9 6.65987e+06 25356 231691. 801.699 1.05 0.0013852 0.00105225 19090 58805 -1 106 5 36 36 2491 792 0.770048 0.770048 -7.85853 -0.770048 0 0 318358. 1101.58 0.30 0.00 0.23 -1 -1 0.30 0.000666407 0.000536571 10 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 9.91 vpr 62.05 MiB -1 -1 0.20 20368 1 0.17 -1 -1 33576 -1 -1 2 9 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63536 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 23.6 MiB 0.01 41 456 116 304 36 62.0 MiB 0.00 0.00 0.781048 -9.22036 -0.781048 0.781048 2.07 2.5837e-05 1.6357e-05 0.000833572 0.000564439 26 134 7 6.65987e+06 25356 477104. 1650.88 1.58 0.00437946 0.00306916 21682 110474 -1 108 10 78 78 3229 1129 0.901248 0.901248 -9.34056 -0.901248 0 0 585099. 2024.56 0.71 0.00 0.23 -1 -1 0.71 0.000526461 0.00043274 13 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 9.56 vpr 62.10 MiB -1 -1 0.28 20520 1 0.12 -1 -1 33456 -1 -1 2 11 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63588 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 23.6 MiB 0.05 72 1094 334 508 252 62.1 MiB 0.01 0.00 0.803048 -11.753 -0.803048 0.803048 2.17 3.2138e-05 1.9024e-05 0.00167306 0.00107151 26 221 9 6.65987e+06 25356 477104. 1650.88 1.56 0.00397657 0.00277689 21682 110474 -1 151 7 96 96 4519 1385 0.912248 0.912248 -12.0702 -0.912248 0 0 585099. 2024.56 0.93 0.00 0.25 -1 -1 0.93 0.00109464 0.000949485 16 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 10.74 vpr 61.92 MiB -1 -1 0.26 20520 1 0.15 -1 -1 33576 -1 -1 4 13 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63408 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 23.6 MiB 0.01 113 670 139 437 94 61.9 MiB 0.11 0.00 0.825048 -14.1032 -0.825048 0.825048 2.31 4.0371e-05 2.7466e-05 0.105697 0.105411 26 293 16 6.65987e+06 50712 477104. 1650.88 1.66 0.111124 0.109195 21682 110474 -1 283 18 187 187 18934 4701 1.06545 1.06545 -17.5297 -1.06545 0 0 585099. 2024.56 0.62 0.00 0.39 -1 -1 0.62 0.000892076 0.000709589 20 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 11.58 vpr 62.16 MiB -1 -1 0.27 20368 1 0.04 -1 -1 33496 -1 -1 3 15 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63656 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 23.9 MiB 0.01 111 1508 461 714 333 62.2 MiB 0.01 0.00 1.18536 -17.1757 -1.18536 1.18536 2.71 3.4756e-05 2.178e-05 0.00177349 0.00120566 28 279 11 6.65987e+06 38034 500653. 1732.36 1.92 0.00496411 0.00367847 21970 115934 -1 273 16 185 185 16138 4416 1.07445 1.07445 -18.9964 -1.07445 0 0 612192. 2118.31 0.72 0.05 0.15 -1 -1 0.72 0.00215737 0.00173907 22 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 12.71 vpr 62.20 MiB -1 -1 0.44 20672 1 0.11 -1 -1 33804 -1 -1 4 17 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63692 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 23.7 MiB 0.01 119 1594 461 883 250 62.2 MiB 0.01 0.00 1.19636 -19.4942 -1.19636 1.19636 2.28 4.5778e-05 3.1279e-05 0.00188789 0.00135454 32 327 16 6.65987e+06 50712 554710. 1919.41 2.27 0.00710385 0.00524397 22834 132086 -1 271 13 162 162 9963 2911 1.08545 1.08545 -21.2972 -1.08545 0 0 701300. 2426.64 0.76 0.01 0.36 -1 -1 0.76 0.00203237 0.00165419 25 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 9.92 vpr 62.28 MiB -1 -1 0.18 20520 1 0.04 -1 -1 33688 -1 -1 4 19 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63772 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 23.7 MiB 0.01 130 2165 641 1112 412 62.3 MiB 0.01 0.00 1.20736 -22.0911 -1.20736 1.20736 1.96 4.7354e-05 3.2782e-05 0.00231875 0.00166685 26 403 16 6.65987e+06 50712 477104. 1650.88 1.73 0.0424421 0.0399943 21682 110474 -1 356 14 205 205 21198 5568 1.21665 1.21665 -25.2068 -1.21665 0 0 585099. 2024.56 0.57 0.01 0.26 -1 -1 0.57 0.00261276 0.00192711 28 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 10.29 vpr 62.33 MiB -1 -1 0.14 20672 1 0.24 -1 -1 33552 -1 -1 5 21 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63824 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 23.7 MiB 0.01 146 2599 849 1247 503 62.3 MiB 0.01 0.00 1.21836 -24.7702 -1.21836 1.21836 2.38 4.2587e-05 2.7881e-05 0.00233672 0.0016366 28 457 21 6.65987e+06 63390 500653. 1732.36 1.64 0.00855064 0.00633521 21970 115934 -1 397 13 250 250 19292 5220 1.15659 1.15659 -26.5215 -1.15659 0 0 612192. 2118.31 0.91 0.12 0.24 -1 -1 0.91 0.00244685 0.00205769 31 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 11.46 vpr 62.35 MiB -1 -1 0.34 20368 1 0.10 -1 -1 33388 -1 -1 5 23 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63844 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 23.7 MiB 0.01 164 2624 822 1205 597 62.3 MiB 0.01 0.00 1.22936 -27.5927 -1.22936 1.22936 2.04 4.4761e-05 2.9588e-05 0.00215993 0.0015069 28 538 16 6.65987e+06 63390 500653. 1732.36 1.94 0.00997708 0.0074881 21970 115934 -1 443 12 262 262 20506 5662 1.26065 1.26065 -31.3625 -1.26065 0 0 612192. 2118.31 0.65 0.07 0.16 -1 -1 0.65 0.0025024 0.00202842 34 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 11.24 vpr 62.38 MiB -1 -1 0.38 20672 1 0.10 -1 -1 33360 -1 -1 5 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63876 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 23.9 MiB 0.11 223 3193 975 1461 757 62.4 MiB 0.07 0.00 1.24036 -30.6298 -1.24036 1.24036 2.43 8.3661e-05 6.4907e-05 0.00302706 0.00221249 32 562 18 6.65987e+06 63390 554710. 1919.41 2.15 0.154473 0.0557576 22834 132086 -1 445 14 258 258 14819 4163 1.11845 1.11845 -31.385 -1.11845 0 0 701300. 2426.64 0.62 0.01 0.43 -1 -1 0.62 0.00275245 0.00228506 37 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 12.07 vpr 62.43 MiB -1 -1 0.26 20824 1 0.15 -1 -1 33556 -1 -1 6 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63924 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 23.9 MiB 0.01 203 3743 1266 1895 582 62.4 MiB 0.09 0.00 1.25136 -33.0797 -1.25136 1.25136 2.28 5.3706e-05 3.707e-05 0.0776697 0.0768519 28 674 24 6.65987e+06 76068 500653. 1732.36 1.97 0.0880606 0.0847292 21970 115934 -1 571 12 340 340 25970 7253 1.27165 1.27165 -36.6933 -1.27165 0 0 612192. 2118.31 0.70 0.01 0.28 -1 -1 0.70 0.002663 0.00225715 40 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 11.24 vpr 62.31 MiB -1 -1 0.33 20824 1 0.01 -1 -1 33448 -1 -1 7 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63804 29 15 104 105 1 73 51 17 17 289 -1 unnamed_device 23.7 MiB 0.01 264 4093 1437 1752 904 62.3 MiB 0.01 0.00 1.26236 -36.2215 -1.26236 1.26236 2.76 5.9701e-05 4.2076e-05 0.00311758 0.00230239 32 673 33 6.65987e+06 88746 554710. 1919.41 1.47 0.0143733 0.0111753 22834 132086 -1 580 25 380 380 86919 43538 1.12945 1.12945 -37.1478 -1.12945 0 0 701300. 2426.64 1.09 0.01 0.28 -1 -1 1.09 0.00227428 0.00191509 44 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 11.61 vpr 62.51 MiB -1 -1 0.27 20824 1 0.13 -1 -1 33904 -1 -1 7 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64012 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 23.9 MiB 0.01 288 4848 1943 2811 94 62.5 MiB 0.07 0.00 1.62267 -39.7289 -1.62267 1.62267 2.42 6.6313e-05 4.6781e-05 0.00383312 0.00283505 32 695 12 6.65987e+06 88746 554710. 1919.41 2.39 0.0368071 0.0339728 22834 132086 -1 607 16 348 348 26963 7175 1.30265 1.30265 -42.536 -1.30265 0 0 701300. 2426.64 0.77 0.01 0.28 -1 -1 0.77 0.00347577 0.00295807 46 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 12.31 vpr 62.54 MiB -1 -1 0.30 20824 1 0.03 -1 -1 33916 -1 -1 7 33 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64040 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 23.9 MiB 0.02 308 6597 2389 2698 1510 62.5 MiB 0.16 0.00 1.63367 -42.5457 -1.63367 1.63367 2.43 6.7348e-05 4.7527e-05 0.00523976 0.00381654 32 747 26 6.65987e+06 88746 554710. 1919.41 2.34 0.0168819 0.0131061 22834 132086 -1 627 16 405 405 28816 7723 1.21545 1.21545 -43.8274 -1.21545 0 0 701300. 2426.64 0.83 0.01 0.30 -1 -1 0.83 0.00388144 0.00334456 49 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 12.57 vpr 62.64 MiB -1 -1 0.35 20672 1 0.01 -1 -1 33732 -1 -1 8 37 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64144 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 24.2 MiB 0.02 338 6795 2757 3910 128 62.6 MiB 0.07 0.00 1.66184 -49.2226 -1.66184 1.66184 2.40 9.962e-05 7.8227e-05 0.00496446 0.00358199 30 838 20 6.65987e+06 101424 526063. 1820.29 2.44 0.0563267 0.0124053 22546 126617 -1 708 12 381 381 27467 7119 1.07445 1.07445 -44.2949 -1.07445 0 0 666494. 2306.21 0.97 0.18 0.19 -1 -1 0.97 0.00705218 0.00303661 55 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 11.79 vpr 62.63 MiB -1 -1 0.26 20520 1 0.10 -1 -1 33744 -1 -1 8 41 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64132 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 24.0 MiB 0.01 394 7990 3280 4604 106 62.6 MiB 0.03 0.00 1.67767 -56.0732 -1.67767 1.67767 2.31 8.1895e-05 6.0982e-05 0.00534788 0.00407799 30 1149 23 6.65987e+06 101424 526063. 1820.29 2.41 0.142664 0.015267 22546 126617 -1 842 17 502 502 35108 9421 1.24845 1.24845 -55.1316 -1.24845 0 0 666494. 2306.21 0.73 0.11 0.30 -1 -1 0.73 0.00478639 0.00410821 61 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 12.28 vpr 62.71 MiB -1 -1 0.24 20976 1 0.10 -1 -1 33464 -1 -1 10 45 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64216 45 23 160 161 1 114 78 17 17 289 -1 unnamed_device 24.0 MiB 0.04 488 9208 3771 5298 139 62.7 MiB 0.06 0.00 1.69967 -62.9619 -1.69967 1.69967 2.54 8.9493e-05 6.7219e-05 0.00617353 0.00472204 32 1334 36 6.65987e+06 126780 554710. 1919.41 2.31 0.153493 0.149185 22834 132086 -1 1016 19 614 614 66838 16306 1.36865 1.36865 -65.1455 -1.36865 0 0 701300. 2426.64 1.01 0.06 0.31 -1 -1 1.01 0.0060192 0.00523462 68 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 11.54 vpr 62.94 MiB -1 -1 0.20 21128 1 0.19 -1 -1 33908 -1 -1 10 49 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64452 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 24.3 MiB 0.03 522 5025 948 3851 226 62.9 MiB 0.01 0.00 2.07098 -69.6207 -2.07098 2.07098 2.28 4.621e-05 3.5204e-05 0.00166952 0.00132579 30 1255 20 6.65987e+06 126780 526063. 1820.29 2.18 0.164409 0.161379 22546 126617 -1 1034 14 497 497 34056 9178 1.39965 1.39965 -70.1013 -1.39965 0 0 666494. 2306.21 1.01 0.02 0.37 -1 -1 1.01 0.00519093 0.00453321 73 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 13.90 vpr 63.10 MiB -1 -1 0.41 20976 1 0.10 -1 -1 33588 -1 -1 11 57 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64616 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 24.5 MiB 0.10 695 10531 2311 7690 530 63.1 MiB 0.05 0.00 2.11498 -86.4875 -2.11498 2.11498 2.64 0.00011162 8.7188e-05 0.00703304 0.00563395 32 1714 40 6.65987e+06 139458 554710. 1919.41 2.96 0.201159 0.195936 22834 132086 -1 1428 16 660 660 61153 16898 1.93545 1.93545 -97.6703 -1.93545 0 0 701300. 2426.64 0.86 0.04 0.19 -1 -1 0.86 0.00595941 0.00525377 85 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 13.19 vpr 63.26 MiB -1 -1 0.27 21128 1 0.11 -1 -1 33616 -1 -1 13 65 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64776 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 24.6 MiB 0.11 796 12613 2902 8977 734 63.3 MiB 0.11 0.00 2.50829 -102.591 -2.50829 2.50829 2.65 0.000132489 0.000105962 0.00772371 0.00620396 30 1803 33 6.65987e+06 164814 526063. 1820.29 3.14 0.234035 0.228446 22546 126617 -1 1449 16 692 692 48282 12614 1.55679 1.55679 -98.4661 -1.55679 0 0 666494. 2306.21 0.98 0.04 0.15 -1 -1 0.98 0.0259064 0.0251043 97 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 12.40 vpr 64.03 MiB -1 -1 0.23 21280 1 0.13 -1 -1 33800 -1 -1 19 97 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65568 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 25.2 MiB 0.07 1495 31353 9814 19140 2399 64.0 MiB 0.28 0.00 3.38291 -183.11 -3.38291 3.38291 2.45 0.00022691 0.000188495 0.0190887 0.0159866 32 3039 39 6.65987e+06 240882 554710. 1919.41 2.81 0.311739 0.1603 22834 132086 -1 2734 29 1154 1154 178039 56730 1.63645 1.63645 -157.11 -1.63645 0 0 701300. 2426.64 1.03 0.32 0.19 -1 -1 1.03 0.0831962 0.081419 145 2 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 14.14 vpr 64.52 MiB -1 -1 0.46 21432 1 0.04 -1 -1 33980 -1 -1 25 129 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66072 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 25.8 MiB 0.20 2040 51621 17960 30273 3388 64.5 MiB 0.80 0.01 4.25753 -270.446 -4.25753 4.25753 2.69 0.000416243 0.000337086 0.244414 0.240219 32 4148 19 6.65987e+06 316950 554710. 1919.41 3.03 0.419778 0.412328 22834 132086 -1 3561 18 1415 1415 153490 35223 1.81945 1.81945 -208.986 -1.81945 0 0 701300. 2426.64 0.80 0.25 0.27 -1 -1 0.80 0.0187225 0.0172631 193 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_003bits.v common 10.66 vpr 62.89 MiB -1 -1 0.24 20672 1 0.01 -1 -1 33328 -1 -1 1 7 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64400 7 4 27 28 1 12 12 17 17 289 -1 unnamed_device 24.4 MiB 0.08 35 142 65 72 5 62.9 MiB 0.00 0.00 0.712895 -7.85699 -0.712895 0.712895 2.44 3.2632e-05 2.0696e-05 0.000548749 0.000377459 18 80 8 6.95648e+06 14475.7 376052. 1301.22 1.50 0.00404716 0.00278646 22882 88689 -1 89 8 40 40 3634 1190 0.74674 0.74674 -8.48094 -0.74674 0 0 470940. 1629.55 0.40 0.00 0.70 -1 -1 0.40 0.000795156 0.000623747 5 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_004bits.v common 10.55 vpr 62.93 MiB -1 -1 0.20 20520 1 0.03 -1 -1 33448 -1 -1 1 9 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64444 9 5 34 35 1 15 15 17 17 289 -1 unnamed_device 24.4 MiB 0.17 31 339 89 221 29 62.9 MiB 0.00 0.00 0.583992 -8.96727 -0.583992 0.583992 2.30 2.6695e-05 1.6073e-05 0.000918211 0.000664278 18 102 17 6.95648e+06 14475.7 376052. 1301.22 1.60 0.00479794 0.003409 22882 88689 -1 105 15 80 80 5128 1694 0.74674 0.74674 -9.62957 -0.74674 0 0 470940. 1629.55 0.63 0.01 0.17 -1 -1 0.63 0.00128722 0.000986276 7 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_005bits.v common 11.21 vpr 62.98 MiB -1 -1 0.20 20672 1 0.03 -1 -1 33452 -1 -1 1 11 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64496 11 6 41 42 1 19 18 17 17 289 -1 unnamed_device 24.4 MiB 0.01 45 386 75 296 15 63.0 MiB 0.01 0.00 0.701895 -11.7042 -0.701895 0.701895 2.85 4.0013e-05 2.5904e-05 0.000977981 0.000707013 20 169 7 6.95648e+06 14475.7 414966. 1435.87 1.23 0.00226014 0.00175429 23170 95770 -1 130 7 58 58 3285 1028 0.709292 0.709292 -12.5375 -0.709292 0 0 503264. 1741.40 0.54 0.00 0.21 -1 -1 0.54 0.00109864 0.000918175 8 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_006bits.v common 10.66 vpr 63.02 MiB -1 -1 0.20 20368 1 0.21 -1 -1 33608 -1 -1 2 13 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64532 13 7 48 49 1 25 22 17 17 289 -1 unnamed_device 24.4 MiB 0.14 98 502 124 370 8 63.0 MiB 0.00 0.00 0.87204 -15.8068 -0.87204 0.87204 1.93 3.5804e-05 2.0361e-05 0.000930109 0.000652379 26 221 12 6.95648e+06 28951.4 503264. 1741.40 1.90 0.00595463 0.00441321 24322 120374 -1 197 4 46 46 2709 758 0.87204 0.87204 -15.9148 -0.87204 0 0 618332. 2139.56 0.62 0.00 0.34 -1 -1 0.62 0.00111754 0.000984916 10 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_007bits.v common 11.81 vpr 62.90 MiB -1 -1 0.32 20520 1 0.13 -1 -1 33640 -1 -1 2 15 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64412 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 24.4 MiB 0.21 182 997 285 587 125 62.9 MiB 0.11 0.00 0.852632 -19.1795 -0.852632 0.852632 2.23 6.2319e-05 4.7356e-05 0.00146538 0.00103503 24 369 10 6.95648e+06 28951.4 470940. 1629.55 2.15 0.00634469 0.00468774 24034 113901 -1 347 11 146 146 12368 2959 0.959892 0.959892 -21.6636 -0.959892 0 0 586450. 2029.24 0.67 0.01 0.33 -1 -1 0.67 0.00192602 0.00142305 11 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_008bits.v common 11.05 vpr 62.94 MiB -1 -1 0.40 20672 1 0.01 -1 -1 33512 -1 -1 2 17 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64448 17 9 62 63 1 37 28 17 17 289 -1 unnamed_device 24.6 MiB 0.22 152 658 188 431 39 62.9 MiB 0.00 0.00 0.87204 -21.3276 -0.87204 0.87204 2.20 3.91e-05 2.54e-05 0.0010076 0.000733675 26 342 11 6.95648e+06 28951.4 503264. 1741.40 1.84 0.00452904 0.00341742 24322 120374 -1 332 10 132 132 9588 2514 1.07503 1.07503 -23.6332 -1.07503 0 0 618332. 2139.56 0.90 0.01 0.29 -1 -1 0.90 0.00193242 0.00146704 13 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_009bits.v common 12.74 vpr 63.12 MiB -1 -1 0.20 20824 1 0.03 -1 -1 33684 -1 -1 2 19 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64640 19 10 69 70 1 44 31 17 17 289 -1 unnamed_device 24.6 MiB 0.16 108 2527 936 1406 185 63.1 MiB 0.14 0.00 0.874632 -21.915 -0.874632 0.874632 2.62 4.0881e-05 2.6473e-05 0.00268734 0.00186369 32 368 30 6.95648e+06 28951.4 586450. 2029.24 2.31 0.0101365 0.00748996 25474 144626 -1 325 24 315 315 22846 6926 1.08603 1.08603 -24.3469 -1.08603 0 0 744469. 2576.02 0.67 0.01 0.23 -1 -1 0.67 0.00319379 0.00257192 14 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_010bits.v common 13.94 vpr 63.17 MiB -1 -1 0.25 20520 1 0.15 -1 -1 33820 -1 -1 2 21 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64688 21 11 76 77 1 49 34 17 17 289 -1 unnamed_device 24.4 MiB 0.12 127 1849 605 914 330 63.2 MiB 0.01 0.00 0.896632 -24.7697 -0.896632 0.896632 2.86 4.3666e-05 2.8667e-05 0.00189492 0.00134427 34 472 39 6.95648e+06 28951.4 618332. 2139.56 3.19 0.0151454 0.0112579 25762 151098 -1 376 32 405 405 24729 7029 1.17833 1.17833 -27.3207 -1.17833 0 0 787024. 2723.27 0.67 0.12 0.31 -1 -1 0.67 0.112474 0.00341092 16 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_011bits.v common 12.63 vpr 63.04 MiB -1 -1 0.33 20824 1 0.03 -1 -1 33688 -1 -1 3 23 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64556 23 12 83 84 1 55 38 17 17 289 -1 unnamed_device 24.7 MiB 0.03 149 1424 335 1059 30 63.0 MiB 0.15 0.00 0.879432 -27.154 -0.879432 0.879432 3.02 2.4106e-05 1.6809e-05 0.000834833 0.000641181 28 542 15 6.95648e+06 43427 531479. 1839.03 1.89 0.00813584 0.00624811 24610 126494 -1 474 14 313 313 23256 6856 1.45713 1.45713 -33.3064 -1.45713 0 0 648988. 2245.63 0.78 0.03 0.22 -1 -1 0.78 0.00256985 0.00217734 17 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_012bits.v common 14.03 vpr 63.22 MiB -1 -1 0.31 20520 1 0.01 -1 -1 33544 -1 -1 3 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64740 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 24.7 MiB 0.17 191 1581 337 1210 34 63.2 MiB 0.01 0.00 0.918632 -30.4288 -0.918632 0.918632 2.72 5.1834e-05 3.5418e-05 0.00176222 0.00132711 34 575 14 6.95648e+06 43427 618332. 2139.56 3.46 0.0153258 0.0116927 25762 151098 -1 485 17 337 337 32607 9181 1.26153 1.26153 -35.4987 -1.26153 0 0 787024. 2723.27 0.71 0.16 0.15 -1 -1 0.71 0.0031399 0.00258389 19 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_013bits.v common 12.82 vpr 63.25 MiB -1 -1 0.29 20520 1 0.08 -1 -1 33532 -1 -1 3 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64768 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 24.7 MiB 0.04 373 2046 513 1237 296 63.2 MiB 0.01 0.00 0.951632 -36.1138 -0.951632 0.951632 2.69 6.0847e-05 4.3391e-05 0.00220523 0.00167138 30 810 22 6.95648e+06 43427 556674. 1926.21 1.95 0.011774 0.00919372 25186 138497 -1 726 16 355 355 34660 7362 1.20223 1.20223 -41.7457 -1.20223 0 0 706193. 2443.58 0.95 0.10 0.51 -1 -1 0.95 0.00315757 0.002646 20 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_014bits.v common 14.34 vpr 63.27 MiB -1 -1 0.15 20672 1 0.04 -1 -1 33260 -1 -1 4 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64792 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 24.7 MiB 0.10 471 3180 797 1969 414 63.3 MiB 0.01 0.00 0.951632 -40.3696 -0.951632 0.951632 2.56 5.7782e-05 4.0886e-05 0.00290601 0.00217525 34 992 18 6.95648e+06 57902.7 618332. 2139.56 3.89 0.0182963 0.0142161 25762 151098 -1 894 17 431 431 52703 10430 1.29933 1.29933 -49.9099 -1.29933 0 0 787024. 2723.27 1.05 0.03 0.13 -1 -1 1.05 0.00405726 0.00344125 23 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_015bits.v common 14.33 vpr 63.34 MiB -1 -1 0.31 20520 1 0.11 -1 -1 33596 -1 -1 3 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64860 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 24.9 MiB 0.12 264 3822 1541 2241 40 63.3 MiB 0.01 0.00 1.33396 -40.3826 -1.33396 1.33396 2.96 2.7499e-05 1.9194e-05 0.00152132 0.00113978 34 707 21 6.95648e+06 43427 618332. 2139.56 3.69 0.019052 0.0147956 25762 151098 -1 602 14 398 398 32258 8155 1.31933 1.31933 -44.7038 -1.31933 0 0 787024. 2723.27 0.96 0.01 0.30 -1 -1 0.96 0.0040139 0.00347101 24 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_016bits.v common 16.19 vpr 63.09 MiB -1 -1 0.27 20672 1 0.03 -1 -1 33728 -1 -1 4 33 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64608 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 24.7 MiB 0.09 280 4848 1977 2824 47 63.1 MiB 0.02 0.00 1.34496 -43.4863 -1.34496 1.34496 2.58 6.5584e-05 4.6315e-05 0.00395712 0.00296153 38 712 17 6.95648e+06 57902.7 678818. 2348.85 4.08 0.0886831 0.0843662 26626 170182 -1 566 20 377 377 26739 6756 1.33033 1.33033 -46.6098 -1.33033 0 0 902133. 3121.57 1.67 0.01 0.23 -1 -1 1.67 0.00434119 0.00367592 25 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_018bits.v common 14.98 vpr 63.44 MiB -1 -1 0.23 20672 1 0.03 -1 -1 33588 -1 -1 4 37 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64964 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 24.9 MiB 0.46 309 6963 2952 3964 47 63.4 MiB 0.13 0.00 1.36696 -49.676 -1.36696 1.36696 3.20 7.8557e-05 5.7041e-05 0.00550722 0.00410502 34 865 23 6.95648e+06 57902.7 618332. 2139.56 4.05 0.228311 0.222859 25762 151098 -1 729 14 458 458 47297 11443 1.33033 1.33033 -53.903 -1.33033 0 0 787024. 2723.27 0.78 0.14 0.37 -1 -1 0.78 0.00446195 0.00376613 28 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_020bits.v common 17.53 vpr 63.75 MiB -1 -1 0.42 20976 1 0.01 -1 -1 33756 -1 -1 4 41 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65276 41 21 146 147 1 96 66 17 17 289 -1 unnamed_device 25.2 MiB 0.34 350 7248 3002 4195 51 63.7 MiB 0.02 0.00 1.38896 -55.9236 -1.38896 1.38896 3.46 8.7823e-05 6.3814e-05 0.00568418 0.00430835 36 926 17 6.95648e+06 57902.7 648988. 2245.63 4.25 0.0260232 0.0209111 26050 158493 -1 791 21 569 569 56867 12664 1.20023 1.20023 -56.5033 -1.20023 0 0 828058. 2865.25 0.92 0.03 0.36 -1 -1 0.92 0.0034268 0.00298962 31 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_022bits.v common 15.84 vpr 63.68 MiB -1 -1 0.36 20672 1 0.16 -1 -1 33728 -1 -1 5 45 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65208 45 23 160 161 1 108 73 17 17 289 -1 unnamed_device 25.0 MiB 0.26 398 9041 3734 5246 61 63.7 MiB 0.17 0.00 1.41096 -61.6599 -1.41096 1.41096 2.36 9.578e-05 7.16e-05 0.0064002 0.00497489 38 1036 22 6.95648e+06 72378.4 678818. 2348.85 5.68 0.0323448 0.0264989 26626 170182 -1 797 16 578 578 53010 12358 1.39633 1.39633 -65.2027 -1.39633 0 0 902133. 3121.57 1.30 0.02 0.40 -1 -1 1.30 0.00533483 0.0046945 34 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_024bits.v common 15.20 vpr 63.60 MiB -1 -1 0.24 20976 1 0.21 -1 -1 33892 -1 -1 5 49 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65124 49 25 174 175 1 119 79 17 17 289 -1 unnamed_device 25.2 MiB 0.36 521 10050 4309 5679 62 63.6 MiB 0.12 0.00 1.43296 -70.1603 -1.43296 1.43296 2.35 8.9771e-05 6.7397e-05 0.0065331 0.00510073 34 1511 50 6.95648e+06 72378.4 618332. 2139.56 5.34 0.0368012 0.0302872 25762 151098 -1 1077 12 570 570 53399 12722 1.46853 1.46853 -76.728 -1.46853 0 0 787024. 2723.27 1.09 0.01 0.33 -1 -1 1.09 0.00313314 0.0028636 37 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_028bits.v common 16.19 vpr 63.89 MiB -1 -1 0.25 20520 1 0.11 -1 -1 33608 -1 -1 6 57 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65420 57 29 202 203 1 142 92 17 17 289 -1 unnamed_device 25.3 MiB 0.17 560 13961 5920 7973 68 63.9 MiB 0.10 0.00 1.47696 -82.5806 -1.47696 1.47696 2.22 0.000119246 9.2437e-05 0.00918984 0.00724911 40 1620 25 6.95648e+06 86854.1 706193. 2443.58 6.18 0.0544661 0.0469377 26914 176310 -1 1315 19 840 840 100303 24559 1.57663 1.57663 -91.5568 -1.57663 0 0 926341. 3205.33 1.06 0.08 0.64 -1 -1 1.06 0.00743475 0.00655431 43 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_032bits.v common 17.45 vpr 64.07 MiB -1 -1 0.49 20824 1 0.02 -1 -1 33644 -1 -1 7 65 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65612 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 25.3 MiB 0.41 758 16160 6842 9176 142 64.1 MiB 0.10 0.00 1.88129 -97.0955 -1.88129 1.88129 2.71 0.000140951 0.000102614 0.0105387 0.00846761 44 1764 48 6.95648e+06 101330 787024. 2723.27 6.57 0.056906 0.048188 27778 195446 -1 1312 18 905 905 77762 17648 1.40103 1.40103 -96.7405 -1.40103 0 0 997811. 3452.63 1.77 0.03 0.43 -1 -1 1.77 0.00832057 0.00726346 49 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_048bits.v common 17.25 vpr 64.78 MiB -1 -1 0.32 20976 1 0.11 -1 -1 33812 -1 -1 10 97 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66336 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 25.9 MiB 0.38 1331 30743 8901 19960 1882 64.8 MiB 0.32 0.00 2.41762 -163.869 -2.41762 2.41762 1.50 0.000232882 0.000194132 0.05579 0.0527445 50 2695 21 6.95648e+06 144757 902133. 3121.57 7.42 0.464029 0.454269 28642 213929 -1 2422 17 1163 1163 124464 29323 1.74433 1.74433 -166.732 -1.74433 0 0 1.08113e+06 3740.92 1.32 0.21 0.50 -1 -1 1.32 0.198559 0.197391 73 2 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml adder_064bits.v common 21.18 vpr 65.45 MiB -1 -1 0.23 21128 1 0.03 -1 -1 34044 -1 -1 13 129 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67016 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 26.5 MiB 0.26 2090 36315 10749 23078 2488 65.4 MiB 0.28 0.00 2.95395 -243.637 -2.95395 2.95395 3.39 0.000362167 0.00030233 0.0155867 0.0133923 58 3533 18 6.95648e+06 188184 997811. 3452.63 9.14 0.350972 0.3398 30370 251734 -1 3219 22 1421 1421 194643 38773 1.87583 1.87583 -220.234 -1.87583 0 0 1.25153e+06 4330.55 1.08 0.14 0.64 -1 -1 1.08 0.0120357 0.0110394 97 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_003bits.v common 10.47 vpr 62.72 MiB -1 -1 0.36 20672 1 0.07 -1 -1 33480 -1 -1 1 7 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64224 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 24.1 MiB 0.01 38 142 63 74 5 62.7 MiB 0.00 0.00 0.815432 -8.51669 -0.815432 0.815432 2.97 2.2529e-05 1.2545e-05 0.000449283 0.000299178 14 104 8 6.99608e+06 14715.7 292583. 1012.40 1.19 0.00133338 0.000985117 22018 70521 -1 85 6 32 32 1234 425 0.834592 0.834592 -8.74684 -0.834592 0 0 376052. 1301.22 0.42 0.00 0.17 -1 -1 0.42 0.000755263 0.000601649 5 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_004bits.v common 10.78 vpr 62.62 MiB -1 -1 0.25 20368 1 0.01 -1 -1 33604 -1 -1 1 9 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64120 9 5 34 35 1 17 15 17 17 289 -1 unnamed_device 24.3 MiB 0.06 35 375 107 237 31 62.6 MiB 0.00 0.00 0.712895 -9.56286 -0.712895 0.712895 2.60 2.1398e-05 1.1669e-05 0.000692021 0.000450452 22 122 12 6.99608e+06 14715.7 443629. 1535.05 1.41 0.00456317 0.00312246 23458 102101 -1 88 10 56 56 2042 763 0.834592 0.834592 -9.47336 -0.834592 0 0 531479. 1839.03 0.60 0.00 0.19 -1 -1 0.60 0.00121047 0.00100682 7 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_005bits.v common 10.97 vpr 62.80 MiB -1 -1 0.34 20368 1 0.03 -1 -1 33640 -1 -1 1 11 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64312 11 6 41 42 1 20 18 17 17 289 -1 unnamed_device 24.4 MiB 0.00 44 409 92 302 15 62.8 MiB 0.01 0.00 0.837432 -12.9697 -0.837432 0.837432 2.83 2.655e-05 1.596e-05 0.000744019 0.000508329 22 151 9 6.99608e+06 14715.7 443629. 1535.05 1.57 0.0364137 0.0349213 23458 102101 -1 153 8 64 64 3866 1186 0.837432 0.837432 -14.2048 -0.837432 0 0 531479. 1839.03 0.58 0.00 0.42 -1 -1 0.58 0.00106283 0.0008683 8 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_006bits.v common 10.61 vpr 62.84 MiB -1 -1 0.44 20520 1 0.09 -1 -1 33764 -1 -1 2 13 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64352 13 7 48 49 1 26 22 17 17 289 -1 unnamed_device 24.3 MiB 0.01 66 472 112 343 17 62.8 MiB 0.00 0.00 0.802432 -14.7849 -0.802432 0.802432 2.48 3.1573e-05 1.9447e-05 0.000949009 0.000710797 18 222 10 6.99608e+06 29431.4 376052. 1301.22 1.32 0.0025847 0.00203339 22882 88689 -1 204 7 90 90 4789 1589 0.816915 0.816915 -16.462 -0.816915 0 0 470940. 1629.55 0.46 0.00 0.12 -1 -1 0.46 0.000627637 0.000544936 10 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_007bits.v common 12.39 vpr 62.59 MiB -1 -1 0.35 20368 1 0.03 -1 -1 33648 -1 -1 2 15 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64092 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 24.1 MiB 0.09 80 745 225 479 41 62.6 MiB 0.00 0.00 0.859432 -18.0958 -0.859432 0.859432 2.37 3.4587e-05 2.1427e-05 0.00101806 0.000709844 26 249 21 6.99608e+06 29431.4 503264. 1741.40 2.47 0.00708884 0.00525389 24322 120374 -1 214 9 118 118 7322 2251 1.04203 1.04203 -18.2768 -1.04203 0 0 618332. 2139.56 0.84 0.01 0.25 -1 -1 0.84 0.00147446 0.00122861 11 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_008bits.v common 12.28 vpr 62.91 MiB -1 -1 0.42 20824 1 0.14 -1 -1 33836 -1 -1 2 17 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64416 17 9 62 63 1 38 28 17 17 289 -1 unnamed_device 24.4 MiB 0.16 203 658 170 428 60 62.9 MiB 0.00 0.00 0.87204 -21.2308 -0.87204 0.87204 2.56 3.8018e-05 2.4469e-05 0.000977848 0.000722478 26 451 15 6.99608e+06 29431.4 503264. 1741.40 2.48 0.00677336 0.00510325 24322 120374 -1 392 10 158 158 16021 3888 1.05303 1.05303 -23.7656 -1.05303 0 0 618332. 2139.56 0.92 0.24 0.18 -1 -1 0.92 0.00174968 0.00148061 13 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_009bits.v common 15.14 vpr 62.94 MiB -1 -1 0.33 20824 1 0.05 -1 -1 33664 -1 -1 2 19 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64452 19 10 69 70 1 44 31 17 17 289 -1 unnamed_device 24.6 MiB 0.01 110 2479 792 1104 583 62.9 MiB 0.22 0.00 0.846432 -21.9214 -0.846432 0.846432 2.64 3.6388e-05 2.325e-05 0.00241664 0.00163343 34 332 29 6.99608e+06 29431.4 618332. 2139.56 3.30 0.0158963 0.0118566 25762 151098 -1 301 13 234 234 12303 3969 0.940679 0.940679 -22.9435 -0.940679 0 0 787024. 2723.27 0.96 0.00 0.35 -1 -1 0.96 0.00101331 0.000856234 14 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_010bits.v common 15.63 vpr 62.99 MiB -1 -1 0.51 20672 1 0.08 -1 -1 33536 -1 -1 2 21 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64504 21 11 76 77 1 49 34 17 17 289 -1 unnamed_device 24.4 MiB 0.01 134 1739 500 978 261 63.0 MiB 0.01 0.00 0.857432 -24.4123 -0.857432 0.857432 2.19 4.3448e-05 2.8916e-05 0.00187035 0.00133527 34 441 36 6.99608e+06 29431.4 618332. 2139.56 3.11 0.0153559 0.0114292 25762 151098 -1 341 18 304 304 17226 5175 1.09703 1.09703 -25.3097 -1.09703 0 0 787024. 2723.27 0.84 0.01 0.34 -1 -1 0.84 0.0027254 0.00223722 16 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_011bits.v common 13.95 vpr 63.04 MiB -1 -1 0.68 20672 1 0.22 -1 -1 33384 -1 -1 3 23 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64548 23 12 83 84 1 54 38 17 17 289 -1 unnamed_device 24.4 MiB 0.18 157 1298 273 992 33 63.0 MiB 0.01 0.00 0.879432 -27.0809 -0.879432 0.879432 2.44 4.2802e-05 2.8745e-05 0.00134119 0.000965426 30 510 13 6.99608e+06 44147 556674. 1926.21 2.21 0.00894275 0.00689373 25186 138497 -1 413 14 270 270 18051 5350 1.08603 1.08603 -30.4861 -1.08603 0 0 706193. 2443.58 0.59 0.01 0.29 -1 -1 0.59 0.00264179 0.00219367 17 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_012bits.v common 12.64 vpr 62.91 MiB -1 -1 0.30 20672 1 0.02 -1 -1 33668 -1 -1 3 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64416 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 24.6 MiB 0.07 214 1861 414 1414 33 62.9 MiB 0.20 0.00 0.890432 -31.2249 -0.890432 0.890432 2.52 4.8969e-05 3.352e-05 0.182817 0.182263 26 593 14 6.99608e+06 44147 503264. 1741.40 1.56 0.190055 0.187948 24322 120374 -1 532 16 359 359 30608 8283 1.07503 1.07503 -35.6333 -1.07503 0 0 618332. 2139.56 0.89 0.05 0.20 -1 -1 0.89 0.00339207 0.00290591 19 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_013bits.v common 10.79 vpr 63.10 MiB -1 -1 0.26 20824 1 0.17 -1 -1 33676 -1 -1 3 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64616 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 24.6 MiB 0.02 395 2354 574 1438 342 63.1 MiB 0.01 0.00 0.912432 -36.6204 -0.912432 0.912432 2.53 5.5368e-05 3.8854e-05 0.00346235 0.00286187 30 794 18 6.99608e+06 44147 556674. 1926.21 1.92 0.0121767 0.00962023 25186 138497 -1 704 18 360 360 33967 7040 1.13003 1.13003 -40.2917 -1.13003 0 0 706193. 2443.58 0.87 0.01 0.26 -1 -1 0.87 0.00340107 0.00284109 20 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_014bits.v common 12.25 vpr 62.90 MiB -1 -1 0.49 20824 1 0.01 -1 -1 33620 -1 -1 4 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64412 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 24.6 MiB 0.01 470 2571 633 1642 296 62.9 MiB 0.01 0.00 0.923432 -39.2056 -0.923432 0.923432 2.50 5.9918e-05 4.2888e-05 0.00229457 0.00170827 34 979 33 6.99608e+06 58862.7 618332. 2139.56 3.17 0.0305628 0.0259083 25762 151098 -1 864 19 475 475 57956 11480 1.16303 1.16303 -45.1457 -1.16303 0 0 787024. 2723.27 0.90 0.02 0.36 -1 -1 0.90 0.00380817 0.00319353 23 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_015bits.v common 13.20 vpr 63.03 MiB -1 -1 0.30 20672 1 0.07 -1 -1 33572 -1 -1 3 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64540 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 24.6 MiB 0.01 264 3822 1512 2266 44 63.0 MiB 0.01 0.00 1.29476 -39.4641 -1.29476 1.29476 2.24 6.1184e-05 4.2907e-05 0.00333509 0.00247868 34 753 25 6.99608e+06 44147 618332. 2139.56 3.78 0.0279486 0.0169803 25762 151098 -1 609 16 403 403 33569 8467 1.28633 1.28633 -44.8569 -1.28633 0 0 787024. 2723.27 0.93 0.10 0.27 -1 -1 0.93 0.00399309 0.00339608 24 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_016bits.v common 13.42 vpr 63.21 MiB -1 -1 0.51 20672 1 0.05 -1 -1 33748 -1 -1 4 33 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64728 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 24.7 MiB 0.02 279 4848 1973 2828 47 63.2 MiB 0.02 0.00 1.31676 -42.9938 -1.31676 1.31676 2.89 6.7711e-05 4.8654e-05 0.00396539 0.00296622 34 883 23 6.99608e+06 58862.7 618332. 2139.56 3.64 0.0260294 0.0210396 25762 151098 -1 611 18 425 425 38814 9330 1.27533 1.27533 -46.1248 -1.27533 0 0 787024. 2723.27 0.91 0.14 0.35 -1 -1 0.91 0.00255674 0.00222977 25 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_018bits.v common 14.67 vpr 63.27 MiB -1 -1 0.35 20672 1 0.06 -1 -1 33728 -1 -1 4 37 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64792 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 24.7 MiB 0.02 309 6963 2905 3992 66 63.3 MiB 0.04 0.00 1.33876 -48.9536 -1.33876 1.33876 2.59 7.2635e-05 5.3019e-05 0.00526834 0.00400827 36 883 14 6.99608e+06 58862.7 648988. 2245.63 4.44 0.0841584 0.0788406 26050 158493 -1 712 19 483 483 47651 11392 1.31933 1.31933 -52.427 -1.31933 0 0 828058. 2865.25 0.96 0.01 0.25 -1 -1 0.96 0.00242875 0.00210724 28 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_020bits.v common 13.63 vpr 63.36 MiB -1 -1 0.50 20976 1 0.03 -1 -1 34060 -1 -1 4 41 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64880 41 21 146 147 1 94 66 17 17 289 -1 unnamed_device 24.7 MiB 0.05 346 7115 2925 4148 42 63.4 MiB 0.12 0.00 1.34976 -55.318 -1.34976 1.34976 2.54 8.0373e-05 5.9414e-05 0.00533405 0.00407093 34 1048 37 6.99608e+06 58862.7 618332. 2139.56 4.13 0.0312247 0.0251989 25762 151098 -1 844 22 516 516 73942 27602 1.34133 1.34133 -60.4831 -1.34133 0 0 787024. 2723.27 0.82 0.24 0.20 -1 -1 0.82 0.00381383 0.00336152 31 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_022bits.v common 15.70 vpr 63.50 MiB -1 -1 0.36 20976 1 0.01 -1 -1 33724 -1 -1 5 45 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65024 45 23 160 161 1 107 73 17 17 289 -1 unnamed_device 24.9 MiB 0.09 396 9041 3748 5233 60 63.5 MiB 0.10 0.00 1.37176 -60.8627 -1.37176 1.37176 2.67 0.000151071 0.00012521 0.00647059 0.00505637 36 1116 23 6.99608e+06 73578.4 648988. 2245.63 4.53 0.0299275 0.0242985 26050 158493 -1 900 16 573 573 55117 12978 1.24618 1.24618 -61.2695 -1.24618 0 0 828058. 2865.25 1.16 0.02 0.24 -1 -1 1.16 0.00502995 0.00439933 34 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_024bits.v common 16.44 vpr 63.59 MiB -1 -1 0.23 20976 1 0.22 -1 -1 33732 -1 -1 5 49 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65112 49 25 174 175 1 118 79 17 17 289 -1 unnamed_device 24.9 MiB 0.03 446 10050 4202 5799 49 63.6 MiB 0.23 0.00 1.39376 -66.6204 -1.39376 1.39376 2.64 9.6425e-05 7.1858e-05 0.0074431 0.00583932 38 1240 31 6.99608e+06 73578.4 678818. 2348.85 6.04 0.147152 0.140951 26626 170182 -1 945 16 614 614 67437 16921 1.39633 1.39633 -70.5813 -1.39633 0 0 902133. 3121.57 1.01 0.04 0.50 -1 -1 1.01 0.0269242 0.0261703 37 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_028bits.v common 17.51 vpr 63.73 MiB -1 -1 0.39 20824 1 0.33 -1 -1 33480 -1 -1 6 57 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65256 57 29 202 203 1 141 92 17 17 289 -1 unnamed_device 25.0 MiB 0.12 634 13961 5842 8023 96 63.7 MiB 0.18 0.00 1.44876 -81.1127 -1.44876 1.44876 2.51 0.000131171 0.000100971 0.00969452 0.00760927 34 1802 49 6.99608e+06 88294.1 618332. 2139.56 6.90 0.205044 0.0821 25762 151098 -1 1281 17 737 737 70573 16932 1.53263 1.53263 -87.6927 -1.53263 0 0 787024. 2723.27 0.82 0.07 0.32 -1 -1 0.82 0.00703692 0.00608612 43 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_032bits.v common 16.38 vpr 63.90 MiB -1 -1 0.27 21128 1 0.02 -1 -1 33608 -1 -1 7 65 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65432 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 25.3 MiB 0.06 748 16160 6967 9054 139 63.9 MiB 0.16 0.00 1.85309 -96.1412 -1.85309 1.85309 2.75 0.000137113 0.000106234 0.124649 0.122525 42 1640 29 6.99608e+06 103010 744469. 2576.02 4.77 0.206342 0.198998 27202 183097 -1 1314 13 757 757 63756 14934 1.33503 1.33503 -92.8832 -1.33503 0 0 949917. 3286.91 1.37 0.13 0.63 -1 -1 1.37 0.122107 0.0035652 49 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_048bits.v common 19.19 vpr 64.61 MiB -1 -1 0.28 21128 1 0.17 -1 -1 33816 -1 -1 10 97 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66160 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 25.8 MiB 0.12 1337 28648 7802 19085 1761 64.6 MiB 0.38 0.00 2.38942 -163.539 -2.38942 2.38942 2.61 0.000104946 8.5584e-05 0.126402 0.0148677 46 3018 26 6.99608e+06 147157 828058. 2865.25 7.82 0.718842 0.600381 28066 200906 -1 2492 22 1225 1225 243471 79327 1.93993 1.93993 -175.414 -1.93993 0 0 1.01997e+06 3529.29 1.33 0.44 0.46 -1 -1 1.33 0.0150943 0.0136729 73 2 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml adder_064bits.v common 19.90 vpr 65.04 MiB -1 -1 0.32 21128 1 0.12 -1 -1 34040 -1 -1 13 129 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66604 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 26.3 MiB 0.16 2094 36315 10889 23051 2375 65.0 MiB 0.32 0.00 2.92575 -240.987 -2.92575 2.92575 3.25 0.000378741 0.000296664 0.135806 0.13266 50 3870 42 6.99608e+06 191304 902133. 3121.57 8.28 0.464402 0.451214 28642 213929 -1 3432 17 1390 1390 172611 34629 1.80403 1.80403 -222.952 -1.80403 0 0 1.08113e+06 3740.92 1.11 0.30 0.48 -1 -1 1.11 0.016813 0.0153724 97 2 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_003bits.v common 11.19 vpr 62.19 MiB -1 -1 0.20 20672 1 0.09 -1 -1 35688 -1 -1 1 7 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63680 7 4 21 25 1 11 12 17 17 289 -1 unnamed_device 23.6 MiB 0.00 84 103 37 64 2 62.2 MiB 0.00 0.00 0.77095 -8.74779 -0.77095 0.77095 2.42 2.4207e-05 1.3678e-05 0.000405836 0.000289889 18 138 7 6.79088e+06 13472 376052. 1301.22 1.63 0.00131201 0.000992992 22222 88205 -1 139 7 36 36 3554 874 0.834592 0.834592 -9.43991 -0.834592 0 0 470940. 1629.55 0.65 0.00 0.10 -1 -1 0.65 0.000401173 0.000330687 6 4 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_004bits.v common 10.38 vpr 62.22 MiB -1 -1 0.23 20672 2 0.20 -1 -1 35984 -1 -1 1 9 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63716 9 5 28 33 1 16 15 17 17 289 -1 unnamed_device 23.6 MiB 0.01 35 357 92 226 39 62.2 MiB 0.00 0.00 0.883748 -9.933 -0.883748 0.883748 2.73 2.5136e-05 1.4415e-05 0.000773696 0.000511385 18 144 17 6.79088e+06 13472 376052. 1301.22 1.29 0.00231991 0.00169472 22222 88205 -1 110 7 44 44 1714 684 0.883748 0.883748 -10.7206 -0.883748 0 0 470940. 1629.55 0.42 0.00 0.14 -1 -1 0.42 0.000942456 0.000614038 8 6 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_005bits.v common 12.19 vpr 62.26 MiB -1 -1 0.28 20216 2 0.16 -1 -1 35412 -1 -1 2 11 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63752 11 6 34 40 1 23 19 17 17 289 -1 unnamed_device 23.8 MiB 0.01 56 369 83 271 15 62.3 MiB 0.00 0.00 1.02368 -13.1072 -1.02368 1.02368 2.34 3.047e-05 1.8884e-05 0.000750678 0.000534848 30 190 11 6.79088e+06 26944 556674. 1926.21 2.27 0.00502002 0.00355271 24526 138013 -1 148 7 57 63 2684 856 1.02368 1.02368 -13.4626 -1.02368 0 0 706193. 2443.58 0.83 0.00 0.15 -1 -1 0.83 0.000507138 0.000436108 10 7 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_006bits.v common 11.86 vpr 62.29 MiB -1 -1 0.32 20672 3 0.23 -1 -1 35424 -1 -1 2 13 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63788 13 7 41 48 1 30 22 17 17 289 -1 unnamed_device 23.8 MiB 0.01 84 562 132 416 14 62.3 MiB 0.00 0.00 1.14898 -15.8855 -1.14898 1.14898 2.67 3.6688e-05 2.3531e-05 0.000949484 0.000677554 22 278 10 6.79088e+06 26944 443629. 1535.05 2.13 0.00563681 0.00411925 22798 101617 -1 203 9 87 90 4352 1382 1.05944 1.05944 -16.5802 -1.05944 0 0 531479. 1839.03 0.70 0.00 0.33 -1 -1 0.70 0.000679206 0.000585462 11 9 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_007bits.v common 10.84 vpr 62.33 MiB -1 -1 0.15 20824 3 0.03 -1 -1 35512 -1 -1 2 15 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63824 15 8 47 55 1 36 25 17 17 289 -1 unnamed_device 23.8 MiB 0.01 105 1609 651 939 19 62.3 MiB 0.01 0.00 1.18818 -19.0107 -1.18818 1.18818 2.07 3.6549e-05 2.3592e-05 0.00189198 0.00126399 26 275 20 6.79088e+06 26944 503264. 1741.40 2.04 0.150187 0.00611635 23662 119890 -1 243 8 132 150 8774 2913 1.18818 1.18818 -20.6489 -1.18818 0 0 618332. 2139.56 0.84 0.01 0.17 -1 -1 0.84 0.00154986 0.00132232 13 10 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_008bits.v common 11.02 vpr 62.40 MiB -1 -1 0.30 20520 3 0.13 -1 -1 35620 -1 -1 2 17 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63900 17 9 56 65 1 43 28 17 17 289 -1 unnamed_device 23.9 MiB 0.23 265 1246 323 720 203 62.4 MiB 0.01 0.00 1.52493 -25.689 -1.52493 1.52493 1.97 3.6146e-05 2.3098e-05 0.00172847 0.00129291 26 569 23 6.79088e+06 26944 503264. 1741.40 2.57 0.00801048 0.00595151 23662 119890 -1 532 8 170 202 16940 3844 1.27433 1.27433 -27.5744 -1.27433 0 0 618332. 2139.56 1.20 0.18 0.22 -1 -1 1.20 0.17664 0.176461 16 14 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_009bits.v common 13.03 vpr 62.42 MiB -1 -1 0.38 20824 4 0.11 -1 -1 35472 -1 -1 3 19 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63916 19 10 60 70 1 49 32 17 17 289 -1 unnamed_device 23.9 MiB 0.04 127 2032 631 960 441 62.4 MiB 0.00 0.00 1.31348 -24.6536 -1.31348 1.31348 2.34 1.8957e-05 1.2392e-05 0.00102259 0.000735903 28 516 43 6.79088e+06 40416 531479. 1839.03 2.39 0.0113625 0.0089413 23950 126010 -1 416 9 213 217 11180 3714 1.22389 1.22389 -25.8749 -1.22389 0 0 648988. 2245.63 0.51 0.01 0.30 -1 -1 0.51 0.0019508 0.00167815 17 13 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_010bits.v common 13.90 vpr 62.48 MiB -1 -1 0.27 20672 4 0.17 -1 -1 35628 -1 -1 3 21 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63976 21 11 69 80 1 55 35 17 17 289 -1 unnamed_device 23.9 MiB 0.67 244 2429 615 1619 195 62.5 MiB 0.16 0.00 1.85398 -32.5153 -1.85398 1.85398 2.96 2.4064e-05 1.6895e-05 0.00278023 0.00203947 26 661 19 6.79088e+06 40416 503264. 1741.40 2.03 0.0116419 0.00898249 23662 119890 -1 565 14 238 294 23917 5793 1.65028 1.65028 -35.0292 -1.65028 0 0 618332. 2139.56 0.70 0.01 0.18 -1 -1 0.70 0.00294845 0.00239755 21 17 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_011bits.v common 12.67 vpr 62.29 MiB -1 -1 0.23 20824 5 0.15 -1 -1 35756 -1 -1 3 23 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63780 23 12 76 88 1 61 38 17 17 289 -1 unnamed_device 23.9 MiB 0.45 176 2243 530 1542 171 62.3 MiB 0.01 0.00 1.90432 -33.5201 -1.90432 1.90432 2.64 5.2936e-05 3.7394e-05 0.00259265 0.00194883 26 600 28 6.79088e+06 40416 503264. 1741.40 2.60 0.121237 0.0104145 23662 119890 -1 415 15 287 353 19584 6651 2.06533 2.06533 -35.9914 -2.06533 0 0 618332. 2139.56 0.93 0.00 0.27 -1 -1 0.93 0.00151539 0.0013103 22 19 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_012bits.v common 12.23 vpr 62.57 MiB -1 -1 0.17 20824 5 0.04 -1 -1 35532 -1 -1 3 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64076 25 13 83 96 1 66 41 17 17 289 -1 unnamed_device 24.2 MiB 0.58 399 2141 527 1390 224 62.6 MiB 0.15 0.00 1.86512 -43.4929 -1.86512 1.86512 2.18 5.8404e-05 4.1031e-05 0.00239636 0.00181652 30 820 13 6.79088e+06 40416 556674. 1926.21 2.22 0.00844775 0.00681272 24526 138013 -1 728 11 256 311 20183 4744 1.67834 1.67834 -42.9812 -1.67834 0 0 706193. 2443.58 0.60 0.18 0.39 -1 -1 0.60 0.00294636 0.00256906 23 21 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_013bits.v common 14.27 vpr 62.64 MiB -1 -1 0.22 20824 5 0.18 -1 -1 35532 -1 -1 4 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64140 27 14 91 105 1 72 45 17 17 289 -1 unnamed_device 24.2 MiB 1.08 320 2045 440 1409 196 62.6 MiB 0.08 0.07 2.15497 -44.6625 -2.15497 2.15497 2.41 6.4133e-05 4.6309e-05 0.00226517 0.00176553 34 775 12 6.79088e+06 53888 618332. 2139.56 2.98 0.0148579 0.0117234 25102 150614 -1 737 12 259 330 24924 5934 1.81483 1.81483 -44.2403 -1.81483 0 0 787024. 2723.27 0.82 0.01 0.32 -1 -1 0.82 0.00345376 0.00301564 27 24 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_014bits.v common 14.52 vpr 62.66 MiB -1 -1 0.26 20824 6 0.28 -1 -1 35520 -1 -1 4 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64160 29 15 95 110 1 77 48 17 17 289 -1 unnamed_device 24.1 MiB 0.87 242 2310 439 1830 41 62.7 MiB 0.01 0.00 2.36642 -47.3554 -2.36642 2.36642 2.93 6.7282e-05 4.9969e-05 0.00253503 0.00197787 28 885 12 6.79088e+06 53888 531479. 1839.03 2.54 0.0878789 0.0860628 23950 126010 -1 694 18 355 423 25811 7679 2.24112 2.24112 -50.4415 -2.24112 0 0 648988. 2245.63 0.87 0.02 0.30 -1 -1 0.87 0.0152343 0.0146856 28 23 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_015bits.v common 14.04 vpr 62.55 MiB -1 -1 0.28 20976 6 0.17 -1 -1 35768 -1 -1 5 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64056 31 16 104 120 1 82 52 17 17 289 -1 unnamed_device 24.1 MiB 1.35 486 4708 1100 3116 492 62.6 MiB 0.09 0.00 2.44482 -56.7016 -2.44482 2.44482 2.28 7.849e-05 5.7847e-05 0.00502122 0.00386535 34 1053 15 6.79088e+06 67360 618332. 2139.56 3.25 0.137256 0.0184965 25102 150614 -1 930 16 321 449 31351 7409 2.53092 2.53092 -58.7374 -2.53092 0 0 787024. 2723.27 0.76 0.01 0.43 -1 -1 0.76 0.0042529 0.003728 31 27 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_016bits.v common 14.94 vpr 62.54 MiB -1 -1 0.22 20672 7 0.07 -1 -1 35648 -1 -1 5 33 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64044 33 17 112 129 1 88 55 17 17 289 -1 unnamed_device 24.1 MiB 2.06 552 6503 2125 3261 1117 62.5 MiB 0.02 0.00 2.73468 -63.9664 -2.73468 2.73468 2.71 9.3813e-05 7.352e-05 0.00601155 0.00455128 34 1109 16 6.79088e+06 67360 618332. 2139.56 3.47 0.0227638 0.0183302 25102 150614 -1 988 15 341 443 32433 7411 2.60594 2.60594 -65.337 -2.60594 0 0 787024. 2723.27 1.13 0.06 0.20 -1 -1 1.13 0.00453778 0.00397847 32 30 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_018bits.v common 16.86 vpr 62.70 MiB -1 -1 0.35 20824 7 0.13 -1 -1 35692 -1 -1 6 37 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64200 37 19 127 146 1 99 62 17 17 289 -1 unnamed_device 24.2 MiB 3.98 374 3234 672 2521 41 62.7 MiB 0.01 0.00 3.37591 -72.5139 -3.37591 3.37591 2.77 4.5418e-05 3.5267e-05 0.0016187 0.00130033 34 935 9 6.79088e+06 80832 618332. 2139.56 3.63 0.0441336 0.0399196 25102 150614 -1 820 9 325 405 21162 6087 3.12531 3.12531 -70.5514 -3.12531 0 0 787024. 2723.27 0.74 0.01 0.27 -1 -1 0.74 0.00433232 0.00394249 37 35 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_020bits.v common 14.46 vpr 63.07 MiB -1 -1 0.31 20824 8 0.15 -1 -1 35880 -1 -1 6 41 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64584 41 21 139 160 1 106 68 17 17 289 -1 unnamed_device 24.5 MiB 1.70 404 5588 1196 4245 147 63.1 MiB 0.02 0.00 2.87709 -74.8082 -2.87709 2.87709 2.28 9.2094e-05 6.8755e-05 0.00476127 0.00372637 28 1437 35 6.79088e+06 80832 531479. 1839.03 3.43 0.151407 0.14692 23950 126010 -1 1078 12 478 610 44214 11821 2.73129 2.73129 -79.2353 -2.73129 0 0 648988. 2245.63 0.87 0.07 0.26 -1 -1 0.87 0.0613965 0.0606679 41 37 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_022bits.v common 15.14 vpr 63.05 MiB -1 -1 0.38 20520 9 0.07 -1 -1 35892 -1 -1 6 45 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64568 45 23 153 176 1 119 74 17 17 289 -1 unnamed_device 24.5 MiB 1.29 495 9064 3734 5299 31 63.1 MiB 0.04 0.00 3.44738 -91.1716 -3.44738 3.44738 2.37 0.000111915 8.4938e-05 0.0231437 0.0215498 34 1262 15 6.79088e+06 80832 618332. 2139.56 4.16 0.0775318 0.0713178 25102 150614 -1 1009 10 451 546 33844 8849 3.10725 3.10725 -87.5868 -3.10725 0 0 787024. 2723.27 0.91 0.01 0.25 -1 -1 0.91 0.00520362 0.00471908 43 41 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_024bits.v common 16.70 vpr 63.18 MiB -1 -1 0.26 20824 10 0.18 -1 -1 35900 -1 -1 8 49 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64700 49 25 166 191 1 133 82 17 17 289 -1 unnamed_device 24.5 MiB 2.43 703 7914 1830 5632 452 63.2 MiB 0.10 0.00 3.44744 -102.94 -3.44744 3.44744 2.36 0.00011432 9.0299e-05 0.00641115 0.00521856 34 1579 20 6.79088e+06 107776 618332. 2139.56 4.19 0.0311201 0.026215 25102 150614 -1 1320 11 467 546 38551 9262 3.311 3.311 -101.159 -3.311 0 0 787024. 2723.27 0.82 0.01 0.59 -1 -1 0.82 0.00313314 0.00274833 48 44 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_028bits.v common 17.52 vpr 63.35 MiB -1 -1 0.25 20672 11 0.23 -1 -1 35760 -1 -1 8 57 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64872 57 29 198 227 1 158 94 17 17 289 -1 unnamed_device 24.7 MiB 4.32 745 10531 2305 7755 471 63.4 MiB 0.06 0.00 4.11668 -130.271 -4.11668 4.11668 2.13 6.5723e-05 5.2215e-05 0.00798162 0.0064802 34 1944 22 6.79088e+06 107776 618332. 2139.56 3.66 0.03701 0.0312884 25102 150614 -1 1650 17 694 976 70448 17398 3.77654 3.77654 -127.338 -3.77654 0 0 787024. 2723.27 1.03 0.09 0.31 -1 -1 1.03 0.07172 0.0709063 59 56 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_032bits.v common 18.36 vpr 63.50 MiB -1 -1 0.38 21128 13 0.25 -1 -1 35792 -1 -1 9 65 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65024 65 33 224 257 1 176 107 17 17 289 -1 unnamed_device 24.8 MiB 4.91 1085 14781 4255 8693 1833 63.5 MiB 0.18 0.00 5.13751 -166.798 -5.13751 5.13751 2.63 7.4273e-05 5.8046e-05 0.15531 0.00743833 30 2275 23 6.79088e+06 121248 556674. 1926.21 2.72 0.292663 0.140924 24526 138013 -1 1997 14 659 871 59242 13639 4.62142 4.62142 -163.517 -4.62142 0 0 706193. 2443.58 1.30 0.06 0.28 -1 -1 1.30 0.0412774 0.0404653 66 62 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_048bits.v common 28.29 vpr 64.10 MiB -1 -1 0.70 21128 19 0.54 -1 -1 35864 -1 -1 13 97 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65640 97 49 340 389 1 267 159 17 17 289 -1 unnamed_device 25.4 MiB 10.50 1245 33699 14062 19573 64 64.1 MiB 0.34 0.15 7.59683 -298.263 -7.59683 7.59683 3.00 0.000309666 0.000264005 0.026486 0.0226397 36 2849 20 6.79088e+06 175136 648988. 2245.63 4.83 0.0991613 0.0877466 25390 158009 -1 2385 14 1113 1496 86918 23255 6.93113 6.93113 -283.869 -6.93113 0 0 828058. 2865.25 1.21 0.02 0.52 -1 -1 1.21 0.00715652 0.00658791 100 98 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml adder_064bits.v common 28.97 vpr 64.89 MiB -1 -1 0.71 21736 26 0.37 -1 -1 35952 -1 -1 18 129 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66444 129 65 453 518 1 350 212 17 17 289 -1 unnamed_device 26.2 MiB 12.92 1555 46906 15122 26023 5761 64.9 MiB 0.32 0.00 10.1998 -491.342 -10.1998 10.1998 2.23 0.000431108 0.000376121 0.107626 0.0976867 38 3659 28 6.79088e+06 242496 678818. 2348.85 5.32 0.379766 0.359415 25966 169698 -1 2900 11 1200 1606 95570 24629 9.57327 9.57327 -468.252 -9.57327 0 0 902133. 3121.57 0.91 0.19 0.34 -1 -1 0.91 0.01788 0.0167846 129 131 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_003bits.v common 11.55 vpr 62.56 MiB -1 -1 0.44 20520 1 0.18 -1 -1 33588 -1 -1 1 7 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64060 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 24.0 MiB 0.09 25 155 63 90 2 62.6 MiB 0.00 0.00 0.605992 -7.06722 -0.605992 0.605992 2.63 2.9625e-05 1.6078e-05 0.000495418 0.000329911 22 67 10 6.87369e+06 13973.8 443629. 1535.05 1.35 0.00382389 0.00242212 23458 102101 -1 67 3 19 19 1052 364 0.74674 0.74674 -6.97772 -0.74674 0 0 531479. 1839.03 0.84 0.00 0.30 -1 -1 0.84 0.000634803 0.000535809 8 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_004bits.v common 10.45 vpr 62.62 MiB -1 -1 0.39 20520 1 0.03 -1 -1 33428 -1 -1 2 9 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64124 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 24.2 MiB 0.21 44 336 94 221 21 62.6 MiB 0.00 0.00 0.789073 -9.95572 -0.789073 0.789073 2.71 2.9024e-05 1.7483e-05 0.000699245 0.000459598 18 145 11 6.87369e+06 27947.7 376052. 1301.22 1.48 0.0044115 0.00303406 22882 88689 -1 132 14 97 97 7372 2110 0.914373 0.914373 -10.9223 -0.914373 0 0 470940. 1629.55 0.51 0.00 0.21 -1 -1 0.51 0.000751121 0.000601553 10 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_005bits.v common 11.92 vpr 62.66 MiB -1 -1 0.32 20520 1 0.24 -1 -1 33756 -1 -1 3 11 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64164 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 24.3 MiB 0.23 65 641 179 439 23 62.7 MiB 0.00 0.00 0.811073 -12.7564 -0.811073 0.811073 2.97 2.5488e-05 1.4604e-05 0.000856657 0.000553602 26 194 9 6.87369e+06 41921.5 503264. 1741.40 1.72 0.00478948 0.00335355 24322 120374 -1 200 13 137 137 7760 2540 1.02867 1.02867 -14.5281 -1.02867 0 0 618332. 2139.56 0.80 0.00 0.24 -1 -1 0.80 0.000634463 0.000505169 13 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_006bits.v common 11.37 vpr 62.69 MiB -1 -1 0.21 20520 1 0.18 -1 -1 33584 -1 -1 3 13 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64192 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 24.2 MiB 0.11 78 1015 230 584 201 62.7 MiB 0.01 0.00 0.833073 -15.4131 -0.833073 0.833073 2.39 2.7797e-05 1.6635e-05 0.00123428 0.00081502 28 272 22 6.87369e+06 41921.5 531479. 1839.03 1.96 0.00645389 0.0044415 24610 126494 -1 248 24 291 291 17878 5618 1.19797 1.19797 -17.4136 -1.19797 0 0 648988. 2245.63 0.76 0.02 0.22 -1 -1 0.76 0.0117818 0.00154158 15 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_007bits.v common 12.07 vpr 62.55 MiB -1 -1 0.49 20672 1 0.08 -1 -1 33700 -1 -1 3 15 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64048 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 24.0 MiB 0.28 110 1850 737 1031 82 62.5 MiB 0.01 0.00 1.38906 -18.4681 -1.38906 1.38906 2.76 3.2518e-05 2.0022e-05 0.00195858 0.0012838 26 309 17 6.87369e+06 41921.5 503264. 1741.40 1.75 0.00580642 0.00419356 24322 120374 -1 259 11 138 138 6362 2031 0.945373 0.945373 -19.104 -0.945373 0 0 618332. 2139.56 0.69 0.01 0.34 -1 -1 0.69 0.00155346 0.00125775 17 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_008bits.v common 10.35 vpr 62.75 MiB -1 -1 0.16 20672 1 0.01 -1 -1 33676 -1 -1 3 17 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64256 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 24.3 MiB 0.16 121 2097 815 1060 222 62.8 MiB 0.01 0.00 1.2154 -21.5293 -1.2154 1.2154 2.52 4.2988e-05 2.8809e-05 0.00241231 0.001649 26 287 12 6.87369e+06 41921.5 503264. 1741.40 1.88 0.00739376 0.00532715 24322 120374 -1 253 12 154 154 7146 2362 0.934373 0.934373 -20.8004 -0.934373 0 0 618332. 2139.56 0.74 0.04 0.34 -1 -1 0.74 0.00173743 0.00142996 18 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_009bits.v common 12.86 vpr 62.49 MiB -1 -1 0.51 20824 1 0.03 -1 -1 33528 -1 -1 3 19 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63992 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 24.3 MiB 0.38 132 2382 904 1195 283 62.5 MiB 0.19 0.00 1.2264 -24.1567 -1.2264 1.2264 2.56 4.0834e-05 2.7199e-05 0.0025549 0.00180445 30 349 16 6.87369e+06 41921.5 556674. 1926.21 2.16 0.00908649 0.00676553 25186 138497 -1 281 12 209 209 11210 3408 0.956373 0.956373 -23.7228 -0.956373 0 0 706193. 2443.58 0.75 0.08 0.16 -1 -1 0.75 0.00188496 0.00155051 20 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_010bits.v common 12.64 vpr 62.86 MiB -1 -1 0.20 20824 1 0.13 -1 -1 33708 -1 -1 3 21 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64368 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 24.4 MiB 0.24 144 2600 892 1091 617 62.9 MiB 0.01 0.00 1.2374 -26.9296 -1.2374 1.2374 2.53 4.872e-05 3.2909e-05 0.00305971 0.00217023 32 429 11 6.87369e+06 41921.5 586450. 2029.24 2.29 0.00955249 0.00711755 25474 144626 -1 362 16 243 243 17973 4690 1.13667 1.13667 -28.3328 -1.13667 0 0 744469. 2576.02 0.85 0.02 0.28 -1 -1 0.85 0.00226308 0.00182653 22 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_011bits.v common 12.68 vpr 62.90 MiB -1 -1 0.42 20368 1 0.16 -1 -1 33684 -1 -1 4 23 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64408 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 24.3 MiB 0.36 160 3207 1100 1548 559 62.9 MiB 0.01 0.00 1.2484 -29.9344 -1.2484 1.2484 2.43 4.4019e-05 2.9247e-05 0.00306835 0.0021773 32 498 15 6.87369e+06 55895.4 586450. 2029.24 1.79 0.00954512 0.00716964 25474 144626 -1 426 12 236 236 17265 4482 1.12567 1.12567 -31.4381 -1.12567 0 0 744469. 2576.02 1.61 0.05 0.44 -1 -1 1.61 0.00239317 0.00201052 24 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_012bits.v common 12.95 vpr 62.93 MiB -1 -1 0.44 20672 1 0.03 -1 -1 33228 -1 -1 4 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64436 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 24.3 MiB 0.25 185 3066 1166 1622 278 62.9 MiB 0.04 0.00 1.2594 -32.9809 -1.2594 1.2594 2.83 5.3872e-05 3.7307e-05 0.00281039 0.00204828 32 676 18 6.87369e+06 55895.4 586450. 2029.24 2.07 0.01061 0.00806728 25474 144626 -1 469 22 396 396 35405 8635 1.13667 1.13667 -33.6088 -1.13667 0 0 744469. 2576.02 0.80 0.01 0.33 -1 -1 0.80 0.00321482 0.00257788 26 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_013bits.v common 14.61 vpr 62.93 MiB -1 -1 0.32 20520 1 0.02 -1 -1 33396 -1 -1 4 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64436 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 24.5 MiB 0.25 211 3965 1584 2332 49 62.9 MiB 0.01 0.00 1.2704 -36.2225 -1.2704 1.2704 3.15 5.2969e-05 3.5493e-05 0.00333159 0.00239104 34 719 23 6.87369e+06 55895.4 618332. 2139.56 3.41 0.0169586 0.0127506 25762 151098 -1 594 12 393 393 28934 7953 1.18067 1.18067 -39.3892 -1.18067 0 0 787024. 2723.27 1.00 0.01 0.37 -1 -1 1.00 0.00250136 0.00213303 28 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_014bits.v common 12.52 vpr 63.00 MiB -1 -1 0.39 20672 1 0.11 -1 -1 33256 -1 -1 5 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64516 29 15 104 105 1 74 49 17 17 289 -1 unnamed_device 24.5 MiB 0.23 223 4855 1750 2130 975 63.0 MiB 0.02 0.00 1.2814 -38.4986 -1.2814 1.2814 2.09 5.8048e-05 4.092e-05 0.00397822 0.00292132 32 806 21 6.87369e+06 69869.2 586450. 2029.24 2.72 0.152711 0.0106876 25474 144626 -1 621 19 514 514 50733 12715 1.15397 1.15397 -40.2683 -1.15397 0 0 744469. 2576.02 1.05 0.06 0.25 -1 -1 1.05 0.00344025 0.00284575 31 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_015bits.v common 14.05 vpr 62.91 MiB -1 -1 0.28 20824 1 0.29 -1 -1 34044 -1 -1 5 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64420 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 24.5 MiB 0.28 290 5096 2071 2924 101 62.9 MiB 0.12 0.00 1.65273 -42.9382 -1.65273 1.65273 2.62 7.7041e-05 5.6738e-05 0.00441849 0.00334364 32 765 16 6.87369e+06 69869.2 586450. 2029.24 2.02 0.0172126 0.0139183 25474 144626 -1 612 16 379 379 30080 8049 1.32597 1.32597 -45.28 -1.32597 0 0 744469. 2576.02 0.92 0.01 0.37 -1 -1 0.92 0.00396023 0.00339401 33 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_016bits.v common 12.96 vpr 62.90 MiB -1 -1 0.27 20672 1 0.12 -1 -1 33868 -1 -1 5 33 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64408 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 24.3 MiB 0.24 305 6087 2506 3480 101 62.9 MiB 0.15 0.00 1.66373 -46.9561 -1.66373 1.66373 2.80 6.5408e-05 4.6994e-05 0.131173 0.129987 30 753 18 6.87369e+06 69869.2 556674. 1926.21 2.22 0.142375 0.138868 25186 138497 -1 581 13 368 368 24488 6506 1.21167 1.21167 -45.6497 -1.21167 0 0 706193. 2443.58 0.72 0.02 0.32 -1 -1 0.72 0.00364672 0.00294647 34 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_018bits.v common 12.44 vpr 63.04 MiB -1 -1 0.35 20672 1 0.11 -1 -1 33600 -1 -1 5 37 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64552 37 19 132 133 1 89 61 17 17 289 -1 unnamed_device 24.5 MiB 0.27 337 5821 2351 3385 85 63.0 MiB 0.02 0.00 1.68573 -53.0646 -1.68573 1.68573 2.26 7.4584e-05 5.389e-05 0.00453456 0.00346467 32 1021 19 6.87369e+06 69869.2 586450. 2029.24 2.22 0.091485 0.0881461 25474 144626 -1 799 17 473 473 46109 11007 1.34797 1.34797 -55.2846 -1.34797 0 0 744469. 2576.02 0.85 0.06 0.26 -1 -1 0.85 0.00412503 0.00349224 38 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_020bits.v common 14.78 vpr 63.25 MiB -1 -1 0.52 20976 1 0.12 -1 -1 33888 -1 -1 6 41 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64764 41 21 146 147 1 101 68 17 17 289 -1 unnamed_device 24.8 MiB 0.35 382 7382 2998 4293 91 63.2 MiB 0.10 0.00 1.70773 -60.6776 -1.70773 1.70773 2.08 9.5057e-05 7.0822e-05 0.006156 0.00460583 34 1080 21 6.87369e+06 83843 618332. 2139.56 4.16 0.428766 0.277848 25762 151098 -1 878 18 602 602 59456 14019 1.26197 1.26197 -58.7571 -1.26197 0 0 787024. 2723.27 0.85 0.05 0.10 -1 -1 0.85 0.00493112 0.00421469 42 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_022bits.v common 14.09 vpr 63.39 MiB -1 -1 0.27 20976 1 0.16 -1 -1 33752 -1 -1 7 45 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64912 45 23 160 161 1 115 75 17 17 289 -1 unnamed_device 24.8 MiB 0.46 435 8449 3436 4886 127 63.4 MiB 0.14 0.00 1.72973 -67.1496 -1.72973 1.72973 2.38 0.000505199 0.000369849 0.00589234 0.00454936 36 1170 20 6.87369e+06 97816.9 648988. 2245.63 3.50 0.121529 0.115689 26050 158493 -1 1001 18 648 648 59519 14454 1.25567 1.25567 -64.9549 -1.25567 0 0 828058. 2865.25 1.00 0.05 0.35 -1 -1 1.00 0.00519625 0.00447621 47 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_024bits.v common 13.92 vpr 63.45 MiB -1 -1 0.50 20824 1 0.08 -1 -1 33868 -1 -1 7 49 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64976 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 24.8 MiB 0.27 606 10056 2320 7330 406 63.5 MiB 0.16 0.00 2.11206 -79.0405 -2.11206 2.11206 2.31 0.00010923 8.3617e-05 0.00672979 0.00522225 34 1386 16 6.87369e+06 97816.9 618332. 2139.56 3.23 0.0628001 0.0235143 25762 151098 -1 1223 18 668 668 62536 14705 1.49527 1.49527 -79.1583 -1.49527 0 0 787024. 2723.27 0.89 0.12 0.30 -1 -1 0.89 0.00550774 0.00473289 50 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_028bits.v common 14.02 vpr 63.50 MiB -1 -1 0.23 20672 1 0.03 -1 -1 33628 -1 -1 8 57 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65028 57 29 202 203 1 142 94 17 17 289 -1 unnamed_device 24.8 MiB 0.26 732 10957 2399 8066 492 63.5 MiB 0.13 0.00 2.15606 -95.0168 -2.15606 2.15606 2.64 0.000127008 0.000101695 0.00700932 0.0055624 34 1694 21 6.87369e+06 111791 618332. 2139.56 3.55 0.0377909 0.0313587 25762 151098 -1 1433 17 739 739 74923 16984 1.28867 1.28867 -87.641 -1.28867 0 0 787024. 2723.27 0.90 0.18 0.32 -1 -1 0.90 0.00409056 0.0036087 58 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_032bits.v common 15.13 vpr 63.63 MiB -1 -1 0.31 21128 1 0.03 -1 -1 33604 -1 -1 9 65 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65160 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 25.1 MiB 0.37 1001 16046 4955 9770 1321 63.6 MiB 0.08 0.00 2.56039 -116.939 -2.56039 2.56039 2.70 0.000143778 0.000112604 0.0100891 0.00806421 34 2093 19 6.87369e+06 125765 618332. 2139.56 4.09 0.256636 0.248374 25762 151098 -1 1851 17 871 871 90904 19499 1.44967 1.44967 -108.663 -1.44967 0 0 787024. 2723.27 0.93 0.02 0.43 -1 -1 0.93 0.00363999 0.00317444 66 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_048bits.v common 15.37 vpr 64.47 MiB -1 -1 0.24 21128 1 0.15 -1 -1 33744 -1 -1 13 97 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66020 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 25.8 MiB 0.35 1379 28969 8779 18116 2074 64.5 MiB 0.18 0.00 3.45705 -191.124 -3.45705 3.45705 2.44 0.000243321 0.000203037 0.0174679 0.0146218 36 2974 16 6.87369e+06 181660 648988. 2245.63 4.45 0.153816 0.0649979 26050 158493 -1 2613 19 1258 1258 134087 29352 1.67197 1.67197 -161.552 -1.67197 0 0 828058. 2865.25 0.83 0.05 0.29 -1 -1 0.83 0.0123207 0.0110916 98 2 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml adder_064bits.v common 17.14 vpr 65.11 MiB -1 -1 0.34 21432 1 0.19 -1 -1 33680 -1 -1 17 129 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66676 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 26.2 MiB 0.42 1983 46609 14562 27682 4365 65.1 MiB 0.40 0.00 4.35372 -288.959 -4.35372 4.35372 2.43 0.000370054 0.000323577 0.186006 0.182607 34 4783 49 6.87369e+06 237555 618332. 2139.56 6.43 0.353682 0.339802 25762 151098 -1 3855 18 1639 1639 193474 45118 1.86597 1.86597 -229.54 -1.86597 0 0 787024. 2723.27 0.99 0.22 0.31 -1 -1 0.99 0.0176268 0.015967 130 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_003bits.v common 10.59 vpr 62.45 MiB -1 -1 0.18 20520 1 0.10 -1 -1 33568 -1 -1 1 7 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63952 7 4 27 28 1 13 12 17 17 289 -1 unnamed_device 23.9 MiB 0.02 25 155 63 90 2 62.5 MiB 0.00 0.00 0.605992 -7.06722 -0.605992 0.605992 2.67 1.4936e-05 8.928e-06 0.000407481 0.000314052 22 67 10 6.89349e+06 14093.8 443629. 1535.05 1.62 0.00314613 0.00209608 23458 102101 -1 67 3 19 19 1052 364 0.74674 0.74674 -6.97772 -0.74674 0 0 531479. 1839.03 0.62 0.00 0.16 -1 -1 0.62 0.000571412 0.000474246 8 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_004bits.v common 12.03 vpr 62.37 MiB -1 -1 0.26 20672 1 0.13 -1 -1 33276 -1 -1 2 9 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63868 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 23.9 MiB 0.14 48 336 89 227 20 62.4 MiB 0.00 0.00 0.789073 -10.0695 -0.789073 0.789073 2.57 2.4272e-05 1.3725e-05 0.000773647 0.000452354 30 120 12 6.89349e+06 28187.7 556674. 1926.21 2.35 0.00381363 0.00252487 25186 138497 -1 91 9 50 50 1794 670 0.74674 0.74674 -9.58622 -0.74674 0 0 706193. 2443.58 1.02 0.00 0.24 -1 -1 1.02 0.000901743 0.000707661 10 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_005bits.v common 11.40 vpr 62.54 MiB -1 -1 0.29 20672 1 0.10 -1 -1 33460 -1 -1 3 11 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64044 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 24.2 MiB 0.04 90 614 167 429 18 62.5 MiB 0.01 0.00 0.99734 -13.6863 -0.99734 0.99734 2.43 2.9004e-05 1.7851e-05 0.00101449 0.000671285 22 241 10 6.89349e+06 42281.5 443629. 1535.05 2.16 0.00432202 0.00317586 23458 102101 -1 213 9 77 77 5997 1642 1.12264 1.12264 -14.8378 -1.12264 0 0 531479. 1839.03 0.88 0.00 0.27 -1 -1 0.88 0.00103232 0.00082385 13 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_006bits.v common 11.28 vpr 62.58 MiB -1 -1 0.31 20672 1 0.12 -1 -1 33592 -1 -1 3 13 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64084 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 24.1 MiB 0.16 78 887 199 520 168 62.6 MiB 0.01 0.00 0.833073 -15.4163 -0.833073 0.833073 2.67 2.8722e-05 1.7562e-05 0.00108567 0.000724981 28 301 34 6.89349e+06 42281.5 531479. 1839.03 2.10 0.0790886 0.0768788 24610 126494 -1 250 29 295 295 18298 5685 1.08367 1.08367 -17.221 -1.08367 0 0 648988. 2245.63 0.71 0.24 0.15 -1 -1 0.71 0.00253517 0.00183431 15 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_007bits.v common 13.07 vpr 62.62 MiB -1 -1 0.40 20520 1 0.09 -1 -1 33364 -1 -1 3 15 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64128 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 24.1 MiB 0.17 112 1850 763 1049 38 62.6 MiB 0.01 0.00 1.38906 -18.7903 -1.38906 1.38906 3.44 1.4943e-05 9.352e-06 0.00124351 0.000833068 28 276 10 6.89349e+06 42281.5 531479. 1839.03 2.17 0.00642631 0.00450544 24610 126494 -1 243 12 141 141 5792 1971 0.98032 0.98032 -19.0933 -0.98032 0 0 648988. 2245.63 1.01 0.01 0.22 -1 -1 1.01 0.00160438 0.00128756 17 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_008bits.v common 11.76 vpr 62.44 MiB -1 -1 0.47 20672 1 0.03 -1 -1 33512 -1 -1 3 17 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63936 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 23.9 MiB 0.14 120 2097 850 1164 83 62.4 MiB 0.01 0.00 1.2154 -21.2291 -1.2154 1.2154 2.61 4.6362e-05 3.1636e-05 0.00265862 0.00188839 26 352 21 6.89349e+06 42281.5 503264. 1741.40 2.27 0.00887159 0.00661523 24322 120374 -1 297 16 211 211 13252 4167 1.10367 1.10367 -23.4634 -1.10367 0 0 618332. 2139.56 0.91 0.01 0.19 -1 -1 0.91 0.00207253 0.00159548 18 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_009bits.v common 12.07 vpr 62.68 MiB -1 -1 0.12 20824 1 0.07 -1 -1 33660 -1 -1 3 19 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64184 19 10 69 70 1 46 32 17 17 289 -1 unnamed_device 24.2 MiB 0.19 136 2432 923 1201 308 62.7 MiB 0.01 0.00 1.2264 -24.2325 -1.2264 1.2264 3.04 3.6243e-05 2.2388e-05 0.00215188 0.00144763 26 396 16 6.89349e+06 42281.5 503264. 1741.40 2.29 0.00688421 0.00510103 24322 120374 -1 346 13 211 211 14986 4099 1.13667 1.13667 -26.975 -1.13667 0 0 618332. 2139.56 0.91 0.01 0.14 -1 -1 0.91 0.00186383 0.00151507 20 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_010bits.v common 12.98 vpr 62.48 MiB -1 -1 0.08 20672 1 0.03 -1 -1 33668 -1 -1 3 21 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 63976 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 24.1 MiB 0.32 144 2657 978 1300 379 62.5 MiB 0.01 0.00 1.2374 -26.9548 -1.2374 1.2374 2.70 4.4489e-05 2.9223e-05 0.00242224 0.00163912 28 478 17 6.89349e+06 42281.5 531479. 1839.03 2.68 0.00947739 0.00702486 24610 126494 -1 432 14 250 250 20975 5623 1.27297 1.27297 -32.3411 -1.27297 0 0 648988. 2245.63 1.14 0.01 0.28 -1 -1 1.14 0.00223753 0.00183323 22 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_011bits.v common 12.55 vpr 62.80 MiB -1 -1 0.15 20824 1 0.03 -1 -1 33672 -1 -1 4 23 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64304 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 24.2 MiB 0.11 164 3207 1150 1481 576 62.8 MiB 0.01 0.00 1.2484 -30.2716 -1.2484 1.2484 2.74 2.1218e-05 1.4157e-05 0.00126808 0.000897274 28 549 17 6.89349e+06 56375.4 531479. 1839.03 2.09 0.132938 0.130697 24610 126494 -1 501 15 271 271 25089 6500 1.25097 1.25097 -34.7378 -1.25097 0 0 648988. 2245.63 0.82 0.01 0.63 -1 -1 0.82 0.00261397 0.00200182 24 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_012bits.v common 13.56 vpr 62.67 MiB -1 -1 0.21 20520 1 0.11 -1 -1 33232 -1 -1 4 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64176 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 24.1 MiB 0.11 186 3138 1182 1630 326 62.7 MiB 0.01 0.00 1.2594 -32.962 -1.2594 1.2594 3.09 5.944e-05 4.1808e-05 0.00315228 0.00230742 32 623 24 6.89349e+06 56375.4 586450. 2029.24 2.53 0.0117664 0.00879752 25474 144626 -1 465 14 284 284 21157 5429 1.15867 1.15867 -34.7078 -1.15867 0 0 744469. 2576.02 0.70 0.01 0.28 -1 -1 0.70 0.00250326 0.00208263 26 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_013bits.v common 13.35 vpr 62.86 MiB -1 -1 0.16 20824 1 0.24 -1 -1 33384 -1 -1 4 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64372 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 24.5 MiB 0.15 210 3965 1585 2344 36 62.9 MiB 0.01 0.00 1.2704 -36.265 -1.2704 1.2704 2.49 6.7565e-05 3.9192e-05 0.0035653 0.00255093 32 679 23 6.89349e+06 56375.4 586450. 2029.24 2.86 0.121738 0.118623 25474 144626 -1 560 14 370 370 30641 8262 1.27297 1.27297 -39.4321 -1.27297 0 0 744469. 2576.02 0.81 0.01 0.16 -1 -1 0.81 0.00327828 0.00278224 28 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_014bits.v common 15.32 vpr 62.89 MiB -1 -1 0.19 20824 1 0.12 -1 -1 33624 -1 -1 5 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64404 29 15 104 105 1 74 49 17 17 289 -1 unnamed_device 24.4 MiB 0.30 223 4855 1757 2166 932 62.9 MiB 0.01 0.00 1.2814 -38.5472 -1.2814 1.2814 3.37 2.5914e-05 1.7897e-05 0.00173443 0.00126711 38 628 16 6.89349e+06 70469.2 678818. 2348.85 4.71 0.0520011 0.0481896 26626 170182 -1 526 14 357 357 30245 8168 1.08987 1.08987 -37.7185 -1.08987 0 0 902133. 3121.57 0.97 0.01 0.35 -1 -1 0.97 0.00278298 0.00232778 31 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_015bits.v common 12.31 vpr 62.95 MiB -1 -1 0.27 20672 1 0.10 -1 -1 33900 -1 -1 5 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64456 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 24.4 MiB 0.28 290 5096 2058 2934 104 62.9 MiB 0.10 0.00 1.65273 -42.9889 -1.65273 1.65273 2.55 5.5452e-05 3.8284e-05 0.00396532 0.00291064 30 683 13 6.89349e+06 70469.2 556674. 1926.21 2.34 0.0162651 0.0132758 25186 138497 -1 556 13 326 326 18494 5103 1.08832 1.08832 -41.3192 -1.08832 0 0 706193. 2443.58 1.05 0.01 0.28 -1 -1 1.05 0.0034232 0.00294712 33 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_016bits.v common 11.90 vpr 62.83 MiB -1 -1 0.19 20672 1 0.06 -1 -1 33728 -1 -1 5 33 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64340 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 24.2 MiB 0.35 305 6087 2521 3470 96 62.8 MiB 0.02 0.00 1.66373 -46.7138 -1.66373 1.66373 2.74 6.6257e-05 4.7225e-05 0.00450985 0.0032702 32 866 14 6.89349e+06 70469.2 586450. 2029.24 2.58 0.040011 0.0368412 25474 144626 -1 665 13 337 337 28629 7248 1.22267 1.22267 -47.4346 -1.22267 0 0 744469. 2576.02 1.16 0.01 0.24 -1 -1 1.16 0.00315045 0.002668 34 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_018bits.v common 13.67 vpr 63.18 MiB -1 -1 0.21 20672 1 0.08 -1 -1 33600 -1 -1 5 37 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64700 37 19 132 133 1 90 61 17 17 289 -1 unnamed_device 24.7 MiB 0.16 339 5941 2393 3467 81 63.2 MiB 0.20 0.00 1.68573 -53.2046 -1.68573 1.68573 2.57 8.9062e-05 6.6014e-05 0.00460785 0.00349734 32 957 22 6.89349e+06 70469.2 586450. 2029.24 2.93 0.0172015 0.013673 25474 144626 -1 755 12 411 411 37973 9217 1.23367 1.23367 -52.0141 -1.23367 0 0 744469. 2576.02 0.91 0.01 0.33 -1 -1 0.91 0.00335962 0.00290425 38 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_020bits.v common 13.76 vpr 62.69 MiB -1 -1 0.19 20824 1 0.03 -1 -1 33724 -1 -1 6 41 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64196 41 21 146 147 1 102 68 17 17 289 -1 unnamed_device 24.1 MiB 0.23 384 7520 3042 4380 98 62.7 MiB 0.07 0.00 1.70773 -60.4553 -1.70773 1.70773 2.40 9.7352e-05 7.268e-05 0.00592114 0.00451634 34 1195 25 6.89349e+06 84563 618332. 2139.56 4.73 0.027417 0.0217695 25762 151098 -1 960 17 570 570 75443 20015 1.42027 1.42027 -62.3146 -1.42027 0 0 787024. 2723.27 0.84 0.10 0.42 -1 -1 0.84 0.00423232 0.00362022 42 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_022bits.v common 15.59 vpr 63.25 MiB -1 -1 0.17 20824 1 0.06 -1 -1 33764 -1 -1 7 45 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64768 45 23 160 161 1 115 75 17 17 289 -1 unnamed_device 24.7 MiB 0.42 434 8449 3473 4843 133 63.2 MiB 0.37 0.00 1.72973 -67.5985 -1.72973 1.72973 3.04 8.8562e-05 6.4444e-05 0.00580493 0.00444524 36 1246 24 6.89349e+06 98656.9 648988. 2245.63 4.99 0.0306249 0.0248694 26050 158493 -1 987 22 654 654 142955 55836 1.21092 1.21092 -62.3813 -1.21092 0 0 828058. 2865.25 0.98 0.12 0.28 -1 -1 0.98 0.00555195 0.00469194 47 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_024bits.v common 15.05 vpr 63.34 MiB -1 -1 0.29 20976 1 0.05 -1 -1 34016 -1 -1 7 49 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64864 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 24.7 MiB 0.24 604 10056 2363 7435 258 63.3 MiB 0.64 0.00 2.11206 -78.831 -2.11206 2.11206 2.83 0.000101239 7.7208e-05 0.00695255 0.00544034 34 1378 31 6.89349e+06 98656.9 618332. 2139.56 4.80 0.0295031 0.0239572 25762 151098 -1 1237 16 629 629 70513 15933 1.55457 1.55457 -77.481 -1.55457 0 0 787024. 2723.27 0.63 0.02 0.38 -1 -1 0.63 0.00524114 0.00454195 50 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_028bits.v common 12.93 vpr 63.48 MiB -1 -1 0.13 20824 1 0.04 -1 -1 33692 -1 -1 8 57 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65000 57 29 202 203 1 143 94 17 17 289 -1 unnamed_device 24.8 MiB 0.16 726 10957 2442 8181 334 63.5 MiB 0.03 0.00 2.15606 -94.1497 -2.15606 2.15606 2.48 0.000116036 8.9937e-05 0.00710828 0.00566237 34 1609 13 6.89349e+06 112751 618332. 2139.56 4.03 0.244829 0.208471 25762 151098 -1 1426 12 662 662 58267 13888 1.41397 1.41397 -90.3963 -1.41397 0 0 787024. 2723.27 1.03 0.02 0.35 -1 -1 1.03 0.00501394 0.00444918 58 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_032bits.v common 13.27 vpr 63.63 MiB -1 -1 0.13 20824 1 0.04 -1 -1 33464 -1 -1 9 65 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65156 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 25.0 MiB 0.22 756 11745 2650 8397 698 63.6 MiB 0.14 0.00 2.56039 -108.906 -2.56039 2.56039 2.59 6.223e-05 4.8461e-05 0.117577 0.116202 34 2009 24 6.89349e+06 126845 618332. 2139.56 4.13 0.147182 0.14158 25762 151098 -1 1614 14 776 776 66837 16427 1.65627 1.65627 -107.904 -1.65627 0 0 787024. 2723.27 0.76 0.14 0.32 -1 -1 0.76 0.004449 0.00402914 66 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_048bits.v common 14.90 vpr 63.90 MiB -1 -1 0.18 21280 1 0.07 -1 -1 33812 -1 -1 13 97 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65436 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 25.1 MiB 0.27 1383 28969 7882 19104 1983 63.9 MiB 0.45 0.00 3.45705 -191.088 -3.45705 3.45705 2.79 0.00023552 0.000194993 0.0361549 0.0152043 34 3125 28 6.89349e+06 183220 618332. 2139.56 4.55 0.0918446 0.0639457 25762 151098 -1 2725 17 1133 1133 125663 28236 1.66567 1.66567 -167.292 -1.66567 0 0 787024. 2723.27 0.63 0.05 0.21 -1 -1 0.63 0.0116197 0.0104415 98 2 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml adder_064bits.v common 18.19 vpr 64.95 MiB -1 -1 0.22 21280 1 0.09 -1 -1 34040 -1 -1 17 129 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66504 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 26.1 MiB 0.44 1994 46609 14649 27523 4437 64.9 MiB 0.63 0.00 4.35372 -288.643 -4.35372 4.35372 2.90 0.000397932 0.000339983 0.100791 0.0969024 34 4729 38 6.89349e+06 239595 618332. 2139.56 5.71 0.363391 0.349227 25762 151098 -1 3833 16 1511 1511 160735 36342 1.99597 1.99597 -239.084 -1.99597 0 0 787024. 2723.27 1.04 0.17 0.39 -1 -1 1.04 0.0979019 0.0154884 130 2 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt index eb63dd05026..6762ea6e2d5 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt @@ -1,1025 +1,1025 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 5.62 vpr 62.68 MiB -1 -1 0.22 17644 14 0.27 -1 -1 32900 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64184 32 32 277 309 1 204 91 17 17 289 -1 unnamed_device 24.2 MiB 0.38 1279 6823 1440 4905 478 62.7 MiB 0.08 0.00 7.95704 -163.811 -7.95704 7.95704 0.64 0.000902389 0.00083636 0.0344606 0.031912 36 3193 16 6.55708e+06 325485 612192. 2118.31 2.16 0.203512 0.176225 22750 144809 -1 2849 34 1240 3807 386059 168230 6.88996 6.88996 -155.56 -6.88996 0 0 782063. 2706.10 0.20 0.16 0.13 -1 -1 0.20 0.0545367 0.0472303 183 183 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 5.56 vpr 62.51 MiB -1 -1 0.26 17588 14 0.28 -1 -1 32880 -1 -1 31 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64012 30 32 272 304 1 210 93 17 17 289 -1 unnamed_device 24.0 MiB 0.48 1272 10173 2471 6545 1157 62.5 MiB 0.10 0.00 8.16064 -158.468 -8.16064 8.16064 0.63 0.000895349 0.000826618 0.0471883 0.0436956 36 3251 22 6.55708e+06 373705 612192. 2118.31 1.95 0.223988 0.194373 22750 144809 -1 2871 28 1569 4797 434858 167668 7.27044 7.27044 -149.639 -7.27044 0 0 782063. 2706.10 0.20 0.16 0.12 -1 -1 0.20 0.046782 0.0406998 184 184 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 6.05 vpr 62.42 MiB -1 -1 0.23 17344 11 0.22 -1 -1 33024 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63916 32 32 280 312 1 205 90 17 17 289 -1 unnamed_device 24.0 MiB 0.37 1375 11748 3042 6701 2005 62.4 MiB 0.11 0.00 6.90223 -139.699 -6.90223 6.90223 0.63 0.000901418 0.000834301 0.0561511 0.0520026 28 4030 31 6.55708e+06 313430 500653. 1732.36 2.73 0.18013 0.158215 21310 115450 -1 3257 20 1688 5676 395500 88119 6.50178 6.50178 -144.577 -6.50178 0 0 612192. 2118.31 0.17 0.12 0.10 -1 -1 0.17 0.0367008 0.0321419 186 186 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 5.99 vpr 62.45 MiB -1 -1 0.26 17512 12 0.29 -1 -1 32936 -1 -1 30 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63948 29 32 275 307 1 205 91 17 17 289 -1 unnamed_device 23.9 MiB 0.57 1263 4783 870 3608 305 62.4 MiB 0.06 0.00 7.83974 -145.087 -7.83974 7.83974 0.63 0.000906685 0.000840812 0.0246669 0.0228533 36 3198 28 6.55708e+06 361650 612192. 2118.31 2.37 0.21186 0.182666 22750 144809 -1 2762 18 1264 4136 214917 50074 7.0397 7.0397 -139.752 -7.0397 0 0 782063. 2706.10 0.21 0.09 0.13 -1 -1 0.21 0.034316 0.0301343 190 190 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 5.86 vpr 62.60 MiB -1 -1 0.22 17608 13 0.28 -1 -1 32776 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64100 32 32 302 334 1 233 95 17 17 289 -1 unnamed_device 24.1 MiB 0.47 1445 11111 2879 6967 1265 62.6 MiB 0.11 0.00 7.83935 -165.421 -7.83935 7.83935 0.63 0.000977509 0.000904574 0.0540974 0.05001 28 4394 44 6.55708e+06 373705 500653. 1732.36 2.36 0.207488 0.181481 21310 115450 -1 3682 20 1754 5020 353252 92662 6.8405 6.8405 -162.584 -6.8405 0 0 612192. 2118.31 0.17 0.12 0.10 -1 -1 0.17 0.0401928 0.0352959 210 208 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 5.66 vpr 62.36 MiB -1 -1 0.26 17588 13 0.31 -1 -1 32660 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63856 32 32 292 324 1 217 96 17 17 289 -1 unnamed_device 23.8 MiB 0.33 1337 11046 2900 6780 1366 62.4 MiB 0.11 0.00 7.78297 -154.862 -7.78297 7.78297 0.65 0.000943375 0.00087297 0.0512421 0.0473791 36 3405 22 6.55708e+06 385760 612192. 2118.31 2.14 0.239535 0.208267 22750 144809 -1 2807 15 1168 3766 204246 48163 6.8411 6.8411 -146.675 -6.8411 0 0 782063. 2706.10 0.20 0.08 0.15 -1 -1 0.20 0.0313411 0.0276803 198 198 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 3.93 vpr 61.97 MiB -1 -1 0.14 17512 12 0.21 -1 -1 32508 -1 -1 27 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63460 27 32 229 261 1 176 86 17 17 289 -1 unnamed_device 23.5 MiB 0.30 1022 8969 2278 5945 746 62.0 MiB 0.08 0.00 7.21391 -130.754 -7.21391 7.21391 0.69 0.000744894 0.000691066 0.0383272 0.0355106 30 2436 17 6.55708e+06 325485 526063. 1820.29 0.81 0.123241 0.108495 21886 126133 -1 2080 16 937 2522 114156 28302 6.43104 6.43104 -125.386 -6.43104 0 0 666494. 2306.21 0.18 0.06 0.11 -1 -1 0.18 0.0255822 0.022555 152 150 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 6.07 vpr 61.98 MiB -1 -1 0.24 17396 12 0.19 -1 -1 32728 -1 -1 22 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63472 31 32 229 261 1 184 85 17 17 289 -1 unnamed_device 23.5 MiB 0.20 1233 12733 3609 7498 1626 62.0 MiB 0.11 0.00 6.32286 -134.975 -6.32286 6.32286 0.69 0.000731381 0.000677603 0.0532902 0.0492864 36 3149 24 6.55708e+06 265210 612192. 2118.31 2.94 0.200974 0.175662 22750 144809 -1 2676 17 1207 3768 201034 45387 5.53052 5.53052 -132.576 -5.53052 0 0 782063. 2706.10 0.20 0.05 0.08 -1 -1 0.20 0.0153743 0.0138471 140 138 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 5.04 vpr 62.30 MiB -1 -1 0.24 17820 12 0.17 -1 -1 32636 -1 -1 26 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63796 31 32 235 267 1 192 89 17 17 289 -1 unnamed_device 23.8 MiB 0.26 1203 13157 3412 7574 2171 62.3 MiB 0.11 0.00 6.35469 -136.224 -6.35469 6.35469 0.64 0.000753491 0.000697515 0.0531959 0.0492368 36 2772 21 6.55708e+06 313430 612192. 2118.31 1.87 0.203758 0.178128 22750 144809 -1 2370 14 985 2568 141811 32387 5.67826 5.67826 -129.27 -5.67826 0 0 782063. 2706.10 0.20 0.06 0.12 -1 -1 0.20 0.0238826 0.0211356 150 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 5.03 vpr 62.05 MiB -1 -1 0.23 17572 13 0.20 -1 -1 32664 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63536 32 32 250 282 1 193 89 17 17 289 -1 unnamed_device 23.5 MiB 0.29 1164 8207 1986 5229 992 62.0 MiB 0.08 0.00 7.79043 -163.222 -7.79043 7.79043 0.67 0.000814597 0.000754806 0.0361659 0.0334722 28 3341 23 6.55708e+06 301375 500653. 1732.36 1.79 0.142762 0.12533 21310 115450 -1 2943 16 1280 3583 227960 53199 6.58844 6.58844 -160.003 -6.58844 0 0 612192. 2118.31 0.17 0.08 0.12 -1 -1 0.17 0.0283229 0.0249606 157 156 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 4.55 vpr 62.11 MiB -1 -1 0.25 17452 12 0.18 -1 -1 32344 -1 -1 24 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63600 30 32 216 248 1 168 86 17 17 289 -1 unnamed_device 23.7 MiB 0.25 1043 7646 1812 5284 550 62.1 MiB 0.07 0.00 6.98257 -137.016 -6.98257 6.98257 0.64 0.000703158 0.000651618 0.0311417 0.0288199 28 2870 17 6.55708e+06 289320 500653. 1732.36 1.39 0.0994698 0.0879755 21310 115450 -1 2423 29 956 2599 287403 124368 5.86158 5.86158 -130.373 -5.86158 0 0 612192. 2118.31 0.17 0.12 0.10 -1 -1 0.17 0.0382854 0.0332743 132 128 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 5.13 vpr 61.93 MiB -1 -1 0.24 17364 12 0.18 -1 -1 32664 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63416 32 32 236 268 1 183 86 17 17 289 -1 unnamed_device 23.5 MiB 0.24 1210 6701 1475 4829 397 61.9 MiB 0.04 0.00 6.74278 -155.388 -6.74278 6.74278 0.70 0.00033776 0.000311305 0.0142202 0.0130933 28 3180 36 6.55708e+06 265210 500653. 1732.36 1.96 0.122122 0.106125 21310 115450 -1 2743 23 1051 2953 280355 102696 5.95786 5.95786 -150.76 -5.95786 0 0 612192. 2118.31 0.17 0.11 0.10 -1 -1 0.17 0.0337018 0.0294952 146 142 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 4.81 vpr 62.59 MiB -1 -1 0.16 17816 13 0.25 -1 -1 32504 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64092 32 32 283 315 1 223 94 17 17 289 -1 unnamed_device 24.1 MiB 0.22 1329 9892 2541 6359 992 62.6 MiB 0.12 0.00 8.09466 -168.958 -8.09466 8.09466 0.63 0.00110939 0.00102855 0.0493349 0.0456504 28 3841 47 6.55708e+06 361650 500653. 1732.36 1.73 0.191144 0.167899 21310 115450 -1 3125 14 1275 3654 217111 49344 6.96836 6.96836 -162.422 -6.96836 0 0 612192. 2118.31 0.17 0.09 0.08 -1 -1 0.17 0.029961 0.0265361 191 189 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 5.09 vpr 62.77 MiB -1 -1 0.25 17716 14 0.31 -1 -1 32804 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64280 32 32 303 335 1 241 94 17 17 289 -1 unnamed_device 24.1 MiB 0.40 1640 11170 2650 7415 1105 62.8 MiB 0.12 0.00 9.0039 -186.596 -9.0039 9.0039 0.64 0.000971383 0.000899453 0.0550565 0.0508814 30 4087 23 6.55708e+06 361650 526063. 1820.29 1.39 0.175104 0.153815 21886 126133 -1 3325 19 1537 4514 224801 51116 7.64835 7.64835 -171.324 -7.64835 0 0 666494. 2306.21 0.19 0.10 0.11 -1 -1 0.19 0.0409182 0.0361608 210 209 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 4.33 vpr 62.07 MiB -1 -1 0.18 17348 11 0.19 -1 -1 32668 -1 -1 27 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63564 29 32 225 257 1 174 88 17 17 289 -1 unnamed_device 23.6 MiB 0.25 878 5158 949 3601 608 62.1 MiB 0.05 0.00 6.71354 -123.992 -6.71354 6.71354 0.63 0.000723461 0.000670134 0.021848 0.0202442 28 3083 35 6.55708e+06 325485 500653. 1732.36 1.29 0.127168 0.110536 21310 115450 -1 2258 18 1080 2942 164903 40606 6.13918 6.13918 -124.562 -6.13918 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.027411 0.0241001 147 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 6.99 vpr 62.71 MiB -1 -1 0.26 17592 12 0.27 -1 -1 32836 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64212 32 32 301 333 1 230 97 17 17 289 -1 unnamed_device 24.2 MiB 0.35 1420 10309 2435 6528 1346 62.7 MiB 0.11 0.00 7.45763 -153.823 -7.45763 7.45763 0.69 0.000991629 0.000919182 0.049887 0.0461754 34 4188 46 6.55708e+06 397815 585099. 2024.56 3.40 0.289113 0.251465 22462 138074 -1 3417 30 1712 6122 483902 135469 6.58278 6.58278 -149.989 -6.58278 0 0 742403. 2568.87 0.20 0.17 0.12 -1 -1 0.20 0.0544962 0.047436 209 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 5.62 vpr 62.55 MiB -1 -1 0.25 17832 14 0.26 -1 -1 32860 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64052 32 32 277 309 1 217 93 17 17 289 -1 unnamed_device 24.1 MiB 0.33 1436 5553 1081 4073 399 62.6 MiB 0.07 0.00 7.42808 -156.41 -7.42808 7.42808 0.64 0.000907065 0.000838494 0.0275138 0.0254882 38 3267 17 6.55708e+06 349595 638502. 2209.35 2.29 0.202098 0.174494 23326 155178 -1 2853 17 1235 3687 188502 42656 6.46824 6.46824 -148.294 -6.46824 0 0 851065. 2944.86 0.21 0.08 0.14 -1 -1 0.21 0.0325326 0.0286036 184 183 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 4.87 vpr 61.95 MiB -1 -1 0.24 17456 12 0.16 -1 -1 32376 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63440 32 32 227 259 1 178 87 17 17 289 -1 unnamed_device 23.5 MiB 0.32 1097 11991 2937 7207 1847 62.0 MiB 0.12 0.00 7.19884 -160.926 -7.19884 7.19884 0.65 0.000745309 0.000689721 0.0528949 0.0485609 28 3171 46 6.55708e+06 277265 500653. 1732.36 1.63 0.173416 0.152056 21310 115450 -1 2530 17 1028 2794 175881 40837 6.01958 6.01958 -151.671 -6.01958 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0281932 0.0248797 140 133 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 3.52 vpr 61.36 MiB -1 -1 0.13 17268 10 0.12 -1 -1 32216 -1 -1 16 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62832 30 32 175 207 1 131 78 17 17 289 -1 unnamed_device 22.7 MiB 0.16 733 7548 1614 5464 470 61.4 MiB 0.06 0.00 5.36346 -120.328 -5.36346 5.36346 0.63 0.00056886 0.00052818 0.0274799 0.0255075 28 1940 22 6.55708e+06 192880 500653. 1732.36 0.81 0.100269 0.0877092 21310 115450 -1 1721 16 679 1685 92341 23056 4.61634 4.61634 -115.41 -4.61634 0 0 612192. 2118.31 0.17 0.05 0.10 -1 -1 0.17 0.0193292 0.0169096 91 87 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 4.75 vpr 62.06 MiB -1 -1 0.22 17540 13 0.18 -1 -1 32576 -1 -1 24 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63548 31 32 231 263 1 184 87 17 17 289 -1 unnamed_device 23.6 MiB 0.37 1075 12951 3421 7713 1817 62.1 MiB 0.11 0.00 6.90774 -144.707 -6.90774 6.90774 0.64 0.000714523 0.000657214 0.0532935 0.0492805 28 3088 32 6.55708e+06 289320 500653. 1732.36 1.50 0.158442 0.139474 21310 115450 -1 2418 21 1210 3242 183150 43715 6.49978 6.49978 -146.691 -6.49978 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0316506 0.0277484 144 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 5.31 vpr 62.87 MiB -1 -1 0.22 17896 13 0.27 -1 -1 32840 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64376 32 32 304 336 1 224 95 17 17 289 -1 unnamed_device 24.3 MiB 0.42 1429 6575 1166 5105 304 62.9 MiB 0.08 0.00 8.01121 -157.98 -8.01121 8.01121 0.65 0.00101117 0.00093888 0.0342334 0.031667 34 3477 22 6.55708e+06 373705 585099. 2024.56 1.80 0.223989 0.193768 22462 138074 -1 3110 20 1424 4228 228234 53308 7.2403 7.2403 -154.176 -7.2403 0 0 742403. 2568.87 0.20 0.10 0.12 -1 -1 0.20 0.0389581 0.0341969 211 210 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 18.40 vpr 62.47 MiB -1 -1 0.26 17588 13 0.29 -1 -1 32436 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63968 32 32 288 320 1 221 91 17 17 289 -1 unnamed_device 23.9 MiB 0.44 1433 6823 1289 5315 219 62.5 MiB 0.08 0.00 7.886 -165.604 -7.886 7.886 0.63 0.000931546 0.000863515 0.0350133 0.03243 36 4367 47 6.55708e+06 325485 612192. 2118.31 14.69 0.422041 0.362053 22750 144809 -1 3332 17 1366 4336 289824 66269 7.0397 7.0397 -158.876 -7.0397 0 0 782063. 2706.10 0.20 0.10 0.12 -1 -1 0.20 0.0355541 0.0314865 194 194 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 3.90 vpr 61.47 MiB -1 -1 0.20 17080 9 0.11 -1 -1 32184 -1 -1 24 26 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62948 26 32 152 184 1 120 82 17 17 289 -1 unnamed_device 22.8 MiB 0.18 744 10762 3120 6243 1399 61.5 MiB 0.07 0.00 5.06374 -98.4324 -5.06374 5.06374 0.65 0.000495841 0.000458958 0.0328293 0.0305036 26 1898 20 6.55708e+06 289320 477104. 1650.88 1.06 0.0938294 0.0825431 21022 109990 -1 1641 15 634 1522 95057 22191 4.8332 4.8332 -99.6652 -4.8332 0 0 585099. 2024.56 0.17 0.05 0.10 -1 -1 0.17 0.017105 0.0150223 87 76 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 5.85 vpr 62.36 MiB -1 -1 0.11 17572 13 0.25 -1 -1 32648 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63856 32 32 287 319 1 210 89 17 17 289 -1 unnamed_device 23.9 MiB 0.24 1381 10781 2930 5957 1894 62.4 MiB 0.11 0.00 7.83519 -151.249 -7.83519 7.83519 0.64 0.0009263 0.00085861 0.0539042 0.049952 30 4083 42 6.55708e+06 301375 526063. 1820.29 2.74 0.201279 0.176533 21886 126133 -1 3116 19 1424 4288 219011 50426 6.9633 6.9633 -149.742 -6.9633 0 0 666494. 2306.21 0.18 0.09 0.11 -1 -1 0.18 0.0359074 0.0314989 193 193 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 3.54 vpr 61.28 MiB -1 -1 0.15 17024 8 0.10 -1 -1 32720 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62748 32 32 154 186 1 120 80 17 17 289 -1 unnamed_device 22.6 MiB 0.15 553 7648 2806 3716 1126 61.3 MiB 0.06 0.00 4.12642 -89.8462 -4.12642 4.12642 0.63 0.000519194 0.000483024 0.0244382 0.0226942 30 1616 22 6.55708e+06 192880 526063. 1820.29 0.84 0.0871768 0.076154 21886 126133 -1 1260 14 548 1189 58527 16069 3.73148 3.73148 -90.4104 -3.73148 0 0 666494. 2306.21 0.18 0.04 0.11 -1 -1 0.18 0.0157602 0.0138246 77 60 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 5.52 vpr 62.49 MiB -1 -1 0.23 17456 15 0.23 -1 -1 32804 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63988 32 32 254 286 1 199 92 17 17 289 -1 unnamed_device 23.9 MiB 0.33 1321 6923 1475 4903 545 62.5 MiB 0.07 0.00 8.32249 -162.146 -8.32249 8.32249 0.76 0.000626968 0.00056968 0.0272709 0.0250822 36 3269 25 6.55708e+06 337540 612192. 2118.31 2.08 0.202922 0.175179 22750 144809 -1 2787 16 1252 3638 203897 46010 7.4009 7.4009 -155.503 -7.4009 0 0 782063. 2706.10 0.20 0.08 0.13 -1 -1 0.20 0.0293646 0.0257905 165 160 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 10.19 vpr 62.38 MiB -1 -1 0.23 17816 13 0.29 -1 -1 32764 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63876 32 32 260 292 1 207 90 17 17 289 -1 unnamed_device 23.7 MiB 0.26 1319 5919 1133 4327 459 62.4 MiB 0.07 0.00 7.07675 -156.6 -7.07675 7.07675 0.65 0.000850948 0.000789225 0.0287711 0.026656 28 3679 22 6.55708e+06 313430 500653. 1732.36 6.83 0.293044 0.252913 21310 115450 -1 3055 23 1552 4783 319503 75123 6.44892 6.44892 -155.475 -6.44892 0 0 612192. 2118.31 0.17 0.12 0.12 -1 -1 0.17 0.0387261 0.0338122 168 166 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 4.52 vpr 62.42 MiB -1 -1 0.24 17736 13 0.26 -1 -1 32948 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63916 32 32 279 311 1 212 93 17 17 289 -1 unnamed_device 24.0 MiB 0.29 1276 11223 2538 6825 1860 62.4 MiB 0.11 0.00 7.85647 -160.581 -7.85647 7.85647 0.64 0.000919398 0.000853369 0.0525743 0.0487414 30 3320 24 6.55708e+06 349595 526063. 1820.29 1.22 0.168088 0.148005 21886 126133 -1 2648 15 1237 3758 172498 41897 6.7183 6.7183 -150.821 -6.7183 0 0 666494. 2306.21 0.18 0.08 0.11 -1 -1 0.18 0.0304651 0.0269186 187 185 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 6.05 vpr 62.02 MiB -1 -1 0.23 17336 12 0.16 -1 -1 32752 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63508 32 32 238 270 1 189 87 17 17 289 -1 unnamed_device 23.5 MiB 0.31 1153 7191 1558 5291 342 62.0 MiB 0.07 0.00 6.57592 -147.41 -6.57592 6.57592 0.64 0.00075806 0.000701625 0.0312734 0.0289382 36 3148 35 6.55708e+06 277265 612192. 2118.31 2.84 0.20415 0.177274 22750 144809 -1 2589 16 1117 3275 186852 43337 5.60692 5.60692 -136.412 -5.60692 0 0 782063. 2706.10 0.21 0.07 0.14 -1 -1 0.21 0.0265405 0.0234325 147 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 4.13 vpr 61.92 MiB -1 -1 0.22 17452 11 0.16 -1 -1 32644 -1 -1 23 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63408 30 32 213 245 1 165 85 17 17 289 -1 unnamed_device 23.3 MiB 0.16 963 12919 3847 7319 1753 61.9 MiB 0.10 0.00 6.46503 -135.82 -6.46503 6.46503 0.64 0.000690025 0.000639917 0.0489722 0.0452813 28 2611 19 6.55708e+06 277265 500653. 1732.36 1.07 0.130341 0.11508 21310 115450 -1 2181 15 892 2397 136411 32401 5.86158 5.86158 -133.481 -5.86158 0 0 612192. 2118.31 0.17 0.06 0.10 -1 -1 0.17 0.0227488 0.020072 131 125 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 5.61 vpr 62.00 MiB -1 -1 0.23 17680 11 0.17 -1 -1 32708 -1 -1 28 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63484 28 32 227 259 1 175 88 17 17 289 -1 unnamed_device 23.5 MiB 0.39 1010 6913 1544 4325 1044 62.0 MiB 0.06 0.00 6.38158 -126.573 -6.38158 6.38158 0.66 0.000594672 0.000545237 0.0238069 0.0217726 26 3323 50 6.55708e+06 337540 477104. 1650.88 2.26 0.150318 0.130354 21022 109990 -1 2605 19 1204 3141 191966 45003 5.47906 5.47906 -126.663 -5.47906 0 0 585099. 2024.56 0.21 0.08 0.10 -1 -1 0.21 0.0311089 0.0275726 150 145 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 5.77 vpr 62.21 MiB -1 -1 0.21 17364 12 0.20 -1 -1 32724 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63700 32 32 274 306 1 206 90 17 17 289 -1 unnamed_device 23.5 MiB 0.25 1304 6321 1255 4617 449 62.2 MiB 0.05 0.00 7.16635 -157.812 -7.16635 7.16635 0.63 0.000396787 0.000364913 0.0226794 0.0209295 26 3817 49 6.55708e+06 313430 477104. 1650.88 2.48 0.170258 0.147196 21022 109990 -1 3145 19 1545 4159 251819 59845 6.4035 6.4035 -162.091 -6.4035 0 0 585099. 2024.56 0.25 0.10 0.11 -1 -1 0.25 0.0327543 0.0292721 181 180 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 5.07 vpr 62.04 MiB -1 -1 0.23 17672 12 0.16 -1 -1 32840 -1 -1 23 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63524 31 32 237 269 1 179 86 17 17 289 -1 unnamed_device 23.6 MiB 0.60 980 5567 1150 4291 126 62.0 MiB 0.06 0.00 7.18658 -144.693 -7.18658 7.18658 0.63 0.000748352 0.000692935 0.0249335 0.0231188 28 3147 34 6.55708e+06 277265 500653. 1732.36 1.79 0.132417 0.115295 21310 115450 -1 2468 29 1178 3073 296477 117738 6.07044 6.07044 -141.421 -6.07044 0 0 612192. 2118.31 0.18 0.14 0.07 -1 -1 0.18 0.0447219 0.0391917 149 146 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 4.00 vpr 61.98 MiB -1 -1 0.24 17512 10 0.17 -1 -1 32716 -1 -1 22 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63464 29 32 220 252 1 163 83 17 17 289 -1 unnamed_device 23.6 MiB 0.20 1013 6563 1489 4557 517 62.0 MiB 0.06 0.00 5.76546 -121.445 -5.76546 5.76546 0.69 0.00072126 0.000667915 0.0294293 0.0272516 30 2358 16 6.55708e+06 265210 526063. 1820.29 0.91 0.111032 0.0974338 21886 126133 -1 2081 16 885 2605 122669 28892 5.20346 5.20346 -117.311 -5.20346 0 0 666494. 2306.21 0.23 0.08 0.11 -1 -1 0.23 0.0296647 0.02616 137 135 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 5.23 vpr 62.73 MiB -1 -1 0.28 17912 13 0.29 -1 -1 32904 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64236 32 32 315 347 1 239 95 17 17 289 -1 unnamed_device 24.0 MiB 0.29 1488 10247 2366 6979 902 62.7 MiB 0.11 0.00 7.78037 -164.973 -7.78037 7.78037 0.66 0.00101415 0.000938323 0.0522587 0.0483277 32 4128 46 6.55708e+06 373705 554710. 1919.41 1.68 0.213974 0.18787 22174 131602 -1 3512 16 1530 4876 330660 74130 6.86804 6.86804 -154.58 -6.86804 0 0 701300. 2426.64 0.19 0.11 0.12 -1 -1 0.19 0.0359716 0.0317478 221 221 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 5.08 vpr 62.44 MiB -1 -1 0.23 17948 14 0.33 -1 -1 33256 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63940 32 32 282 314 1 220 92 17 17 289 -1 unnamed_device 23.9 MiB 0.46 1341 7544 1708 5122 714 62.4 MiB 0.08 0.00 7.48711 -165.315 -7.48711 7.48711 0.66 0.000932259 0.000864202 0.0380248 0.0352368 30 3756 44 6.55708e+06 337540 526063. 1820.29 1.58 0.185737 0.162177 21886 126133 -1 2987 18 1338 3927 186931 44346 6.65518 6.65518 -156.797 -6.65518 0 0 666494. 2306.21 0.18 0.09 0.11 -1 -1 0.18 0.0356917 0.031486 191 188 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 5.04 vpr 62.13 MiB -1 -1 0.14 17568 12 0.19 -1 -1 32584 -1 -1 29 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63624 31 32 241 273 1 189 92 17 17 289 -1 unnamed_device 23.6 MiB 0.22 1061 14582 3956 8171 2455 62.1 MiB 0.12 0.00 7.55424 -147.694 -7.55424 7.55424 0.64 0.00053241 0.000482013 0.0524228 0.048038 36 2670 17 6.55708e+06 349595 612192. 2118.31 1.96 0.196438 0.171065 22750 144809 -1 2298 15 923 2506 139164 32090 6.4819 6.4819 -138 -6.4819 0 0 782063. 2706.10 0.21 0.07 0.14 -1 -1 0.21 0.0264527 0.023582 156 150 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 5.00 vpr 62.80 MiB -1 -1 0.28 17760 12 0.27 -1 -1 32864 -1 -1 33 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64304 31 32 307 339 1 235 96 17 17 289 -1 unnamed_device 24.0 MiB 0.45 1440 9951 2153 6171 1627 62.8 MiB 0.09 0.00 7.66392 -155.521 -7.66392 7.66392 0.63 0.000613184 0.000558982 0.0446412 0.0411656 30 3843 35 6.55708e+06 397815 526063. 1820.29 1.52 0.187569 0.164359 21886 126133 -1 3200 21 1554 4521 218190 52222 6.67144 6.67144 -150.22 -6.67144 0 0 666494. 2306.21 0.19 0.10 0.11 -1 -1 0.19 0.0414466 0.0363438 218 216 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 5.25 vpr 62.79 MiB -1 -1 0.28 18160 14 0.31 -1 -1 33136 -1 -1 29 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64300 31 32 293 325 1 224 92 17 17 289 -1 unnamed_device 24.1 MiB 0.39 1368 10442 2445 6848 1149 62.8 MiB 0.11 0.00 8.27333 -162.102 -8.27333 8.27333 0.64 0.000960355 0.000890313 0.0521276 0.0482821 30 3476 48 6.55708e+06 349595 526063. 1820.29 1.66 0.211201 0.185979 21886 126133 -1 2944 17 1606 5087 230104 55320 7.34122 7.34122 -156.976 -7.34122 0 0 666494. 2306.21 0.18 0.09 0.11 -1 -1 0.18 0.0345171 0.030365 202 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 5.48 vpr 62.41 MiB -1 -1 0.28 18084 13 0.25 -1 -1 32732 -1 -1 28 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63908 31 32 276 308 1 223 91 17 17 289 -1 unnamed_device 23.9 MiB 0.36 1406 11311 2955 7221 1135 62.4 MiB 0.11 0.00 7.94497 -159.991 -7.94497 7.94497 0.63 0.000902976 0.000836846 0.0538069 0.0497417 36 3512 22 6.55708e+06 337540 612192. 2118.31 1.97 0.234022 0.203842 22750 144809 -1 3203 18 1469 4134 234682 53499 6.8411 6.8411 -151.707 -6.8411 0 0 782063. 2706.10 0.20 0.09 0.08 -1 -1 0.20 0.0345657 0.0304813 185 185 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 5.97 vpr 62.41 MiB -1 -1 0.17 17588 13 0.25 -1 -1 32828 -1 -1 26 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63912 31 32 269 301 1 203 89 17 17 289 -1 unnamed_device 23.9 MiB 0.34 1345 7613 1868 4862 883 62.4 MiB 0.08 0.00 7.08841 -141.492 -7.08841 7.08841 0.64 0.000888876 0.000823629 0.0370707 0.034293 34 3664 49 6.55708e+06 313430 585099. 2024.56 2.81 0.254318 0.220254 22462 138074 -1 3164 17 1313 4163 244724 55552 5.97978 5.97978 -133.201 -5.97978 0 0 742403. 2568.87 0.19 0.09 0.08 -1 -1 0.19 0.0323341 0.0284852 179 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 4.57 vpr 62.20 MiB -1 -1 0.24 17672 12 0.20 -1 -1 32728 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63688 32 32 264 296 1 196 88 17 17 289 -1 unnamed_device 23.6 MiB 0.20 1315 5548 1167 3981 400 62.2 MiB 0.06 0.00 7.00741 -145.329 -7.00741 7.00741 0.63 0.000829561 0.00076962 0.0277242 0.0257009 28 3273 27 6.55708e+06 289320 500653. 1732.36 1.43 0.134399 0.116907 21310 115450 -1 2880 18 1362 4073 243587 55099 6.10198 6.10198 -140.629 -6.10198 0 0 612192. 2118.31 0.18 0.09 0.11 -1 -1 0.18 0.031496 0.0275799 171 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 7.41 vpr 62.75 MiB -1 -1 0.31 18688 14 0.38 -1 -1 32828 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64256 32 32 324 356 1 249 95 17 17 289 -1 unnamed_device 24.3 MiB 0.38 1670 9383 2100 6642 641 62.8 MiB 0.11 0.00 8.23218 -176.173 -8.23218 8.23218 0.59 0.00104014 0.000961646 0.0496256 0.0458082 34 4632 43 6.55708e+06 373705 585099. 2024.56 3.75 0.244639 0.212879 22462 138074 -1 3783 16 1514 4948 301263 67057 7.28976 7.28976 -169.641 -7.28976 0 0 742403. 2568.87 0.20 0.11 0.12 -1 -1 0.20 0.0370763 0.0327778 230 230 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 4.43 vpr 62.34 MiB -1 -1 0.19 17568 11 0.23 -1 -1 32540 -1 -1 26 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63840 31 32 249 281 1 192 89 17 17 289 -1 unnamed_device 23.7 MiB 0.33 1051 8603 2089 5379 1135 62.3 MiB 0.09 0.00 6.74223 -137.589 -6.74223 6.74223 0.65 0.000808708 0.000749919 0.0380819 0.0352726 30 3212 35 6.55708e+06 313430 526063. 1820.29 1.24 0.151205 0.131835 21886 126133 -1 2521 17 1168 3379 157721 38187 6.06278 6.06278 -136.796 -6.06278 0 0 666494. 2306.21 0.18 0.07 0.11 -1 -1 0.18 0.029275 0.0257464 163 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 5.08 vpr 62.54 MiB -1 -1 0.27 17632 13 0.27 -1 -1 33276 -1 -1 28 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64040 31 32 284 316 1 206 91 17 17 289 -1 unnamed_device 24.0 MiB 0.28 1315 7435 1572 5156 707 62.5 MiB 0.08 0.00 8.06447 -154.642 -8.06447 8.06447 0.59 0.000904129 0.000848441 0.0368304 0.0340059 28 3730 45 6.55708e+06 337540 500653. 1732.36 1.92 0.181442 0.157636 21310 115450 -1 2993 18 1246 4009 311549 83022 7.29176 7.29176 -151.859 -7.29176 0 0 612192. 2118.31 0.23 0.11 0.10 -1 -1 0.23 0.0348589 0.0310441 193 193 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 6.86 vpr 62.81 MiB -1 -1 0.14 17776 12 0.26 -1 -1 32832 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64320 32 32 303 335 1 222 93 17 17 289 -1 unnamed_device 24.1 MiB 0.52 1532 15213 4154 8657 2402 62.8 MiB 0.15 0.00 7.13712 -150.826 -7.13712 7.13712 0.63 0.000968201 0.000896339 0.0747242 0.0689959 36 3865 38 6.55708e+06 349595 612192. 2118.31 3.30 0.295727 0.259192 22750 144809 -1 3379 23 1418 5004 458665 164388 6.19264 6.19264 -141.127 -6.19264 0 0 782063. 2706.10 0.20 0.15 0.13 -1 -1 0.20 0.0437089 0.0381922 210 209 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 4.10 vpr 62.45 MiB -1 -1 0.14 17556 13 0.25 -1 -1 32856 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63952 32 32 272 304 1 203 93 17 17 289 -1 unnamed_device 24.0 MiB 0.25 1350 5133 911 3808 414 62.5 MiB 0.06 0.00 7.54057 -158.305 -7.54057 7.54057 0.63 0.000893515 0.000827381 0.0255727 0.0236916 30 3259 22 6.55708e+06 349595 526063. 1820.29 1.03 0.134814 0.11752 21886 126133 -1 2779 18 1243 3580 170868 40631 6.90724 6.90724 -155.01 -6.90724 0 0 666494. 2306.21 0.18 0.08 0.11 -1 -1 0.18 0.0336921 0.0296487 183 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 6.12 vpr 62.29 MiB -1 -1 0.22 17600 13 0.20 -1 -1 32780 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63784 32 32 271 303 1 212 90 17 17 289 -1 unnamed_device 23.9 MiB 0.29 1371 12351 2891 7373 2087 62.3 MiB 0.11 0.00 7.1188 -155.865 -7.1188 7.1188 0.64 0.000680554 0.000621476 0.051316 0.0471237 36 3355 27 6.55708e+06 313430 612192. 2118.31 2.81 0.235124 0.204353 22750 144809 -1 2803 15 1125 3397 194744 43752 6.17898 6.17898 -146.024 -6.17898 0 0 782063. 2706.10 0.21 0.08 0.13 -1 -1 0.21 0.0290932 0.0256632 178 177 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 5.53 vpr 62.51 MiB -1 -1 0.26 17896 12 0.24 -1 -1 32772 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64012 32 32 288 320 1 223 94 17 17 289 -1 unnamed_device 24.0 MiB 0.43 1446 11170 2757 7067 1346 62.5 MiB 0.11 0.00 7.31654 -157.818 -7.31654 7.31654 0.64 0.000929709 0.000860226 0.0521652 0.0481657 38 3284 17 6.55708e+06 361650 638502. 2209.35 1.98 0.231444 0.201471 23326 155178 -1 2853 15 1250 4137 194632 45234 6.26904 6.26904 -147.068 -6.26904 0 0 851065. 2944.86 0.22 0.08 0.13 -1 -1 0.22 0.0318218 0.0281035 197 194 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 5.82 vpr 63.04 MiB -1 -1 0.19 17908 13 0.29 -1 -1 32960 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64556 32 32 306 338 1 233 95 17 17 289 -1 unnamed_device 24.3 MiB 0.38 1513 7223 1461 5178 584 63.0 MiB 0.08 0.00 7.58438 -161.714 -7.58438 7.58438 0.63 0.000991599 0.000917959 0.0370962 0.034308 36 3945 19 6.55708e+06 373705 612192. 2118.31 2.39 0.230565 0.199808 22750 144809 -1 3296 18 1573 4871 256506 58577 6.70864 6.70864 -153.326 -6.70864 0 0 782063. 2706.10 0.20 0.10 0.13 -1 -1 0.20 0.0374623 0.0330326 212 212 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 4.92 vpr 62.40 MiB -1 -1 0.20 17336 14 0.27 -1 -1 32932 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63900 32 32 262 294 1 191 88 17 17 289 -1 unnamed_device 23.9 MiB 0.25 1215 10813 2361 6891 1561 62.4 MiB 0.10 0.00 8.31609 -163.248 -8.31609 8.31609 0.66 0.000860088 0.000796071 0.0513005 0.0474652 30 3273 27 6.55708e+06 289320 526063. 1820.29 1.63 0.163702 0.143889 21886 126133 -1 2720 18 1271 3852 181225 42639 7.1187 7.1187 -154.864 -7.1187 0 0 666494. 2306.21 0.18 0.08 0.11 -1 -1 0.18 0.0325786 0.0286191 168 168 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 5.95 vpr 62.42 MiB -1 -1 0.24 17824 13 0.26 -1 -1 32720 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63916 32 32 291 323 1 224 94 17 17 289 -1 unnamed_device 23.9 MiB 0.36 1503 5206 957 3956 293 62.4 MiB 0.08 0.00 8.07478 -162.365 -8.07478 8.07478 0.63 0.001087 0.000998673 0.0301524 0.0277422 28 4195 32 6.55708e+06 361650 500653. 1732.36 2.60 0.160436 0.139416 21310 115450 -1 3466 19 1840 5622 342639 75414 7.0005 7.0005 -158.548 -7.0005 0 0 612192. 2118.31 0.17 0.11 0.10 -1 -1 0.17 0.0366031 0.0321564 198 197 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 5.95 vpr 62.46 MiB -1 -1 0.28 17936 13 0.32 -1 -1 32700 -1 -1 31 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63964 31 32 302 334 1 235 94 17 17 289 -1 unnamed_device 23.9 MiB 0.23 1405 8401 1949 5780 672 62.5 MiB 0.09 0.00 7.80415 -160.841 -7.80415 7.80415 0.66 0.000966561 0.000888885 0.0421913 0.0389617 34 3777 50 6.55708e+06 373705 585099. 2024.56 2.57 0.282711 0.244107 22462 138074 -1 3251 15 1437 4206 244223 55741 6.8411 6.8411 -155.997 -6.8411 0 0 742403. 2568.87 0.20 0.09 0.12 -1 -1 0.20 0.0320292 0.0282573 213 211 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 4.61 vpr 62.47 MiB -1 -1 0.23 17652 12 0.32 -1 -1 32792 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63972 32 32 308 340 1 238 97 17 17 289 -1 unnamed_device 23.9 MiB 0.25 1438 11641 3058 7283 1300 62.5 MiB 0.12 0.00 7.70272 -159.771 -7.70272 7.70272 0.63 0.000966548 0.0008948 0.0545895 0.0504425 30 3780 27 6.55708e+06 397815 526063. 1820.29 1.28 0.180135 0.159057 21886 126133 -1 2888 18 1519 4182 184934 45916 6.6419 6.6419 -150.702 -6.6419 0 0 666494. 2306.21 0.19 0.09 0.11 -1 -1 0.19 0.0369427 0.0326025 216 214 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 4.37 vpr 61.78 MiB -1 -1 0.22 17268 11 0.12 -1 -1 32632 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63260 32 32 216 248 1 160 82 17 17 289 -1 unnamed_device 23.1 MiB 0.29 1054 3998 719 2985 294 61.8 MiB 0.04 0.00 6.14869 -128.86 -6.14869 6.14869 0.64 0.00068613 0.000631873 0.0179147 0.0166002 26 2734 30 6.55708e+06 216990 477104. 1650.88 1.35 0.110965 0.0962569 21022 109990 -1 2325 17 931 2444 150962 34991 5.41032 5.41032 -130.798 -5.41032 0 0 585099. 2024.56 0.17 0.07 0.10 -1 -1 0.17 0.0248369 0.0218323 125 122 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 4.83 vpr 62.25 MiB -1 -1 0.20 17608 13 0.21 -1 -1 32680 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63740 32 32 254 286 1 194 88 17 17 289 -1 unnamed_device 23.7 MiB 0.38 1266 7888 1808 5375 705 62.2 MiB 0.08 0.00 7.4424 -157.565 -7.4424 7.4424 0.63 0.00083038 0.00077039 0.0368154 0.0341134 28 3615 32 6.55708e+06 289320 500653. 1732.36 1.63 0.149972 0.130911 21310 115450 -1 2988 19 1194 3381 209369 47676 6.62764 6.62764 -152.575 -6.62764 0 0 612192. 2118.31 0.17 0.09 0.10 -1 -1 0.17 0.0330649 0.0290168 161 160 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 5.31 vpr 62.88 MiB -1 -1 0.28 18344 14 0.42 -1 -1 32920 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64392 32 32 338 370 1 252 97 17 17 289 -1 unnamed_device 24.3 MiB 0.25 1601 9199 2042 6426 731 62.9 MiB 0.11 0.00 8.66873 -176.87 -8.66873 8.66873 0.63 0.00111182 0.00102358 0.0502765 0.0464378 30 4285 34 6.55708e+06 397815 526063. 1820.29 1.76 0.203737 0.178472 21886 126133 -1 3448 17 1855 5819 263765 63459 7.36876 7.36876 -164.684 -7.36876 0 0 666494. 2306.21 0.18 0.10 0.12 -1 -1 0.18 0.0398915 0.0352571 245 244 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 5.53 vpr 62.47 MiB -1 -1 0.26 17608 13 0.32 -1 -1 32812 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63972 32 32 271 303 1 212 91 17 17 289 -1 unnamed_device 24.0 MiB 0.36 1376 4579 799 3553 227 62.5 MiB 0.06 0.00 8.02278 -172.696 -8.02278 8.02278 0.63 0.000905355 0.000830866 0.0235654 0.0218137 36 3334 17 6.55708e+06 325485 612192. 2118.31 2.10 0.174207 0.150621 22750 144809 -1 2862 16 1170 3389 185657 42603 7.0769 7.0769 -164.702 -7.0769 0 0 782063. 2706.10 0.21 0.08 0.13 -1 -1 0.21 0.0316358 0.0279219 178 177 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 5.03 vpr 61.90 MiB -1 -1 0.15 17432 11 0.16 -1 -1 32664 -1 -1 23 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63388 30 32 224 256 1 165 85 17 17 289 -1 unnamed_device 23.5 MiB 0.17 1035 10687 2518 6679 1490 61.9 MiB 0.09 0.00 6.59735 -138.464 -6.59735 6.59735 0.64 0.00087787 0.000814552 0.0436406 0.0403095 34 2502 23 6.55708e+06 277265 585099. 2024.56 2.05 0.189384 0.164689 22462 138074 -1 2257 17 992 2850 154109 36061 5.97918 5.97918 -135.235 -5.97918 0 0 742403. 2568.87 0.20 0.07 0.12 -1 -1 0.20 0.0261246 0.0229644 139 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 7.18 vpr 62.84 MiB -1 -1 0.30 18560 15 0.50 -1 -1 32856 -1 -1 34 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64352 32 32 351 383 1 268 98 17 17 289 -1 unnamed_device 24.5 MiB 0.32 1771 9773 2274 6549 950 62.8 MiB 0.12 0.00 9.55013 -184.943 -9.55013 9.55013 0.70 0.00112311 0.00103818 0.0545978 0.0504585 36 4458 20 6.55708e+06 409870 612192. 2118.31 3.19 0.286556 0.249827 22750 144809 -1 3874 20 2194 7275 428147 94060 8.24735 8.24735 -174.541 -8.24735 0 0 782063. 2706.10 0.21 0.14 0.13 -1 -1 0.21 0.0463971 0.0407293 257 257 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 8.38 vpr 62.94 MiB -1 -1 0.25 17660 13 0.30 -1 -1 32868 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64452 32 32 297 329 1 215 92 17 17 289 -1 unnamed_device 24.3 MiB 0.30 1402 7958 1933 5289 736 62.9 MiB 0.09 0.00 7.87358 -164.462 -7.87358 7.87358 0.64 0.000888595 0.000817115 0.0411948 0.0380676 30 3523 41 6.55708e+06 337540 526063. 1820.29 5.01 0.326059 0.28124 21886 126133 -1 2862 16 1203 3677 173554 41260 6.73256 6.73256 -152.703 -6.73256 0 0 666494. 2306.21 0.19 0.08 0.11 -1 -1 0.19 0.0336165 0.029693 203 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 4.23 vpr 61.85 MiB -1 -1 0.21 17268 11 0.13 -1 -1 32436 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63332 32 32 231 263 1 176 86 17 17 289 -1 unnamed_device 23.4 MiB 0.29 1082 11804 3066 7108 1630 61.8 MiB 0.10 0.00 6.28346 -137.062 -6.28346 6.28346 0.64 0.000730603 0.000676828 0.0483634 0.0447757 30 2616 26 6.55708e+06 265210 526063. 1820.29 1.10 0.141032 0.124313 21886 126133 -1 2211 13 935 2761 132039 31269 5.40772 5.40772 -129.072 -5.40772 0 0 666494. 2306.21 0.25 0.06 0.11 -1 -1 0.25 0.021796 0.0193213 141 137 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 13.06 vpr 62.73 MiB -1 -1 0.21 17772 12 0.29 -1 -1 32744 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64232 32 32 305 337 1 231 94 17 17 289 -1 unnamed_device 24.0 MiB 0.43 1459 6058 1136 4425 497 62.7 MiB 0.07 0.00 7.4882 -153.189 -7.4882 7.4882 0.63 0.000960979 0.000887993 0.0311492 0.0288322 28 4439 38 6.55708e+06 361650 500653. 1732.36 9.35 0.35739 0.306707 21310 115450 -1 3721 57 4013 13613 1649164 568355 6.87004 6.87004 -155.733 -6.87004 0 0 612192. 2118.31 0.17 0.45 0.10 -1 -1 0.17 0.0930184 0.0797293 213 211 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 4.53 vpr 62.15 MiB -1 -1 0.21 17104 12 0.19 -1 -1 32720 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63644 32 32 243 275 1 186 90 17 17 289 -1 unnamed_device 23.6 MiB 0.39 1183 11346 2851 6780 1715 62.2 MiB 0.10 0.00 7.37351 -152.427 -7.37351 7.37351 0.63 0.000789633 0.000732088 0.0477205 0.0442547 28 3250 31 6.55708e+06 313430 500653. 1732.36 1.33 0.153809 0.135088 21310 115450 -1 2869 17 1175 3234 203994 49738 6.78964 6.78964 -153.099 -6.78964 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0287753 0.0253382 153 149 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 5.04 vpr 61.95 MiB -1 -1 0.20 17344 12 0.23 -1 -1 32640 -1 -1 21 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63432 30 32 228 260 1 161 83 17 17 289 -1 unnamed_device 23.5 MiB 0.19 948 9803 2338 5714 1751 61.9 MiB 0.09 0.00 7.00946 -139.977 -7.00946 7.00946 0.63 0.000741495 0.000687044 0.0433646 0.0401003 26 3038 22 6.55708e+06 253155 477104. 1650.88 1.94 0.137295 0.121038 21022 109990 -1 2430 18 989 2837 210876 49947 6.39124 6.39124 -138.781 -6.39124 0 0 585099. 2024.56 0.23 0.10 0.10 -1 -1 0.23 0.0356974 0.0320201 140 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 10.63 vpr 62.26 MiB -1 -1 0.27 17896 12 0.26 -1 -1 32692 -1 -1 31 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63756 29 32 275 307 1 206 92 17 17 289 -1 unnamed_device 23.8 MiB 0.23 1279 5474 1033 4128 313 62.3 MiB 0.06 0.00 6.7577 -128.343 -6.7577 6.7577 0.63 0.000916435 0.000850116 0.0277685 0.0257427 30 3505 36 6.55708e+06 373705 526063. 1820.29 7.22 0.301086 0.259454 21886 126133 -1 2899 27 1332 4449 354174 132887 5.94258 5.94258 -126.347 -5.94258 0 0 666494. 2306.21 0.23 0.14 0.12 -1 -1 0.23 0.0511593 0.0451008 191 190 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 5.59 vpr 62.72 MiB -1 -1 0.26 17796 13 0.43 -1 -1 32764 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64224 32 32 330 362 1 256 97 17 17 289 -1 unnamed_device 24.2 MiB 0.53 1641 6757 1409 4886 462 62.7 MiB 0.08 0.00 8.4108 -177.204 -8.4108 8.4108 0.63 0.00103866 0.00096184 0.0353468 0.0326995 30 4203 45 6.55708e+06 397815 526063. 1820.29 1.84 0.197469 0.171712 21886 126133 -1 3490 18 1679 4703 234223 54847 7.40996 7.40996 -168.236 -7.40996 0 0 666494. 2306.21 0.19 0.10 0.12 -1 -1 0.19 0.0390821 0.0344285 238 236 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 5.66 vpr 62.41 MiB -1 -1 0.26 17588 12 0.27 -1 -1 32816 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63912 32 32 290 322 1 220 96 17 17 289 -1 unnamed_device 23.9 MiB 0.44 1388 15645 4295 9025 2325 62.4 MiB 0.15 0.00 7.61066 -152.509 -7.61066 7.61066 0.63 0.00094641 0.000872945 0.0712624 0.0658114 30 3749 42 6.55708e+06 385760 526063. 1820.29 2.09 0.217175 0.190896 21886 126133 -1 3031 21 1582 4727 228631 54061 6.4819 6.4819 -145.643 -6.4819 0 0 666494. 2306.21 0.18 0.10 0.14 -1 -1 0.18 0.039802 0.0348552 200 196 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 4.27 vpr 61.77 MiB -1 -1 0.22 17560 12 0.18 -1 -1 32424 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63248 32 32 214 246 1 164 84 17 17 289 -1 unnamed_device 23.3 MiB 0.53 1058 4842 1062 3260 520 61.8 MiB 0.05 0.00 6.82123 -141.643 -6.82123 6.82123 0.63 0.000559608 0.000510727 0.0206815 0.0190925 30 2539 19 6.55708e+06 241100 526063. 1820.29 0.98 0.103203 0.0900578 21886 126133 -1 2201 25 907 2587 263239 114464 6.06078 6.06078 -140.148 -6.06078 0 0 666494. 2306.21 0.18 0.11 0.11 -1 -1 0.18 0.0336133 0.0293351 126 120 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 5.06 vpr 62.16 MiB -1 -1 0.25 17632 12 0.23 -1 -1 32436 -1 -1 24 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63648 31 32 244 276 1 182 87 17 17 289 -1 unnamed_device 23.6 MiB 0.34 1176 10455 2348 6392 1715 62.2 MiB 0.10 0.00 7.13387 -142.33 -7.13387 7.13387 0.65 0.00080301 0.000736554 0.0463731 0.0429175 28 3265 32 6.55708e+06 289320 500653. 1732.36 1.78 0.156435 0.137396 21310 115450 -1 2812 19 1179 3692 201624 47628 6.25938 6.25938 -140.101 -6.25938 0 0 612192. 2118.31 0.17 0.09 0.10 -1 -1 0.17 0.0365056 0.0320114 154 153 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 7.10 vpr 62.46 MiB -1 -1 0.21 17776 11 0.23 -1 -1 32648 -1 -1 30 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63964 30 32 276 308 1 210 92 17 17 289 -1 unnamed_device 24.0 MiB 0.19 1196 13547 4241 6931 2375 62.5 MiB 0.12 0.00 6.85121 -131.802 -6.85121 6.85121 0.78 0.000870052 0.000803406 0.0602416 0.0556347 36 3385 32 6.55708e+06 361650 612192. 2118.31 3.57 0.254014 0.221032 22750 144809 -1 2593 14 1189 3659 196963 46529 6.03324 6.03324 -126.201 -6.03324 0 0 782063. 2706.10 0.21 0.08 0.13 -1 -1 0.21 0.0282945 0.0250334 190 188 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 5.30 vpr 62.32 MiB -1 -1 0.22 17328 11 0.20 -1 -1 32732 -1 -1 27 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63812 28 32 253 285 1 181 87 17 17 289 -1 unnamed_device 23.6 MiB 0.17 1080 10647 2769 6876 1002 62.3 MiB 0.09 0.00 6.62889 -122.091 -6.62889 6.62889 0.74 0.00063506 0.000581468 0.039159 0.0357844 36 2842 24 6.55708e+06 325485 612192. 2118.31 2.01 0.20548 0.177653 22750 144809 -1 2368 21 1131 4148 217048 49040 6.02298 6.02298 -119.498 -6.02298 0 0 782063. 2706.10 0.21 0.09 0.13 -1 -1 0.21 0.0346683 0.030266 172 171 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 5.23 vpr 62.12 MiB -1 -1 0.23 17324 13 0.21 -1 -1 32720 -1 -1 25 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63608 30 32 235 267 1 174 87 17 17 289 -1 unnamed_device 23.6 MiB 0.26 1040 5271 1036 3997 238 62.1 MiB 0.06 0.00 7.2482 -136.339 -7.2482 7.2482 0.68 0.000771735 0.000715037 0.0245464 0.0226815 36 2751 18 6.55708e+06 301375 612192. 2118.31 2.04 0.168727 0.146685 22750 144809 -1 2327 21 1020 3176 169142 39267 6.6027 6.6027 -137.49 -6.6027 0 0 782063. 2706.10 0.21 0.08 0.12 -1 -1 0.21 0.031966 0.0279204 148 147 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 5.15 vpr 62.28 MiB -1 -1 0.20 17740 12 0.18 -1 -1 32676 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63776 32 32 264 296 1 207 92 17 17 289 -1 unnamed_device 23.9 MiB 0.29 1203 11270 2888 6672 1710 62.3 MiB 0.12 0.00 7.39203 -156.297 -7.39203 7.39203 0.68 0.000854172 0.000790632 0.0553627 0.0514326 30 3360 45 6.55708e+06 337540 526063. 1820.29 1.86 0.190245 0.166773 21886 126133 -1 2532 16 1227 3301 151329 37939 6.0821 6.0821 -146.499 -6.0821 0 0 666494. 2306.21 0.27 0.07 0.12 -1 -1 0.27 0.0285339 0.0256096 174 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 4.47 vpr 62.35 MiB -1 -1 0.20 17604 13 0.28 -1 -1 32736 -1 -1 27 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63848 31 32 278 310 1 202 90 17 17 289 -1 unnamed_device 23.9 MiB 0.29 1314 8130 1959 5459 712 62.4 MiB 0.08 0.00 8.02027 -155.447 -8.02027 8.02027 0.66 0.000909159 0.000842698 0.0405243 0.0375494 30 3005 23 6.55708e+06 325485 526063. 1820.29 1.15 0.155258 0.135627 21886 126133 -1 2567 14 1027 3072 143954 34065 6.97036 6.97036 -146.48 -6.97036 0 0 666494. 2306.21 0.23 0.07 0.11 -1 -1 0.23 0.0289458 0.0256149 187 187 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 5.61 vpr 62.58 MiB -1 -1 0.22 17896 14 0.25 -1 -1 32804 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64080 32 32 290 322 1 214 92 17 17 289 -1 unnamed_device 24.0 MiB 0.24 1224 14168 3595 8187 2386 62.6 MiB 0.14 0.00 8.42547 -164.88 -8.42547 8.42547 0.63 0.000938912 0.000867629 0.0680988 0.0629251 26 3972 40 6.55708e+06 337540 477104. 1650.88 2.37 0.212474 0.187082 21022 109990 -1 3159 21 1474 4041 259266 58930 7.73136 7.73136 -172.194 -7.73136 0 0 585099. 2024.56 0.16 0.10 0.10 -1 -1 0.16 0.0391546 0.034268 196 196 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 4.94 vpr 62.38 MiB -1 -1 0.27 18200 14 0.24 -1 -1 32984 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63872 32 32 269 301 1 199 89 17 17 289 -1 unnamed_device 23.9 MiB 0.27 1263 9791 2392 5641 1758 62.4 MiB 0.09 0.00 7.61341 -152.493 -7.61341 7.61341 0.60 0.000883697 0.00081819 0.0467791 0.0433476 30 3316 50 6.55708e+06 301375 526063. 1820.29 1.67 0.192514 0.168121 21886 126133 -1 2650 20 1165 3477 179637 41318 6.66744 6.66744 -146.271 -6.66744 0 0 666494. 2306.21 0.22 0.08 0.11 -1 -1 0.22 0.0323637 0.0288305 175 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 5.45 vpr 62.53 MiB -1 -1 0.23 18032 13 0.32 -1 -1 32808 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64032 32 32 296 328 1 221 93 17 17 289 -1 unnamed_device 24.0 MiB 0.30 1335 6813 1455 4737 621 62.5 MiB 0.08 0.00 7.97606 -158.638 -7.97606 7.97606 0.65 0.000961073 0.000889504 0.0349899 0.0324024 28 4023 34 6.55708e+06 349595 500653. 1732.36 2.03 0.168189 0.146324 21310 115450 -1 3244 31 2269 6914 508020 168235 6.97036 6.97036 -153.104 -6.97036 0 0 612192. 2118.31 0.17 0.18 0.10 -1 -1 0.17 0.05553 0.0482778 205 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 4.31 vpr 61.93 MiB -1 -1 0.19 17532 13 0.18 -1 -1 32376 -1 -1 24 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63412 30 32 234 266 1 186 86 17 17 289 -1 unnamed_device 23.4 MiB 0.39 1181 4811 970 3437 404 61.9 MiB 0.05 0.00 7.32681 -149.503 -7.32681 7.32681 0.64 0.000752414 0.000693348 0.0219869 0.0203296 28 2943 24 6.55708e+06 289320 500653. 1732.36 1.17 0.118601 0.103452 21310 115450 -1 2632 17 1167 3120 186389 43637 6.26704 6.26704 -144.792 -6.26704 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0272285 0.0239721 147 146 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 6.08 vpr 62.55 MiB -1 -1 0.19 17924 13 0.44 -1 -1 32904 -1 -1 32 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64048 30 32 291 323 1 232 94 17 17 289 -1 unnamed_device 24.0 MiB 0.32 1409 6058 1135 4495 428 62.5 MiB 0.07 0.00 8.2444 -163.721 -8.2444 8.2444 0.63 0.000986105 0.000914713 0.032741 0.0303689 36 3519 23 6.55708e+06 385760 612192. 2118.31 2.67 0.230859 0.200283 22750 144809 -1 2977 16 1390 3881 195015 46713 7.28976 7.28976 -154.111 -7.28976 0 0 782063. 2706.10 0.20 0.08 0.13 -1 -1 0.20 0.0338734 0.0298847 203 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 5.47 vpr 62.42 MiB -1 -1 0.25 17780 14 0.32 -1 -1 32836 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63916 32 32 274 306 1 210 91 17 17 289 -1 unnamed_device 23.9 MiB 0.44 1264 7231 1520 5560 151 62.4 MiB 0.08 0.00 8.00109 -166.402 -8.00109 8.00109 0.79 0.000905152 0.000834002 0.0364219 0.0336322 30 3743 50 6.55708e+06 325485 526063. 1820.29 1.87 0.184969 0.160767 21886 126133 -1 2880 14 1293 4196 210862 49523 7.0815 7.0815 -162.389 -7.0815 0 0 666494. 2306.21 0.18 0.08 0.11 -1 -1 0.18 0.0288165 0.0254639 181 180 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 6.17 vpr 62.38 MiB -1 -1 0.22 17720 13 0.22 -1 -1 32760 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63880 31 32 266 298 1 204 88 17 17 289 -1 unnamed_device 24.0 MiB 0.39 1305 14323 3915 8229 2179 62.4 MiB 0.13 0.00 7.86768 -158.537 -7.86768 7.86768 0.63 0.00087121 0.000807574 0.0675021 0.0625652 38 3237 35 6.55708e+06 301375 638502. 2209.35 2.58 0.25872 0.226201 23326 155178 -1 2664 18 1430 4466 220129 49519 7.0795 7.0795 -153.488 -7.0795 0 0 851065. 2944.86 0.23 0.11 0.15 -1 -1 0.23 0.0400319 0.0350057 175 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 7.13 vpr 62.39 MiB -1 -1 0.27 17692 13 0.21 -1 -1 32744 -1 -1 27 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63892 30 32 266 298 1 204 89 17 17 289 -1 unnamed_device 23.7 MiB 0.33 1164 9989 2471 5804 1714 62.4 MiB 0.08 0.00 7.4808 -136.781 -7.4808 7.4808 0.64 0.000867177 0.000803666 0.032558 0.0298046 28 4049 33 6.55708e+06 325485 500653. 1732.36 3.77 0.157841 0.137209 21310 115450 -1 3203 18 1480 4256 294335 66993 6.8039 6.8039 -143.727 -6.8039 0 0 612192. 2118.31 0.24 0.10 0.10 -1 -1 0.24 0.0313235 0.0277301 178 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 8.00 vpr 62.74 MiB -1 -1 0.16 17612 14 0.34 -1 -1 32936 -1 -1 37 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64248 32 32 310 342 1 238 101 17 17 289 -1 unnamed_device 24.0 MiB 0.35 1476 8091 1866 5495 730 62.7 MiB 0.09 0.00 7.88885 -165.953 -7.88885 7.88885 0.63 0.00100812 0.000933366 0.0387456 0.0358911 30 3544 39 6.55708e+06 446035 526063. 1820.29 4.55 0.350649 0.302344 21886 126133 -1 2956 19 1495 4336 195157 47267 7.0377 7.0377 -158.211 -7.0377 0 0 666494. 2306.21 0.18 0.09 0.11 -1 -1 0.18 0.039337 0.0345541 218 216 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 5.82 vpr 62.47 MiB -1 -1 0.29 17724 11 0.27 -1 -1 32716 -1 -1 29 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63968 29 32 262 294 1 203 90 17 17 289 -1 unnamed_device 23.9 MiB 0.40 1160 8130 1856 5819 455 62.5 MiB 0.09 0.00 6.86478 -134.007 -6.86478 6.86478 0.70 0.000887622 0.000818824 0.039382 0.036347 28 3852 30 6.55708e+06 349595 500653. 1732.36 2.27 0.168528 0.147423 21310 115450 -1 3008 20 1623 4773 300015 69057 6.13918 6.13918 -134.59 -6.13918 0 0 612192. 2118.31 0.21 0.11 0.10 -1 -1 0.21 0.0364168 0.0319017 177 177 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 5.34 vpr 61.93 MiB -1 -1 0.18 17060 13 0.17 -1 -1 32612 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63416 32 32 222 254 1 180 88 17 17 289 -1 unnamed_device 23.5 MiB 0.25 1142 8083 2034 5178 871 61.9 MiB 0.04 0.00 7.01052 -158.499 -7.01052 7.01052 0.59 0.000323115 0.00029612 0.0155777 0.0142832 28 3461 48 6.55708e+06 289320 500653. 1732.36 2.45 0.133163 0.114959 21310 115450 -1 2810 19 1169 3029 212550 49762 6.13978 6.13978 -158.3 -6.13978 0 0 612192. 2118.31 0.23 0.09 0.11 -1 -1 0.23 0.0284807 0.0250328 138 128 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 7.49 vpr 62.25 MiB -1 -1 0.26 17896 14 0.30 -1 -1 32856 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63748 32 32 267 299 1 205 92 17 17 289 -1 unnamed_device 23.7 MiB 0.54 1316 5267 941 3849 477 62.3 MiB 0.06 0.00 8.08175 -166.146 -8.08175 8.08175 0.63 0.000878686 0.000815169 0.0261129 0.0241958 36 3344 49 6.55708e+06 337540 612192. 2118.31 3.90 0.240137 0.207451 22750 144809 -1 2858 19 1239 3732 213441 48577 7.1997 7.1997 -158.608 -7.1997 0 0 782063. 2706.10 0.21 0.09 0.13 -1 -1 0.21 0.0340415 0.0298988 179 173 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 14.19 vpr 62.91 MiB -1 -1 0.24 18280 15 0.50 -1 -1 32828 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64416 32 32 334 366 1 263 97 17 17 289 -1 unnamed_device 24.4 MiB 0.35 1738 9421 2028 6135 1258 62.9 MiB 0.11 0.00 9.11118 -191.695 -9.11118 9.11118 0.63 0.00107847 0.000998512 0.0497301 0.0460509 30 4813 25 6.55708e+06 397815 526063. 1820.29 10.53 0.339347 0.294745 21886 126133 -1 3846 17 1881 5477 280413 64438 7.85982 7.85982 -180.296 -7.85982 0 0 666494. 2306.21 0.21 0.11 0.11 -1 -1 0.21 0.0406614 0.0360204 241 240 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 4.94 vpr 61.80 MiB -1 -1 0.18 17364 11 0.21 -1 -1 32612 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63284 32 32 220 252 1 157 86 17 17 289 -1 unnamed_device 23.2 MiB 0.44 1015 8213 1831 5887 495 61.8 MiB 0.07 0.00 6.43354 -132.345 -6.43354 6.43354 0.64 0.000709664 0.000657825 0.0333795 0.0309252 26 2795 26 6.55708e+06 265210 477104. 1650.88 1.80 0.124338 0.108911 21022 109990 -1 2458 18 1052 3068 207681 46574 5.66498 5.66498 -136.662 -5.66498 0 0 585099. 2024.56 0.16 0.08 0.06 -1 -1 0.16 0.0266727 0.0233841 129 126 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 4.97 vpr 62.26 MiB -1 -1 0.22 17116 12 0.23 -1 -1 32920 -1 -1 26 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63756 31 32 244 276 1 193 89 17 17 289 -1 unnamed_device 23.6 MiB 0.25 1181 9395 2257 5715 1423 62.3 MiB 0.09 0.00 7.12111 -149.72 -7.12111 7.12111 0.76 0.000784101 0.000726786 0.0400481 0.0370684 34 3103 20 6.55708e+06 313430 585099. 2024.56 1.71 0.191288 0.166106 22462 138074 -1 2552 15 1140 3181 168184 39239 6.25678 6.25678 -144.527 -6.25678 0 0 742403. 2568.87 0.20 0.07 0.08 -1 -1 0.20 0.0260192 0.0229575 156 153 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 5.15 vpr 62.53 MiB -1 -1 0.27 17600 12 0.29 -1 -1 32732 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64032 32 32 300 332 1 237 96 17 17 289 -1 unnamed_device 23.9 MiB 0.31 1374 9732 2347 6008 1377 62.5 MiB 0.10 0.00 7.22518 -156.32 -7.22518 7.22518 0.63 0.000987404 0.000913434 0.047494 0.0439283 30 3769 28 6.55708e+06 385760 526063. 1820.29 1.74 0.177063 0.154795 21886 126133 -1 2987 18 1457 4433 208409 50290 6.39384 6.39384 -155.293 -6.39384 0 0 666494. 2306.21 0.18 0.09 0.11 -1 -1 0.18 0.0373941 0.0328894 213 206 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 5.49 vpr 62.38 MiB -1 -1 0.27 17628 12 0.24 -1 -1 32860 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63876 32 32 271 303 1 211 90 17 17 289 -1 unnamed_device 24.0 MiB 0.37 1295 7929 1664 5456 809 62.4 MiB 0.09 0.00 7.52995 -159.234 -7.52995 7.52995 0.63 0.000868922 0.000795895 0.0384175 0.035536 36 3430 23 6.55708e+06 313430 612192. 2118.31 2.09 0.215758 0.187098 22750 144809 -1 2916 14 1211 3646 201814 45483 6.7621 6.7621 -152.293 -6.7621 0 0 782063. 2706.10 0.21 0.08 0.13 -1 -1 0.21 0.0294248 0.0261497 181 177 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 8.53 vpr 62.90 MiB -1 -1 0.27 17980 14 0.56 -1 -1 32880 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64408 32 32 327 359 1 242 95 17 17 289 -1 unnamed_device 24.4 MiB 0.49 1613 7655 1578 5578 499 62.9 MiB 0.09 0.00 9.00229 -179.771 -9.00229 9.00229 0.64 0.00106775 0.000987724 0.0425373 0.0393225 36 4565 44 6.55708e+06 373705 612192. 2118.31 4.53 0.300757 0.260772 22750 144809 -1 3729 18 1683 5449 299007 67961 7.89841 7.89841 -172.649 -7.89841 0 0 782063. 2706.10 0.25 0.11 0.14 -1 -1 0.25 0.0408003 0.0360208 234 233 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 5.76 vpr 62.27 MiB -1 -1 0.26 17364 12 0.21 -1 -1 32688 -1 -1 25 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63764 30 32 246 278 1 191 87 17 17 289 -1 unnamed_device 23.6 MiB 0.48 1246 9687 2384 6348 955 62.3 MiB 0.09 0.00 7.02918 -135.408 -7.02918 7.02918 0.75 0.000677993 0.000620472 0.0441905 0.0407053 28 3571 33 6.55708e+06 301375 500653. 1732.36 2.15 0.156189 0.136988 21310 115450 -1 2944 17 1197 3745 226727 51186 6.13918 6.13918 -131.372 -6.13918 0 0 612192. 2118.31 0.18 0.05 0.11 -1 -1 0.18 0.0176914 0.0159263 160 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 4.27 vpr 62.05 MiB -1 -1 0.22 17672 11 0.19 -1 -1 32624 -1 -1 26 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63536 27 32 219 251 1 163 85 17 17 289 -1 unnamed_device 23.6 MiB 0.29 833 9013 2082 5123 1808 62.0 MiB 0.08 0.00 7.08055 -122.398 -7.08055 7.08055 0.70 0.000712222 0.000659564 0.037363 0.0345959 28 2481 16 6.55708e+06 313430 500653. 1732.36 0.95 0.120802 0.106491 21310 115450 -1 2181 16 937 2681 144182 35896 6.27104 6.27104 -119.514 -6.27104 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0262979 0.0232706 140 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 8.67 vpr 62.98 MiB -1 -1 0.29 18536 13 0.41 -1 -1 32972 -1 -1 40 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64488 32 32 380 412 1 282 104 17 17 289 -1 unnamed_device 24.7 MiB 0.41 1984 9864 2435 6697 732 63.0 MiB 0.11 0.00 7.66038 -164.562 -7.66038 7.66038 0.63 0.00117282 0.00108413 0.0522674 0.0482588 36 4924 33 6.55708e+06 482200 612192. 2118.31 4.79 0.308698 0.267816 22750 144809 -1 4244 19 2022 6356 418528 101830 6.62764 6.62764 -158.176 -6.62764 0 0 782063. 2706.10 0.21 0.14 0.13 -1 -1 0.21 0.0472875 0.0416873 286 286 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 4.90 vpr 62.42 MiB -1 -1 0.22 17940 14 0.25 -1 -1 33200 -1 -1 28 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63916 31 32 277 309 1 210 91 17 17 289 -1 unnamed_device 24.0 MiB 0.20 1315 7027 1431 4957 639 62.4 MiB 0.08 0.00 8.27869 -161.961 -8.27869 8.27869 0.63 0.000896298 0.000831276 0.0344007 0.0319053 28 3660 24 6.55708e+06 337540 500653. 1732.36 1.70 0.155301 0.136287 21310 115450 -1 3016 20 1268 3590 214832 51623 7.16956 7.16956 -155.951 -7.16956 0 0 612192. 2118.31 0.17 0.09 0.10 -1 -1 0.17 0.0364846 0.0319693 188 186 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 4.22 vpr 62.09 MiB -1 -1 0.24 17608 12 0.21 -1 -1 32372 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63580 32 32 229 261 1 178 91 17 17 289 -1 unnamed_device 23.6 MiB 0.30 1196 5395 963 4230 202 62.1 MiB 0.05 0.00 7.24055 -154.388 -7.24055 7.24055 0.64 0.000587797 0.00053718 0.0198688 0.0182244 30 2856 20 6.55708e+06 325485 526063. 1820.29 1.03 0.109201 0.095004 21886 126133 -1 2294 15 868 2452 121146 28103 6.19064 6.19064 -145.709 -6.19064 0 0 666494. 2306.21 0.18 0.07 0.11 -1 -1 0.18 0.0288878 0.0259008 145 135 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 4.74 vpr 62.45 MiB -1 -1 0.26 17628 13 0.27 -1 -1 32900 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63948 32 32 263 295 1 201 90 17 17 289 -1 unnamed_device 24.0 MiB 0.40 1201 6321 1371 4614 336 62.4 MiB 0.07 0.00 7.64034 -157.02 -7.64034 7.64034 0.69 0.000880549 0.000815455 0.0337812 0.0313537 30 3256 40 6.55708e+06 313430 526063. 1820.29 1.24 0.163496 0.142339 21886 126133 -1 2579 15 1081 3185 144508 34487 6.6419 6.6419 -144.865 -6.6419 0 0 666494. 2306.21 0.18 0.07 0.11 -1 -1 0.18 0.0304574 0.0270465 169 169 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 6.02 vpr 62.97 MiB -1 -1 0.26 18100 13 0.37 -1 -1 32820 -1 -1 35 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64480 31 32 321 353 1 256 98 17 17 289 -1 unnamed_device 24.4 MiB 0.26 1537 8423 1899 6115 409 63.0 MiB 0.09 0.00 7.71709 -159.898 -7.71709 7.71709 0.71 0.00102057 0.000944543 0.0424441 0.0392349 36 3879 25 6.55708e+06 421925 612192. 2118.31 2.57 0.260918 0.226219 22750 144809 -1 3339 18 1652 4918 259156 61816 6.7601 6.7601 -150.909 -6.7601 0 0 782063. 2706.10 0.20 0.06 0.10 -1 -1 0.20 0.022951 0.0207361 233 230 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 5.66 vpr 62.80 MiB -1 -1 0.25 17768 11 0.24 -1 -1 32684 -1 -1 31 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64304 30 32 287 319 1 212 93 17 17 289 -1 unnamed_device 24.3 MiB 0.30 1421 8703 2291 5674 738 62.8 MiB 0.10 0.00 6.43018 -129.379 -6.43018 6.43018 0.65 0.000920913 0.00085366 0.0466386 0.0431736 38 3311 27 6.55708e+06 373705 638502. 2209.35 2.31 0.235314 0.204093 23326 155178 -1 2799 17 1264 4462 204067 47300 5.62318 5.62318 -122.284 -5.62318 0 0 851065. 2944.86 0.22 0.09 0.13 -1 -1 0.22 0.0338109 0.0298135 199 199 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 6.01 vpr 62.70 MiB -1 -1 0.28 17804 15 0.35 -1 -1 32684 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64208 32 32 296 328 1 223 93 17 17 289 -1 unnamed_device 24.0 MiB 0.47 1495 8283 1832 5858 593 62.7 MiB 0.09 0.00 9.21891 -185.491 -9.21891 9.21891 0.64 0.000958347 0.000885807 0.0419258 0.0387979 38 3387 17 6.55708e+06 349595 638502. 2209.35 2.29 0.227459 0.197566 23326 155178 -1 2848 14 1200 3863 181857 42467 7.93561 7.93561 -171.681 -7.93561 0 0 851065. 2944.86 0.23 0.08 0.13 -1 -1 0.23 0.0309697 0.0274712 202 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 11.31 vpr 62.52 MiB -1 -1 0.28 18088 13 0.31 -1 -1 32688 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64016 32 32 285 317 1 224 94 17 17 289 -1 unnamed_device 24.0 MiB 0.43 1391 6271 1140 4757 374 62.5 MiB 0.07 0.00 8.07023 -173.04 -8.07023 8.07023 0.67 0.000743943 0.0006821 0.0262411 0.0241326 30 3593 20 6.55708e+06 361650 526063. 1820.29 7.73 0.277374 0.239094 21886 126133 -1 2903 17 1284 3905 184760 43217 7.10844 7.10844 -159.923 -7.10844 0 0 666494. 2306.21 0.18 0.08 0.11 -1 -1 0.18 0.0343961 0.0302981 194 191 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 7.58 vpr 62.13 MiB -1 -1 0.24 17508 12 0.20 -1 -1 32844 -1 -1 29 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63624 29 32 239 271 1 189 90 17 17 289 -1 unnamed_device 23.6 MiB 0.46 1116 9738 2558 6406 774 62.1 MiB 0.09 0.00 7.61081 -154.169 -7.61081 7.61081 0.63 0.000781222 0.000724484 0.0406666 0.0376605 30 3005 25 6.55708e+06 349595 526063. 1820.29 4.18 0.227645 0.197295 21886 126133 -1 2423 19 1255 3517 162640 39524 6.47024 6.47024 -141.633 -6.47024 0 0 666494. 2306.21 0.18 0.08 0.11 -1 -1 0.18 0.0302983 0.0266056 157 154 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 9.73 vpr 62.04 MiB -1 -1 0.20 17540 11 0.20 -1 -1 32664 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63524 32 32 235 267 1 176 85 17 17 289 -1 unnamed_device 23.5 MiB 0.21 1023 14221 4533 7413 2275 62.0 MiB 0.12 0.00 6.85492 -138.928 -6.85492 6.85492 0.64 0.000733699 0.000679105 0.0588553 0.0544248 30 2928 46 6.55708e+06 253155 526063. 1820.29 6.51 0.298242 0.258627 21886 126133 -1 2286 18 1070 2867 167614 45871 6.07244 6.07244 -136.814 -6.07244 0 0 666494. 2306.21 0.21 0.07 0.12 -1 -1 0.21 0.0275551 0.0242017 145 141 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 7.09 vpr 62.54 MiB -1 -1 0.25 17600 13 0.31 -1 -1 32756 -1 -1 29 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64036 31 32 294 326 1 226 92 17 17 289 -1 unnamed_device 23.9 MiB 0.47 1413 7544 1727 4833 984 62.5 MiB 0.08 0.00 7.87899 -160.785 -7.87899 7.87899 0.65 0.000947523 0.00087614 0.0384111 0.0355323 36 4021 32 6.55708e+06 349595 612192. 2118.31 3.40 0.249496 0.21695 22750 144809 -1 3157 19 1672 5284 291593 66424 7.0005 7.0005 -155.01 -7.0005 0 0 782063. 2706.10 0.23 0.11 0.13 -1 -1 0.23 0.0377968 0.0331596 203 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 5.07 vpr 61.89 MiB -1 -1 0.23 17512 10 0.21 -1 -1 32588 -1 -1 24 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63376 29 32 219 251 1 164 85 17 17 289 -1 unnamed_device 23.5 MiB 0.20 868 12919 4781 6181 1957 61.9 MiB 0.11 0.00 5.78714 -114.742 -5.78714 5.78714 0.63 0.000714506 0.000655741 0.0519028 0.0479665 36 2361 20 6.55708e+06 289320 612192. 2118.31 1.97 0.195938 0.170685 22750 144809 -1 1801 14 878 2572 124831 31192 5.29412 5.29412 -108.344 -5.29412 0 0 782063. 2706.10 0.21 0.06 0.13 -1 -1 0.21 0.0225356 0.0199402 137 134 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 4.83 vpr 62.03 MiB -1 -1 0.22 17344 14 0.19 -1 -1 32628 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63520 32 32 239 271 1 186 88 17 17 289 -1 unnamed_device 23.5 MiB 0.48 1127 8083 1934 5578 571 62.0 MiB 0.08 0.00 7.89252 -162.804 -7.89252 7.89252 0.65 0.000769535 0.000712076 0.0347412 0.0321566 30 2792 49 6.55708e+06 289320 526063. 1820.29 1.50 0.159698 0.139173 21886 126133 -1 2526 20 1154 3443 174242 40924 7.06583 7.06583 -157.357 -7.06583 0 0 666494. 2306.21 0.19 0.08 0.11 -1 -1 0.19 0.0314272 0.0275588 146 145 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 4.97 vpr 62.29 MiB -1 -1 0.28 18056 13 0.28 -1 -1 32732 -1 -1 30 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63780 31 32 266 298 1 208 93 17 17 289 -1 unnamed_device 23.9 MiB 0.30 1259 5343 1011 3807 525 62.3 MiB 0.06 0.00 7.51815 -158.387 -7.51815 7.51815 0.64 0.000859942 0.000796204 0.0252866 0.0234705 30 3403 50 6.55708e+06 361650 526063. 1820.29 1.62 0.167142 0.144715 21886 126133 -1 2708 19 1247 3492 163913 39290 6.63024 6.63024 -152.491 -6.63024 0 0 666494. 2306.21 0.18 0.08 0.11 -1 -1 0.18 0.0341109 0.0299565 180 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 5.90 vpr 61.98 MiB -1 -1 0.23 17380 12 0.20 -1 -1 32592 -1 -1 26 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63468 31 32 225 257 1 178 89 17 17 289 -1 unnamed_device 23.5 MiB 0.31 1126 6425 1245 4721 459 62.0 MiB 0.06 0.00 6.61153 -138.873 -6.61153 6.61153 0.66 0.000724375 0.000671539 0.0264456 0.0244954 26 3323 44 6.55708e+06 313430 477104. 1650.88 2.76 0.138588 0.120423 21022 109990 -1 2679 18 1132 2915 195041 44469 6.17132 6.17132 -140.754 -6.17132 0 0 585099. 2024.56 0.16 0.08 0.10 -1 -1 0.16 0.0274351 0.0240656 138 134 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 6.51 vpr 62.44 MiB -1 -1 0.21 17720 12 0.24 -1 -1 32968 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63936 32 32 288 320 1 216 90 17 17 289 -1 unnamed_device 24.0 MiB 0.29 1378 9336 2424 5781 1131 62.4 MiB 0.09 0.00 6.98257 -148.375 -6.98257 6.98257 0.69 0.000657391 0.000601924 0.0376873 0.0344535 28 3883 35 6.55708e+06 313430 500653. 1732.36 3.21 0.174672 0.152182 21310 115450 -1 3415 24 1639 5314 510743 142015 6.18298 6.18298 -150.989 -6.18298 0 0 612192. 2118.31 0.17 0.16 0.10 -1 -1 0.17 0.043068 0.0374353 195 194 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 4.84 vpr 62.68 MiB -1 -1 0.24 18208 13 0.28 -1 -1 32748 -1 -1 29 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 31 32 282 314 1 222 92 17 17 289 -1 unnamed_device 24.1 MiB 0.45 1315 8372 1899 5332 1141 62.7 MiB 0.09 0.00 7.89081 -157.415 -7.89081 7.89081 0.63 0.000914525 0.000845925 0.0412714 0.0382094 30 3625 30 6.55708e+06 349595 526063. 1820.29 1.32 0.169378 0.148359 21886 126133 -1 3073 17 1352 4093 205925 47312 6.8797 6.8797 -151.217 -6.8797 0 0 666494. 2306.21 0.18 0.09 0.11 -1 -1 0.18 0.0341033 0.0300214 193 191 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 4.31 vpr 62.04 MiB -1 -1 0.23 17380 11 0.17 -1 -1 32668 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63532 32 32 233 265 1 183 89 17 17 289 -1 unnamed_device 23.6 MiB 0.23 1172 8405 1842 5723 840 62.0 MiB 0.08 0.00 6.25963 -142.54 -6.25963 6.25963 0.63 0.00076163 0.000696475 0.0344382 0.0318608 28 3065 24 6.55708e+06 301375 500653. 1732.36 1.25 0.129778 0.113739 21310 115450 -1 2690 15 1100 2962 178308 40669 5.57032 5.57032 -138.049 -5.57032 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.0248129 0.021875 148 139 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 5.76 vpr 62.33 MiB -1 -1 0.24 17324 13 0.20 -1 -1 32756 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63824 32 32 254 286 1 196 88 17 17 289 -1 unnamed_device 23.7 MiB 0.28 1201 7888 1972 5242 674 62.3 MiB 0.08 0.00 7.53481 -156.077 -7.53481 7.53481 0.63 0.000830592 0.000769757 0.0365793 0.0338676 36 3071 27 6.55708e+06 289320 612192. 2118.31 2.60 0.213351 0.185131 22750 144809 -1 2681 15 1095 3271 177755 41392 6.66944 6.66944 -146.621 -6.66944 0 0 782063. 2706.10 0.21 0.07 0.13 -1 -1 0.21 0.0275581 0.0243411 164 160 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 4.96 vpr 62.33 MiB -1 -1 0.25 17660 13 0.26 -1 -1 33036 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63828 32 32 285 317 1 216 92 17 17 289 -1 unnamed_device 23.8 MiB 0.74 1318 8165 2007 5452 706 62.3 MiB 0.09 0.00 7.90343 -168.183 -7.90343 7.90343 0.65 0.000918547 0.000851292 0.0401702 0.0371416 30 3226 19 6.55708e+06 337540 526063. 1820.29 1.09 0.1467 0.128589 21886 126133 -1 2814 20 1363 3853 181964 43791 6.9979 6.9979 -160.968 -6.9979 0 0 666494. 2306.21 0.26 0.08 0.12 -1 -1 0.26 0.0332039 0.0296354 193 191 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 11.73 vpr 62.04 MiB -1 -1 0.25 17644 11 0.24 -1 -1 32716 -1 -1 27 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63532 29 32 243 275 1 185 88 17 17 289 -1 unnamed_device 23.5 MiB 0.19 1119 12568 3466 6955 2147 62.0 MiB 0.11 0.00 6.27069 -123.259 -6.27069 6.27069 0.68 0.000796484 0.000737787 0.0546023 0.0505123 30 2940 50 6.55708e+06 325485 526063. 1820.29 8.44 0.356377 0.306526 21886 126133 -1 2517 15 1008 3060 157394 36211 5.50298 5.50298 -118.863 -5.50298 0 0 666494. 2306.21 0.26 0.07 0.12 -1 -1 0.26 0.0269436 0.023766 160 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 11.68 vpr 62.73 MiB -1 -1 0.29 18324 14 0.31 -1 -1 33224 -1 -1 35 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64240 32 32 318 350 1 251 99 17 17 289 -1 unnamed_device 24.3 MiB 0.35 1606 6711 1323 5111 277 62.7 MiB 0.08 0.00 8.36721 -183.374 -8.36721 8.36721 0.65 0.00102486 0.000947672 0.0344959 0.0319436 30 4329 37 6.55708e+06 421925 526063. 1820.29 8.12 0.32868 0.284636 21886 126133 -1 3550 24 1906 6091 351716 94716 7.38604 7.38604 -174.226 -7.38604 0 0 666494. 2306.21 0.20 0.13 0.14 -1 -1 0.20 0.0393313 0.0353088 224 224 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 4.87 vpr 61.95 MiB -1 -1 0.19 17232 12 0.15 -1 -1 32552 -1 -1 28 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63436 31 32 222 254 1 184 91 17 17 289 -1 unnamed_device 23.5 MiB 0.26 1117 4987 873 3940 174 61.9 MiB 0.05 0.00 6.95154 -148.876 -6.95154 6.95154 0.63 0.000724331 0.000671803 0.0204352 0.0189657 36 2672 44 6.55708e+06 337540 612192. 2118.31 1.90 0.185477 0.159989 22750 144809 -1 2387 13 875 2354 137817 31246 5.97978 5.97978 -139.331 -5.97978 0 0 782063. 2706.10 0.20 0.06 0.13 -1 -1 0.20 0.0217652 0.0193206 138 131 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 6.78 vpr 62.48 MiB -1 -1 0.27 18024 13 0.29 -1 -1 32920 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63980 32 32 282 314 1 218 89 17 17 289 -1 unnamed_device 24.0 MiB 0.40 1370 8603 2025 5470 1108 62.5 MiB 0.09 0.00 7.91043 -160.998 -7.91043 7.91043 0.64 0.000918437 0.000850414 0.0435052 0.0402443 28 4265 49 6.55708e+06 301375 500653. 1732.36 3.27 0.199403 0.174719 21310 115450 -1 3442 17 1520 4590 286136 64967 6.7575 6.7575 -154.136 -6.7575 0 0 612192. 2118.31 0.17 0.11 0.11 -1 -1 0.17 0.0343638 0.0302617 189 188 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 4.16 vpr 62.10 MiB -1 -1 0.23 17728 13 0.17 -1 -1 32472 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63592 32 32 238 270 1 186 90 17 17 289 -1 unnamed_device 23.6 MiB 0.39 1056 8130 1788 5768 574 62.1 MiB 0.08 0.00 7.50778 -157.173 -7.50778 7.50778 0.64 0.000760406 0.00070167 0.0336013 0.0310274 30 2704 18 6.55708e+06 313430 526063. 1820.29 0.96 0.121572 0.106554 21886 126133 -1 2313 16 1098 2983 138610 33886 6.4407 6.4407 -148.047 -6.4407 0 0 666494. 2306.21 0.18 0.07 0.11 -1 -1 0.18 0.0266043 0.0235058 151 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 5.66 vpr 62.36 MiB -1 -1 0.26 17564 12 0.21 -1 -1 32784 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63860 32 32 269 301 1 199 90 17 17 289 -1 unnamed_device 23.9 MiB 0.28 1163 6723 1513 4783 427 62.4 MiB 0.07 0.00 6.89912 -149.425 -6.89912 6.89912 0.65 0.00088808 0.00082135 0.033233 0.030714 28 3528 41 6.55708e+06 313430 500653. 1732.36 2.40 0.174982 0.151967 21310 115450 -1 2778 17 1161 3524 204815 47103 6.14118 6.14118 -144.817 -6.14118 0 0 612192. 2118.31 0.22 0.06 0.10 -1 -1 0.22 0.0268275 0.0237434 176 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 17.83 vpr 62.72 MiB -1 -1 0.29 18192 15 0.47 -1 -1 33296 -1 -1 36 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64224 32 32 350 382 1 272 100 17 17 289 -1 unnamed_device 24.3 MiB 0.26 1744 7060 1356 4899 805 62.7 MiB 0.09 0.00 8.47263 -171.112 -8.47263 8.47263 0.68 0.00113888 0.00105259 0.0395491 0.0365833 30 5706 47 6.55708e+06 433980 526063. 1820.29 14.03 0.405721 0.349834 21886 126133 -1 4008 29 2412 8168 612663 183661 7.6799 7.6799 -172.318 -7.6799 0 0 666494. 2306.21 0.18 0.20 0.11 -1 -1 0.18 0.0630638 0.0549973 256 256 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 4.35 vpr 61.52 MiB -1 -1 0.21 17184 10 0.11 -1 -1 32088 -1 -1 18 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62992 30 32 172 204 1 134 80 17 17 289 -1 unnamed_device 23.1 MiB 0.15 585 9368 2413 5125 1830 61.5 MiB 0.07 0.00 5.46394 -116.249 -5.46394 5.46394 0.63 0.000565513 0.000524361 0.0323319 0.0299492 34 2054 46 6.55708e+06 216990 585099. 2024.56 1.54 0.163501 0.141471 22462 138074 -1 1479 14 714 1708 96959 25712 5.08126 5.08126 -118.595 -5.08126 0 0 742403. 2568.87 0.21 0.03 0.12 -1 -1 0.21 0.0106667 0.00963732 90 84 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 4.77 vpr 62.02 MiB -1 -1 0.24 17540 13 0.18 -1 -1 32752 -1 -1 25 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63508 30 32 228 260 1 171 87 17 17 289 -1 unnamed_device 23.5 MiB 0.17 1072 8919 2278 5395 1246 62.0 MiB 0.08 0.00 7.24406 -148.604 -7.24406 7.24406 0.65 0.000751972 0.000696948 0.0378901 0.0351301 36 2670 24 6.55708e+06 301375 612192. 2118.31 1.67 0.188483 0.164096 22750 144809 -1 2343 16 922 2630 141884 33368 6.41738 6.41738 -142.598 -6.41738 0 0 782063. 2706.10 0.21 0.07 0.13 -1 -1 0.21 0.026035 0.0230102 143 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 4.40 vpr 62.24 MiB -1 -1 0.18 17396 12 0.20 -1 -1 32828 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63732 32 32 264 296 1 204 88 17 17 289 -1 unnamed_device 23.6 MiB 0.26 1142 5938 1144 4647 147 62.2 MiB 0.07 0.00 7.66077 -153.727 -7.66077 7.66077 0.63 0.000850854 0.000789001 0.0292442 0.0270897 28 3544 30 6.55708e+06 289320 500653. 1732.36 1.34 0.144068 0.125452 21310 115450 -1 2828 19 1483 4043 231278 55286 6.90984 6.90984 -156.407 -6.90984 0 0 612192. 2118.31 0.17 0.09 0.10 -1 -1 0.17 0.0336964 0.0294795 171 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 4.11 vpr 61.61 MiB -1 -1 0.21 17112 9 0.13 -1 -1 32588 -1 -1 22 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63088 25 32 183 215 1 140 79 17 17 289 -1 unnamed_device 23.0 MiB 0.16 820 8191 2009 5395 787 61.6 MiB 0.07 0.00 5.29417 -99.0147 -5.29417 5.29417 0.63 0.000620747 0.000575977 0.0319767 0.0296659 28 2275 34 6.55708e+06 265210 500653. 1732.36 1.18 0.117706 0.102746 21310 115450 -1 1826 18 849 2459 131345 31144 4.7914 4.7914 -98.7253 -4.7914 0 0 612192. 2118.31 0.17 0.06 0.14 -1 -1 0.17 0.0229447 0.0200984 111 110 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 6.75 vpr 62.74 MiB -1 -1 0.27 17720 12 0.25 -1 -1 32720 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64248 32 32 300 332 1 233 97 17 17 289 -1 unnamed_device 24.0 MiB 0.30 1501 7867 1766 5013 1088 62.7 MiB 0.08 0.00 7.24465 -156.602 -7.24465 7.24465 0.64 0.000958498 0.000887543 0.0378301 0.0350031 36 4232 25 6.55708e+06 397815 612192. 2118.31 3.26 0.205148 0.178354 22750 144809 -1 3544 18 1721 4955 296703 67997 6.47224 6.47224 -152.633 -6.47224 0 0 782063. 2706.10 0.29 0.11 0.14 -1 -1 0.29 0.0365336 0.0322191 212 206 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 5.04 vpr 62.54 MiB -1 -1 0.23 17924 13 0.30 -1 -1 32772 -1 -1 30 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64044 31 32 290 322 1 226 93 17 17 289 -1 unnamed_device 23.9 MiB 0.33 1408 5343 959 3971 413 62.5 MiB 0.08 0.00 8.27458 -166.489 -8.27458 8.27458 0.71 0.000954636 0.00088339 0.0372846 0.0345073 30 3772 34 6.55708e+06 361650 526063. 1820.29 1.64 0.173169 0.151512 21886 126133 -1 3080 17 1417 4341 206664 49561 7.2801 7.2801 -159.365 -7.2801 0 0 666494. 2306.21 0.18 0.09 0.07 -1 -1 0.18 0.0348652 0.0307083 200 199 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 3.77 vpr 62.60 MiB -1 -1 0.20 17792 1 0.04 -1 -1 29972 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64100 32 32 354 285 1 202 96 17 17 289 -1 unnamed_device 24.1 MiB 0.23 1124 13017 3784 8461 772 62.6 MiB 0.13 0.00 5.44269 -161.211 -5.44269 5.44269 0.64 0.000715108 0.000665402 0.0446369 0.0414901 32 2442 21 6.64007e+06 401856 554710. 1919.41 0.82 0.127216 0.112398 22834 132086 -1 2197 22 1375 2183 153641 35574 4.17168 4.17168 -144.286 -4.17168 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.0298896 0.026078 154 50 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 3.82 vpr 62.80 MiB -1 -1 0.20 17900 1 0.03 -1 -1 30408 -1 -1 24 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64304 30 32 363 293 1 194 86 17 17 289 -1 unnamed_device 24.2 MiB 0.21 1017 14639 5117 7505 2017 62.8 MiB 0.15 0.00 4.98742 -150.865 -4.98742 4.98742 0.64 0.00071411 0.000663173 0.0574368 0.0533487 32 2368 24 6.64007e+06 301392 554710. 1919.41 0.86 0.144263 0.127817 22834 132086 -1 2072 21 1726 2597 175792 40973 4.22388 4.22388 -142.728 -4.22388 0 0 701300. 2426.64 0.19 0.08 0.15 -1 -1 0.19 0.0293314 0.0256167 139 63 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.10 vpr 62.34 MiB -1 -1 0.18 17252 1 0.03 -1 -1 30204 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63840 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 23.7 MiB 0.24 982 7575 1808 5319 448 62.3 MiB 0.08 0.00 4.35696 -123.732 -4.35696 4.35696 0.63 0.000622767 0.000584088 0.0270145 0.0251309 26 2567 31 6.64007e+06 288834 477104. 1650.88 1.23 0.11292 0.0988938 21682 110474 -1 2183 21 1344 1867 149309 34880 3.78583 3.78583 -126.34 -3.78583 0 0 585099. 2024.56 0.16 0.07 0.10 -1 -1 0.16 0.0261028 0.0227748 126 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 3.52 vpr 62.19 MiB -1 -1 0.19 17244 1 0.04 -1 -1 30268 -1 -1 27 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63684 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 23.7 MiB 0.05 985 10228 2796 6251 1181 62.2 MiB 0.10 0.00 4.65835 -126.219 -4.65835 4.65835 0.63 0.000635111 0.000589893 0.0354783 0.0329869 32 2212 23 6.64007e+06 339066 554710. 1919.41 0.84 0.112039 0.0984495 22834 132086 -1 1983 21 1477 2736 183594 40068 3.57863 3.57863 -119.515 -3.57863 0 0 701300. 2426.64 0.19 0.08 0.10 -1 -1 0.19 0.026366 0.0229502 126 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 3.50 vpr 62.39 MiB -1 -1 0.15 17576 1 0.03 -1 -1 30228 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63884 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 23.8 MiB 0.08 1007 9687 2297 6508 882 62.4 MiB 0.10 0.00 4.57112 -133.029 -4.57112 4.57112 0.63 0.000685553 0.000637928 0.0369668 0.0344092 30 2231 22 6.64007e+06 288834 526063. 1820.29 0.84 0.119461 0.105286 22546 126617 -1 2005 18 1146 2272 118837 28404 3.55723 3.55723 -127.325 -3.55723 0 0 666494. 2306.21 0.18 0.06 0.11 -1 -1 0.18 0.0249203 0.0218348 130 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.19 vpr 62.47 MiB -1 -1 0.18 17900 1 0.03 -1 -1 30260 -1 -1 34 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63968 32 32 366 295 1 189 98 17 17 289 -1 unnamed_device 24.1 MiB 0.11 1031 10673 2804 7227 642 62.5 MiB 0.11 0.00 3.47522 -121.603 -3.47522 3.47522 0.67 0.000720224 0.000669161 0.0366703 0.0340659 26 2825 19 6.64007e+06 426972 477104. 1650.88 1.37 0.120069 0.105815 21682 110474 -1 2327 20 1354 2211 164800 38038 3.00717 3.00717 -119.334 -3.00717 0 0 585099. 2024.56 0.16 0.08 0.08 -1 -1 0.16 0.0286129 0.0249948 142 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 3.58 vpr 62.16 MiB -1 -1 0.11 17312 1 0.03 -1 -1 30664 -1 -1 19 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63656 27 32 259 221 1 130 78 17 17 289 -1 unnamed_device 23.6 MiB 0.10 589 11532 3345 7374 813 62.2 MiB 0.11 0.00 4.00878 -100.807 -4.00878 4.00878 0.68 0.00056006 0.00051829 0.0467099 0.043428 32 1498 22 6.64007e+06 238602 554710. 1919.41 0.79 0.114318 0.101236 22834 132086 -1 1201 19 858 1449 89869 22288 2.83977 2.83977 -92.5512 -2.83977 0 0 701300. 2426.64 0.19 0.05 0.14 -1 -1 0.19 0.0212322 0.0184908 93 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 3.52 vpr 62.21 MiB -1 -1 0.18 17156 1 0.03 -1 -1 30080 -1 -1 31 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63700 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 23.7 MiB 0.07 892 10318 2381 7361 576 62.2 MiB 0.09 0.00 3.4251 -99.9264 -3.4251 3.4251 0.63 0.000596582 0.0005557 0.0310054 0.0288281 28 2086 21 6.64007e+06 389298 500653. 1732.36 0.81 0.101315 0.0890007 21970 115934 -1 1808 19 967 1802 110205 25769 2.73877 2.73877 -95.7999 -2.73877 0 0 612192. 2118.31 0.17 0.06 0.10 -1 -1 0.17 0.022673 0.0197983 115 4 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 3.58 vpr 62.54 MiB -1 -1 0.15 17772 1 0.03 -1 -1 30180 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64040 31 32 317 271 1 167 83 17 17 289 -1 unnamed_device 24.0 MiB 0.22 960 9623 2754 6116 753 62.5 MiB 0.09 0.00 3.62801 -120.96 -3.62801 3.62801 0.64 0.000637918 0.000593334 0.0361898 0.0336753 28 2163 20 6.64007e+06 251160 500653. 1732.36 0.79 0.110239 0.0970858 21970 115934 -1 1910 18 1014 1444 99492 23284 3.14783 3.14783 -117.396 -3.14783 0 0 612192. 2118.31 0.17 0.06 0.11 -1 -1 0.17 0.0237328 0.0208442 111 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 3.80 vpr 62.06 MiB -1 -1 0.12 17500 1 0.03 -1 -1 30080 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63552 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 23.6 MiB 0.17 841 12506 4273 6237 1996 62.1 MiB 0.12 0.00 3.87558 -126.558 -3.87558 3.87558 0.69 0.000617351 0.000571712 0.0466399 0.0433854 28 1979 19 6.64007e+06 213486 500653. 1732.36 0.88 0.113957 0.101028 21970 115934 -1 1831 18 1137 1856 132799 29714 2.85977 2.85977 -117.521 -2.85977 0 0 612192. 2118.31 0.18 0.07 0.10 -1 -1 0.18 0.023396 0.0205072 112 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 3.78 vpr 62.18 MiB -1 -1 0.13 17464 1 0.03 -1 -1 30340 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63668 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 23.5 MiB 0.14 796 12078 3517 6936 1625 62.2 MiB 0.11 0.00 4.09995 -113.228 -4.09995 4.09995 0.66 0.00061672 0.000573424 0.0460834 0.0428695 32 1650 18 6.64007e+06 213486 554710. 1919.41 0.78 0.116359 0.102969 22834 132086 -1 1526 18 834 1316 89472 20060 2.80076 2.80076 -103.785 -2.80076 0 0 701300. 2426.64 0.19 0.05 0.12 -1 -1 0.19 0.0223267 0.019433 98 63 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 3.67 vpr 62.31 MiB -1 -1 0.19 17312 1 0.03 -1 -1 30108 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63804 32 32 276 237 1 166 82 17 17 289 -1 unnamed_device 23.8 MiB 0.20 811 8448 2011 5971 466 62.3 MiB 0.09 0.00 3.76138 -119.223 -3.76138 3.76138 0.65 0.000595625 0.000553916 0.0300347 0.0277524 32 2052 21 6.64007e+06 226044 554710. 1919.41 0.79 0.0993311 0.0869632 22834 132086 -1 1782 17 1005 1343 92897 22236 2.94117 2.94117 -111.84 -2.94117 0 0 701300. 2426.64 0.23 0.05 0.13 -1 -1 0.23 0.0211891 0.0185846 109 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 3.86 vpr 62.50 MiB -1 -1 0.20 17772 1 0.03 -1 -1 30424 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64000 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 24.1 MiB 0.20 1094 10228 2675 6918 635 62.5 MiB 0.11 0.00 4.45106 -144.281 -4.45106 4.45106 0.65 0.000694857 0.000645755 0.038396 0.0356676 28 2647 19 6.64007e+06 301392 500653. 1732.36 0.95 0.119458 0.105329 21970 115934 -1 2302 21 1517 2276 157265 35537 3.39957 3.39957 -130.65 -3.39957 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0288436 0.0251533 139 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.10 vpr 62.67 MiB -1 -1 0.16 17636 1 0.03 -1 -1 30280 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64176 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 24.0 MiB 0.15 940 8735 2013 6355 367 62.7 MiB 0.10 0.00 5.05578 -142.31 -5.05578 5.05578 0.65 0.000721625 0.000670063 0.0315537 0.0292718 26 2673 31 6.64007e+06 389298 477104. 1650.88 1.25 0.131309 0.115034 21682 110474 -1 2369 24 1700 2809 252365 57579 4.10303 4.10303 -143.708 -4.10303 0 0 585099. 2024.56 0.16 0.09 0.10 -1 -1 0.16 0.0264947 0.023045 134 61 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 3.54 vpr 61.96 MiB -1 -1 0.17 17508 1 0.03 -1 -1 30380 -1 -1 21 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63448 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 23.4 MiB 0.11 637 6668 1590 4651 427 62.0 MiB 0.07 0.00 3.28519 -90.5035 -3.28519 3.28519 0.70 0.000546239 0.000508512 0.0277072 0.0258924 28 1662 22 6.64007e+06 263718 500653. 1732.36 0.83 0.0932098 0.0819535 21970 115934 -1 1542 18 837 1409 97266 22395 2.87917 2.87917 -91.5222 -2.87917 0 0 612192. 2118.31 0.17 0.05 0.10 -1 -1 0.17 0.0198158 0.0172555 98 27 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 3.69 vpr 62.60 MiB -1 -1 0.19 17504 1 0.03 -1 -1 30240 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64104 32 32 370 297 1 183 86 17 17 289 -1 unnamed_device 24.0 MiB 0.15 961 6890 1523 4904 463 62.6 MiB 0.08 0.00 4.06512 -124.686 -4.06512 4.06512 0.66 0.000720839 0.000669571 0.0289929 0.0269658 32 2268 21 6.64007e+06 276276 554710. 1919.41 0.86 0.114843 0.100645 22834 132086 -1 2137 19 1378 2491 165441 37726 3.26157 3.26157 -120.084 -3.26157 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0277771 0.0243553 133 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 3.80 vpr 62.58 MiB -1 -1 0.19 17624 1 0.03 -1 -1 30088 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64084 32 32 338 269 1 196 87 17 17 289 -1 unnamed_device 24.2 MiB 0.16 1114 15447 4373 9239 1835 62.6 MiB 0.15 0.00 4.49004 -144.522 -4.49004 4.49004 0.64 0.000707714 0.000658878 0.0581151 0.0540047 30 2367 22 6.64007e+06 288834 526063. 1820.29 0.92 0.140926 0.125116 22546 126617 -1 2082 22 1283 1774 106684 24449 3.52743 3.52743 -132.363 -3.52743 0 0 666494. 2306.21 0.18 0.07 0.11 -1 -1 0.18 0.0293273 0.0256321 138 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 3.50 vpr 62.26 MiB -1 -1 0.09 17364 1 0.03 -1 -1 30288 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63752 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 23.8 MiB 0.10 723 8493 1892 6266 335 62.3 MiB 0.09 0.00 2.85064 -99.9956 -2.85064 2.85064 0.63 0.000655907 0.000607859 0.0284804 0.0264517 26 1971 23 6.64007e+06 364182 477104. 1650.88 0.92 0.106345 0.0930189 21682 110474 -1 1682 23 1157 1829 133991 31802 2.16631 2.16631 -95.695 -2.16631 0 0 585099. 2024.56 0.16 0.07 0.10 -1 -1 0.16 0.0286757 0.0248945 110 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 3.34 vpr 61.74 MiB -1 -1 0.14 17312 1 0.03 -1 -1 30160 -1 -1 15 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63220 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 23.1 MiB 0.06 681 12139 4399 6260 1480 61.7 MiB 0.09 0.00 2.38033 -81.64 -2.38033 2.38033 0.64 0.000494934 0.000459568 0.0383807 0.0356678 32 1405 20 6.64007e+06 188370 554710. 1919.41 0.73 0.0957291 0.0845742 22834 132086 -1 1332 19 687 974 77488 17623 2.11131 2.11131 -84.6627 -2.11131 0 0 701300. 2426.64 0.20 0.03 0.12 -1 -1 0.20 0.0105295 0.00931477 81 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.70 vpr 62.27 MiB -1 -1 0.19 17428 1 0.03 -1 -1 30448 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63764 31 32 291 243 1 171 83 17 17 289 -1 unnamed_device 23.8 MiB 0.22 706 14123 4873 6572 2678 62.3 MiB 0.12 0.00 4.95769 -142.332 -4.95769 4.95769 0.64 0.000614608 0.000571874 0.0503569 0.0468352 36 1838 20 6.64007e+06 251160 612192. 2118.31 1.77 0.165203 0.145008 23410 145293 -1 1478 17 801 1099 85912 22741 3.70143 3.70143 -127.634 -3.70143 0 0 782063. 2706.10 0.21 0.06 0.13 -1 -1 0.21 0.0215654 0.0189283 128 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 3.48 vpr 62.54 MiB -1 -1 0.15 17728 1 0.03 -1 -1 30388 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64036 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 23.9 MiB 0.06 905 8735 1899 5951 885 62.5 MiB 0.09 0.00 4.18572 -129.145 -4.18572 4.18572 0.67 0.000701475 0.000645104 0.0305489 0.0283647 30 2116 22 6.64007e+06 389298 526063. 1820.29 0.80 0.113734 0.0993768 22546 126617 -1 1860 21 1144 1874 106775 25052 3.51643 3.51643 -123.572 -3.51643 0 0 666494. 2306.21 0.18 0.07 0.11 -1 -1 0.18 0.0283488 0.0247594 135 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.83 vpr 62.95 MiB -1 -1 0.17 17792 1 0.03 -1 -1 30244 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64464 32 32 372 300 1 204 89 17 17 289 -1 unnamed_device 24.3 MiB 0.25 1203 12167 3358 7515 1294 63.0 MiB 0.13 0.00 4.64616 -143.706 -4.64616 4.64616 0.65 0.000728747 0.00067724 0.0476266 0.0442487 26 3212 23 6.64007e+06 313950 477104. 1650.88 1.81 0.137198 0.121241 21682 110474 -1 2759 22 1650 2668 239641 52048 4.42528 4.42528 -144.001 -4.42528 0 0 585099. 2024.56 0.25 0.09 0.11 -1 -1 0.25 0.0291194 0.0258586 144 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 3.48 vpr 61.70 MiB -1 -1 0.18 16976 1 0.02 -1 -1 30648 -1 -1 18 26 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63180 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 23.1 MiB 0.16 410 10636 4183 5187 1266 61.7 MiB 0.07 0.00 2.43955 -66.7065 -2.43955 2.43955 0.63 0.000430156 0.000399752 0.0301011 0.0279994 26 1192 25 6.64007e+06 226044 477104. 1650.88 0.78 0.0855527 0.0754868 21682 110474 -1 933 19 592 836 60371 15069 1.93811 1.93811 -66.8476 -1.93811 0 0 585099. 2024.56 0.17 0.04 0.10 -1 -1 0.17 0.0151801 0.0133364 77 30 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 3.59 vpr 62.23 MiB -1 -1 0.18 17184 1 0.03 -1 -1 30232 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63724 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 23.8 MiB 0.06 995 7897 1725 4978 1194 62.2 MiB 0.08 0.00 5.05066 -128.356 -5.05066 5.05066 0.66 0.000621641 0.000578795 0.0284151 0.0264605 28 2296 20 6.64007e+06 263718 500653. 1732.36 0.82 0.100861 0.0884325 21970 115934 -1 2002 19 1149 2144 141277 31796 3.76362 3.76362 -124.406 -3.76362 0 0 612192. 2118.31 0.18 0.08 0.10 -1 -1 0.18 0.0302627 0.0264445 118 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 3.24 vpr 61.64 MiB -1 -1 0.08 17004 1 0.02 -1 -1 30108 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63124 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 23.1 MiB 0.07 661 11200 3826 5626 1748 61.6 MiB 0.07 0.00 2.56853 -79.0193 -2.56853 2.56853 0.63 0.000423618 0.000393301 0.0298689 0.0277424 28 1267 14 6.64007e+06 175812 500653. 1732.36 0.72 0.0786409 0.0698868 21970 115934 -1 1189 14 407 458 37605 8621 2.02211 2.02211 -77.5953 -2.02211 0 0 612192. 2118.31 0.17 0.03 0.10 -1 -1 0.17 0.0130873 0.0115158 79 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 3.50 vpr 62.27 MiB -1 -1 0.18 17400 1 0.03 -1 -1 30436 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63760 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 23.8 MiB 0.06 831 10318 2723 6880 715 62.3 MiB 0.10 0.00 4.58015 -125.342 -4.58015 4.58015 0.63 0.000625513 0.000580529 0.0327761 0.0304523 28 2131 21 6.64007e+06 376740 500653. 1732.36 0.83 0.107341 0.0943208 21970 115934 -1 1845 18 1048 1710 106719 26161 3.57362 3.57362 -117.035 -3.57362 0 0 612192. 2118.31 0.17 0.06 0.10 -1 -1 0.17 0.0233594 0.0204135 123 24 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 3.60 vpr 62.24 MiB -1 -1 0.13 17248 1 0.03 -1 -1 30352 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63732 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 23.7 MiB 0.06 850 7439 1494 5462 483 62.2 MiB 0.07 0.00 3.82887 -106.637 -3.82887 3.82887 0.74 0.000495139 0.000453898 0.0194017 0.0178374 28 2324 24 6.64007e+06 389298 500653. 1732.36 0.87 0.0972265 0.0845006 21970 115934 -1 1818 19 1113 2008 106218 27824 2.96817 2.96817 -107.142 -2.96817 0 0 612192. 2118.31 0.17 0.06 0.10 -1 -1 0.17 0.0244515 0.0214183 128 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 4.17 vpr 62.36 MiB -1 -1 0.12 17848 1 0.03 -1 -1 30216 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63860 32 32 338 277 1 179 91 17 17 289 -1 unnamed_device 23.8 MiB 0.13 906 17635 6157 8662 2816 62.4 MiB 0.16 0.00 4.78135 -133.838 -4.78135 4.78135 0.65 0.000685258 0.000636188 0.0613465 0.0569353 28 2693 30 6.64007e+06 339066 500653. 1732.36 1.44 0.153206 0.135698 21970 115934 -1 2068 18 1181 2011 163906 36763 3.79863 3.79863 -128.222 -3.79863 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.0250737 0.0219797 126 50 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 3.50 vpr 62.16 MiB -1 -1 0.11 17532 1 0.03 -1 -1 30028 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63648 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 23.5 MiB 0.09 735 5928 1206 4471 251 62.2 MiB 0.06 0.00 3.02179 -99.7239 -3.02179 3.02179 0.63 0.000605528 0.000563599 0.0225999 0.0210452 26 2048 22 6.64007e+06 200928 477104. 1650.88 0.90 0.0955974 0.0834544 21682 110474 -1 1729 20 1095 1740 122619 28865 3.06837 3.06837 -113.451 -3.06837 0 0 585099. 2024.56 0.17 0.09 0.12 -1 -1 0.17 0.0299786 0.0261106 101 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 3.54 vpr 61.95 MiB -1 -1 0.18 17504 1 0.03 -1 -1 30140 -1 -1 23 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63432 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 23.4 MiB 0.08 661 11617 2521 8405 691 61.9 MiB 0.09 0.00 3.24119 -97.0143 -3.24119 3.24119 0.64 0.000570344 0.00053096 0.0374839 0.0348677 28 1701 20 6.64007e+06 288834 500653. 1732.36 0.90 0.103819 0.0916309 21970 115934 -1 1547 17 853 1296 94316 21406 2.79497 2.79497 -96.8 -2.79497 0 0 612192. 2118.31 0.17 0.05 0.10 -1 -1 0.17 0.0197295 0.0172216 97 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 3.60 vpr 62.07 MiB -1 -1 0.18 17644 1 0.03 -1 -1 30064 -1 -1 23 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63564 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 23.5 MiB 0.07 583 14123 3845 8834 1444 62.1 MiB 0.11 0.00 3.43604 -94.4648 -3.43604 3.43604 0.63 0.00055454 0.000515695 0.0456054 0.0424057 28 1800 26 6.64007e+06 288834 500653. 1732.36 0.92 0.116046 0.102442 21970 115934 -1 1566 20 916 1539 109893 29879 2.74177 2.74177 -95.1293 -2.74177 0 0 612192. 2118.31 0.23 0.03 0.11 -1 -1 0.23 0.0122704 0.0108113 98 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 3.81 vpr 62.13 MiB -1 -1 0.16 17100 1 0.03 -1 -1 30260 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63620 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 23.5 MiB 0.06 702 4763 881 3747 135 62.1 MiB 0.05 0.00 3.98575 -113.461 -3.98575 3.98575 0.67 0.000629302 0.000586718 0.0170139 0.0158625 26 2390 34 6.64007e+06 238602 477104. 1650.88 1.12 0.095251 0.0826246 21682 110474 -1 1814 22 1363 2192 166936 41393 3.11217 3.11217 -116.399 -3.11217 0 0 585099. 2024.56 0.17 0.07 0.12 -1 -1 0.17 0.0256134 0.0224433 110 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 3.63 vpr 62.15 MiB -1 -1 0.14 17588 1 0.03 -1 -1 30144 -1 -1 27 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63640 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 23.5 MiB 0.06 705 6924 1381 5320 223 62.1 MiB 0.07 0.00 3.56847 -102.973 -3.56847 3.56847 0.67 0.00060865 0.000560695 0.0221444 0.0206066 26 2212 43 6.64007e+06 339066 477104. 1650.88 1.00 0.110175 0.0956717 21682 110474 -1 1683 19 1008 1700 120423 28387 2.97797 2.97797 -105.892 -2.97797 0 0 585099. 2024.56 0.16 0.06 0.07 -1 -1 0.16 0.0219971 0.0191803 103 30 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 3.56 vpr 62.34 MiB -1 -1 0.18 17536 1 0.03 -1 -1 30332 -1 -1 26 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63832 29 32 291 250 1 153 87 17 17 289 -1 unnamed_device 23.8 MiB 0.13 800 11799 3784 6073 1942 62.3 MiB 0.10 0.00 3.4791 -109.298 -3.4791 3.4791 0.64 0.000598207 0.000555751 0.038916 0.0361733 28 1940 18 6.64007e+06 326508 500653. 1732.36 0.78 0.106245 0.0937018 21970 115934 -1 1709 19 961 1369 109961 25852 2.36297 2.36297 -96.7073 -2.36297 0 0 612192. 2118.31 0.18 0.06 0.11 -1 -1 0.18 0.0227502 0.0198097 105 54 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 3.62 vpr 62.81 MiB -1 -1 0.18 17800 1 0.03 -1 -1 30384 -1 -1 38 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64320 32 32 367 282 1 201 102 17 17 289 -1 unnamed_device 24.3 MiB 0.10 1109 16524 4269 9768 2487 62.8 MiB 0.16 0.00 4.35696 -124.032 -4.35696 4.35696 0.65 0.000851818 0.000799641 0.0573464 0.0533602 32 2645 21 6.64007e+06 477204 554710. 1919.41 0.88 0.151745 0.134631 22834 132086 -1 2264 17 1243 2131 135685 30613 3.93102 3.93102 -119.547 -3.93102 0 0 701300. 2426.64 0.20 0.07 0.13 -1 -1 0.20 0.0267255 0.0235557 151 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 3.81 vpr 62.66 MiB -1 -1 0.19 17576 1 0.03 -1 -1 30272 -1 -1 37 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64164 32 32 391 311 1 192 101 17 17 289 -1 unnamed_device 24.1 MiB 0.14 1062 10676 2654 7216 806 62.7 MiB 0.11 0.00 3.87558 -130.413 -3.87558 3.87558 0.64 0.000759471 0.000702595 0.0371107 0.0343898 26 2503 24 6.64007e+06 464646 477104. 1650.88 1.01 0.132045 0.116169 21682 110474 -1 2074 19 1563 2519 178447 39029 2.95717 2.95717 -121.371 -2.95717 0 0 585099. 2024.56 0.16 0.08 0.10 -1 -1 0.16 0.0286618 0.0250356 147 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 3.72 vpr 62.25 MiB -1 -1 0.18 17312 1 0.03 -1 -1 30140 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63748 31 32 279 237 1 161 82 17 17 289 -1 unnamed_device 23.8 MiB 0.23 894 10228 3268 4917 2043 62.3 MiB 0.10 0.00 4.39381 -127.308 -4.39381 4.39381 0.65 0.000593193 0.000551721 0.0358152 0.0331971 32 2018 21 6.64007e+06 238602 554710. 1919.41 0.84 0.105921 0.093155 22834 132086 -1 1748 19 1015 1433 93274 21875 3.15083 3.15083 -111.221 -3.15083 0 0 701300. 2426.64 0.19 0.06 0.12 -1 -1 0.19 0.0228558 0.0199305 112 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 3.75 vpr 62.59 MiB -1 -1 0.19 17608 1 0.03 -1 -1 30412 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64088 31 32 370 297 1 186 88 17 17 289 -1 unnamed_device 24.0 MiB 0.14 1026 15298 5172 7552 2574 62.6 MiB 0.15 0.00 4.30797 -133.38 -4.30797 4.30797 0.64 0.000718239 0.000667037 0.0589517 0.0547481 32 2288 22 6.64007e+06 313950 554710. 1919.41 0.84 0.144758 0.128306 22834 132086 -1 1951 22 1450 2591 173643 38508 2.89797 2.89797 -112.425 -2.89797 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.030585 0.0266518 138 61 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.09 vpr 62.53 MiB -1 -1 0.20 17504 1 0.03 -1 -1 30244 -1 -1 29 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64028 31 32 377 302 1 233 92 17 17 289 -1 unnamed_device 24.4 MiB 0.36 1296 14996 4340 8343 2313 62.5 MiB 0.15 0.00 5.87616 -177.472 -5.87616 5.87616 0.66 0.00107137 0.00101535 0.0562414 0.0522572 32 3094 21 6.64007e+06 364182 554710. 1919.41 0.90 0.144053 0.127612 22834 132086 -1 2524 19 1742 2613 176076 40477 4.74615 4.74615 -163.707 -4.74615 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.028605 0.0250134 172 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 3.85 vpr 62.76 MiB -1 -1 0.13 17620 1 0.04 -1 -1 30388 -1 -1 27 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64264 31 32 383 305 1 209 90 17 17 289 -1 unnamed_device 24.2 MiB 0.29 1150 16974 4810 10660 1504 62.8 MiB 0.17 0.00 5.08852 -153.875 -5.08852 5.08852 0.64 0.000741348 0.000688796 0.0653868 0.0607044 28 3077 19 6.64007e+06 339066 500653. 1732.36 0.90 0.150853 0.134147 21970 115934 -1 2547 20 1680 2607 189567 42842 4.56048 4.56048 -155.741 -4.56048 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0293994 0.0257367 164 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 3.56 vpr 62.51 MiB -1 -1 0.19 17868 1 0.03 -1 -1 30400 -1 -1 31 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64008 31 32 352 285 1 184 94 17 17 289 -1 unnamed_device 23.9 MiB 0.14 1075 13513 3858 8482 1173 62.5 MiB 0.13 0.00 4.68524 -137.744 -4.68524 4.68524 0.63 0.00069934 0.00064989 0.0468313 0.0434887 30 2366 21 6.64007e+06 389298 526063. 1820.29 0.79 0.128986 0.113924 22546 126617 -1 1961 15 884 1464 71687 17433 3.23063 3.23063 -121.351 -3.23063 0 0 666494. 2306.21 0.18 0.05 0.11 -1 -1 0.18 0.0224408 0.0197664 135 55 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 3.92 vpr 62.34 MiB -1 -1 0.18 17420 1 0.03 -1 -1 30468 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63836 32 32 291 242 1 179 87 17 17 289 -1 unnamed_device 23.8 MiB 0.16 975 7767 1720 5470 577 62.3 MiB 0.08 0.00 4.38513 -117.551 -4.38513 4.38513 0.65 0.000621192 0.00057841 0.0272146 0.0252866 26 2701 24 6.64007e+06 288834 477104. 1650.88 1.15 0.104843 0.0919021 21682 110474 -1 2166 19 1317 1939 167610 36546 3.68463 3.68463 -121.244 -3.68463 0 0 585099. 2024.56 0.16 0.07 0.10 -1 -1 0.16 0.023845 0.0208563 119 27 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.16 vpr 62.87 MiB -1 -1 0.20 17884 1 0.03 -1 -1 30436 -1 -1 40 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64380 32 32 457 356 1 223 104 17 17 289 -1 unnamed_device 24.6 MiB 0.20 1225 15720 4488 9844 1388 62.9 MiB 0.17 0.00 5.18355 -167.471 -5.18355 5.18355 0.64 0.00086579 0.00080435 0.0589895 0.0547534 26 3193 18 6.64007e+06 502320 477104. 1650.88 1.09 0.158329 0.140034 21682 110474 -1 2728 17 1590 2477 169182 38780 4.27989 4.27989 -152.775 -4.27989 0 0 585099. 2024.56 0.16 0.08 0.10 -1 -1 0.16 0.0304793 0.0266638 174 87 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 3.46 vpr 62.09 MiB -1 -1 0.11 17380 1 0.03 -1 -1 30184 -1 -1 21 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63580 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 23.5 MiB 0.08 755 11064 4559 5783 722 62.1 MiB 0.09 0.00 3.8227 -103.618 -3.8227 3.8227 0.64 0.000569251 0.000528786 0.0362618 0.0336749 30 1736 20 6.64007e+06 263718 526063. 1820.29 0.85 0.102595 0.0903289 22546 126617 -1 1480 21 908 1504 89570 21574 2.79977 2.79977 -98.5206 -2.79977 0 0 666494. 2306.21 0.20 0.06 0.13 -1 -1 0.20 0.0233839 0.0203418 101 28 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 3.95 vpr 62.45 MiB -1 -1 0.21 17576 1 0.03 -1 -1 30096 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63948 31 32 337 267 1 205 88 17 17 289 -1 unnamed_device 24.0 MiB 0.24 1189 14518 4594 8025 1899 62.4 MiB 0.15 0.00 5.24081 -156.256 -5.24081 5.24081 0.64 0.00068598 0.000637791 0.0535827 0.0497969 28 3102 25 6.64007e+06 313950 500653. 1732.36 1.03 0.138755 0.122857 21970 115934 -1 2470 20 1224 1701 126371 27807 4.70968 4.70968 -150.995 -4.70968 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.027047 0.0239126 144 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 4.90 vpr 62.45 MiB -1 -1 0.15 17812 1 0.03 -1 -1 30268 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63948 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1030 10531 2414 7661 456 62.4 MiB 0.12 0.00 4.0171 -121.213 -4.0171 4.0171 0.66 0.00072362 0.00067412 0.0362372 0.0336762 26 3025 43 6.64007e+06 414414 477104. 1650.88 2.13 0.145621 0.127345 21682 110474 -1 2292 14 1163 2099 151082 34983 3.08816 3.08816 -118 -3.08816 0 0 585099. 2024.56 0.16 0.07 0.10 -1 -1 0.16 0.0216481 0.0190938 131 53 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 3.61 vpr 62.25 MiB -1 -1 0.17 17116 1 0.03 -1 -1 30060 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63748 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 23.8 MiB 0.06 891 13153 4464 6066 2623 62.3 MiB 0.12 0.00 4.20356 -126.138 -4.20356 4.20356 0.63 0.000633522 0.00058907 0.0448799 0.041756 32 2178 21 6.64007e+06 301392 554710. 1919.41 0.86 0.119764 0.10589 22834 132086 -1 1719 21 1074 2024 133293 30707 3.82482 3.82482 -119.413 -3.82482 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0261382 0.0228338 123 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 4.54 vpr 62.42 MiB -1 -1 0.19 17776 1 0.03 -1 -1 30308 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63916 32 32 353 287 1 198 88 17 17 289 -1 unnamed_device 24.0 MiB 0.26 1089 13933 3596 8128 2209 62.4 MiB 0.14 0.00 4.81394 -142.313 -4.81394 4.81394 0.60 0.000700153 0.000650679 0.0525015 0.048778 26 2775 32 6.64007e+06 301392 477104. 1650.88 1.57 0.149476 0.131736 21682 110474 -1 2352 18 1152 1596 116971 26606 3.51742 3.51742 -126.978 -3.51742 0 0 585099. 2024.56 0.22 0.07 0.10 -1 -1 0.22 0.0258331 0.022654 138 55 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 3.71 vpr 62.85 MiB -1 -1 0.20 17796 1 0.03 -1 -1 30272 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64360 32 32 361 291 1 185 96 17 17 289 -1 unnamed_device 24.2 MiB 0.16 935 7980 1596 5688 696 62.9 MiB 0.08 0.00 3.76946 -120.7 -3.76946 3.76946 0.68 0.000726051 0.000668689 0.028641 0.0266203 30 2198 19 6.64007e+06 401856 526063. 1820.29 0.84 0.110496 0.096887 22546 126617 -1 1948 17 1065 1931 93576 23283 3.10117 3.10117 -113.819 -3.10117 0 0 666494. 2306.21 0.18 0.06 0.11 -1 -1 0.18 0.0248982 0.0218602 133 55 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 3.71 vpr 62.80 MiB -1 -1 0.19 17772 1 0.03 -1 -1 30256 -1 -1 37 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64312 32 32 382 305 1 192 101 17 17 289 -1 unnamed_device 24.1 MiB 0.15 901 8796 1803 6364 629 62.8 MiB 0.10 0.00 4.787 -140.677 -4.787 4.787 0.64 0.000745789 0.000692196 0.0304585 0.028289 32 2513 22 6.64007e+06 464646 554710. 1919.41 0.82 0.118929 0.104291 22834 132086 -1 1954 18 1106 1629 93353 23736 3.48203 3.48203 -125.684 -3.48203 0 0 701300. 2426.64 0.25 0.06 0.12 -1 -1 0.25 0.026882 0.0235501 145 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 3.65 vpr 62.27 MiB -1 -1 0.18 17608 1 0.03 -1 -1 30264 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63768 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 23.8 MiB 0.06 902 12903 3419 8175 1309 62.3 MiB 0.13 0.00 4.24273 -122.494 -4.24273 4.24273 0.75 0.000512073 0.000470057 0.0389235 0.0357683 32 1909 19 6.64007e+06 364182 554710. 1919.41 0.84 0.112757 0.0991028 22834 132086 -1 1739 19 1143 1869 117063 27589 3.64463 3.64463 -118.883 -3.64463 0 0 701300. 2426.64 0.19 0.06 0.08 -1 -1 0.19 0.0243922 0.0213178 122 24 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 3.85 vpr 62.51 MiB -1 -1 0.18 17580 1 0.03 -1 -1 30372 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64008 32 32 319 257 1 198 88 17 17 289 -1 unnamed_device 24.0 MiB 0.21 983 6913 1568 4936 409 62.5 MiB 0.08 0.00 5.07997 -139.694 -5.07997 5.07997 0.72 0.000642421 0.000595637 0.0255685 0.0237639 32 2406 21 6.64007e+06 301392 554710. 1919.41 0.82 0.103313 0.0904823 22834 132086 -1 2179 20 1491 2098 133320 32792 3.85003 3.85003 -128.971 -3.85003 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0261835 0.0229036 133 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 5.33 vpr 62.68 MiB -1 -1 0.19 17516 1 0.03 -1 -1 30348 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 31 32 373 299 1 202 88 17 17 289 -1 unnamed_device 24.0 MiB 0.25 1148 9058 2364 5808 886 62.7 MiB 0.10 0.00 5.0113 -149.211 -5.0113 5.0113 0.68 0.000731018 0.000678776 0.0359504 0.0333048 26 3407 45 6.64007e+06 313950 477104. 1650.88 2.30 0.156583 0.136772 21682 110474 -1 2639 24 1898 3099 233977 51589 4.31263 4.31263 -142.786 -4.31263 0 0 585099. 2024.56 0.16 0.10 0.10 -1 -1 0.16 0.0331519 0.0288885 148 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 3.97 vpr 62.68 MiB -1 -1 0.21 17576 1 0.03 -1 -1 30256 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 32 32 387 315 1 189 86 17 17 289 -1 unnamed_device 24.2 MiB 0.16 977 15962 5144 8098 2720 62.7 MiB 0.16 0.00 4.21776 -130.397 -4.21776 4.21776 0.64 0.000739301 0.000685369 0.0650922 0.0603868 32 2870 23 6.64007e+06 276276 554710. 1919.41 0.98 0.154874 0.137368 22834 132086 -1 2220 15 1299 2324 157370 35871 3.78082 3.78082 -127.954 -3.78082 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0239374 0.02108 136 77 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 3.51 vpr 62.06 MiB -1 -1 0.16 17532 1 0.03 -1 -1 30152 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63548 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 23.4 MiB 0.06 763 11398 3223 7297 878 62.1 MiB 0.09 0.00 3.46744 -102.907 -3.46744 3.46744 0.63 0.000558953 0.000520841 0.0347023 0.0322915 26 1977 28 6.64007e+06 301392 477104. 1650.88 0.87 0.106557 0.093677 21682 110474 -1 1674 20 804 1237 85402 19533 2.71977 2.71977 -98.3296 -2.71977 0 0 585099. 2024.56 0.16 0.06 0.10 -1 -1 0.16 0.0221946 0.0193039 97 23 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 3.74 vpr 62.41 MiB -1 -1 0.19 17508 1 0.03 -1 -1 30440 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63912 32 32 341 285 1 187 86 17 17 289 -1 unnamed_device 23.8 MiB 0.22 982 8969 2347 6212 410 62.4 MiB 0.10 0.00 4.05536 -139.886 -4.05536 4.05536 0.66 0.000676919 0.00062881 0.0344285 0.0319859 30 2184 22 6.64007e+06 276276 526063. 1820.29 0.84 0.113829 0.100064 22546 126617 -1 1875 19 1272 1814 105981 24118 3.03143 3.03143 -122.855 -3.03143 0 0 666494. 2306.21 0.18 0.06 0.08 -1 -1 0.18 0.0256841 0.0224497 127 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.26 vpr 62.46 MiB -1 -1 0.17 17504 1 0.03 -1 -1 30256 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63960 32 32 387 293 1 234 93 17 17 289 -1 unnamed_device 24.3 MiB 0.23 1389 7443 1662 5262 519 62.5 MiB 0.10 0.00 5.61123 -170.011 -5.61123 5.61123 0.63 0.000764936 0.000711149 0.029874 0.0277767 28 3624 25 6.64007e+06 364182 500653. 1732.36 1.34 0.124912 0.109349 21970 115934 -1 2961 21 1866 2993 218466 47396 4.65768 4.65768 -159.09 -4.65768 0 0 612192. 2118.31 0.17 0.09 0.10 -1 -1 0.17 0.031858 0.0278978 169 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 3.67 vpr 62.36 MiB -1 -1 0.18 17852 1 0.03 -1 -1 30472 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63856 32 32 340 270 1 181 96 17 17 289 -1 unnamed_device 23.8 MiB 0.15 962 14988 4939 7718 2331 62.4 MiB 0.14 0.00 4.60549 -136.553 -4.60549 4.60549 0.63 0.000694692 0.000645246 0.049945 0.0464469 30 2140 21 6.64007e+06 401856 526063. 1820.29 0.81 0.131351 0.11633 22546 126617 -1 1783 17 1010 1711 104239 23066 2.88497 2.88497 -112.713 -2.88497 0 0 666494. 2306.21 0.19 0.07 0.12 -1 -1 0.19 0.0264284 0.0232124 133 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 3.43 vpr 62.13 MiB -1 -1 0.16 17368 1 0.03 -1 -1 30324 -1 -1 26 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63624 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 23.7 MiB 0.07 702 10423 3012 6489 922 62.1 MiB 0.09 0.00 3.51327 -104.848 -3.51327 3.51327 0.64 0.000594854 0.000553304 0.0340355 0.0316368 28 1807 22 6.64007e+06 326508 500653. 1732.36 0.77 0.106209 0.0935211 21970 115934 -1 1568 22 1108 1754 103440 24891 2.72357 2.72357 -100.219 -2.72357 0 0 612192. 2118.31 0.17 0.06 0.10 -1 -1 0.17 0.0250183 0.0217388 104 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 4.01 vpr 62.78 MiB -1 -1 0.20 17876 1 0.03 -1 -1 30340 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64284 32 32 431 332 1 235 91 17 17 289 -1 unnamed_device 24.5 MiB 0.30 1216 15187 4686 7965 2536 62.8 MiB 0.17 0.00 6.49087 -185.665 -6.49087 6.49087 0.63 0.000831771 0.000773283 0.0633436 0.0588618 30 3247 27 6.64007e+06 339066 526063. 1820.29 0.88 0.135336 0.120732 22546 126617 -1 2515 22 1992 2989 190825 42741 4.92734 4.92734 -165.423 -4.92734 0 0 666494. 2306.21 0.18 0.09 0.11 -1 -1 0.18 0.0356343 0.0310874 170 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 3.94 vpr 62.63 MiB -1 -1 0.19 17604 1 0.03 -1 -1 30528 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64132 32 32 336 268 1 174 97 17 17 289 -1 unnamed_device 24.0 MiB 0.15 903 6979 1333 5401 245 62.6 MiB 0.08 0.00 4.64606 -138.337 -4.64606 4.64606 0.64 0.000688693 0.000640439 0.0240618 0.0223953 26 2639 42 6.64007e+06 414414 477104. 1650.88 1.14 0.127284 0.110607 21682 110474 -1 2098 19 1524 2359 164433 38859 3.75183 3.75183 -134.746 -3.75183 0 0 585099. 2024.56 0.16 0.07 0.10 -1 -1 0.16 0.0258701 0.0226108 130 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 3.37 vpr 61.98 MiB -1 -1 0.16 17116 1 0.03 -1 -1 30352 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63464 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 23.5 MiB 0.06 832 12375 4208 6622 1545 62.0 MiB 0.10 0.00 3.58247 -103.673 -3.58247 3.58247 0.64 0.000534391 0.00049757 0.0367471 0.0342109 28 2023 24 6.64007e+06 288834 500653. 1732.36 0.78 0.102637 0.0905276 21970 115934 -1 1729 19 808 1414 115942 25349 2.89797 2.89797 -102.799 -2.89797 0 0 612192. 2118.31 0.17 0.06 0.10 -1 -1 0.17 0.0203822 0.0177449 100 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 3.92 vpr 62.55 MiB -1 -1 0.19 17748 1 0.03 -1 -1 30080 -1 -1 34 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64048 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 24.1 MiB 0.12 993 9098 1971 6187 940 62.5 MiB 0.09 0.00 5.56744 -134.001 -5.56744 5.56744 0.64 0.000716042 0.000665021 0.0313615 0.0291637 28 2715 23 6.64007e+06 426972 500653. 1732.36 1.16 0.119878 0.105339 21970 115934 -1 2155 22 1488 2892 193907 44774 4.78768 4.78768 -137.22 -4.78768 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0298208 0.0259943 139 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 3.47 vpr 61.97 MiB -1 -1 0.16 17132 1 0.03 -1 -1 30120 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63456 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 23.4 MiB 0.06 822 7770 1860 5212 698 62.0 MiB 0.08 0.00 3.4291 -106.218 -3.4291 3.4291 0.64 0.000561161 0.000523005 0.0267368 0.024882 26 1966 20 6.64007e+06 251160 477104. 1650.88 0.80 0.0921675 0.080851 21682 110474 -1 1794 20 1189 2015 145791 32533 2.96717 2.96717 -110.105 -2.96717 0 0 585099. 2024.56 0.16 0.07 0.10 -1 -1 0.16 0.0218856 0.0190208 104 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 3.60 vpr 62.15 MiB -1 -1 0.19 17532 1 0.03 -1 -1 30376 -1 -1 33 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63644 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 23.7 MiB 0.11 857 12839 3557 7998 1284 62.2 MiB 0.11 0.00 4.12483 -113.809 -4.12483 4.12483 0.64 0.000598645 0.000557152 0.0373807 0.0347601 26 1933 22 6.64007e+06 414414 477104. 1650.88 0.92 0.107972 0.0950287 21682 110474 -1 1626 17 791 1503 98783 21815 2.81177 2.81177 -102.96 -2.81177 0 0 585099. 2024.56 0.16 0.06 0.10 -1 -1 0.16 0.0203803 0.01778 105 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 3.75 vpr 62.52 MiB -1 -1 0.20 17776 1 0.03 -1 -1 30236 -1 -1 26 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64016 29 32 355 287 1 198 87 17 17 289 -1 unnamed_device 24.1 MiB 0.24 1104 17175 4885 10433 1857 62.5 MiB 0.18 0.00 4.60024 -135.427 -4.60024 4.60024 0.64 0.000756615 0.000697243 0.0691796 0.0642894 28 2667 21 6.64007e+06 326508 500653. 1732.36 0.84 0.151786 0.135099 21970 115934 -1 2393 25 1500 2282 138739 32634 4.10842 4.10842 -132.907 -4.10842 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0328187 0.0285775 139 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 3.63 vpr 62.71 MiB -1 -1 0.15 17864 1 0.03 -1 -1 30280 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64216 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 24.2 MiB 0.12 772 4768 876 3724 168 62.7 MiB 0.06 0.00 4.51224 -132.005 -4.51224 4.51224 0.63 0.000706232 0.000655511 0.0196446 0.0182548 32 2190 25 6.64007e+06 301392 554710. 1919.41 0.90 0.108062 0.0941881 22834 132086 -1 1821 22 1657 2534 173073 42172 3.74782 3.74782 -128.311 -3.74782 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.0303643 0.0265108 130 54 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 3.70 vpr 62.55 MiB -1 -1 0.18 17900 1 0.03 -1 -1 30012 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64048 32 32 353 285 1 181 92 17 17 289 -1 unnamed_device 23.9 MiB 0.13 1030 11891 3350 7362 1179 62.5 MiB 0.12 0.00 4.72138 -141.993 -4.72138 4.72138 0.67 0.000711163 0.000660682 0.0429016 0.0398739 32 2311 18 6.64007e+06 351624 554710. 1919.41 0.84 0.122529 0.108161 22834 132086 -1 2022 18 1159 1995 135404 30180 3.52623 3.52623 -131.325 -3.52623 0 0 701300. 2426.64 0.20 0.07 0.12 -1 -1 0.20 0.0256644 0.0224968 133 51 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 3.76 vpr 62.21 MiB -1 -1 0.14 17372 1 0.03 -1 -1 30348 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63704 32 32 276 237 1 159 81 17 17 289 -1 unnamed_device 23.8 MiB 0.24 799 9356 2375 6010 971 62.2 MiB 0.09 0.00 4.79432 -131.982 -4.79432 4.79432 0.64 0.000603623 0.000561298 0.0338372 0.0314684 26 2160 21 6.64007e+06 213486 477104. 1650.88 0.94 0.104117 0.0915474 21682 110474 -1 1917 20 1110 1536 115013 27360 3.25803 3.25803 -118.562 -3.25803 0 0 585099. 2024.56 0.16 0.07 0.10 -1 -1 0.16 0.0244662 0.0213289 105 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 3.68 vpr 62.35 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30544 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63844 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 23.8 MiB 0.23 899 12898 4205 6789 1904 62.3 MiB 0.12 0.00 3.96736 -127.03 -3.96736 3.96736 0.63 0.000638233 0.00059284 0.0486761 0.0452454 32 2035 18 6.64007e+06 238602 554710. 1919.41 0.80 0.116056 0.102891 22834 132086 -1 1836 19 1223 1799 123742 27820 3.01863 3.01863 -117.895 -3.01863 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0251628 0.0219614 113 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.09 vpr 62.39 MiB -1 -1 0.17 17620 1 0.03 -1 -1 30356 -1 -1 33 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63892 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 23.8 MiB 0.12 857 8087 1703 5875 509 62.4 MiB 0.08 0.00 3.59243 -98.9543 -3.59243 3.59243 0.64 0.000671229 0.000624533 0.0267231 0.024854 26 2500 27 6.64007e+06 414414 477104. 1650.88 1.40 0.112613 0.0984252 21682 110474 -1 1945 19 1161 2050 166589 39629 2.76177 2.76177 -99.6151 -2.76177 0 0 585099. 2024.56 0.17 0.07 0.10 -1 -1 0.17 0.0250888 0.0218678 123 57 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 3.56 vpr 62.19 MiB -1 -1 0.21 17532 1 0.03 -1 -1 30400 -1 -1 35 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63684 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 23.7 MiB 0.09 873 14567 3705 9538 1324 62.2 MiB 0.11 0.00 4.33724 -105.319 -4.33724 4.33724 0.63 0.00059201 0.000550886 0.0420061 0.0390643 26 2165 27 6.64007e+06 439530 477104. 1650.88 0.80 0.117235 0.103276 21682 110474 -1 1828 19 946 1883 134782 28404 3.80183 3.80183 -107.35 -3.80183 0 0 585099. 2024.56 0.16 0.06 0.10 -1 -1 0.16 0.0225144 0.0196001 115 27 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 3.76 vpr 62.47 MiB -1 -1 0.19 17768 1 0.03 -1 -1 30340 -1 -1 18 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63972 30 32 317 269 1 152 80 17 17 289 -1 unnamed_device 24.0 MiB 0.14 857 12980 4441 6366 2173 62.5 MiB 0.12 0.00 4.19523 -120.389 -4.19523 4.19523 0.74 0.00063886 0.000594279 0.0502554 0.0467698 32 1926 20 6.64007e+06 226044 554710. 1919.41 0.82 0.123765 0.109579 22834 132086 -1 1769 19 1175 1932 148827 32317 3.06217 3.06217 -111.242 -3.06217 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0242153 0.0211386 108 63 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 3.76 vpr 62.43 MiB -1 -1 0.16 17852 1 0.03 -1 -1 30076 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63932 32 32 335 282 1 184 85 17 17 289 -1 unnamed_device 23.8 MiB 0.21 1011 9385 2419 5667 1299 62.4 MiB 0.10 0.00 4.0237 -135.679 -4.0237 4.0237 0.65 0.000671971 0.000624542 0.0364479 0.0339058 32 2261 23 6.64007e+06 263718 554710. 1919.41 0.82 0.116565 0.102524 22834 132086 -1 2018 18 1093 1588 111076 24869 3.32603 3.32603 -130.745 -3.32603 0 0 701300. 2426.64 0.19 0.06 0.12 -1 -1 0.19 0.0241745 0.0211394 121 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 3.76 vpr 62.56 MiB -1 -1 0.19 17268 1 0.03 -1 -1 30380 -1 -1 32 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64064 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 24.0 MiB 0.06 926 9383 1965 6366 1052 62.6 MiB 0.09 0.00 4.61041 -129.144 -4.61041 4.61041 0.64 0.000636687 0.000592739 0.0299046 0.0278325 28 2322 28 6.64007e+06 401856 500653. 1732.36 1.11 0.113217 0.0993307 21970 115934 -1 1918 20 1309 2325 142579 33944 3.84183 3.84183 -122.709 -3.84183 0 0 612192. 2118.31 0.16 0.04 0.07 -1 -1 0.16 0.0138205 0.0122676 127 4 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 3.89 vpr 62.80 MiB -1 -1 0.19 17856 1 0.03 -1 -1 30544 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64308 32 32 350 275 1 209 88 17 17 289 -1 unnamed_device 24.1 MiB 0.26 1230 14128 4435 7821 1872 62.8 MiB 0.15 0.00 5.3267 -167.408 -5.3267 5.3267 0.63 0.000705439 0.000656018 0.0539561 0.050153 32 3153 21 6.64007e+06 301392 554710. 1919.41 0.88 0.137193 0.121719 22834 132086 -1 2670 20 1471 2127 203069 40274 4.46509 4.46509 -159.817 -4.46509 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.0281014 0.0246216 146 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.10 vpr 62.73 MiB -1 -1 0.14 17516 1 0.03 -1 -1 30428 -1 -1 34 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64232 32 32 385 308 1 185 98 17 17 289 -1 unnamed_device 24.2 MiB 0.19 846 12473 3077 8180 1216 62.7 MiB 0.14 0.00 5.24026 -142.21 -5.24026 5.24026 0.70 0.000857417 0.000789723 0.0456586 0.0423259 30 2493 25 6.64007e+06 426972 526063. 1820.29 1.02 0.139185 0.122709 22546 126617 -1 1863 20 1088 2106 109487 27680 3.81508 3.81508 -134.95 -3.81508 0 0 666494. 2306.21 0.18 0.07 0.11 -1 -1 0.18 0.0289687 0.0253883 144 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 3.88 vpr 62.96 MiB -1 -1 0.11 17692 1 0.03 -1 -1 30280 -1 -1 37 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64468 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 24.5 MiB 0.17 1088 19136 5971 10565 2600 63.0 MiB 0.17 0.00 4.47484 -142.459 -4.47484 4.47484 0.67 0.000751473 0.000698061 0.0639017 0.0593053 28 2720 22 6.64007e+06 464646 500653. 1732.36 1.09 0.155785 0.1384 21970 115934 -1 2387 19 1473 2617 177636 39843 3.70543 3.70543 -136.956 -3.70543 0 0 612192. 2118.31 0.16 0.05 0.11 -1 -1 0.16 0.0157503 0.0140273 140 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 3.76 vpr 62.11 MiB -1 -1 0.19 17592 1 0.03 -1 -1 30148 -1 -1 19 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63600 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 23.5 MiB 0.11 810 10756 3573 5296 1887 62.1 MiB 0.10 0.00 3.86158 -115.559 -3.86158 3.86158 0.65 0.000585104 0.000544624 0.0374935 0.0347985 28 2024 20 6.64007e+06 238602 500653. 1732.36 0.79 0.107105 0.0935896 21970 115934 -1 1812 24 1223 2130 161484 35053 2.85977 2.85977 -104.747 -2.85977 0 0 612192. 2118.31 0.26 0.07 0.13 -1 -1 0.26 0.0245218 0.0216489 104 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 3.80 vpr 62.69 MiB -1 -1 0.15 17656 1 0.03 -1 -1 30612 -1 -1 23 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64196 30 32 375 299 1 187 85 17 17 289 -1 unnamed_device 24.0 MiB 0.16 996 11989 3165 6999 1825 62.7 MiB 0.13 0.00 4.82523 -141.177 -4.82523 4.82523 0.64 0.000728244 0.00067669 0.0459413 0.0423506 32 2408 19 6.64007e+06 288834 554710. 1919.41 0.89 0.130754 0.115218 22834 132086 -1 1994 21 1700 2635 168605 39469 3.73163 3.73163 -133.479 -3.73163 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.0300323 0.0262765 138 63 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 4.11 vpr 62.52 MiB -1 -1 0.19 17748 1 0.03 -1 -1 30416 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64024 32 32 340 270 1 200 90 17 17 289 -1 unnamed_device 24.0 MiB 0.16 1103 10743 2816 7025 902 62.5 MiB 0.11 0.00 5.45357 -158.764 -5.45357 5.45357 0.64 0.000695329 0.000646856 0.0394073 0.036608 26 2972 34 6.64007e+06 326508 477104. 1650.88 1.18 0.12453 0.109704 21682 110474 -1 2568 22 1724 2771 237679 51373 4.19368 4.19368 -144.555 -4.19368 0 0 585099. 2024.56 0.24 0.06 0.11 -1 -1 0.24 0.0201585 0.0178034 140 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 3.85 vpr 62.55 MiB -1 -1 0.14 17772 1 0.04 -1 -1 30352 -1 -1 30 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64056 31 32 340 275 1 195 93 17 17 289 -1 unnamed_device 24.0 MiB 0.26 1102 15423 4945 8075 2403 62.6 MiB 0.14 0.00 5.30601 -154.372 -5.30601 5.30601 0.63 0.000690762 0.000642675 0.0533531 0.0496074 28 3024 19 6.64007e+06 376740 500653. 1732.36 0.98 0.133155 0.118147 21970 115934 -1 2450 20 1449 2163 173727 36410 4.47448 4.47448 -149.944 -4.47448 0 0 612192. 2118.31 0.18 0.08 0.10 -1 -1 0.18 0.0274837 0.0240558 148 47 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 3.79 vpr 62.87 MiB -1 -1 0.19 17576 1 0.03 -1 -1 30468 -1 -1 33 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64376 30 32 377 310 1 177 95 17 17 289 -1 unnamed_device 24.3 MiB 0.20 960 11975 3127 7423 1425 62.9 MiB 0.12 0.00 4.52304 -132.575 -4.52304 4.52304 0.65 0.000728217 0.000676312 0.0427295 0.0396292 32 2201 21 6.64007e+06 414414 554710. 1919.41 0.86 0.128385 0.113013 22834 132086 -1 1847 18 942 1594 100135 23271 3.03543 3.03543 -114.645 -3.03543 0 0 701300. 2426.64 0.19 0.06 0.12 -1 -1 0.19 0.0263898 0.0230799 135 83 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 3.79 vpr 62.46 MiB -1 -1 0.16 17664 1 0.03 -1 -1 30312 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63964 32 32 365 294 1 185 85 17 17 289 -1 unnamed_device 23.9 MiB 0.14 891 9571 2183 6911 477 62.5 MiB 0.11 0.00 5.02278 -140.291 -5.02278 5.02278 0.63 0.000715214 0.000664569 0.0393129 0.0365273 30 2581 25 6.64007e+06 263718 526063. 1820.29 0.96 0.131346 0.115493 22546 126617 -1 2011 22 1227 2238 124501 30320 3.82963 3.82963 -134.319 -3.82963 0 0 666494. 2306.21 0.19 0.07 0.12 -1 -1 0.19 0.0304578 0.0265957 134 57 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 3.76 vpr 62.65 MiB -1 -1 0.20 17576 1 0.03 -1 -1 30320 -1 -1 31 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64156 29 32 378 310 1 177 92 17 17 289 -1 unnamed_device 24.0 MiB 0.16 875 11270 2503 8088 679 62.7 MiB 0.12 0.00 4.91881 -136.338 -4.91881 4.91881 0.65 0.000719081 0.000667388 0.0394595 0.0364711 32 2070 20 6.64007e+06 389298 554710. 1919.41 0.82 0.122765 0.107858 22834 132086 -1 1833 21 1140 1867 115580 27353 3.65943 3.65943 -125.768 -3.65943 0 0 701300. 2426.64 0.19 0.07 0.13 -1 -1 0.19 0.0295278 0.0256608 132 85 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 3.52 vpr 62.15 MiB -1 -1 0.15 17100 1 0.03 -1 -1 30396 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63644 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 23.6 MiB 0.05 680 10219 2541 6421 1257 62.2 MiB 0.09 0.00 3.88758 -112.502 -3.88758 3.88758 0.65 0.000549474 0.000511697 0.0353846 0.0329127 28 1667 19 6.64007e+06 188370 500653. 1732.36 0.88 0.100177 0.0885004 21970 115934 -1 1566 19 853 1297 89732 21195 2.92697 2.92697 -106.606 -2.92697 0 0 612192. 2118.31 0.17 0.05 0.10 -1 -1 0.17 0.020541 0.0180068 96 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.31 vpr 62.64 MiB -1 -1 0.18 17748 1 0.03 -1 -1 30376 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64144 32 32 373 302 1 176 96 17 17 289 -1 unnamed_device 24.0 MiB 0.24 1003 15426 4168 9105 2153 62.6 MiB 0.16 0.00 4.65236 -140.168 -4.65236 4.65236 0.89 0.00125965 0.00118657 0.0527027 0.0484376 28 2185 20 6.64007e+06 401856 500653. 1732.36 1.08 0.139328 0.122854 21970 115934 -1 1998 20 1322 2197 140567 32453 3.84903 3.84903 -133.976 -3.84903 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.0289952 0.025299 132 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 3.98 vpr 62.79 MiB -1 -1 0.16 17796 1 0.03 -1 -1 30264 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64296 32 32 397 314 1 196 86 17 17 289 -1 unnamed_device 24.2 MiB 0.17 1074 11237 2615 7354 1268 62.8 MiB 0.12 0.00 4.84723 -152.835 -4.84723 4.84723 0.66 0.000777337 0.000722356 0.0484951 0.0451131 32 2517 24 6.64007e+06 276276 554710. 1919.41 0.95 0.145684 0.128587 22834 132086 -1 2165 24 1914 3076 222092 49372 3.86183 3.86183 -143.393 -3.86183 0 0 701300. 2426.64 0.19 0.10 0.12 -1 -1 0.19 0.0329674 0.0288742 148 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 3.88 vpr 62.14 MiB -1 -1 0.18 17604 1 0.03 -1 -1 30088 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63636 32 32 269 231 1 170 84 17 17 289 -1 unnamed_device 23.7 MiB 0.27 745 8136 1743 5584 809 62.1 MiB 0.07 0.00 4.31784 -119.848 -4.31784 4.31784 0.64 0.000589694 0.000548877 0.0281466 0.0261957 28 2436 31 6.64007e+06 251160 500653. 1732.36 1.01 0.105986 0.0927071 21970 115934 -1 1890 21 1141 1486 118566 29283 3.66597 3.66597 -125.385 -3.66597 0 0 612192. 2118.31 0.17 0.06 0.07 -1 -1 0.17 0.0239778 0.0208778 109 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 3.59 vpr 62.29 MiB -1 -1 0.18 17380 1 0.03 -1 -1 30292 -1 -1 21 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63780 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 23.7 MiB 0.06 720 11430 2918 7192 1320 62.3 MiB 0.10 0.00 3.81035 -108.914 -3.81035 3.81035 0.80 0.000560266 0.000513505 0.0345998 0.0321283 26 2000 23 6.64007e+06 263718 477104. 1650.88 0.79 0.10131 0.0891779 21682 110474 -1 1806 19 1161 1910 131605 30533 2.89397 2.89397 -108.713 -2.89397 0 0 585099. 2024.56 0.16 0.06 0.10 -1 -1 0.16 0.0213612 0.0186465 106 4 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.48 vpr 62.80 MiB -1 -1 0.19 17796 1 0.03 -1 -1 30624 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64304 32 32 348 274 1 211 90 17 17 289 -1 unnamed_device 24.2 MiB 0.22 1132 12753 3748 7734 1271 62.8 MiB 0.13 0.00 5.06147 -160.912 -5.06147 5.06147 0.64 0.000706986 0.000657166 0.0472128 0.0438917 26 3087 40 6.64007e+06 326508 477104. 1650.88 1.56 0.1536 0.135415 21682 110474 -1 2355 20 1868 2497 190002 41388 3.92229 3.92229 -146.247 -3.92229 0 0 585099. 2024.56 0.16 0.08 0.10 -1 -1 0.16 0.0277822 0.0242861 144 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 4.00 vpr 62.59 MiB -1 -1 0.16 17648 1 0.02 -1 -1 30280 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64092 32 32 356 289 1 202 93 17 17 289 -1 unnamed_device 24.1 MiB 0.22 1035 16893 5570 8364 2959 62.6 MiB 0.15 0.00 5.02458 -149.361 -5.02458 5.02458 0.64 0.000706181 0.000656397 0.0594944 0.05526 32 2745 25 6.64007e+06 364182 554710. 1919.41 0.88 0.147976 0.131255 22834 132086 -1 2253 20 1492 2370 153128 36800 4.34809 4.34809 -142.117 -4.34809 0 0 701300. 2426.64 0.29 0.07 0.13 -1 -1 0.29 0.0257169 0.0228581 155 56 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.35 vpr 62.76 MiB -1 -1 0.16 17396 1 0.03 -1 -1 30244 -1 -1 36 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64264 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1057 12164 2729 8794 641 62.8 MiB 0.12 0.00 5.48474 -146.154 -5.48474 5.48474 0.64 0.000719401 0.000665888 0.0412908 0.0382209 28 3239 24 6.64007e+06 452088 500653. 1732.36 1.48 0.133919 0.118767 21970 115934 -1 2648 20 1616 2857 269688 60325 4.87389 4.87389 -152.412 -4.87389 0 0 612192. 2118.31 0.24 0.09 0.12 -1 -1 0.24 0.0253217 0.022463 153 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 3.78 vpr 62.36 MiB -1 -1 0.18 17696 1 0.03 -1 -1 30248 -1 -1 32 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63856 30 32 316 264 1 162 94 17 17 289 -1 unnamed_device 23.8 MiB 0.15 890 11170 2805 7440 925 62.4 MiB 0.12 0.00 3.51924 -105.227 -3.51924 3.51924 0.74 0.000642314 0.000597757 0.0397649 0.0368102 26 2070 19 6.64007e+06 401856 477104. 1650.88 0.82 0.115471 0.101602 21682 110474 -1 1811 19 1221 2143 148491 33695 2.80477 2.80477 -101.447 -2.80477 0 0 585099. 2024.56 0.24 0.07 0.11 -1 -1 0.24 0.0250533 0.0216291 121 52 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 3.41 vpr 62.03 MiB -1 -1 0.15 17356 1 0.02 -1 -1 30708 -1 -1 21 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63520 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 23.5 MiB 0.06 645 11948 3714 6556 1678 62.0 MiB 0.09 0.00 3.4543 -94.7001 -3.4543 3.4543 0.68 0.000548314 0.000510501 0.0400654 0.03729 26 1652 20 6.64007e+06 263718 477104. 1650.88 0.81 0.107687 0.0950436 21682 110474 -1 1462 20 950 1369 109801 25165 2.92817 2.92817 -95.5092 -2.92817 0 0 585099. 2024.56 0.16 0.06 0.10 -1 -1 0.16 0.0219605 0.0190682 97 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 3.99 vpr 62.93 MiB -1 -1 0.21 17664 1 0.03 -1 -1 30300 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64440 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 24.8 MiB 0.19 1270 10743 2480 7803 460 62.9 MiB 0.12 0.00 4.37195 -138.919 -4.37195 4.37195 0.63 0.000809491 0.000752218 0.0461627 0.0428952 32 3375 24 6.64007e+06 326508 554710. 1919.41 1.04 0.128629 0.114139 22834 132086 -1 2791 23 2060 3365 248010 55326 3.84783 3.84783 -138.713 -3.84783 0 0 701300. 2426.64 0.20 0.10 0.12 -1 -1 0.20 0.0357618 0.0311961 170 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 3.86 vpr 62.65 MiB -1 -1 0.21 17800 1 0.04 -1 -1 30232 -1 -1 23 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64156 31 32 365 296 1 193 86 17 17 289 -1 unnamed_device 24.1 MiB 0.31 989 14828 4611 8220 1997 62.7 MiB 0.14 0.00 5.41669 -159.225 -5.41669 5.41669 0.66 0.00071023 0.000660037 0.0585085 0.0543891 28 2481 23 6.64007e+06 288834 500653. 1732.36 0.85 0.14291 0.126949 21970 115934 -1 2153 20 1535 2472 159921 37576 4.54748 4.54748 -151.702 -4.54748 0 0 612192. 2118.31 0.17 0.08 0.07 -1 -1 0.17 0.028775 0.0251933 152 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 3.84 vpr 62.29 MiB -1 -1 0.19 17504 1 0.03 -1 -1 30360 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63780 32 32 331 280 1 174 83 17 17 289 -1 unnamed_device 23.8 MiB 0.30 825 13583 3778 7563 2242 62.3 MiB 0.13 0.00 4.65475 -131.833 -4.65475 4.65475 0.64 0.000657303 0.00061095 0.0519701 0.04829 30 1948 20 6.64007e+06 238602 526063. 1820.29 0.84 0.134105 0.118951 22546 126617 -1 1626 18 802 1237 72373 16961 3.52843 3.52843 -124.473 -3.52843 0 0 666494. 2306.21 0.19 0.05 0.12 -1 -1 0.19 0.0253092 0.0222849 128 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 3.95 vpr 62.48 MiB -1 -1 0.16 17240 1 0.03 -1 -1 30432 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63976 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 23.9 MiB 0.07 911 16708 5166 8976 2566 62.5 MiB 0.15 0.00 5.41998 -135.015 -5.41998 5.41998 0.64 0.000672471 0.000625111 0.0552309 0.0513016 28 2820 28 6.64007e+06 376740 500653. 1732.36 1.25 0.140752 0.124677 21970 115934 -1 2215 20 1177 1920 157877 36958 3.85082 3.85082 -126.566 -3.85082 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.0263475 0.0230392 126 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.34 vpr 62.65 MiB -1 -1 0.15 17812 1 0.03 -1 -1 30216 -1 -1 34 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64152 31 32 373 294 1 196 97 17 17 289 -1 unnamed_device 24.2 MiB 0.14 1048 7423 1517 5297 609 62.6 MiB 0.09 0.00 5.01701 -136.816 -5.01701 5.01701 0.64 0.000738087 0.000683191 0.0272552 0.0253411 26 2859 33 6.64007e+06 426972 477104. 1650.88 1.55 0.132451 0.115939 21682 110474 -1 2296 20 1422 2465 203490 44242 3.76082 3.76082 -129.287 -3.76082 0 0 585099. 2024.56 0.16 0.08 0.10 -1 -1 0.16 0.0291294 0.025487 145 50 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 3.69 vpr 62.36 MiB -1 -1 0.19 17748 1 0.03 -1 -1 30116 -1 -1 31 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63860 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 23.8 MiB 0.13 829 7233 1598 5117 518 62.4 MiB 0.07 0.00 3.67989 -107.648 -3.67989 3.67989 0.63 0.000654189 0.000606873 0.0249233 0.0231433 30 2144 22 6.64007e+06 389298 526063. 1820.29 0.89 0.103767 0.0906129 22546 126617 -1 1628 20 1009 1796 99734 24777 2.92597 2.92597 -101.5 -2.92597 0 0 666494. 2306.21 0.22 0.07 0.11 -1 -1 0.22 0.0274135 0.0240456 124 51 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.83 vpr 62.86 MiB -1 -1 0.19 17516 1 0.03 -1 -1 30340 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64364 32 32 350 275 1 214 89 17 17 289 -1 unnamed_device 24.2 MiB 0.27 1174 10979 2913 7012 1054 62.9 MiB 0.12 0.00 5.12747 -161.736 -5.12747 5.12747 0.63 0.000708682 0.000658963 0.0420086 0.0390671 26 3490 36 6.64007e+06 313950 477104. 1650.88 1.90 0.14334 0.125956 21682 110474 -1 2650 20 1925 2965 218244 49499 4.12068 4.12068 -148.064 -4.12068 0 0 585099. 2024.56 0.16 0.09 0.10 -1 -1 0.16 0.028161 0.0246536 148 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 3.83 vpr 62.65 MiB -1 -1 0.13 17908 1 0.03 -1 -1 30084 -1 -1 36 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64152 32 32 386 307 1 195 100 17 17 289 -1 unnamed_device 24.1 MiB 0.14 1093 17036 4934 9564 2538 62.6 MiB 0.16 0.00 4.75546 -148.188 -4.75546 4.75546 0.64 0.000756673 0.000702616 0.0568535 0.0527537 28 2591 20 6.64007e+06 452088 500653. 1732.36 0.94 0.144197 0.127811 21970 115934 -1 2227 17 1227 1962 128843 29850 3.51922 3.51922 -129.458 -3.51922 0 0 612192. 2118.31 0.18 0.07 0.12 -1 -1 0.18 0.0250233 0.0220306 144 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 3.44 vpr 62.06 MiB -1 -1 0.19 17488 1 0.03 -1 -1 30504 -1 -1 17 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63552 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 23.4 MiB 0.06 731 10536 4018 5581 937 62.1 MiB 0.09 0.00 3.74538 -111.28 -3.74538 3.74538 0.72 0.000573231 0.000533171 0.0382983 0.035651 30 1443 17 6.64007e+06 213486 526063. 1820.29 0.75 0.103052 0.091061 22546 126617 -1 1262 17 750 1088 62961 14826 2.68977 2.68977 -98.4877 -2.68977 0 0 666494. 2306.21 0.19 0.05 0.11 -1 -1 0.19 0.020887 0.018283 91 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 3.67 vpr 62.33 MiB -1 -1 0.11 17796 1 0.03 -1 -1 30388 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63828 32 32 310 266 1 175 85 17 17 289 -1 unnamed_device 23.8 MiB 0.18 882 13849 5109 6856 1884 62.3 MiB 0.12 0.00 4.03956 -127.808 -4.03956 4.03956 0.64 0.000628502 0.000583756 0.0490118 0.0455531 28 2218 24 6.64007e+06 263718 500653. 1732.36 0.89 0.126124 0.111463 21970 115934 -1 1895 23 1297 1769 147915 33084 3.22483 3.22483 -120.552 -3.22483 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.0284818 0.0247356 117 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 3.67 vpr 62.54 MiB -1 -1 0.19 17776 1 0.03 -1 -1 30412 -1 -1 37 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64040 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 23.9 MiB 0.08 954 14020 3601 8035 2384 62.5 MiB 0.12 0.00 4.80044 -128.284 -4.80044 4.80044 0.64 0.000670238 0.000622963 0.0429836 0.0398841 32 2139 23 6.64007e+06 464646 554710. 1919.41 0.84 0.12354 0.108955 22834 132086 -1 2014 21 1419 2451 173087 38123 3.85382 3.85382 -125.331 -3.85382 0 0 701300. 2426.64 0.21 0.08 0.12 -1 -1 0.21 0.0278252 0.0243081 129 33 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 3.76 vpr 62.34 MiB -1 -1 0.12 17428 1 0.03 -1 -1 30364 -1 -1 22 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63836 29 32 262 224 1 168 83 17 17 289 -1 unnamed_device 23.9 MiB 0.26 763 7103 1547 5010 546 62.3 MiB 0.07 0.00 4.32884 -114.709 -4.32884 4.32884 0.64 0.000565121 0.000526739 0.0242338 0.0225833 26 2139 24 6.64007e+06 276276 477104. 1650.88 0.96 0.0939803 0.0820802 21682 110474 -1 1766 20 1098 1421 93712 22469 3.56143 3.56143 -115.197 -3.56143 0 0 585099. 2024.56 0.16 0.05 0.10 -1 -1 0.16 0.0208274 0.0182042 109 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 3.71 vpr 62.05 MiB -1 -1 0.16 17252 1 0.03 -1 -1 30000 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63536 32 32 278 238 1 149 81 17 17 289 -1 unnamed_device 23.4 MiB 0.14 634 12856 3328 7254 2274 62.0 MiB 0.11 0.00 3.90075 -115.478 -3.90075 3.90075 0.66 0.000603739 0.000562652 0.04625 0.0430354 28 2041 24 6.64007e+06 213486 500653. 1732.36 0.83 0.119072 0.105243 21970 115934 -1 1792 22 1362 2294 161189 38138 3.12337 3.12337 -112.776 -3.12337 0 0 612192. 2118.31 0.17 0.08 0.13 -1 -1 0.17 0.0258087 0.022495 108 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 3.63 vpr 62.63 MiB -1 -1 0.20 17516 1 0.03 -1 -1 30124 -1 -1 36 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64132 31 32 373 300 1 181 99 17 17 289 -1 unnamed_device 24.2 MiB 0.09 1014 15147 3968 9710 1469 62.6 MiB 0.14 0.00 4.15695 -125.813 -4.15695 4.15695 0.64 0.000727913 0.000676775 0.0509695 0.0473116 32 1987 18 6.64007e+06 452088 554710. 1919.41 0.81 0.132967 0.117744 22834 132086 -1 1806 17 1104 1789 117607 26569 2.98336 2.98336 -111.526 -2.98336 0 0 701300. 2426.64 0.20 0.06 0.12 -1 -1 0.20 0.0254188 0.0222887 136 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 3.50 vpr 62.22 MiB -1 -1 0.18 17372 1 0.03 -1 -1 30332 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63712 31 32 265 230 1 162 83 17 17 289 -1 unnamed_device 23.8 MiB 0.18 920 10163 2724 6503 936 62.2 MiB 0.09 0.00 4.05252 -124.711 -4.05252 4.05252 0.64 0.000576257 0.000536722 0.0348557 0.0324404 30 1979 19 6.64007e+06 251160 526063. 1820.29 0.77 0.101032 0.0890048 22546 126617 -1 1733 20 812 1183 75670 17017 2.96343 2.96343 -112.059 -2.96343 0 0 666494. 2306.21 0.18 0.06 0.09 -1 -1 0.18 0.0227775 0.0198235 107 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 3.86 vpr 62.41 MiB -1 -1 0.19 17644 1 0.03 -1 -1 30124 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63904 32 32 349 286 1 171 96 17 17 289 -1 unnamed_device 23.7 MiB 0.13 987 10827 2770 6959 1098 62.4 MiB 0.11 0.00 3.75038 -118.864 -3.75038 3.75038 0.69 0.000707778 0.000658712 0.0367867 0.0341863 26 2424 21 6.64007e+06 401856 477104. 1650.88 1.03 0.118897 0.104631 21682 110474 -1 2057 21 1289 2282 165102 37170 2.73977 2.73977 -108.013 -2.73977 0 0 585099. 2024.56 0.19 0.08 0.10 -1 -1 0.19 0.0285091 0.0248723 127 57 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 3.94 vpr 62.59 MiB -1 -1 0.21 17516 1 0.03 -1 -1 30280 -1 -1 32 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64092 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 24.2 MiB 0.30 927 10247 2394 7169 684 62.6 MiB 0.11 0.00 4.34696 -134.379 -4.34696 4.34696 0.63 0.000746171 0.000693189 0.0382338 0.0355107 32 2248 20 6.64007e+06 401856 554710. 1919.41 0.85 0.133471 0.117551 22834 132086 -1 1950 20 1313 1831 120295 29232 3.33903 3.33903 -128.306 -3.33903 0 0 701300. 2426.64 0.24 0.09 0.12 -1 -1 0.24 0.0358379 0.0315019 138 91 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 3.57 vpr 62.06 MiB -1 -1 0.14 17552 1 0.03 -1 -1 30224 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63552 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 23.4 MiB 0.16 835 12681 3362 7951 1368 62.1 MiB 0.11 0.00 3.3851 -106.107 -3.3851 3.3851 0.64 0.000623789 0.000579651 0.0473723 0.0440087 26 2103 18 6.64007e+06 213486 477104. 1650.88 0.85 0.118076 0.104563 21682 110474 -1 1822 21 1002 1582 127645 28263 2.76677 2.76677 -106.335 -2.76677 0 0 585099. 2024.56 0.17 0.07 0.12 -1 -1 0.17 0.0267148 0.0232157 104 57 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 3.74 vpr 62.26 MiB -1 -1 0.15 17300 1 0.03 -1 -1 30220 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63752 32 32 290 244 1 175 85 17 17 289 -1 unnamed_device 23.7 MiB 0.25 876 14407 4775 7452 2180 62.3 MiB 0.13 0.00 4.41384 -136.056 -4.41384 4.41384 0.65 0.000609475 0.000567148 0.0494112 0.0459448 30 2137 20 6.64007e+06 263718 526063. 1820.29 0.81 0.12075 0.107097 22546 126617 -1 1844 19 1079 1610 102910 23440 3.19163 3.19163 -119.952 -3.19163 0 0 666494. 2306.21 0.21 0.06 0.11 -1 -1 0.21 0.0234924 0.0205418 117 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 3.71 vpr 62.37 MiB -1 -1 0.18 17508 1 0.03 -1 -1 30280 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63864 32 32 318 257 1 194 87 17 17 289 -1 unnamed_device 24.0 MiB 0.20 1070 9111 2300 6161 650 62.4 MiB 0.10 0.00 4.68344 -140.114 -4.68344 4.68344 0.66 0.00065576 0.000610001 0.0336073 0.0312472 32 2486 17 6.64007e+06 288834 554710. 1919.41 0.82 0.110182 0.0971981 22834 132086 -1 2124 20 1344 1810 132646 30744 3.78882 3.78882 -132.22 -3.78882 0 0 701300. 2426.64 0.18 0.04 0.13 -1 -1 0.18 0.0147641 0.0131498 130 30 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 3.60 vpr 62.36 MiB -1 -1 0.16 17576 1 0.03 -1 -1 30280 -1 -1 29 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63852 29 32 324 268 1 168 90 17 17 289 -1 unnamed_device 23.8 MiB 0.17 969 13959 4223 8147 1589 62.4 MiB 0.12 0.00 4.71146 -123.714 -4.71146 4.71146 0.63 0.000640351 0.000593764 0.0477533 0.0443891 32 1988 20 6.64007e+06 364182 554710. 1919.41 0.78 0.124322 0.110042 22834 132086 -1 1799 14 777 1319 84447 19201 3.07743 3.07743 -106.617 -3.07743 0 0 701300. 2426.64 0.19 0.05 0.12 -1 -1 0.19 0.0201121 0.0177341 122 55 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 4.00 vpr 63.14 MiB -1 -1 0.16 17796 1 0.03 -1 -1 30480 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64660 32 32 393 312 1 213 88 17 17 289 -1 unnamed_device 24.4 MiB 0.26 1164 12568 3311 8092 1165 63.1 MiB 0.14 0.00 5.44678 -170.492 -5.44678 5.44678 0.64 0.000756051 0.000702809 0.0515184 0.047846 28 3017 24 6.64007e+06 301392 500653. 1732.36 0.99 0.142808 0.126463 21970 115934 -1 2587 19 1713 2544 209427 46081 4.52369 4.52369 -158.217 -4.52369 0 0 612192. 2118.31 0.17 0.09 0.13 -1 -1 0.17 0.029164 0.0255591 154 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 3.50 vpr 62.11 MiB -1 -1 0.18 17160 1 0.03 -1 -1 30512 -1 -1 18 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63604 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 23.6 MiB 0.05 802 9356 2223 6300 833 62.1 MiB 0.08 0.00 3.65167 -102.287 -3.65167 3.65167 0.66 0.000533601 0.000497088 0.0304749 0.028402 32 1738 16 6.64007e+06 226044 554710. 1919.41 0.79 0.0853531 0.0753248 22834 132086 -1 1550 18 717 1200 83961 19380 2.89017 2.89017 -97.9917 -2.89017 0 0 701300. 2426.64 0.20 0.05 0.12 -1 -1 0.20 0.0195931 0.017117 96 4 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 3.94 vpr 62.63 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30276 -1 -1 34 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64136 32 32 412 334 1 190 98 17 17 289 -1 unnamed_device 24.2 MiB 0.16 1014 15173 4325 8191 2657 62.6 MiB 0.14 0.00 4.24115 -141.749 -4.24115 4.24115 0.63 0.000772499 0.000716203 0.0550165 0.0510705 32 2563 27 6.64007e+06 426972 554710. 1919.41 0.93 0.154172 0.136318 22834 132086 -1 2184 19 1571 2371 170912 38410 3.72983 3.72983 -138.118 -3.72983 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.0297903 0.0260507 145 90 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 3.88 vpr 62.55 MiB -1 -1 0.18 17772 1 0.03 -1 -1 30096 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64048 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 24.1 MiB 0.28 854 11456 4252 5499 1705 62.5 MiB 0.11 0.00 3.5233 -125.693 -3.5233 3.5233 0.66 0.000718867 0.000667113 0.0491952 0.0456921 32 1976 23 6.64007e+06 213486 554710. 1919.41 0.84 0.134818 0.118986 22834 132086 -1 1747 19 1250 1820 139253 29466 2.95177 2.95177 -122.552 -2.95177 0 0 701300. 2426.64 0.23 0.07 0.12 -1 -1 0.23 0.0270269 0.0235739 114 96 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 4.02 vpr 62.51 MiB -1 -1 0.20 17644 1 0.03 -1 -1 30256 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64008 32 32 360 293 1 179 96 17 17 289 -1 unnamed_device 23.9 MiB 0.13 993 15864 4238 9461 2165 62.5 MiB 0.15 0.00 4.43584 -134.986 -4.43584 4.43584 0.74 0.000712962 0.000661026 0.0536841 0.0497677 26 2557 24 6.64007e+06 401856 477104. 1650.88 1.05 0.144223 0.127582 21682 110474 -1 2081 15 926 1423 96949 22387 3.21363 3.21363 -116.31 -3.21363 0 0 585099. 2024.56 0.16 0.06 0.10 -1 -1 0.16 0.0231331 0.0203709 131 60 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 4.37 vpr 62.68 MiB -1 -1 0.20 17504 1 0.03 -1 -1 30340 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64188 32 32 396 299 1 236 91 17 17 289 -1 unnamed_device 24.5 MiB 0.30 1309 17635 5897 9510 2228 62.7 MiB 0.20 0.00 6.39084 -191.431 -6.39084 6.39084 0.66 0.000784591 0.000728948 0.0706394 0.0655589 28 3357 19 6.64007e+06 339066 500653. 1732.36 1.21 0.168723 0.15057 21970 115934 -1 2809 20 1792 2549 197016 42830 5.36314 5.36314 -177.542 -5.36314 0 0 612192. 2118.31 0.17 0.09 0.10 -1 -1 0.17 0.0312642 0.0274405 170 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 3.60 vpr 61.95 MiB -1 -1 0.17 17588 1 0.02 -1 -1 30060 -1 -1 18 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63432 30 32 224 207 1 137 80 17 17 289 -1 unnamed_device 23.4 MiB 0.16 697 8680 1945 6222 513 61.9 MiB 0.07 0.00 3.31307 -102.387 -3.31307 3.31307 0.67 0.000506989 0.000471992 0.0274071 0.025497 28 1666 19 6.64007e+06 226044 500653. 1732.36 0.83 0.0856716 0.0753047 21970 115934 -1 1432 14 605 760 77617 18525 2.39717 2.39717 -94.9129 -2.39717 0 0 612192. 2118.31 0.17 0.05 0.12 -1 -1 0.17 0.0156957 0.0137983 87 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 3.59 vpr 62.02 MiB -1 -1 0.14 17664 1 0.03 -1 -1 30368 -1 -1 16 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63508 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 23.4 MiB 0.10 791 11864 3247 7177 1440 62.0 MiB 0.10 0.00 4.38638 -124.628 -4.38638 4.38638 0.66 0.000597516 0.000555325 0.0447479 0.0416283 32 1680 15 6.64007e+06 200928 554710. 1919.41 0.78 0.111586 0.0988361 22834 132086 -1 1479 22 920 1506 118207 25985 3.18337 3.18337 -111.753 -3.18337 0 0 701300. 2426.64 0.26 0.07 0.14 -1 -1 0.26 0.0248858 0.0219925 92 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 3.61 vpr 62.07 MiB -1 -1 0.18 17588 1 0.04 -1 -1 30372 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63564 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 23.7 MiB 0.09 807 14407 3847 9113 1447 62.1 MiB 0.13 0.00 3.46104 -112.673 -3.46104 3.46104 0.65 0.00062727 0.000582313 0.0510193 0.0473981 28 2067 23 6.64007e+06 263718 500653. 1732.36 0.80 0.12665 0.112173 21970 115934 -1 1884 21 1265 2247 152707 35374 2.75777 2.75777 -107.934 -2.75777 0 0 612192. 2118.31 0.20 0.08 0.10 -1 -1 0.20 0.0256826 0.0223786 115 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 3.43 vpr 61.93 MiB -1 -1 0.15 17588 1 0.02 -1 -1 30204 -1 -1 27 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63416 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 23.4 MiB 0.07 469 9234 3273 3848 2113 61.9 MiB 0.06 0.00 3.37029 -77.6943 -3.37029 3.37029 0.64 0.000477927 0.000443335 0.0257001 0.023812 30 1496 25 6.64007e+06 339066 526063. 1820.29 0.88 0.0893111 0.0781578 22546 126617 -1 1146 17 610 1009 61629 15763 2.92917 2.92917 -76.4452 -2.92917 0 0 666494. 2306.21 0.20 0.04 0.12 -1 -1 0.20 0.0174321 0.0152557 89 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 3.60 vpr 62.57 MiB -1 -1 0.17 17516 1 0.03 -1 -1 30448 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64076 32 32 376 307 1 185 85 17 17 289 -1 unnamed_device 23.9 MiB 0.16 937 9571 2534 6630 407 62.6 MiB 0.10 0.00 4.28889 -129.632 -4.28889 4.28889 0.63 0.000727441 0.000675838 0.0399595 0.0371239 30 2291 20 6.64007e+06 263718 526063. 1820.29 0.77 0.113522 0.100361 22546 126617 -1 1909 19 1147 2018 101105 24783 3.56243 3.56243 -125.04 -3.56243 0 0 666494. 2306.21 0.20 0.07 0.11 -1 -1 0.20 0.0279715 0.0245055 136 72 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 3.87 vpr 62.71 MiB -1 -1 0.21 17664 1 0.03 -1 -1 30356 -1 -1 35 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64212 31 32 409 331 1 191 98 17 17 289 -1 unnamed_device 24.2 MiB 0.18 1018 17423 5293 9473 2657 62.7 MiB 0.16 0.00 4.47881 -144.552 -4.47881 4.47881 0.63 0.000765895 0.000710983 0.0619942 0.0575305 32 2355 20 6.64007e+06 439530 554710. 1919.41 0.83 0.150415 0.13339 22834 132086 -1 1953 21 1310 2040 144978 31263 3.34137 3.34137 -129.49 -3.34137 0 0 701300. 2426.64 0.20 0.08 0.13 -1 -1 0.20 0.0315018 0.027493 143 90 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.05 vpr 62.60 MiB -1 -1 0.15 17808 1 0.03 -1 -1 30240 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64104 32 32 354 285 1 202 94 17 17 289 -1 unnamed_device 24.1 MiB 0.33 962 17134 5020 8898 3216 62.6 MiB 0.16 0.00 5.27972 -153.369 -5.27972 5.27972 0.64 0.000704748 0.000654599 0.058954 0.0547221 32 2793 32 6.65987e+06 380340 554710. 1919.41 0.96 0.1542 0.136493 22834 132086 -1 2266 23 1794 2718 200976 48039 4.74857 4.74857 -148.259 -4.74857 0 0 701300. 2426.64 0.26 0.09 0.10 -1 -1 0.26 0.0290435 0.0258217 152 50 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 3.87 vpr 62.61 MiB -1 -1 0.19 17644 1 0.03 -1 -1 30392 -1 -1 23 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64116 30 32 363 293 1 194 85 17 17 289 -1 unnamed_device 24.0 MiB 0.26 1040 12361 3404 6762 2195 62.6 MiB 0.13 0.00 4.63676 -143.523 -4.63676 4.63676 0.63 0.000714149 0.000663615 0.0499558 0.0464684 32 2391 26 6.65987e+06 291594 554710. 1919.41 0.85 0.138386 0.122332 22834 132086 -1 2137 22 1808 2733 205071 46641 4.06963 4.06963 -142.287 -4.06963 0 0 701300. 2426.64 0.19 0.09 0.13 -1 -1 0.19 0.0309925 0.0271593 138 63 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.16 vpr 62.18 MiB -1 -1 0.18 17916 1 0.03 -1 -1 30336 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63668 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 23.5 MiB 0.15 1057 9111 2109 6460 542 62.2 MiB 0.09 0.00 4.05544 -117.725 -4.05544 4.05544 0.66 0.000632208 0.000588004 0.0321911 0.0299501 26 2909 26 6.65987e+06 291594 477104. 1650.88 1.37 0.114425 0.100592 21682 110474 -1 2304 21 1445 2010 175906 39220 3.52151 3.52151 -120.603 -3.52151 0 0 585099. 2024.56 0.18 0.10 0.10 -1 -1 0.18 0.0345186 0.0300281 126 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 3.57 vpr 62.10 MiB -1 -1 0.11 17544 1 0.02 -1 -1 30320 -1 -1 27 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63588 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 23.5 MiB 0.10 995 11593 2944 7290 1359 62.1 MiB 0.11 0.00 4.34155 -118.133 -4.34155 4.34155 0.65 0.000643859 0.000591726 0.0400953 0.0372243 32 2314 24 6.65987e+06 342306 554710. 1919.41 0.83 0.118372 0.104135 22834 132086 -1 2116 20 1335 2441 194474 43071 3.76777 3.76777 -117.12 -3.76777 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.0254095 0.022192 126 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.45 vpr 62.18 MiB -1 -1 0.19 17508 1 0.03 -1 -1 30248 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63672 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 23.6 MiB 0.11 1007 8919 2464 5628 827 62.2 MiB 0.10 0.00 4.36781 -127.596 -4.36781 4.36781 0.68 0.000690942 0.000642842 0.0343999 0.0319892 34 2558 26 6.65987e+06 291594 585099. 2024.56 1.52 0.175613 0.152766 23122 138558 -1 2077 21 1475 2816 191677 44850 3.43891 3.43891 -123.186 -3.43891 0 0 742403. 2568.87 0.20 0.09 0.12 -1 -1 0.20 0.0277277 0.0243858 130 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 3.56 vpr 62.59 MiB -1 -1 0.11 17792 1 0.03 -1 -1 30328 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64096 32 32 366 295 1 189 97 17 17 289 -1 unnamed_device 24.0 MiB 0.15 1045 18079 5207 10774 2098 62.6 MiB 0.17 0.00 3.41904 -120.465 -3.41904 3.41904 0.64 0.000593104 0.000544404 0.0501273 0.0459581 30 2159 22 6.65987e+06 418374 526063. 1820.29 0.83 0.134965 0.118698 22546 126617 -1 1847 19 1124 1809 96785 23008 2.73771 2.73771 -111.043 -2.73771 0 0 666494. 2306.21 0.17 0.03 0.07 -1 -1 0.17 0.0154161 0.0137925 141 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 3.52 vpr 61.81 MiB -1 -1 0.18 17252 1 0.03 -1 -1 30788 -1 -1 18 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63296 27 32 259 221 1 130 77 17 17 289 -1 unnamed_device 23.2 MiB 0.16 496 10509 2613 7281 615 61.8 MiB 0.09 0.00 3.88752 -95.8054 -3.88752 3.88752 0.64 0.000552535 0.000514342 0.0375571 0.0349621 30 1262 24 6.65987e+06 228204 526063. 1820.29 0.78 0.106996 0.0938216 22546 126617 -1 1045 21 680 1162 59254 15105 2.61651 2.61651 -82.9205 -2.61651 0 0 666494. 2306.21 0.20 0.05 0.11 -1 -1 0.20 0.0229307 0.0199522 94 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 3.47 vpr 62.04 MiB -1 -1 0.18 17276 1 0.03 -1 -1 30100 -1 -1 31 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63532 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 23.4 MiB 0.06 903 9466 2130 6738 598 62.0 MiB 0.09 0.00 3.22661 -96.4595 -3.22661 3.22661 0.65 0.000603666 0.000560647 0.0286062 0.0265573 30 1887 19 6.65987e+06 393018 526063. 1820.29 0.80 0.0972312 0.0852996 22546 126617 -1 1660 17 761 1366 74007 17618 2.51331 2.51331 -91.8345 -2.51331 0 0 666494. 2306.21 0.18 0.05 0.12 -1 -1 0.18 0.0214929 0.0188426 115 4 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 3.69 vpr 62.30 MiB -1 -1 0.20 17772 1 0.03 -1 -1 30236 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63796 31 32 317 271 1 168 82 17 17 289 -1 unnamed_device 23.7 MiB 0.15 765 13788 3701 7917 2170 62.3 MiB 0.12 0.00 3.4209 -112.776 -3.4209 3.4209 0.64 0.000637765 0.00059322 0.0519872 0.0483553 32 2114 22 6.65987e+06 240882 554710. 1919.41 0.80 0.129208 0.11461 22834 132086 -1 1728 17 1058 1542 110918 26906 2.81811 2.81811 -106.836 -2.81811 0 0 701300. 2426.64 0.20 0.06 0.12 -1 -1 0.20 0.0251894 0.0217122 111 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 3.78 vpr 62.06 MiB -1 -1 0.15 17428 1 0.03 -1 -1 30112 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63548 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 23.6 MiB 0.24 876 13906 4295 8097 1514 62.1 MiB 0.13 0.00 3.72312 -122.883 -3.72312 3.72312 0.66 0.000632058 0.000587849 0.0525519 0.0488367 32 2018 21 6.65987e+06 215526 554710. 1919.41 0.82 0.126471 0.112216 22834 132086 -1 1742 20 1225 1923 138608 31820 2.76451 2.76451 -111.5 -2.76451 0 0 701300. 2426.64 0.19 0.09 0.12 -1 -1 0.19 0.0302335 0.0262756 113 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 3.59 vpr 61.97 MiB -1 -1 0.19 17252 1 0.03 -1 -1 30508 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63456 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 23.6 MiB 0.24 696 7008 1825 4747 436 62.0 MiB 0.07 0.00 4.00989 -109.174 -4.00989 4.00989 0.63 0.000618201 0.000575347 0.0277909 0.0258784 30 1525 18 6.65987e+06 215526 526063. 1820.29 0.76 0.0979135 0.0859388 22546 126617 -1 1365 17 574 884 51942 12389 2.68271 2.68271 -96.6812 -2.68271 0 0 666494. 2306.21 0.18 0.05 0.11 -1 -1 0.18 0.0217589 0.0190897 98 63 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 3.70 vpr 62.01 MiB -1 -1 0.18 17532 1 0.03 -1 -1 30072 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63496 32 32 276 237 1 166 81 17 17 289 -1 unnamed_device 23.5 MiB 0.23 721 6381 1297 4466 618 62.0 MiB 0.06 0.00 3.89466 -119.961 -3.89466 3.89466 0.64 0.000594902 0.000553789 0.0237471 0.0221179 32 2079 21 6.65987e+06 215526 554710. 1919.41 0.83 0.0944944 0.0827499 22834 132086 -1 1739 22 1249 1676 130638 32083 2.71505 2.71505 -108.626 -2.71505 0 0 701300. 2426.64 0.19 0.08 0.09 -1 -1 0.19 0.0271031 0.0236843 106 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 3.93 vpr 62.62 MiB -1 -1 0.19 17664 1 0.03 -1 -1 30448 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64120 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 24.1 MiB 0.22 1056 16468 6105 8420 1943 62.6 MiB 0.16 0.00 4.37712 -140.294 -4.37712 4.37712 0.64 0.000693143 0.000644146 0.0613342 0.0569826 32 2620 22 6.65987e+06 304272 554710. 1919.41 0.87 0.146747 0.130579 22834 132086 -1 2184 21 1699 2549 188889 42181 3.20051 3.20051 -125.325 -3.20051 0 0 701300. 2426.64 0.21 0.09 0.12 -1 -1 0.21 0.029354 0.0256971 139 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 3.75 vpr 62.32 MiB -1 -1 0.16 17868 1 0.03 -1 -1 30292 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63820 32 32 363 295 1 181 94 17 17 289 -1 unnamed_device 23.9 MiB 0.18 951 14365 3991 8802 1572 62.3 MiB 0.14 0.00 4.63803 -131.953 -4.63803 4.63803 0.63 0.000716238 0.000665642 0.0508577 0.0472535 28 2495 26 6.65987e+06 380340 500653. 1732.36 0.90 0.140971 0.124698 21970 115934 -1 2246 23 1604 2513 195977 44240 3.75925 3.75925 -131.777 -3.75925 0 0 612192. 2118.31 0.20 0.09 0.09 -1 -1 0.20 0.0315723 0.0275192 133 61 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 3.40 vpr 61.85 MiB -1 -1 0.18 17364 1 0.03 -1 -1 30068 -1 -1 21 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63336 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 23.2 MiB 0.10 640 7914 1978 5337 599 61.9 MiB 0.07 0.00 3.16393 -88.5429 -3.16393 3.16393 0.64 0.000389442 0.000354138 0.0260038 0.0241942 28 1656 19 6.65987e+06 266238 500653. 1732.36 0.75 0.0884431 0.0775046 21970 115934 -1 1539 18 815 1389 99319 23560 2.82385 2.82385 -89.4422 -2.82385 0 0 612192. 2118.31 0.17 0.05 0.10 -1 -1 0.17 0.0202422 0.017697 98 27 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.03 vpr 62.48 MiB -1 -1 0.19 17648 1 0.03 -1 -1 30352 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63976 32 32 370 297 1 183 85 17 17 289 -1 unnamed_device 24.0 MiB 0.37 1044 14035 4479 7571 1985 62.5 MiB 0.14 0.00 3.91387 -124.268 -3.91387 3.91387 0.70 0.000739892 0.000672823 0.0574538 0.0533791 32 2474 22 6.65987e+06 266238 554710. 1919.41 0.85 0.145477 0.129122 22834 132086 -1 2152 20 1401 2504 176126 40482 3.25057 3.25057 -119.253 -3.25057 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.0291381 0.0255022 132 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 4.03 vpr 62.37 MiB -1 -1 0.18 17796 1 0.02 -1 -1 30284 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63868 32 32 338 269 1 196 85 17 17 289 -1 unnamed_device 23.9 MiB 0.21 1069 12919 3925 6776 2218 62.4 MiB 0.13 0.00 4.31458 -139.268 -4.31458 4.31458 0.69 0.000697703 0.000644088 0.0505984 0.0470387 28 2604 25 6.65987e+06 266238 500653. 1732.36 1.06 0.136604 0.120876 21970 115934 -1 2350 23 1620 2389 184014 41533 3.34617 3.34617 -124.198 -3.34617 0 0 612192. 2118.31 0.17 0.09 0.10 -1 -1 0.17 0.0308195 0.0269322 137 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 3.49 vpr 62.21 MiB -1 -1 0.17 17384 1 0.03 -1 -1 30236 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63704 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 23.7 MiB 0.17 695 9543 2025 7276 242 62.2 MiB 0.09 0.00 2.85064 -99.0938 -2.85064 2.85064 0.63 0.000649437 0.000602781 0.0317814 0.0295204 30 1712 20 6.65987e+06 367662 526063. 1820.29 0.77 0.106968 0.0938905 22546 126617 -1 1441 15 777 1258 62201 16037 2.15051 2.15051 -92.0519 -2.15051 0 0 666494. 2306.21 0.18 0.05 0.11 -1 -1 0.18 0.0208128 0.0182668 110 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 3.26 vpr 61.59 MiB -1 -1 0.16 17556 1 0.03 -1 -1 30076 -1 -1 15 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63072 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 23.2 MiB 0.11 717 11976 4624 5984 1368 61.6 MiB 0.09 0.00 2.25907 -80.296 -2.25907 2.25907 0.63 0.000582822 0.000541158 0.0391084 0.0363432 26 1528 21 6.65987e+06 190170 477104. 1650.88 0.69 0.0983352 0.0868881 21682 110474 -1 1374 17 625 877 65267 15370 1.85605 1.85605 -80.6905 -1.85605 0 0 585099. 2024.56 0.16 0.05 0.08 -1 -1 0.16 0.017573 0.0153749 81 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 3.84 vpr 62.16 MiB -1 -1 0.13 17544 1 0.03 -1 -1 30368 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63656 31 32 291 243 1 171 82 17 17 289 -1 unnamed_device 23.6 MiB 0.37 848 12008 3931 5654 2423 62.2 MiB 0.12 0.00 4.81535 -141.646 -4.81535 4.81535 0.63 0.000771743 0.00071184 0.0441231 0.0410427 32 2106 20 6.65987e+06 240882 554710. 1919.41 0.83 0.115919 0.10263 22834 132086 -1 1880 20 1338 1882 162953 37212 3.56017 3.56017 -127.18 -3.56017 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0246845 0.0215607 127 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 3.64 vpr 62.32 MiB -1 -1 0.19 17900 1 0.03 -1 -1 30472 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63816 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 23.9 MiB 0.06 910 8087 1796 5473 818 62.3 MiB 0.08 0.00 4.13176 -127.852 -4.13176 4.13176 0.68 0.000692299 0.000643685 0.0284175 0.026402 28 2425 21 6.65987e+06 393018 500653. 1732.36 0.87 0.11035 0.0967346 21970 115934 -1 2103 20 1357 2130 169483 37977 3.47643 3.47643 -125.531 -3.47643 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0281168 0.0246299 135 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 3.83 vpr 62.71 MiB -1 -1 0.20 17816 1 0.03 -1 -1 30312 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64220 32 32 372 300 1 204 87 17 17 289 -1 unnamed_device 24.0 MiB 0.21 1181 9303 2469 6067 767 62.7 MiB 0.10 0.00 4.32644 -135.633 -4.32644 4.32644 0.65 0.000730705 0.000679472 0.0381954 0.0355027 30 2631 22 6.65987e+06 291594 526063. 1820.29 0.88 0.129703 0.114095 22546 126617 -1 2153 23 1289 2094 130332 28525 3.28937 3.28937 -120.165 -3.28937 0 0 666494. 2306.21 0.18 0.08 0.11 -1 -1 0.18 0.034662 0.0303641 142 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 3.47 vpr 61.58 MiB -1 -1 0.13 17624 1 0.02 -1 -1 30532 -1 -1 18 26 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63060 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 22.9 MiB 0.23 356 10636 3977 4816 1843 61.6 MiB 0.07 0.00 2.4343 -65.7683 -2.4343 2.4343 0.64 0.000431153 0.000401117 0.029871 0.0277607 30 997 16 6.65987e+06 228204 526063. 1820.29 0.76 0.0788397 0.0697166 22546 126617 -1 741 16 447 580 26686 7955 2.19451 2.19451 -64.9642 -2.19451 0 0 666494. 2306.21 0.19 0.03 0.11 -1 -1 0.19 0.0147102 0.0129192 77 30 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 3.64 vpr 62.00 MiB -1 -1 0.18 17232 1 0.03 -1 -1 30376 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63492 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 23.5 MiB 0.10 978 9571 2391 5607 1573 62.0 MiB 0.10 0.00 4.9364 -125.004 -4.9364 4.9364 0.64 0.000617679 0.00057493 0.0349201 0.0325098 32 2187 34 6.65987e+06 266238 554710. 1919.41 0.90 0.119667 0.105042 22834 132086 -1 1928 19 1077 2024 137083 32628 3.70177 3.70177 -117.838 -3.70177 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.024416 0.0214414 118 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 3.22 vpr 61.69 MiB -1 -1 0.15 16984 1 0.02 -1 -1 30008 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63172 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 23.1 MiB 0.04 681 11200 3514 6177 1509 61.7 MiB 0.07 0.00 2.44727 -77.3331 -2.44727 2.44727 0.64 0.00042169 0.000391925 0.0302624 0.0281113 26 1411 15 6.65987e+06 177492 477104. 1650.88 0.72 0.076924 0.0680889 21682 110474 -1 1284 15 508 576 57479 12943 1.93211 1.93211 -77.6137 -1.93211 0 0 585099. 2024.56 0.16 0.04 0.10 -1 -1 0.16 0.0139574 0.0122721 79 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 3.53 vpr 62.14 MiB -1 -1 0.15 17428 1 0.03 -1 -1 30004 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63636 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 23.6 MiB 0.10 819 10531 2694 7192 645 62.1 MiB 0.10 0.00 4.34174 -119.601 -4.34174 4.34174 0.65 0.000634799 0.00059023 0.0349834 0.0325715 32 2048 22 6.65987e+06 380340 554710. 1919.41 0.83 0.11458 0.100941 22834 132086 -1 1821 18 1090 1817 127223 31545 3.42805 3.42805 -113.683 -3.42805 0 0 701300. 2426.64 0.19 0.06 0.12 -1 -1 0.19 0.0235003 0.0206074 123 24 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 3.75 vpr 62.29 MiB -1 -1 0.15 17240 1 0.03 -1 -1 30468 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63788 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 23.6 MiB 0.11 841 8087 1664 5850 573 62.3 MiB 0.08 0.00 3.58635 -102.903 -3.58635 3.58635 0.64 0.000641197 0.00059634 0.0262601 0.0243889 28 2267 21 6.65987e+06 393018 500653. 1732.36 1.11 0.103193 0.0906049 21970 115934 -1 2021 19 1195 2217 144338 35484 2.86871 2.86871 -103.513 -2.86871 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.0247138 0.0217011 128 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 3.74 vpr 62.33 MiB -1 -1 0.19 17768 1 0.03 -1 -1 30312 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63828 32 32 338 277 1 179 90 17 17 289 -1 unnamed_device 23.7 MiB 0.12 1047 15165 4413 8666 2086 62.3 MiB 0.17 0.00 4.3944 -130.237 -4.3944 4.3944 0.64 0.000850628 0.000792141 0.0645201 0.0599381 32 2492 25 6.65987e+06 329628 554710. 1919.41 0.87 0.150136 0.133501 22834 132086 -1 2137 19 1363 2369 169359 39834 3.31885 3.31885 -121.57 -3.31885 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0260983 0.0228677 125 50 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 3.44 vpr 61.93 MiB -1 -1 0.15 17400 1 0.03 -1 -1 30224 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63412 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 23.5 MiB 0.06 780 6100 1392 4485 223 61.9 MiB 0.07 0.00 2.90053 -100.349 -2.90053 2.90053 0.64 0.000600602 0.00055846 0.0233207 0.0216904 32 1965 19 6.65987e+06 202848 554710. 1919.41 0.79 0.0939238 0.082148 22834 132086 -1 1697 21 1091 1759 139787 33032 2.67165 2.67165 -101.934 -2.67165 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0249767 0.021753 101 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 3.72 vpr 61.95 MiB -1 -1 0.19 17532 1 0.03 -1 -1 30140 -1 -1 23 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63436 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 23.4 MiB 0.10 630 9199 2049 6385 765 61.9 MiB 0.08 0.00 2.99867 -92.259 -2.99867 2.99867 0.64 0.000574568 0.000534157 0.0300709 0.0279132 32 1813 20 6.65987e+06 291594 554710. 1919.41 0.79 0.0966983 0.0849187 22834 132086 -1 1461 21 1012 1572 113500 26995 2.90791 2.90791 -95.8313 -2.90791 0 0 701300. 2426.64 0.19 0.06 0.12 -1 -1 0.19 0.0233315 0.0202782 97 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 3.59 vpr 61.96 MiB -1 -1 0.11 17536 1 0.03 -1 -1 30188 -1 -1 23 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63448 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 23.3 MiB 0.05 575 14123 3775 8918 1430 62.0 MiB 0.11 0.00 3.31478 -91.535 -3.31478 3.31478 0.64 0.000559298 0.000519857 0.0456117 0.0422948 32 1755 23 6.65987e+06 291594 554710. 1919.41 0.83 0.114616 0.101244 22834 132086 -1 1402 21 1087 1837 130276 32833 2.67565 2.67565 -90.39 -2.67565 0 0 701300. 2426.64 0.22 0.06 0.14 -1 -1 0.22 0.0232196 0.0201817 98 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 3.63 vpr 62.19 MiB -1 -1 0.18 17184 1 0.03 -1 -1 30248 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63680 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 23.8 MiB 0.11 714 4763 885 3714 164 62.2 MiB 0.05 0.00 3.74323 -109.194 -3.74323 3.74323 0.67 0.000564653 0.000524779 0.0170156 0.0158448 30 1851 22 6.65987e+06 240882 526063. 1820.29 0.91 0.0868361 0.0757019 22546 126617 -1 1562 20 1047 1749 98103 23919 2.62751 2.62751 -102.66 -2.62751 0 0 666494. 2306.21 0.18 0.08 0.11 -1 -1 0.18 0.0309905 0.0269963 110 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 3.51 vpr 62.01 MiB -1 -1 0.19 17256 1 0.03 -1 -1 30224 -1 -1 27 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63496 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 23.6 MiB 0.11 740 8130 1715 6151 264 62.0 MiB 0.07 0.00 3.32595 -98.9982 -3.32595 3.32595 0.65 0.000595418 0.000554417 0.0254263 0.0236563 32 1842 22 6.65987e+06 342306 554710. 1919.41 0.81 0.0988369 0.0866466 22834 132086 -1 1570 20 1022 1665 112884 27377 2.72051 2.72051 -99.0807 -2.72051 0 0 701300. 2426.64 0.19 0.06 0.12 -1 -1 0.19 0.0231552 0.0201451 103 30 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 3.71 vpr 62.02 MiB -1 -1 0.18 17400 1 0.03 -1 -1 30496 -1 -1 25 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63508 29 32 291 250 1 153 86 17 17 289 -1 unnamed_device 23.5 MiB 0.25 874 10103 2597 6479 1027 62.0 MiB 0.09 0.00 3.27578 -104.365 -3.27578 3.27578 0.64 0.000603122 0.000561405 0.0343499 0.0319794 32 1837 16 6.65987e+06 316950 554710. 1919.41 0.82 0.102237 0.090286 22834 132086 -1 1643 19 1041 1556 109533 25783 2.40005 2.40005 -98.5579 -2.40005 0 0 701300. 2426.64 0.21 0.06 0.12 -1 -1 0.21 0.0229651 0.0201139 105 54 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 3.80 vpr 62.68 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30432 -1 -1 37 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64184 32 32 367 282 1 201 101 17 17 289 -1 unnamed_device 24.0 MiB 0.27 1205 9971 2413 6766 792 62.7 MiB 0.10 0.00 4.35696 -124.779 -4.35696 4.35696 0.64 0.000741696 0.000689822 0.0343894 0.0319824 30 2470 20 6.65987e+06 469086 526063. 1820.29 0.82 0.120567 0.106603 22546 126617 -1 2143 21 987 1859 103605 23151 3.67963 3.67963 -120.47 -3.67963 0 0 666494. 2306.21 0.29 0.07 0.11 -1 -1 0.29 0.0309795 0.0271685 150 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.11 vpr 62.59 MiB -1 -1 0.20 17620 1 0.03 -1 -1 30424 -1 -1 36 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64088 32 32 391 311 1 192 100 17 17 289 -1 unnamed_device 24.1 MiB 0.25 1009 12860 3291 8398 1171 62.6 MiB 0.17 0.00 3.75432 -126.947 -3.75432 3.75432 0.72 0.000759951 0.000706002 0.057412 0.053107 26 2525 36 6.65987e+06 456408 477104. 1650.88 0.98 0.14462 0.127966 21682 110474 -1 2140 19 1553 2391 155367 36688 2.97837 2.97837 -123.475 -2.97837 0 0 585099. 2024.56 0.16 0.08 0.10 -1 -1 0.16 0.0298993 0.0263047 146 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 3.76 vpr 62.10 MiB -1 -1 0.13 17548 1 0.03 -1 -1 30092 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63588 31 32 279 237 1 161 80 17 17 289 -1 unnamed_device 23.5 MiB 0.24 731 8852 2206 5531 1115 62.1 MiB 0.09 0.00 4.21752 -119.384 -4.21752 4.21752 0.64 0.000594183 0.000553018 0.0326864 0.0304389 28 2061 26 6.65987e+06 215526 500653. 1732.36 0.94 0.107845 0.0946282 21970 115934 -1 1827 20 1090 1500 104657 25299 3.14271 3.14271 -113.213 -3.14271 0 0 612192. 2118.31 0.17 0.06 0.10 -1 -1 0.17 0.023938 0.0209153 109 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 3.87 vpr 62.68 MiB -1 -1 0.21 17676 1 0.03 -1 -1 30472 -1 -1 24 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 31 32 370 297 1 186 87 17 17 289 -1 unnamed_device 24.1 MiB 0.19 838 9687 2447 6341 899 62.7 MiB 0.11 0.00 4.30117 -127.913 -4.30117 4.30117 0.64 0.000722212 0.000670446 0.0391258 0.0363579 28 2472 25 6.65987e+06 304272 500653. 1732.36 0.96 0.129062 0.113427 21970 115934 -1 2020 21 1371 2445 169274 40806 3.06817 3.06817 -116.785 -3.06817 0 0 612192. 2118.31 0.17 0.08 0.11 -1 -1 0.17 0.0304579 0.0266577 137 61 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 3.91 vpr 62.23 MiB -1 -1 0.17 17644 1 0.03 -1 -1 30272 -1 -1 27 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63728 31 32 377 302 1 233 90 17 17 289 -1 unnamed_device 24.1 MiB 0.29 1313 13758 3781 7541 2436 62.2 MiB 0.15 0.00 5.77198 -171.36 -5.77198 5.77198 0.63 0.000732187 0.000679961 0.0530304 0.0492907 32 3132 21 6.65987e+06 342306 554710. 1919.41 0.88 0.140028 0.124061 22834 132086 -1 2551 22 2197 3203 211784 51203 4.70894 4.70894 -163.62 -4.70894 0 0 701300. 2426.64 0.19 0.09 0.12 -1 -1 0.19 0.0317277 0.0277713 170 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.87 vpr 62.77 MiB -1 -1 0.17 17772 1 0.03 -1 -1 30560 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64272 31 32 383 305 1 210 88 17 17 289 -1 unnamed_device 24.1 MiB 1.11 956 15103 4666 7688 2749 62.8 MiB 0.15 0.00 4.78629 -143.571 -4.78629 4.78629 0.64 0.000741923 0.000688116 0.0600777 0.0557568 32 2967 29 6.65987e+06 316950 554710. 1919.41 0.99 0.157118 0.13908 22834 132086 -1 2196 20 1644 2482 193336 43970 3.98337 3.98337 -138.614 -3.98337 0 0 701300. 2426.64 0.21 0.08 0.12 -1 -1 0.21 0.0267723 0.0238554 162 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.11 vpr 62.50 MiB -1 -1 0.20 17852 1 0.03 -1 -1 30560 -1 -1 29 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64004 31 32 352 285 1 184 92 17 17 289 -1 unnamed_device 24.0 MiB 0.22 864 6095 1129 4612 354 62.5 MiB 0.08 0.00 4.47092 -130.094 -4.47092 4.47092 0.64 0.000708118 0.000658498 0.0232673 0.0216556 28 2546 32 6.65987e+06 367662 500653. 1732.36 1.19 0.121098 0.105697 21970 115934 -1 2020 21 1236 1940 117144 30484 3.23625 3.23625 -120.221 -3.23625 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.02895 0.0253095 133 55 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 3.94 vpr 62.04 MiB -1 -1 0.18 17360 1 0.03 -1 -1 30432 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63524 32 32 291 242 1 179 86 17 17 289 -1 unnamed_device 23.5 MiB 0.19 918 12371 3407 6390 2574 62.0 MiB 0.11 0.00 4.0455 -110.07 -4.0455 4.0455 0.68 0.00061861 0.000575629 0.0428319 0.039817 28 2605 20 6.65987e+06 278916 500653. 1732.36 1.08 0.117556 0.103966 21970 115934 -1 2180 19 1317 1949 158383 36532 3.51625 3.51625 -115.535 -3.51625 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.0241227 0.0211519 118 27 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 5.28 vpr 62.62 MiB -1 -1 0.21 18164 1 0.04 -1 -1 30368 -1 -1 38 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64128 32 32 457 356 1 223 102 17 17 289 -1 unnamed_device 24.4 MiB 0.27 1184 10336 2301 7496 539 62.6 MiB 0.14 0.01 5.18869 -164.242 -5.18869 5.18869 0.67 0.0028986 0.00269315 0.0434371 0.0403099 26 3457 39 6.65987e+06 481764 477104. 1650.88 1.97 0.181324 0.1605 21682 110474 -1 2828 25 2036 3190 320818 89509 4.52437 4.52437 -157.469 -4.52437 0 0 585099. 2024.56 0.16 0.12 0.11 -1 -1 0.16 0.0410122 0.0357347 172 87 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 3.53 vpr 61.87 MiB -1 -1 0.11 17508 1 0.03 -1 -1 30140 -1 -1 21 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63356 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 23.2 MiB 0.10 660 11064 4480 5786 798 61.9 MiB 0.09 0.00 3.61218 -99.209 -3.61218 3.61218 0.64 0.0006575 0.00061565 0.0378042 0.0351312 32 1891 29 6.65987e+06 266238 554710. 1919.41 0.84 0.114316 0.10043 22834 132086 -1 1581 22 1137 1828 142459 35231 2.90705 2.90705 -99.775 -2.90705 0 0 701300. 2426.64 0.20 0.07 0.12 -1 -1 0.20 0.0245812 0.0213393 101 28 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 4.05 vpr 62.54 MiB -1 -1 0.16 17516 1 0.03 -1 -1 30236 -1 -1 23 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64044 31 32 337 267 1 205 86 17 17 289 -1 unnamed_device 23.9 MiB 0.20 1031 5756 1118 4418 220 62.5 MiB 0.04 0.00 5.03726 -146.602 -5.03726 5.03726 0.65 0.000306906 0.000283044 0.011477 0.0106156 28 2854 46 6.65987e+06 291594 500653. 1732.36 1.38 0.121313 0.104903 21970 115934 -1 2278 23 1392 1970 136323 32335 4.47728 4.47728 -144.286 -4.47728 0 0 612192. 2118.31 0.16 0.04 0.09 -1 -1 0.16 0.0170027 0.0151315 142 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 4.10 vpr 62.40 MiB -1 -1 0.18 17852 1 0.03 -1 -1 30292 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63900 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 23.9 MiB 0.14 975 10087 2133 7508 446 62.4 MiB 0.10 0.00 3.91407 -118.639 -3.91407 3.91407 0.63 0.000705127 0.00065601 0.0344889 0.0320793 28 2750 43 6.65987e+06 418374 500653. 1732.36 1.26 0.143201 0.125425 21970 115934 -1 2171 17 1119 1988 146167 33613 3.02611 3.02611 -112.426 -3.02611 0 0 612192. 2118.31 0.25 0.06 0.11 -1 -1 0.25 0.0221547 0.0197924 131 53 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 3.92 vpr 62.02 MiB -1 -1 0.18 17100 1 0.03 -1 -1 30104 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63504 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 23.4 MiB 0.09 889 13153 5130 6680 1343 62.0 MiB 0.12 0.00 4.00941 -121.212 -4.00941 4.00941 0.69 0.00062903 0.000585334 0.0443727 0.0412423 32 2460 38 6.65987e+06 304272 554710. 1919.41 1.02 0.135126 0.119001 22834 132086 -1 1936 29 1457 2672 381718 164248 3.53945 3.53945 -118.826 -3.53945 0 0 701300. 2426.64 0.19 0.14 0.12 -1 -1 0.19 0.0338402 0.0293566 123 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 3.88 vpr 62.42 MiB -1 -1 0.20 17852 1 0.03 -1 -1 30212 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63920 32 32 353 287 1 198 86 17 17 289 -1 unnamed_device 23.9 MiB 0.31 1135 8213 1899 5522 792 62.4 MiB 0.09 0.00 4.53182 -135.539 -4.53182 4.53182 0.64 0.000701984 0.000652867 0.0332084 0.0308712 26 2769 24 6.65987e+06 278916 477104. 1650.88 1.02 0.120688 0.105988 21682 110474 -1 2364 24 1365 1922 145357 33034 3.40711 3.40711 -122.846 -3.40711 0 0 585099. 2024.56 0.16 0.08 0.10 -1 -1 0.16 0.0319934 0.0279884 136 55 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.25 vpr 62.39 MiB -1 -1 0.16 17796 1 0.03 -1 -1 30264 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63892 32 32 361 291 1 185 95 17 17 289 -1 unnamed_device 23.9 MiB 0.35 1054 11759 3077 7738 944 62.4 MiB 0.12 0.00 3.70469 -122.012 -3.70469 3.70469 0.68 0.000716721 0.000666386 0.0419932 0.0390316 26 2570 22 6.65987e+06 393018 477104. 1650.88 1.15 0.130667 0.115261 21682 110474 -1 2258 22 1408 2255 183580 40530 3.17031 3.17031 -120.881 -3.17031 0 0 585099. 2024.56 0.19 0.08 0.10 -1 -1 0.19 0.0309487 0.0270545 132 55 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.21 vpr 62.56 MiB -1 -1 0.20 17504 1 0.04 -1 -1 30428 -1 -1 36 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64064 32 32 382 305 1 192 100 17 17 289 -1 unnamed_device 24.0 MiB 0.40 1080 10772 2746 7404 622 62.6 MiB 0.11 0.00 4.49669 -137.938 -4.49669 4.49669 0.64 0.000742796 0.000690076 0.0374908 0.034727 26 2751 23 6.65987e+06 456408 477104. 1650.88 1.05 0.12648 0.111126 21682 110474 -1 2424 22 1401 2036 158685 36651 3.54111 3.54111 -130.601 -3.54111 0 0 585099. 2024.56 0.17 0.08 0.10 -1 -1 0.17 0.0320442 0.0279973 144 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 3.66 vpr 62.17 MiB -1 -1 0.17 17240 1 0.03 -1 -1 30248 -1 -1 29 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63660 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 23.6 MiB 0.10 888 10593 2829 7083 681 62.2 MiB 0.10 0.00 3.98836 -118.206 -3.98836 3.98836 0.66 0.000640659 0.000595764 0.0345144 0.0320523 32 2021 22 6.65987e+06 367662 554710. 1919.41 0.84 0.111075 0.0977541 22834 132086 -1 1760 21 1196 1953 123809 29858 3.27785 3.27785 -112.011 -3.27785 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0265818 0.0232021 122 24 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 3.63 vpr 62.67 MiB -1 -1 0.16 17852 1 0.03 -1 -1 30388 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64176 32 32 319 257 1 198 87 17 17 289 -1 unnamed_device 24.1 MiB 0.10 1026 6999 1560 5055 384 62.7 MiB 0.08 0.00 4.76946 -136.875 -4.76946 4.76946 0.68 0.000661349 0.000614469 0.0264729 0.0246281 32 2525 24 6.65987e+06 291594 554710. 1919.41 0.86 0.107686 0.0941953 22834 132086 -1 2194 21 1650 2343 161923 39181 3.74371 3.74371 -130.276 -3.74371 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.0276597 0.0242159 133 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 3.95 vpr 62.76 MiB -1 -1 0.20 17900 1 0.03 -1 -1 30416 -1 -1 23 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64264 31 32 373 299 1 202 86 17 17 289 -1 unnamed_device 24.0 MiB 0.26 1149 15584 5177 7856 2551 62.8 MiB 0.17 0.00 4.99307 -147.134 -4.99307 4.99307 0.63 0.000733225 0.000681085 0.0626627 0.0581992 32 3017 27 6.65987e+06 291594 554710. 1919.41 0.91 0.154916 0.137378 22834 132086 -1 2440 19 1556 2391 183308 41041 3.88823 3.88823 -134.888 -3.88823 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.0281602 0.0245561 146 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 3.77 vpr 62.46 MiB -1 -1 0.20 17520 1 0.03 -1 -1 30364 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63964 32 32 387 315 1 189 85 17 17 289 -1 unnamed_device 23.9 MiB 0.18 1089 11245 3394 6770 1081 62.5 MiB 0.12 0.00 3.85398 -125.73 -3.85398 3.85398 0.64 0.00074555 0.000692384 0.0476985 0.044288 32 2804 22 6.65987e+06 266238 554710. 1919.41 0.90 0.139162 0.123142 22834 132086 -1 2365 24 1758 3197 231748 53117 3.42705 3.42705 -123.815 -3.42705 0 0 701300. 2426.64 0.18 0.06 0.08 -1 -1 0.18 0.0199393 0.0176189 135 77 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 3.58 vpr 61.92 MiB -1 -1 0.08 17536 1 0.03 -1 -1 30164 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63408 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 23.3 MiB 0.09 769 15493 4232 9363 1898 61.9 MiB 0.12 0.00 3.34618 -101.012 -3.34618 3.34618 0.67 0.000566877 0.00052757 0.0465615 0.0433176 30 1787 28 6.65987e+06 304272 526063. 1820.29 0.85 0.118613 0.104877 22546 126617 -1 1499 16 624 950 65432 14963 2.40711 2.40711 -90.0172 -2.40711 0 0 666494. 2306.21 0.18 0.05 0.11 -1 -1 0.18 0.0187807 0.0165045 97 23 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 3.79 vpr 62.24 MiB -1 -1 0.18 17772 1 0.03 -1 -1 30400 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63732 32 32 341 285 1 187 84 17 17 289 -1 unnamed_device 23.8 MiB 0.13 904 12345 3635 7531 1179 62.2 MiB 0.12 0.00 3.9733 -136.305 -3.9733 3.9733 0.68 0.000686313 0.000638485 0.0485171 0.0450938 28 2501 21 6.65987e+06 253560 500653. 1732.36 0.96 0.12837 0.113521 21970 115934 -1 2099 21 1493 2105 171768 38779 3.41097 3.41097 -132.539 -3.41097 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0280301 0.0244753 125 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.02 vpr 62.46 MiB -1 -1 0.20 17696 1 0.03 -1 -1 30292 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63964 32 32 387 293 1 234 92 17 17 289 -1 unnamed_device 24.3 MiB 0.19 1234 10649 2580 7238 831 62.5 MiB 0.13 0.00 5.507 -161.149 -5.507 5.507 0.63 0.000776215 0.000722064 0.0424831 0.0395106 32 3101 24 6.65987e+06 354984 554710. 1919.41 0.96 0.139119 0.122837 22834 132086 -1 2718 20 2087 3366 237751 56336 4.94897 4.94897 -152.371 -4.94897 0 0 701300. 2426.64 0.19 0.09 0.12 -1 -1 0.19 0.0313697 0.027588 168 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 3.66 vpr 62.44 MiB -1 -1 0.17 17512 1 0.03 -1 -1 30360 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63940 32 32 340 270 1 181 95 17 17 289 -1 unnamed_device 23.9 MiB 0.26 868 6791 1309 5265 217 62.4 MiB 0.08 0.00 4.3812 -128.187 -4.3812 4.3812 0.63 0.000689209 0.000640979 0.0241759 0.0224797 30 2033 20 6.65987e+06 393018 526063. 1820.29 0.79 0.105199 0.0921474 22546 126617 -1 1762 20 952 1627 85645 20883 2.86291 2.86291 -109.937 -2.86291 0 0 666494. 2306.21 0.18 0.06 0.12 -1 -1 0.18 0.0278792 0.0244527 133 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 3.69 vpr 62.04 MiB -1 -1 0.16 17528 1 0.03 -1 -1 30504 -1 -1 26 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63528 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 23.5 MiB 0.05 691 10228 2870 6479 879 62.0 MiB 0.09 0.00 3.33678 -100.638 -3.33678 3.33678 0.63 0.000590529 0.000549754 0.0330236 0.0307361 26 1949 23 6.65987e+06 329628 477104. 1650.88 1.02 0.104325 0.0915417 21682 110474 -1 1713 22 1236 2017 169711 40616 2.71771 2.71771 -101.15 -2.71771 0 0 585099. 2024.56 0.18 0.08 0.11 -1 -1 0.18 0.0253964 0.0220549 104 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 4.27 vpr 62.60 MiB -1 -1 0.21 17768 1 0.03 -1 -1 30268 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64104 32 32 431 332 1 235 89 17 17 289 -1 unnamed_device 24.2 MiB 0.32 1262 6623 1301 4680 642 62.6 MiB 0.10 0.00 6.41663 -184.149 -6.41663 6.41663 0.64 0.000711927 0.000655168 0.0324282 0.0301175 30 3204 25 6.65987e+06 316950 526063. 1820.29 1.25 0.13925 0.122015 22546 126617 -1 2549 21 1554 2249 144381 31759 4.98034 4.98034 -166.106 -4.98034 0 0 666494. 2306.21 0.18 0.08 0.11 -1 -1 0.18 0.0346893 0.0306326 168 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.01 vpr 62.37 MiB -1 -1 0.17 17868 1 0.03 -1 -1 30376 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63868 32 32 336 268 1 174 96 17 17 289 -1 unnamed_device 23.7 MiB 0.30 921 16959 4303 11211 1445 62.4 MiB 0.15 0.00 4.44175 -133.512 -4.44175 4.44175 0.71 0.000686753 0.000638961 0.0557759 0.0518798 26 2186 24 6.65987e+06 405696 477104. 1650.88 0.87 0.140859 0.125016 21682 110474 -1 1832 22 1113 1842 133668 30945 3.44211 3.44211 -120.204 -3.44211 0 0 585099. 2024.56 0.16 0.07 0.11 -1 -1 0.16 0.0295175 0.0257902 130 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 3.41 vpr 61.69 MiB -1 -1 0.14 17372 1 0.03 -1 -1 30504 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63172 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 23.1 MiB 0.05 793 12375 3802 6579 1994 61.7 MiB 0.10 0.00 3.21869 -96.935 -3.21869 3.21869 0.63 0.000539173 0.00050233 0.0364165 0.0339235 30 1766 15 6.65987e+06 291594 526063. 1820.29 0.81 0.100785 0.0890078 22546 126617 -1 1544 16 659 1107 76228 16685 2.40211 2.40211 -91.256 -2.40211 0 0 666494. 2306.21 0.18 0.05 0.12 -1 -1 0.18 0.0180659 0.0158245 100 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 3.86 vpr 62.64 MiB -1 -1 0.17 17900 1 0.04 -1 -1 30160 -1 -1 34 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64148 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 24.0 MiB 0.12 997 10448 2232 7093 1123 62.6 MiB 0.10 0.00 5.44618 -130.736 -5.44618 5.44618 0.64 0.000713311 0.000662719 0.0357604 0.0332467 30 2483 23 6.65987e+06 431052 526063. 1820.29 0.98 0.122749 0.10815 22546 126617 -1 1969 22 1092 2125 114624 28201 4.42602 4.42602 -126.911 -4.42602 0 0 666494. 2306.21 0.19 0.07 0.11 -1 -1 0.19 0.0304247 0.0266053 139 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 3.53 vpr 61.93 MiB -1 -1 0.17 17368 1 0.03 -1 -1 30160 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63420 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 23.3 MiB 0.09 805 9600 2394 6142 1064 61.9 MiB 0.09 0.00 3.39504 -104.25 -3.39504 3.39504 0.63 0.000556067 0.000517791 0.0311112 0.028948 30 1773 21 6.65987e+06 253560 526063. 1820.29 0.84 0.0972155 0.0855191 22546 126617 -1 1584 19 861 1470 82710 19276 2.61951 2.61951 -101.603 -2.61951 0 0 666494. 2306.21 0.18 0.05 0.11 -1 -1 0.18 0.0215431 0.0188395 104 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 4.00 vpr 62.08 MiB -1 -1 0.19 17312 1 0.03 -1 -1 30376 -1 -1 33 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63572 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 23.6 MiB 0.17 870 16295 4607 9720 1968 62.1 MiB 0.13 0.00 3.88231 -108.178 -3.88231 3.88231 0.73 0.000594076 0.000553148 0.0465786 0.0432809 26 1911 26 6.65987e+06 418374 477104. 1650.88 1.05 0.122299 0.107975 21682 110474 -1 1673 16 662 1152 76214 17335 2.61725 2.61725 -99.6073 -2.61725 0 0 585099. 2024.56 0.16 0.05 0.10 -1 -1 0.16 0.0199234 0.0174714 105 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 4.09 vpr 62.70 MiB -1 -1 0.16 17772 1 0.03 -1 -1 30468 -1 -1 24 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64208 29 32 355 287 1 198 85 17 17 289 -1 unnamed_device 24.0 MiB 0.24 1186 15151 5050 8219 1882 62.7 MiB 0.15 0.00 4.37661 -129.138 -4.37661 4.37661 0.65 0.000694275 0.000645305 0.0590446 0.0549076 28 2757 20 6.65987e+06 304272 500653. 1732.36 1.14 0.142319 0.1265 21970 115934 -1 2326 20 1558 2342 169332 38302 3.29317 3.29317 -116.352 -3.29317 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0280023 0.0244891 138 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 3.82 vpr 62.25 MiB -1 -1 0.10 17816 1 0.04 -1 -1 30352 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63748 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 23.6 MiB 0.20 884 5548 1103 3958 487 62.3 MiB 0.08 0.00 4.37207 -129.772 -4.37207 4.37207 0.66 0.000716914 0.000666741 0.0315796 0.0295335 32 2141 21 6.65987e+06 304272 554710. 1919.41 0.84 0.11508 0.101319 22834 132086 -1 1854 20 1282 1951 132992 31888 3.70757 3.70757 -130.332 -3.70757 0 0 701300. 2426.64 0.22 0.07 0.13 -1 -1 0.22 0.028411 0.0248028 130 54 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 3.82 vpr 62.34 MiB -1 -1 0.15 17796 1 0.03 -1 -1 30000 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63832 32 32 353 285 1 181 91 17 17 289 -1 unnamed_device 23.9 MiB 0.21 1040 15391 4199 8930 2262 62.3 MiB 0.19 0.00 4.54089 -136.701 -4.54089 4.54089 0.64 0.00145075 0.0013486 0.0645074 0.0597496 32 2316 24 6.65987e+06 342306 554710. 1919.41 0.84 0.151875 0.134859 22834 132086 -1 2093 18 1106 1900 131883 30619 3.67131 3.67131 -128.131 -3.67131 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0263382 0.0231392 132 51 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 3.56 vpr 61.91 MiB -1 -1 0.13 17532 1 0.03 -1 -1 30428 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63396 32 32 276 237 1 159 80 17 17 289 -1 unnamed_device 23.5 MiB 0.26 821 7476 1923 4958 595 61.9 MiB 0.04 0.00 4.66411 -131.468 -4.66411 4.66411 0.65 0.000268522 0.000247063 0.0133875 0.0123654 30 1841 19 6.65987e+06 202848 526063. 1820.29 0.76 0.0858076 0.0745663 22546 126617 -1 1650 18 685 936 56545 13237 3.08625 3.08625 -110.111 -3.08625 0 0 666494. 2306.21 0.20 0.05 0.12 -1 -1 0.20 0.0219255 0.0191949 103 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 3.61 vpr 62.37 MiB -1 -1 0.14 17808 1 0.04 -1 -1 30340 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63864 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 23.8 MiB 0.19 768 7736 1918 4969 849 62.4 MiB 0.08 0.00 3.69598 -115.422 -3.69598 3.69598 0.63 0.000638307 0.000592616 0.029934 0.0278047 30 1988 17 6.65987e+06 240882 526063. 1820.29 0.80 0.10127 0.0888009 22546 126617 -1 1656 20 991 1482 85033 20146 2.94771 2.94771 -106.549 -2.94771 0 0 666494. 2306.21 0.19 0.06 0.12 -1 -1 0.19 0.0255815 0.0223264 111 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 3.93 vpr 62.21 MiB -1 -1 0.19 17872 1 0.03 -1 -1 30396 -1 -1 33 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63704 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 23.6 MiB 0.18 822 9815 2052 6788 975 62.2 MiB 0.08 0.00 3.34001 -95.394 -3.34001 3.34001 0.63 0.000658534 0.000611671 0.0322888 0.0300252 28 2190 24 6.65987e+06 418374 500653. 1732.36 1.19 0.113535 0.0994473 21970 115934 -1 1852 15 1072 1834 124485 30633 2.76159 2.76159 -95.2544 -2.76159 0 0 612192. 2118.31 0.17 0.06 0.11 -1 -1 0.17 0.021531 0.0189502 123 57 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.19 vpr 62.07 MiB -1 -1 0.19 17256 1 0.03 -1 -1 30304 -1 -1 35 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63556 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 23.5 MiB 0.12 864 12623 3003 8699 921 62.1 MiB 0.10 0.00 4.17801 -101.983 -4.17801 4.17801 0.68 0.000593272 0.000552174 0.037397 0.0347458 26 2268 22 6.65987e+06 443730 477104. 1650.88 1.33 0.113139 0.0998039 21682 110474 -1 1937 20 1051 2141 185449 39228 3.47031 3.47031 -104.035 -3.47031 0 0 585099. 2024.56 0.17 0.07 0.11 -1 -1 0.17 0.0260453 0.0231838 115 27 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 3.71 vpr 61.99 MiB -1 -1 0.19 17836 1 0.03 -1 -1 30372 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63480 30 32 317 269 1 152 79 17 17 289 -1 unnamed_device 23.5 MiB 0.19 739 8360 2842 3913 1605 62.0 MiB 0.09 0.00 4.07397 -113.958 -4.07397 4.07397 0.64 0.000637661 0.000592599 0.0337256 0.0313844 32 2126 22 6.65987e+06 215526 554710. 1919.41 0.85 0.109921 0.0964776 22834 132086 -1 1845 20 1326 2233 191342 43480 3.06691 3.06691 -111.388 -3.06691 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.0251881 0.0219659 108 63 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.24 vpr 62.26 MiB -1 -1 0.19 17868 1 0.03 -1 -1 30052 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63752 32 32 335 282 1 184 84 17 17 289 -1 unnamed_device 23.7 MiB 0.19 854 4110 634 3368 108 62.3 MiB 0.06 0.00 3.78604 -125.597 -3.78604 3.78604 0.64 0.000674829 0.000627612 0.0173504 0.0161734 26 2393 26 6.65987e+06 253560 477104. 1650.88 1.29 0.107294 0.0935161 21682 110474 -1 1995 20 1275 1873 148398 35276 3.03351 3.03351 -122.493 -3.03351 0 0 585099. 2024.56 0.16 0.07 0.09 -1 -1 0.16 0.0268601 0.0233554 120 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 3.76 vpr 62.23 MiB -1 -1 0.19 17304 1 0.03 -1 -1 30420 -1 -1 32 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63720 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 23.6 MiB 0.09 847 5711 1070 3973 668 62.2 MiB 0.06 0.00 4.49904 -123.598 -4.49904 4.49904 0.64 0.000632663 0.000588321 0.0189213 0.0176025 30 2337 22 6.65987e+06 405696 526063. 1820.29 0.91 0.0944913 0.0824075 22546 126617 -1 1888 24 1168 2212 131068 32744 3.69257 3.69257 -118.678 -3.69257 0 0 666494. 2306.21 0.21 0.07 0.12 -1 -1 0.21 0.0288576 0.0251325 127 4 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.02 vpr 62.65 MiB -1 -1 0.17 17772 1 0.03 -1 -1 30380 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64152 32 32 350 275 1 209 86 17 17 289 -1 unnamed_device 23.9 MiB 0.25 1096 14639 4278 7910 2451 62.6 MiB 0.17 0.00 5.13815 -159.632 -5.13815 5.13815 0.66 0.000702481 0.000652804 0.0583243 0.0539059 32 3087 25 6.65987e+06 278916 554710. 1919.41 0.89 0.147101 0.130112 22834 132086 -1 2460 21 1795 2659 205239 47320 4.22151 4.22151 -146.209 -4.22151 0 0 701300. 2426.64 0.19 0.09 0.12 -1 -1 0.19 0.0294427 0.0257909 144 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.03 vpr 62.86 MiB -1 -1 0.18 17644 1 0.03 -1 -1 30244 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64372 32 32 385 308 1 185 96 17 17 289 -1 unnamed_device 24.2 MiB 0.28 1097 14331 4044 8499 1788 62.9 MiB 0.14 0.00 4.9662 -142.984 -4.9662 4.9662 0.64 0.000787381 0.00071603 0.0522839 0.0485364 28 2572 22 6.65987e+06 405696 500653. 1732.36 1.00 0.14137 0.125145 21970 115934 -1 2172 17 1073 1895 133148 29668 3.78603 3.78603 -131.107 -3.78603 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.026523 0.0233458 142 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 4.23 vpr 62.50 MiB -1 -1 0.19 17520 1 0.03 -1 -1 30236 -1 -1 37 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63996 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 24.0 MiB 0.25 1087 19136 6054 10420 2662 62.5 MiB 0.17 0.00 4.23232 -136.463 -4.23232 4.23232 0.64 0.000751658 0.000697935 0.0641519 0.0594746 28 2899 28 6.65987e+06 469086 500653. 1732.36 1.18 0.162816 0.144321 21970 115934 -1 2417 25 1675 2949 230320 50849 3.47891 3.47891 -134.175 -3.47891 0 0 612192. 2118.31 0.18 0.10 0.11 -1 -1 0.18 0.0351721 0.0306412 140 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 3.67 vpr 61.95 MiB -1 -1 0.18 17240 1 0.03 -1 -1 30148 -1 -1 19 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63440 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 23.6 MiB 0.19 753 14081 4985 6810 2286 62.0 MiB 0.12 0.00 3.61906 -107.365 -3.61906 3.61906 0.63 0.000583674 0.000543015 0.049158 0.0456631 32 1967 21 6.65987e+06 240882 554710. 1919.41 0.80 0.117929 0.104482 22834 132086 -1 1733 22 1136 1898 162835 35973 2.77265 2.77265 -98.3726 -2.77265 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0248239 0.0215885 105 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 3.88 vpr 62.60 MiB -1 -1 0.20 17692 1 0.03 -1 -1 30464 -1 -1 21 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64100 30 32 375 299 1 187 83 17 17 289 -1 unnamed_device 23.9 MiB 0.23 993 13583 3880 7603 2100 62.6 MiB 0.14 0.00 4.75724 -141.541 -4.75724 4.75724 0.64 0.000728366 0.000676059 0.0571087 0.0529817 32 2250 20 6.65987e+06 266238 554710. 1919.41 0.87 0.142183 0.126089 22834 132086 -1 2011 20 1613 2556 171997 40019 3.57237 3.57237 -133.43 -3.57237 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.028961 0.0253585 137 63 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 4.06 vpr 62.54 MiB -1 -1 0.19 17504 1 0.04 -1 -1 30300 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64036 32 32 340 270 1 200 88 17 17 289 -1 unnamed_device 23.9 MiB 0.26 984 6328 1212 4906 210 62.5 MiB 0.08 0.00 5.08874 -146.537 -5.08874 5.08874 0.65 0.000686748 0.000638265 0.024705 0.022972 30 2772 46 6.65987e+06 304272 526063. 1820.29 1.07 0.133684 0.116338 22546 126617 -1 2211 20 1228 1907 136054 30889 3.73165 3.73165 -133.157 -3.73165 0 0 666494. 2306.21 0.23 0.07 0.11 -1 -1 0.23 0.0283532 0.0249038 138 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 3.94 vpr 62.57 MiB -1 -1 0.16 17796 1 0.03 -1 -1 30380 -1 -1 28 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64076 31 32 340 275 1 195 91 17 17 289 -1 unnamed_device 24.1 MiB 0.33 1115 15391 3929 9868 1594 62.6 MiB 0.16 0.00 5.2191 -153.261 -5.2191 5.2191 0.64 0.000757881 0.000698838 0.0496936 0.0456658 32 2703 22 6.65987e+06 354984 554710. 1919.41 0.86 0.132016 0.116288 22834 132086 -1 2398 21 1413 2244 171506 39576 4.49237 4.49237 -149.522 -4.49237 0 0 701300. 2426.64 0.21 0.08 0.12 -1 -1 0.21 0.0308503 0.027255 146 47 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.52 vpr 62.46 MiB -1 -1 0.20 17580 1 0.03 -1 -1 30124 -1 -1 31 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63964 30 32 377 310 1 177 93 17 17 289 -1 unnamed_device 24.0 MiB 1.00 822 9963 2514 6047 1402 62.5 MiB 0.11 0.00 4.35758 -125.649 -4.35758 4.35758 0.64 0.000724418 0.00067348 0.037253 0.0346508 30 1914 20 6.65987e+06 393018 526063. 1820.29 0.82 0.122579 0.10778 22546 126617 -1 1609 18 981 1672 88324 22522 2.81791 2.81791 -106.668 -2.81791 0 0 666494. 2306.21 0.19 0.06 0.11 -1 -1 0.19 0.0268726 0.0235811 133 83 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 3.96 vpr 62.47 MiB -1 -1 0.17 17812 1 0.03 -1 -1 30336 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63968 32 32 365 294 1 185 84 17 17 289 -1 unnamed_device 24.0 MiB 0.19 1042 15822 5317 8613 1892 62.5 MiB 0.16 0.00 4.76549 -137.992 -4.76549 4.76549 0.67 0.000725994 0.000674637 0.0656753 0.0610691 30 2491 20 6.65987e+06 253560 526063. 1820.29 0.91 0.143795 0.128346 22546 126617 -1 2119 19 1152 2004 130735 29222 3.60711 3.60711 -129.356 -3.60711 0 0 666494. 2306.21 0.28 0.06 0.11 -1 -1 0.28 0.0249352 0.0222199 133 57 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.30 vpr 62.46 MiB -1 -1 0.17 17812 1 0.03 -1 -1 30244 -1 -1 29 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63956 29 32 378 310 1 177 90 17 17 289 -1 unnamed_device 24.0 MiB 0.33 913 8934 2134 6274 526 62.5 MiB 0.10 0.00 4.51892 -126.294 -4.51892 4.51892 0.63 0.000735969 0.000683983 0.034832 0.0323781 26 2602 20 6.65987e+06 367662 477104. 1650.88 1.36 0.120772 0.106048 21682 110474 -1 2145 20 1380 2178 169956 39900 3.37797 3.37797 -123.439 -3.37797 0 0 585099. 2024.56 0.16 0.08 0.07 -1 -1 0.16 0.0285932 0.0249585 131 85 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 3.54 vpr 61.84 MiB -1 -1 0.15 17188 1 0.03 -1 -1 30304 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63320 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 23.3 MiB 0.09 724 12416 3530 7132 1754 61.8 MiB 0.11 0.00 3.74649 -112.139 -3.74649 3.74649 0.63 0.00055351 0.000514366 0.0426826 0.0396684 32 1726 22 6.65987e+06 190170 554710. 1919.41 0.79 0.110012 0.0974881 22834 132086 -1 1519 18 897 1350 107597 25022 2.71465 2.71465 -102.628 -2.71465 0 0 701300. 2426.64 0.19 0.06 0.12 -1 -1 0.19 0.0206079 0.0180472 96 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 3.86 vpr 62.58 MiB -1 -1 0.15 17800 1 0.03 -1 -1 30232 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64080 32 32 373 302 1 176 94 17 17 289 -1 unnamed_device 24.2 MiB 0.25 989 15430 4612 8155 2663 62.6 MiB 0.14 0.00 4.41154 -133.367 -4.41154 4.41154 0.64 0.000735954 0.000683715 0.0550803 0.0511072 32 2429 22 6.65987e+06 380340 554710. 1919.41 0.89 0.143227 0.126745 22834 132086 -1 2063 21 1487 2519 184259 42773 3.84791 3.84791 -129.508 -3.84791 0 0 701300. 2426.64 0.19 0.08 0.11 -1 -1 0.19 0.029874 0.0261111 130 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 3.88 vpr 62.51 MiB -1 -1 0.16 17504 1 0.03 -1 -1 30416 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64012 32 32 397 314 1 196 84 17 17 289 -1 unnamed_device 24.0 MiB 0.25 902 8685 2007 6399 279 62.5 MiB 0.11 0.00 4.76517 -143.598 -4.76517 4.76517 0.63 0.000770538 0.000715214 0.0393245 0.036504 32 2497 24 6.65987e+06 253560 554710. 1919.41 0.89 0.134928 0.118882 22834 132086 -1 2183 21 1883 2973 209659 50687 3.93397 3.93397 -140.255 -3.93397 0 0 701300. 2426.64 0.20 0.09 0.12 -1 -1 0.20 0.0319837 0.0280189 147 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.14 vpr 62.12 MiB -1 -1 0.17 17528 1 0.03 -1 -1 30152 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63612 32 32 269 231 1 170 83 17 17 289 -1 unnamed_device 23.6 MiB 0.20 946 12143 3182 7420 1541 62.1 MiB 0.11 0.00 4.15372 -120.605 -4.15372 4.15372 0.63 0.000580299 0.000539256 0.041136 0.0382046 26 2395 43 6.65987e+06 240882 477104. 1650.88 1.33 0.129117 0.113268 21682 110474 -1 2067 28 1364 1766 225074 80275 3.06105 3.06105 -114.874 -3.06105 0 0 585099. 2024.56 0.16 0.10 0.10 -1 -1 0.16 0.0302092 0.0261948 111 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 3.38 vpr 61.84 MiB -1 -1 0.17 17268 1 0.03 -1 -1 30436 -1 -1 21 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63320 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 23.1 MiB 0.07 732 8685 2467 5468 750 61.8 MiB 0.08 0.00 3.75938 -108.757 -3.75938 3.75938 0.64 0.000552648 0.000514651 0.0279605 0.0260058 30 1655 19 6.65987e+06 266238 526063. 1820.29 0.77 0.0922423 0.0810629 22546 126617 -1 1544 21 996 1668 101042 23801 2.51311 2.51311 -96.4545 -2.51311 0 0 666494. 2306.21 0.18 0.06 0.11 -1 -1 0.18 0.0228562 0.0199226 106 4 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.06 vpr 62.57 MiB -1 -1 0.19 17636 1 0.03 -1 -1 30424 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64076 32 32 348 274 1 211 89 17 17 289 -1 unnamed_device 23.9 MiB 0.14 1015 15533 4784 8284 2465 62.6 MiB 0.15 0.00 4.92983 -152.714 -4.92983 4.92983 0.63 0.000701762 0.000652268 0.0576584 0.0536286 28 3244 30 6.65987e+06 316950 500653. 1732.36 1.12 0.151321 0.13407 21970 115934 -1 2307 22 1749 2275 179013 42202 4.61143 4.61143 -159.431 -4.61143 0 0 612192. 2118.31 0.17 0.08 0.10 -1 -1 0.17 0.0302505 0.0264273 144 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 4.80 vpr 62.39 MiB -1 -1 0.20 17856 1 0.03 -1 -1 30032 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63884 32 32 356 289 1 202 92 17 17 289 -1 unnamed_device 23.9 MiB 0.50 1191 12305 3278 8039 988 62.4 MiB 0.12 0.00 4.93544 -150.743 -4.93544 4.93544 0.64 0.000719859 0.000662811 0.0456271 0.0424112 26 3110 25 6.65987e+06 354984 477104. 1650.88 1.32 0.133465 0.118765 21682 110474 -1 2621 25 1874 2959 275210 79170 4.19577 4.19577 -144.583 -4.19577 0 0 585099. 2024.56 0.23 0.12 0.11 -1 -1 0.23 0.0355072 0.0311193 151 56 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 5.21 vpr 62.61 MiB -1 -1 0.15 17532 1 0.03 -1 -1 30152 -1 -1 36 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64116 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 23.8 MiB 0.06 1117 11004 2566 7834 604 62.6 MiB 0.11 0.00 5.28255 -141.369 -5.28255 5.28255 0.64 0.000726403 0.000675156 0.0368641 0.034207 26 3370 49 6.65987e+06 456408 477104. 1650.88 2.32 0.159731 0.139848 21682 110474 -1 2670 20 1658 2923 254838 56451 4.40303 4.40303 -143.411 -4.40303 0 0 585099. 2024.56 0.16 0.09 0.10 -1 -1 0.16 0.0292646 0.0256987 153 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 3.93 vpr 62.13 MiB -1 -1 0.15 17576 1 0.03 -1 -1 30068 -1 -1 31 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63624 30 32 316 264 1 162 93 17 17 289 -1 unnamed_device 23.6 MiB 0.24 750 7863 1674 5072 1117 62.1 MiB 0.08 0.00 3.28175 -94.6726 -3.28175 3.28175 0.64 0.000642724 0.00059755 0.0261842 0.0243401 30 1756 22 6.65987e+06 393018 526063. 1820.29 0.85 0.102459 0.0896681 22546 126617 -1 1506 19 933 1598 77376 19176 2.62125 2.62125 -90.575 -2.62125 0 0 666494. 2306.21 0.22 0.06 0.11 -1 -1 0.22 0.0248834 0.021794 120 52 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 3.30 vpr 62.00 MiB -1 -1 0.15 17484 1 0.03 -1 -1 30600 -1 -1 21 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63484 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 23.4 MiB 0.05 638 11948 3525 6694 1729 62.0 MiB 0.09 0.00 3.4543 -94.1654 -3.4543 3.4543 0.64 0.000549818 0.00051219 0.0399699 0.0372221 28 1599 22 6.65987e+06 266238 500653. 1732.36 0.75 0.105436 0.0930724 21970 115934 -1 1335 20 856 1254 87240 20842 2.77577 2.77577 -90.3712 -2.77577 0 0 612192. 2118.31 0.17 0.05 0.10 -1 -1 0.17 0.0219562 0.0190642 97 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 4.42 vpr 62.68 MiB -1 -1 0.20 17636 1 0.03 -1 -1 30336 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 24.4 MiB 0.17 1319 10542 2869 6884 789 62.7 MiB 0.12 0.00 4.22384 -138.261 -4.22384 4.22384 0.71 0.00079704 0.00074469 0.0451586 0.0419595 28 3615 24 6.65987e+06 329628 500653. 1732.36 1.41 0.146648 0.129144 21970 115934 -1 3006 22 1865 2973 215904 49606 3.64757 3.64757 -137.164 -3.64757 0 0 612192. 2118.31 0.17 0.09 0.10 -1 -1 0.17 0.0346968 0.0303347 170 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.51 vpr 62.44 MiB -1 -1 0.19 17560 1 0.03 -1 -1 30288 -1 -1 21 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63936 31 32 365 296 1 194 84 17 17 289 -1 unnamed_device 23.9 MiB 0.93 967 9417 2580 6478 359 62.4 MiB 0.10 0.00 5.3126 -152.671 -5.3126 5.3126 0.64 0.000716782 0.000666096 0.039088 0.0363086 30 2413 22 6.65987e+06 266238 526063. 1820.29 0.87 0.124497 0.109619 22546 126617 -1 1915 21 1038 1600 92361 21367 4.28602 4.28602 -139.252 -4.28602 0 0 666494. 2306.21 0.18 0.06 0.11 -1 -1 0.18 0.0296175 0.0259426 150 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 4.29 vpr 62.26 MiB -1 -1 0.19 17900 1 0.03 -1 -1 30320 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63752 32 32 331 280 1 175 82 17 17 289 -1 unnamed_device 23.7 MiB 0.80 910 12186 3808 6300 2078 62.3 MiB 0.12 0.00 4.17204 -128.915 -4.17204 4.17204 0.63 0.000661136 0.000614265 0.0476864 0.0443468 30 2037 22 6.65987e+06 228204 526063. 1820.29 0.80 0.126669 0.112159 22546 126617 -1 1758 18 928 1406 83079 19030 3.13577 3.13577 -122.213 -3.13577 0 0 666494. 2306.21 0.18 0.06 0.11 -1 -1 0.18 0.0245689 0.0215455 126 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 3.57 vpr 62.26 MiB -1 -1 0.19 17796 1 0.03 -1 -1 30440 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63752 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 23.7 MiB 0.10 997 13726 4134 8495 1097 62.3 MiB 0.13 0.00 4.87399 -127.071 -4.87399 4.87399 0.64 0.000657619 0.000608055 0.0457822 0.0424462 30 2100 21 6.65987e+06 380340 526063. 1820.29 0.80 0.125275 0.110751 22546 126617 -1 1834 17 818 1333 78781 18665 3.24665 3.24665 -110.551 -3.24665 0 0 666494. 2306.21 0.19 0.05 0.11 -1 -1 0.19 0.0238182 0.0209644 126 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.39 vpr 62.61 MiB -1 -1 0.20 17576 1 0.03 -1 -1 30156 -1 -1 33 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64108 31 32 373 294 1 196 96 17 17 289 -1 unnamed_device 24.0 MiB 0.24 1094 9732 2284 6801 647 62.6 MiB 0.11 0.00 4.71929 -136.272 -4.71929 4.71929 0.64 0.000737997 0.000685994 0.0354473 0.0329888 26 2676 39 6.65987e+06 418374 477104. 1650.88 1.40 0.142241 0.124542 21682 110474 -1 2326 19 1471 2455 178546 41726 3.76783 3.76783 -132.157 -3.76783 0 0 585099. 2024.56 0.16 0.08 0.10 -1 -1 0.16 0.0282117 0.0247189 144 50 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 3.63 vpr 62.55 MiB -1 -1 0.18 17636 1 0.03 -1 -1 30124 -1 -1 31 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64056 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 23.9 MiB 0.15 968 12693 2914 8596 1183 62.6 MiB 0.13 0.00 3.66981 -110.801 -3.66981 3.66981 0.64 0.000662621 0.000615604 0.0418715 0.0388767 32 2261 19 6.65987e+06 393018 554710. 1919.41 0.83 0.117578 0.103737 22834 132086 -1 1967 20 1164 2022 141932 31880 2.86191 2.86191 -102.093 -2.86191 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0261012 0.0228294 124 51 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 3.96 vpr 62.82 MiB -1 -1 0.17 17788 1 0.03 -1 -1 30296 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64328 32 32 350 275 1 214 88 17 17 289 -1 unnamed_device 24.1 MiB 0.16 1162 15493 5220 7522 2751 62.8 MiB 0.15 0.00 4.81692 -150.127 -4.81692 4.81692 0.70 0.000705196 0.000655497 0.058788 0.0546448 32 3110 26 6.65987e+06 304272 554710. 1919.41 0.95 0.147421 0.130952 22834 132086 -1 2544 24 2121 3234 262327 57912 4.17571 4.17571 -147.371 -4.17571 0 0 701300. 2426.64 0.19 0.11 0.14 -1 -1 0.19 0.0317431 0.0280114 147 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 4.49 vpr 62.55 MiB -1 -1 0.19 17644 1 0.03 -1 -1 30184 -1 -1 34 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64052 32 32 386 307 1 195 98 17 17 289 -1 unnamed_device 23.9 MiB 0.30 1013 10448 2457 7443 548 62.6 MiB 0.12 0.00 4.61703 -140.056 -4.61703 4.61703 0.66 0.000758659 0.000704848 0.0376082 0.0349359 26 2952 23 6.65987e+06 431052 477104. 1650.88 1.40 0.131853 0.115839 21682 110474 -1 2326 22 1350 2117 162283 37175 3.41651 3.41651 -129.627 -3.41651 0 0 585099. 2024.56 0.23 0.07 0.11 -1 -1 0.23 0.0280804 0.0248476 143 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 3.49 vpr 61.92 MiB -1 -1 0.18 17588 1 0.03 -1 -1 30356 -1 -1 17 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63404 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 23.3 MiB 0.12 669 12030 4965 6160 905 61.9 MiB 0.10 0.00 3.76255 -110.557 -3.76255 3.76255 0.63 0.000573335 0.000533235 0.0435472 0.040524 32 1475 20 6.65987e+06 215526 554710. 1919.41 0.77 0.11101 0.098317 22834 132086 -1 1382 19 921 1285 116578 25787 2.80197 2.80197 -99.1743 -2.80197 0 0 701300. 2426.64 0.19 0.06 0.12 -1 -1 0.19 0.0225579 0.0197286 92 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 3.72 vpr 62.11 MiB -1 -1 0.17 17532 1 0.03 -1 -1 30412 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63600 32 32 310 266 1 175 84 17 17 289 -1 unnamed_device 23.5 MiB 0.25 897 13992 5018 7267 1707 62.1 MiB 0.13 0.00 3.93547 -124.701 -3.93547 3.93547 0.64 0.000633091 0.00058737 0.0508198 0.0471816 28 2149 19 6.65987e+06 253560 500653. 1732.36 0.81 0.124241 0.110116 21970 115934 -1 1871 22 1402 1872 148824 33300 3.11557 3.11557 -115.482 -3.11557 0 0 612192. 2118.31 0.17 0.07 0.10 -1 -1 0.17 0.0277561 0.0243395 116 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 3.47 vpr 62.45 MiB -1 -1 0.13 17576 1 0.03 -1 -1 30460 -1 -1 37 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63948 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 23.9 MiB 0.08 945 14020 3581 8011 2428 62.4 MiB 0.12 0.00 4.66818 -124.475 -4.66818 4.66818 0.63 0.000668964 0.000621666 0.0427353 0.0397137 32 2224 21 6.65987e+06 469086 554710. 1919.41 0.83 0.121738 0.107489 22834 132086 -1 1970 23 1500 2561 184931 41768 3.57631 3.57631 -118.353 -3.57631 0 0 701300. 2426.64 0.23 0.08 0.12 -1 -1 0.23 0.0297765 0.0259755 129 33 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.14 vpr 61.83 MiB -1 -1 0.18 17528 1 0.03 -1 -1 30452 -1 -1 21 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63312 29 32 262 224 1 168 82 17 17 289 -1 unnamed_device 23.4 MiB 0.19 809 8448 1963 6049 436 61.8 MiB 0.08 0.00 4.16472 -111.492 -4.16472 4.16472 0.64 0.000564912 0.000525789 0.0286936 0.026731 26 2404 34 6.65987e+06 266238 477104. 1650.88 1.31 0.107803 0.0942981 21682 110474 -1 1953 21 1368 1776 170413 41923 3.16857 3.16857 -106.139 -3.16857 0 0 585099. 2024.56 0.16 0.07 0.10 -1 -1 0.16 0.023463 0.0204317 110 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 3.70 vpr 62.02 MiB -1 -1 0.14 17532 1 0.03 -1 -1 30060 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63512 32 32 278 238 1 149 80 17 17 289 -1 unnamed_device 23.6 MiB 0.20 618 8852 1890 6315 647 62.0 MiB 0.08 0.00 3.69503 -110.464 -3.69503 3.69503 0.64 0.000597692 0.000556373 0.0327667 0.0305045 32 2025 24 6.65987e+06 202848 554710. 1919.41 0.86 0.106369 0.0935412 22834 132086 -1 1568 21 1327 2272 160443 39596 2.88191 2.88191 -106.381 -2.88191 0 0 701300. 2426.64 0.26 0.07 0.12 -1 -1 0.26 0.0215032 0.0189514 109 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 3.87 vpr 62.69 MiB -1 -1 0.19 17772 1 0.03 -1 -1 30144 -1 -1 35 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64192 31 32 373 300 1 181 98 17 17 289 -1 unnamed_device 24.1 MiB 0.23 938 16973 4485 9905 2583 62.7 MiB 0.15 0.00 4.16177 -122.328 -4.16177 4.16177 0.67 0.000727514 0.000676489 0.0576836 0.0535794 32 2086 22 6.65987e+06 443730 554710. 1919.41 0.83 0.144947 0.128612 22834 132086 -1 1855 19 1333 2075 132666 31802 2.96496 2.96496 -111.053 -2.96496 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0280438 0.0246174 135 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 3.61 vpr 62.07 MiB -1 -1 0.20 17588 1 0.03 -1 -1 30328 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63564 31 32 265 230 1 162 82 17 17 289 -1 unnamed_device 23.6 MiB 0.14 818 9872 2327 5876 1669 62.1 MiB 0.09 0.00 3.9535 -119.787 -3.9535 3.9535 0.63 0.000573753 0.00053417 0.034367 0.0319772 28 2135 19 6.65987e+06 240882 500653. 1732.36 0.84 0.101001 0.0889808 21970 115934 -1 1848 18 1008 1481 115769 26162 3.05777 3.05777 -111.219 -3.05777 0 0 612192. 2118.31 0.17 0.06 0.10 -1 -1 0.17 0.0211817 0.018517 108 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 5.11 vpr 62.29 MiB -1 -1 0.15 17664 1 0.03 -1 -1 30040 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63788 32 32 349 286 1 171 95 17 17 289 -1 unnamed_device 23.6 MiB 0.25 854 7007 1324 4687 996 62.3 MiB 0.07 0.00 3.67932 -112.828 -3.67932 3.67932 0.63 0.000695505 0.000645676 0.0250294 0.0232507 26 2878 47 6.65987e+06 393018 477104. 1650.88 2.20 0.134019 0.116399 21682 110474 -1 2129 16 1129 1978 190866 57352 3.04511 3.04511 -112.46 -3.04511 0 0 585099. 2024.56 0.17 0.09 0.13 -1 -1 0.17 0.0278106 0.0244426 126 57 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 4.40 vpr 62.57 MiB -1 -1 0.19 17812 1 0.02 -1 -1 30452 -1 -1 32 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64072 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 24.1 MiB 0.77 956 13055 3385 8531 1139 62.6 MiB 0.13 0.00 4.2257 -136.613 -4.2257 4.2257 0.67 0.000741568 0.000687713 0.0476881 0.0442345 32 2142 21 6.65987e+06 405696 554710. 1919.41 0.90 0.136023 0.120407 22834 132086 -1 1950 20 1403 1904 129517 30385 3.45123 3.45123 -132.174 -3.45123 0 0 701300. 2426.64 0.19 0.07 0.12 -1 -1 0.19 0.0296691 0.0259779 138 91 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 3.70 vpr 62.10 MiB -1 -1 0.15 17400 1 0.03 -1 -1 30300 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63592 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 23.7 MiB 0.28 770 8481 2112 5900 469 62.1 MiB 0.08 0.00 3.26384 -102.093 -3.26384 3.26384 0.68 0.000626935 0.000582822 0.0324408 0.0301745 30 1814 29 6.65987e+06 215526 526063. 1820.29 0.82 0.10783 0.0946756 22546 126617 -1 1464 17 761 1208 72569 16667 2.62051 2.62051 -96.4977 -2.62051 0 0 666494. 2306.21 0.19 0.05 0.11 -1 -1 0.19 0.0219261 0.0192926 104 57 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 3.62 vpr 62.30 MiB -1 -1 0.15 17252 1 0.03 -1 -1 30292 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63800 32 32 290 244 1 175 83 17 17 289 -1 unnamed_device 23.7 MiB 0.16 877 7823 1725 5526 572 62.3 MiB 0.08 0.00 4.21052 -129.412 -4.21052 4.21052 0.67 0.000615584 0.000573503 0.0288106 0.0268309 28 2168 19 6.65987e+06 240882 500653. 1732.36 0.82 0.099488 0.0874187 21970 115934 -1 2030 21 1304 1913 146005 33733 3.07585 3.07585 -118.205 -3.07585 0 0 612192. 2118.31 0.17 0.07 0.11 -1 -1 0.17 0.0254452 0.0222095 115 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 3.97 vpr 62.42 MiB -1 -1 0.18 17504 1 0.03 -1 -1 30440 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63916 32 32 318 257 1 194 86 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1033 8591 2028 5962 601 62.4 MiB 0.10 0.00 4.5425 -136.752 -4.5425 4.5425 0.65 0.000665216 0.000619046 0.0357455 0.0330954 26 2706 26 6.65987e+06 278916 477104. 1650.88 1.15 0.119933 0.105464 21682 110474 -1 2297 18 1566 2196 158849 37891 3.71871 3.71871 -131.764 -3.71871 0 0 585099. 2024.56 0.16 0.07 0.11 -1 -1 0.16 0.0246855 0.0216694 130 30 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 3.71 vpr 62.39 MiB -1 -1 0.16 17900 1 0.03 -1 -1 30128 -1 -1 28 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63884 29 32 324 268 1 168 89 17 17 289 -1 unnamed_device 23.7 MiB 0.36 878 11177 3323 6945 909 62.4 MiB 0.11 0.00 4.7062 -118.142 -4.7062 4.7062 0.67 0.000653816 0.000608378 0.0392962 0.0365428 30 1916 16 6.65987e+06 354984 526063. 1820.29 0.76 0.111256 0.0983502 22546 126617 -1 1653 15 665 1217 61911 15512 3.29783 3.29783 -104.746 -3.29783 0 0 666494. 2306.21 0.18 0.05 0.11 -1 -1 0.18 0.0211737 0.018649 121 55 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 3.84 vpr 62.37 MiB -1 -1 0.15 17640 1 0.03 -1 -1 30452 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63864 32 32 393 312 1 213 87 17 17 289 -1 unnamed_device 24.2 MiB 0.24 1007 9495 2407 6691 397 62.4 MiB 0.11 0.00 5.16517 -161.462 -5.16517 5.16517 0.63 0.000761924 0.000707605 0.040445 0.037587 32 2658 22 6.65987e+06 291594 554710. 1919.41 0.88 0.133454 0.117678 22834 132086 -1 2234 22 1802 2507 169937 41350 3.82117 3.82117 -143.64 -3.82117 0 0 701300. 2426.64 0.19 0.08 0.12 -1 -1 0.19 0.0326736 0.0285887 153 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 3.46 vpr 62.00 MiB -1 -1 0.19 17080 1 0.03 -1 -1 30392 -1 -1 18 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63492 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 23.4 MiB 0.09 794 9181 2203 6150 828 62.0 MiB 0.08 0.00 3.53041 -99.5418 -3.53041 3.53041 0.69 0.000536862 0.000500345 0.0299814 0.0279442 32 1764 19 6.65987e+06 228204 554710. 1919.41 0.75 0.0916865 0.0807126 22834 132086 -1 1564 17 692 1110 76497 18243 2.71371 2.71371 -96.112 -2.71371 0 0 701300. 2426.64 0.19 0.05 0.12 -1 -1 0.19 0.0196275 0.0171774 96 4 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 3.94 vpr 62.58 MiB -1 -1 0.18 17796 1 0.04 -1 -1 30280 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64080 32 32 412 334 1 190 97 17 17 289 -1 unnamed_device 24.0 MiB 0.39 947 7201 1402 5250 549 62.6 MiB 0.08 0.00 4.0805 -134.669 -4.0805 4.0805 0.63 0.000733459 0.000676153 0.0278018 0.0257911 32 2497 24 6.65987e+06 418374 554710. 1919.41 0.85 0.121886 0.106517 22834 132086 -1 2184 22 1638 2272 171887 40530 3.68557 3.68557 -133.353 -3.68557 0 0 701300. 2426.64 0.20 0.08 0.11 -1 -1 0.20 0.0332167 0.0290265 144 90 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 3.77 vpr 62.37 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30172 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63864 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 23.8 MiB 0.22 692 9368 2494 5834 1040 62.4 MiB 0.10 0.00 3.5233 -119.857 -3.5233 3.5233 0.64 0.000714689 0.000663149 0.0412209 0.0382782 32 1730 23 6.65987e+06 202848 554710. 1919.41 0.83 0.127899 0.112634 22834 132086 -1 1559 23 1459 2083 162526 37135 2.97497 2.97497 -117.069 -2.97497 0 0 701300. 2426.64 0.19 0.08 0.13 -1 -1 0.19 0.0318821 0.0278196 115 96 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 4.03 vpr 62.37 MiB -1 -1 0.18 17644 1 0.03 -1 -1 30284 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63864 32 32 360 293 1 179 95 17 17 289 -1 unnamed_device 23.7 MiB 0.35 963 9383 2362 6118 903 62.4 MiB 0.10 0.00 4.19332 -127.565 -4.19332 4.19332 0.65 0.000711843 0.000661739 0.0340217 0.0316241 32 2293 25 6.65987e+06 393018 554710. 1919.41 0.80 0.110085 0.0970461 22834 132086 -1 1851 21 1044 1529 88698 22539 3.09931 3.09931 -109.457 -3.09931 0 0 701300. 2426.64 0.28 0.07 0.13 -1 -1 0.28 0.0300339 0.0262307 130 60 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 5.36 vpr 62.49 MiB -1 -1 0.19 17796 1 0.03 -1 -1 30360 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63992 32 32 396 299 1 236 89 17 17 289 -1 unnamed_device 24.3 MiB 0.37 1323 16127 4193 10390 1544 62.5 MiB 0.21 0.00 6.49946 -194.782 -6.49946 6.49946 0.79 0.000774499 0.000718908 0.075628 0.0704876 28 3840 36 6.65987e+06 316950 500653. 1732.36 2.00 0.189538 0.168566 21970 115934 -1 2990 21 1959 2728 227624 50018 5.35994 5.35994 -179.572 -5.35994 0 0 612192. 2118.31 0.17 0.09 0.10 -1 -1 0.17 0.0328751 0.0288628 168 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 3.53 vpr 61.85 MiB -1 -1 0.14 17532 1 0.03 -1 -1 30108 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63336 30 32 224 207 1 137 79 17 17 289 -1 unnamed_device 23.3 MiB 0.16 701 10895 2966 6376 1553 61.9 MiB 0.09 0.00 3.19181 -99.2246 -3.19181 3.19181 0.67 0.000504402 0.0004699 0.034222 0.0318637 32 1552 19 6.65987e+06 215526 554710. 1919.41 0.75 0.0918316 0.0810976 22834 132086 -1 1399 21 714 923 72542 17195 2.17571 2.17571 -87.784 -2.17571 0 0 701300. 2426.64 0.19 0.05 0.12 -1 -1 0.19 0.0207805 0.0180709 86 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 3.63 vpr 62.00 MiB -1 -1 0.18 17532 1 0.03 -1 -1 30100 -1 -1 16 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63492 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 23.4 MiB 0.11 559 11200 4645 5368 1187 62.0 MiB 0.11 0.00 4.03052 -111.683 -4.03052 4.03052 0.64 0.000606082 0.000563109 0.0523247 0.0486096 32 1719 26 6.65987e+06 202848 554710. 1919.41 0.89 0.123319 0.10939 22834 132086 -1 1381 33 1516 2373 220958 51242 2.94085 2.94085 -104.913 -2.94085 0 0 701300. 2426.64 0.19 0.10 0.12 -1 -1 0.19 0.0355558 0.0306064 92 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 3.61 vpr 62.05 MiB -1 -1 0.18 17388 1 0.03 -1 -1 29952 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63544 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 23.6 MiB 0.06 882 13663 3967 7775 1921 62.1 MiB 0.13 0.00 3.38183 -111.047 -3.38183 3.38183 0.69 0.000624881 0.000575782 0.047758 0.0444007 32 2232 25 6.65987e+06 266238 554710. 1919.41 0.84 0.125362 0.110872 22834 132086 -1 1983 21 1389 2472 214229 47394 2.78771 2.78771 -108.095 -2.78771 0 0 701300. 2426.64 0.19 0.08 0.08 -1 -1 0.19 0.0257419 0.0224335 115 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 4.03 vpr 61.66 MiB -1 -1 0.10 17484 1 0.02 -1 -1 30352 -1 -1 27 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63144 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 23.1 MiB 0.08 461 9234 2985 4025 2224 61.7 MiB 0.06 0.00 3.09981 -73.1644 -3.09981 3.09981 0.68 0.000481448 0.000447166 0.0257622 0.0239461 38 1143 33 6.65987e+06 342306 638502. 2209.35 1.35 0.127073 0.109894 23986 155662 -1 888 17 513 851 43957 11516 2.24185 2.24185 -63.6531 -2.24185 0 0 851065. 2944.86 0.24 0.04 0.13 -1 -1 0.24 0.017045 0.0149281 89 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 3.89 vpr 62.73 MiB -1 -1 0.18 17664 1 0.03 -1 -1 30348 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64236 32 32 376 307 1 185 84 17 17 289 -1 unnamed_device 24.1 MiB 0.18 1082 15273 5386 7964 1923 62.7 MiB 0.16 0.00 3.97418 -128.905 -3.97418 3.97418 0.64 0.000739123 0.000686993 0.0647446 0.060132 32 2803 23 6.65987e+06 253560 554710. 1919.41 0.89 0.15251 0.135424 22834 132086 -1 2459 23 1634 2959 236870 51536 3.44305 3.44305 -126.805 -3.44305 0 0 701300. 2426.64 0.19 0.09 0.12 -1 -1 0.19 0.0323923 0.0282712 135 72 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 3.93 vpr 62.71 MiB -1 -1 0.21 17900 1 0.03 -1 -1 30420 -1 -1 33 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64220 31 32 409 331 1 191 96 17 17 289 -1 unnamed_device 24.0 MiB 0.34 841 9951 2183 7152 616 62.7 MiB 0.11 0.00 4.37472 -138.365 -4.37472 4.37472 0.69 0.000616454 0.000564312 0.0382039 0.0353979 32 2335 20 6.65987e+06 418374 554710. 1919.41 0.87 0.128906 0.113262 22834 132086 -1 1838 17 1307 1888 108740 27817 3.30177 3.30177 -122.786 -3.30177 0 0 701300. 2426.64 0.19 0.07 0.08 -1 -1 0.19 0.0270313 0.0237707 142 90 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 7.85 vpr 63.26 MiB -1 -1 0.18 17516 1 0.03 -1 -1 30236 -1 -1 13 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64780 32 32 354 285 1 193 77 17 17 289 -1 unnamed_device 24.7 MiB 2.13 849 12139 5116 6732 291 63.3 MiB 0.11 0.00 5.4594 -159.287 -5.4594 5.4594 0.69 0.000711151 0.000660793 0.0553456 0.0514466 44 2778 24 6.95648e+06 188184 787024. 2723.27 2.66 0.199522 0.175067 27778 195446 -1 2100 22 1620 2390 205020 42905 4.50786 4.50786 -152.69 -4.50786 0 0 997811. 3452.63 0.25 0.09 0.18 -1 -1 0.25 0.0309786 0.0271579 81 50 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 6.90 vpr 63.27 MiB -1 -1 0.20 17664 1 0.03 -1 -1 30372 -1 -1 15 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64784 30 32 363 293 1 187 77 17 17 289 -1 unnamed_device 24.7 MiB 1.93 750 10998 3991 5243 1764 63.3 MiB 0.10 0.00 4.63092 -138.355 -4.63092 4.63092 0.68 0.000671164 0.000628994 0.0505176 0.047005 40 2398 38 6.95648e+06 217135 706193. 2443.58 2.02 0.186395 0.162871 26914 176310 -1 2062 23 1948 2706 287194 61968 4.57081 4.57081 -154.872 -4.57081 0 0 926341. 3205.33 0.23 0.10 0.15 -1 -1 0.23 0.031848 0.0278319 80 63 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 6.65 vpr 63.27 MiB -1 -1 0.13 17252 1 0.03 -1 -1 30272 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64784 32 32 299 247 1 182 79 17 17 289 -1 unnamed_device 24.8 MiB 1.06 1011 6839 1853 4585 401 63.3 MiB 0.07 0.00 3.76508 -124.296 -3.76508 3.76508 0.67 0.000633253 0.000589235 0.0286755 0.0267324 38 2570 30 6.95648e+06 217135 678818. 2348.85 2.81 0.166286 0.144488 26626 170182 -1 2196 20 1226 1684 137441 28682 3.69172 3.69172 -129.324 -3.69172 0 0 902133. 3121.57 0.23 0.07 0.15 -1 -1 0.23 0.0254155 0.0222392 76 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 5.61 vpr 62.91 MiB -1 -1 0.18 17312 1 0.03 -1 -1 30332 -1 -1 19 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64420 29 32 308 248 1 162 80 17 17 289 -1 unnamed_device 24.5 MiB 0.39 653 13152 5791 6666 695 62.9 MiB 0.11 0.00 4.18338 -115.281 -4.18338 4.18338 0.65 0.000635274 0.000590126 0.051036 0.0474001 46 2265 28 6.95648e+06 275038 828058. 2865.25 2.39 0.182425 0.159412 28066 200906 -1 1624 19 1137 1874 144677 33493 3.65242 3.65242 -117.329 -3.65242 0 0 1.01997e+06 3529.29 0.25 0.07 0.17 -1 -1 0.25 0.0246308 0.0215743 71 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 6.95 vpr 63.10 MiB -1 -1 0.15 17696 1 0.03 -1 -1 30344 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64616 32 32 336 268 1 172 80 17 17 289 -1 unnamed_device 24.5 MiB 0.73 735 12120 5042 6627 451 63.1 MiB 0.11 0.00 4.33299 -127.984 -4.33299 4.33299 0.65 0.000683732 0.000635163 0.0507399 0.0471609 46 2384 49 6.95648e+06 231611 828058. 2865.25 3.38 0.214836 0.187207 28066 200906 -1 1865 19 1283 2160 165504 35743 4.11991 4.11991 -134.678 -4.11991 0 0 1.01997e+06 3529.29 0.25 0.07 0.20 -1 -1 0.25 0.0264762 0.0232156 73 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 6.29 vpr 63.10 MiB -1 -1 0.19 17576 1 0.03 -1 -1 30296 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64616 32 32 366 295 1 182 85 17 17 289 -1 unnamed_device 24.5 MiB 0.94 762 14779 6278 7942 559 63.1 MiB 0.12 0.00 3.0584 -114.242 -3.0584 3.0584 0.68 0.000728652 0.000676185 0.0599483 0.0556427 46 2130 23 6.95648e+06 303989 828058. 2865.25 2.46 0.202671 0.177639 28066 200906 -1 1614 21 1350 1975 141226 31692 3.17337 3.17337 -118.004 -3.17337 0 0 1.01997e+06 3529.29 0.25 0.07 0.17 -1 -1 0.25 0.0306388 0.0268009 79 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 8.57 vpr 62.86 MiB -1 -1 0.18 17404 1 0.03 -1 -1 30656 -1 -1 13 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64364 27 32 259 221 1 125 72 17 17 289 -1 unnamed_device 24.5 MiB 4.05 400 8118 3296 4253 569 62.9 MiB 0.07 0.00 3.56899 -93.1575 -3.56899 3.56899 0.65 0.000550309 0.00051152 0.0322747 0.0300558 40 1513 27 6.95648e+06 188184 706193. 2443.58 1.72 0.149207 0.129223 26914 176310 -1 1345 20 1051 1528 151147 38012 3.12397 3.12397 -102.326 -3.12397 0 0 926341. 3205.33 0.28 0.04 0.15 -1 -1 0.28 0.0138504 0.0123415 52 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 5.59 vpr 62.95 MiB -1 -1 0.19 17160 1 0.03 -1 -1 30204 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64456 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 24.5 MiB 0.35 691 11983 4028 5840 2115 62.9 MiB 0.10 0.00 3.0166 -94.5957 -3.0166 3.0166 0.66 0.000605604 0.000563423 0.0409873 0.0380828 38 2198 34 6.95648e+06 361892 678818. 2348.85 2.43 0.170338 0.148003 26626 170182 -1 1562 21 1128 1795 124372 27855 2.92072 2.92072 -102.496 -2.92072 0 0 902133. 3121.57 0.22 0.06 0.14 -1 -1 0.22 0.0248082 0.0216613 69 4 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 6.47 vpr 62.97 MiB -1 -1 0.19 17580 1 0.03 -1 -1 30124 -1 -1 11 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64484 31 32 317 271 1 163 74 17 17 289 -1 unnamed_device 24.6 MiB 1.78 579 9684 3905 5251 528 63.0 MiB 0.09 0.00 3.39469 -115.112 -3.39469 3.39469 0.65 0.000634704 0.000589781 0.0419442 0.0390098 48 1811 26 6.95648e+06 159232 865456. 2994.66 1.86 0.169373 0.147502 28354 207349 -1 1465 22 1268 1776 142283 33598 3.24576 3.24576 -115.708 -3.24576 0 0 1.05005e+06 3633.38 0.25 0.07 0.12 -1 -1 0.25 0.0272541 0.0237499 66 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 5.17 vpr 62.77 MiB -1 -1 0.14 17240 1 0.03 -1 -1 30240 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64280 32 32 298 248 1 150 74 17 17 289 -1 unnamed_device 24.2 MiB 0.91 566 8134 3343 4546 245 62.8 MiB 0.08 0.00 3.30928 -114.291 -3.30928 3.30928 0.65 0.00062459 0.000580626 0.0350523 0.0326251 42 1921 24 6.95648e+06 144757 744469. 2576.02 1.53 0.159074 0.138235 27202 183097 -1 1447 19 1086 1482 106699 25377 2.97372 2.97372 -113.7 -2.97372 0 0 949917. 3286.91 0.23 0.06 0.15 -1 -1 0.23 0.0241922 0.0212204 59 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 5.93 vpr 62.84 MiB -1 -1 0.13 17544 1 0.03 -1 -1 30332 -1 -1 12 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64344 30 32 303 262 1 137 74 17 17 289 -1 unnamed_device 24.2 MiB 1.66 471 10304 4280 5549 475 62.8 MiB 0.09 0.00 3.43453 -102.366 -3.43453 3.43453 0.68 0.000619707 0.000575675 0.0433629 0.0403114 44 1644 41 6.95648e+06 173708 787024. 2723.27 1.53 0.179783 0.156092 27778 195446 -1 1210 19 1006 1391 107894 26111 2.87247 2.87247 -102.182 -2.87247 0 0 997811. 3452.63 0.25 0.06 0.16 -1 -1 0.25 0.023621 0.0206284 55 63 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 7.18 vpr 62.86 MiB -1 -1 0.18 17508 1 0.03 -1 -1 30200 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64364 32 32 276 237 1 160 74 17 17 289 -1 unnamed_device 24.2 MiB 1.46 590 10614 3394 4881 2339 62.9 MiB 0.10 0.00 3.37833 -114.652 -3.37833 3.37833 0.65 0.000692799 0.000638656 0.0438232 0.0407749 48 2065 26 6.95648e+06 144757 865456. 2994.66 2.85 0.166094 0.144813 28354 207349 -1 1594 22 1339 1737 177767 43429 2.98202 2.98202 -113.691 -2.98202 0 0 1.05005e+06 3633.38 0.26 0.07 0.17 -1 -1 0.26 0.0257135 0.0224352 62 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 19.34 vpr 63.22 MiB -1 -1 0.13 17620 1 0.03 -1 -1 30464 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64736 32 32 344 272 1 194 79 17 17 289 -1 unnamed_device 24.6 MiB 1.71 833 13261 5618 7295 348 63.2 MiB 0.12 0.00 3.96008 -134.144 -3.96008 3.96008 0.65 0.000696444 0.000646684 0.0576148 0.0534019 46 2758 33 6.95648e+06 217135 828058. 2865.25 14.64 0.353175 0.306036 28066 200906 -1 2047 22 1722 2490 195693 41961 3.39476 3.39476 -130.85 -3.39476 0 0 1.01997e+06 3529.29 0.32 0.09 0.20 -1 -1 0.32 0.0306544 0.0268618 83 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 5.51 vpr 63.18 MiB -1 -1 0.13 17800 1 0.03 -1 -1 30288 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64696 32 32 363 295 1 174 86 17 17 289 -1 unnamed_device 24.6 MiB 0.82 789 9158 3216 4675 1267 63.2 MiB 0.08 0.00 4.48063 -134.265 -4.48063 4.48063 0.65 0.000714952 0.000663649 0.0372043 0.0345929 46 2203 26 6.95648e+06 318465 828058. 2865.25 1.92 0.179265 0.155841 28066 200906 -1 1694 24 1812 2595 197759 43223 4.00836 4.00836 -134.618 -4.00836 0 0 1.01997e+06 3529.29 0.25 0.09 0.17 -1 -1 0.25 0.0326451 0.028468 75 61 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 5.83 vpr 62.59 MiB -1 -1 0.13 17344 1 0.03 -1 -1 30324 -1 -1 13 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64096 29 32 248 215 1 136 74 17 17 289 -1 unnamed_device 24.1 MiB 1.17 470 8444 3456 4562 426 62.6 MiB 0.07 0.00 3.10275 -88.0296 -3.10275 3.10275 0.65 0.000567425 0.000528907 0.0325698 0.0303778 40 2005 31 6.95648e+06 188184 706193. 2443.58 1.97 0.149222 0.129702 26914 176310 -1 1534 23 1125 1652 175061 53068 3.19337 3.19337 -104.765 -3.19337 0 0 926341. 3205.33 0.23 0.07 0.15 -1 -1 0.23 0.0241339 0.020942 55 27 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 5.94 vpr 63.19 MiB -1 -1 0.19 17816 1 0.03 -1 -1 30264 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64708 32 32 370 297 1 180 81 17 17 289 -1 unnamed_device 24.6 MiB 1.02 736 13381 4767 6091 2523 63.2 MiB 0.11 0.00 3.0625 -113.087 -3.0625 3.0625 0.65 0.000722052 0.000670349 0.0579789 0.053856 46 2125 28 6.95648e+06 246087 828058. 2865.25 1.99 0.205529 0.179748 28066 200906 -1 1730 22 1527 2399 181497 42649 3.74967 3.74967 -124.464 -3.74967 0 0 1.01997e+06 3529.29 0.28 0.08 0.17 -1 -1 0.28 0.03129 0.027305 76 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 7.59 vpr 63.21 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30104 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64728 32 32 338 269 1 190 78 17 17 289 -1 unnamed_device 24.6 MiB 1.87 821 11698 3981 5913 1804 63.2 MiB 0.11 0.00 4.42651 -139.682 -4.42651 4.42651 0.69 0.000685107 0.000636399 0.0505079 0.0469561 38 2675 39 6.95648e+06 202660 678818. 2348.85 2.84 0.208158 0.181837 26626 170182 -1 1811 21 1515 2020 132332 30505 3.72352 3.72352 -135.958 -3.72352 0 0 902133. 3121.57 0.22 0.07 0.12 -1 -1 0.22 0.0288801 0.0253088 79 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 6.43 vpr 62.91 MiB -1 -1 0.17 17764 1 0.03 -1 -1 30224 -1 -1 9 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64424 32 32 323 276 1 148 73 17 17 289 -1 unnamed_device 24.2 MiB 0.80 568 11625 5013 6229 383 62.9 MiB 0.10 0.00 2.28966 -90.0891 -2.28966 2.28966 0.66 0.000653757 0.0006067 0.0517858 0.0480985 46 1756 36 6.95648e+06 130281 828058. 2865.25 2.78 0.19462 0.169858 28066 200906 -1 1389 19 1155 1674 145929 33652 2.63568 2.63568 -100.632 -2.63568 0 0 1.01997e+06 3529.29 0.25 0.07 0.17 -1 -1 0.25 0.0248199 0.0217118 57 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 4.57 vpr 62.41 MiB -1 -1 0.17 17316 1 0.02 -1 -1 30112 -1 -1 9 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63904 30 32 222 206 1 116 71 17 17 289 -1 unnamed_device 23.8 MiB 0.31 438 9707 4039 5351 317 62.4 MiB 0.07 0.00 2.22846 -79.3536 -2.22846 2.22846 0.65 0.000492665 0.000461096 0.0346168 0.0322012 38 1481 49 6.95648e+06 130281 678818. 2348.85 1.56 0.151792 0.131363 26626 170182 -1 1061 20 614 712 71220 16884 2.33013 2.33013 -81.3837 -2.33013 0 0 902133. 3121.57 0.22 0.05 0.14 -1 -1 0.22 0.0198487 0.0173049 43 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 6.77 vpr 62.77 MiB -1 -1 0.19 17372 1 0.03 -1 -1 30440 -1 -1 12 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64276 31 32 291 243 1 169 75 17 17 289 -1 unnamed_device 24.3 MiB 2.03 900 8449 3439 4770 240 62.8 MiB 0.07 0.00 4.11557 -135.517 -4.11557 4.11557 0.67 0.000621364 0.000577667 0.0345888 0.032184 40 2181 21 6.95648e+06 173708 706193. 2443.58 1.97 0.159264 0.138641 26914 176310 -1 1992 22 1613 2157 226592 45321 3.91426 3.91426 -139.471 -3.91426 0 0 926341. 3205.33 0.23 0.08 0.15 -1 -1 0.23 0.0265893 0.0232089 69 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 6.29 vpr 63.14 MiB -1 -1 0.16 17644 1 0.03 -1 -1 30408 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64652 32 32 342 271 1 173 84 17 17 289 -1 unnamed_device 24.5 MiB 0.62 691 13626 5075 6512 2039 63.1 MiB 0.11 0.00 3.69419 -120.83 -3.69419 3.69419 0.65 0.000695095 0.000645299 0.0542781 0.0503966 44 2266 33 6.95648e+06 289514 787024. 2723.27 2.84 0.209783 0.183661 27778 195446 -1 1704 27 1869 2560 192832 46024 3.96461 3.96461 -128.435 -3.96461 0 0 997811. 3452.63 0.25 0.09 0.16 -1 -1 0.25 0.0349995 0.0304375 75 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 20.74 vpr 63.35 MiB -1 -1 0.17 17516 1 0.03 -1 -1 30360 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64868 32 32 372 300 1 197 78 17 17 289 -1 unnamed_device 24.7 MiB 1.34 803 10868 4396 5912 560 63.3 MiB 0.10 0.00 4.7576 -138.082 -4.7576 4.7576 0.66 0.000727766 0.000675393 0.0499145 0.0463329 48 2894 42 6.95648e+06 202660 865456. 2994.66 16.37 0.391403 0.337617 28354 207349 -1 2151 23 1823 2679 262855 61699 4.41832 4.41832 -141.927 -4.41832 0 0 1.05005e+06 3633.38 0.31 0.10 0.17 -1 -1 0.31 0.0324325 0.0283793 82 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 4.69 vpr 62.40 MiB -1 -1 0.14 17500 1 0.03 -1 -1 30596 -1 -1 13 26 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63900 26 32 190 182 1 104 71 17 17 289 -1 unnamed_device 23.8 MiB 0.82 416 8539 3544 4471 524 62.4 MiB 0.06 0.00 2.23646 -66.7931 -2.23646 2.23646 0.65 0.000428041 0.000397757 0.0263601 0.0244784 34 1152 47 6.95648e+06 188184 618332. 2139.56 1.25 0.127594 0.110486 25762 151098 -1 988 17 605 766 68818 15227 2.23768 2.23768 -73.5335 -2.23768 0 0 787024. 2723.27 0.20 0.04 0.13 -1 -1 0.20 0.0154227 0.0134854 44 30 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 6.29 vpr 62.88 MiB -1 -1 0.18 17164 1 0.03 -1 -1 30436 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64384 32 32 285 227 1 161 79 17 17 289 -1 unnamed_device 24.4 MiB 0.68 670 9543 3600 4533 1410 62.9 MiB 0.08 0.00 4.68425 -117.235 -4.68425 4.68425 0.67 0.000620444 0.0005767 0.037287 0.0346967 44 2456 40 6.95648e+06 217135 787024. 2723.27 2.80 0.175548 0.152616 27778 195446 -1 1660 19 1265 2070 156131 39900 3.85601 3.85601 -120.654 -3.85601 0 0 997811. 3452.63 0.25 0.09 0.16 -1 -1 0.25 0.0303391 0.0263894 66 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 4.62 vpr 62.31 MiB -1 -1 0.15 16928 1 0.02 -1 -1 30016 -1 -1 8 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63804 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 23.7 MiB 0.28 360 10055 3887 4514 1654 62.3 MiB 0.07 0.00 2.15326 -68.8392 -2.15326 2.15326 0.65 0.000424398 0.000394018 0.0301036 0.0279628 38 1061 21 6.95648e+06 115805 678818. 2348.85 1.58 0.116207 0.101122 26626 170182 -1 856 18 639 743 50732 13412 2.21378 2.21378 -75.1525 -2.21378 0 0 902133. 3121.57 0.22 0.04 0.16 -1 -1 0.22 0.0159718 0.013987 42 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 9.54 vpr 62.91 MiB -1 -1 0.16 17604 1 0.03 -1 -1 30024 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64420 32 32 300 245 1 165 79 17 17 289 -1 unnamed_device 24.4 MiB 0.84 746 14106 6043 7637 426 62.9 MiB 0.06 0.00 4.49111 -123.956 -4.49111 4.49111 0.69 0.000283536 0.000259771 0.0256876 0.0235959 38 2858 40 6.95648e+06 217135 678818. 2348.85 5.94 0.161709 0.139823 26626 170182 -1 1958 20 1322 2077 204584 45406 3.88096 3.88096 -125.216 -3.88096 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0257897 0.0225571 68 24 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 5.50 vpr 62.93 MiB -1 -1 0.19 17120 1 0.03 -1 -1 30400 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64444 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 24.5 MiB 0.48 675 10873 4420 6034 419 62.9 MiB 0.09 0.00 2.9573 -100.116 -2.9573 2.9573 0.69 0.000636281 0.000590745 0.0409086 0.0379791 46 2093 50 6.95648e+06 303989 828058. 2865.25 2.14 0.191378 0.166343 28066 200906 -1 1505 24 1382 2103 153787 35418 3.03882 3.03882 -108.679 -3.03882 0 0 1.01997e+06 3529.29 0.25 0.08 0.17 -1 -1 0.25 0.0295683 0.0257386 74 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 5.96 vpr 63.03 MiB -1 -1 0.20 17772 1 0.03 -1 -1 30256 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64544 32 32 338 277 1 172 83 17 17 289 -1 unnamed_device 24.5 MiB 0.74 795 15383 6700 8206 477 63.0 MiB 0.13 0.00 4.43549 -130.994 -4.43549 4.43549 0.70 0.000680516 0.000632505 0.0599764 0.0556889 48 2092 29 6.95648e+06 275038 865456. 2994.66 2.26 0.200019 0.175252 28354 207349 -1 1795 22 1236 2056 165591 37261 3.80591 3.80591 -130.105 -3.80591 0 0 1.05005e+06 3633.38 0.26 0.08 0.18 -1 -1 0.26 0.0292952 0.0255059 72 50 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 5.29 vpr 62.68 MiB -1 -1 0.18 17524 1 0.03 -1 -1 30100 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64184 32 32 284 241 1 141 74 17 17 289 -1 unnamed_device 24.1 MiB 0.84 836 12164 3915 6903 1346 62.7 MiB 0.10 0.00 3.08875 -104.395 -3.08875 3.08875 0.65 0.000613621 0.000571219 0.0498631 0.0463952 38 2054 21 6.95648e+06 144757 678818. 2348.85 1.60 0.169124 0.148225 26626 170182 -1 1837 18 886 1354 126118 25389 3.02302 3.02302 -114.587 -3.02302 0 0 902133. 3121.57 0.31 0.06 0.17 -1 -1 0.31 0.0204742 0.0181331 55 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 4.57 vpr 62.70 MiB -1 -1 0.12 17296 1 0.03 -1 -1 30128 -1 -1 18 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64208 30 32 262 227 1 134 80 17 17 289 -1 unnamed_device 24.1 MiB 0.23 486 10916 4488 5855 573 62.7 MiB 0.08 0.00 3.37953 -96.5612 -3.37953 3.37953 0.65 0.0005694 0.000529416 0.0382305 0.0355411 42 1738 31 6.95648e+06 260562 744469. 2576.02 1.59 0.156601 0.13607 27202 183097 -1 1225 18 886 1222 106438 28327 2.85672 2.85672 -97.7201 -2.85672 0 0 949917. 3286.91 0.25 0.06 0.16 -1 -1 0.25 0.0230209 0.0201654 57 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 5.40 vpr 62.75 MiB -1 -1 0.18 17400 1 0.02 -1 -1 30148 -1 -1 16 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64252 28 32 260 223 1 135 76 17 17 289 -1 unnamed_device 24.2 MiB 0.45 447 10796 4457 5651 688 62.7 MiB 0.08 0.00 2.9532 -88.5671 -2.9532 2.9532 0.65 0.000560787 0.000521631 0.0401211 0.0373657 44 1895 36 6.95648e+06 231611 787024. 2723.27 2.22 0.158346 0.137661 27778 195446 -1 1197 20 998 1532 108720 27757 2.78922 2.78922 -94.3932 -2.78922 0 0 997811. 3452.63 0.25 0.06 0.13 -1 -1 0.25 0.022521 0.0196317 57 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 11.61 vpr 62.71 MiB -1 -1 0.17 17232 1 0.02 -1 -1 30340 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64220 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 24.2 MiB 0.42 490 8754 2672 4372 1710 62.7 MiB 0.07 0.00 3.37459 -106.603 -3.37459 3.37459 0.66 0.000575354 0.000535734 0.0344164 0.0320483 46 1609 38 6.95648e+06 144757 828058. 2865.25 8.60 0.306364 0.262879 28066 200906 -1 1166 19 1064 1493 99508 25826 3.01962 3.01962 -108.184 -3.01962 0 0 1.01997e+06 3529.29 0.24 0.03 0.11 -1 -1 0.24 0.0125197 0.0111523 58 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 5.72 vpr 62.66 MiB -1 -1 0.11 17488 1 0.03 -1 -1 30216 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64164 31 32 271 231 1 143 82 17 17 289 -1 unnamed_device 24.1 MiB 0.39 514 10050 3328 4861 1861 62.7 MiB 0.08 0.00 3.16614 -99.0057 -3.16614 3.16614 0.66 0.000592319 0.000549061 0.0354803 0.032883 44 1932 39 6.95648e+06 275038 787024. 2723.27 2.55 0.166952 0.144845 27778 195446 -1 1339 24 1230 1923 229518 85397 2.94462 2.94462 -105.107 -2.94462 0 0 997811. 3452.63 0.25 0.09 0.18 -1 -1 0.25 0.0266682 0.0231869 61 30 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 6.83 vpr 62.77 MiB -1 -1 0.18 17548 1 0.03 -1 -1 30404 -1 -1 12 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64276 29 32 291 250 1 148 73 17 17 289 -1 unnamed_device 24.1 MiB 1.12 761 12537 5784 6209 544 62.8 MiB 0.10 0.00 2.98425 -104.866 -2.98425 2.98425 0.67 0.000600188 0.000557402 0.0516219 0.047971 40 1951 35 6.95648e+06 173708 706193. 2443.58 2.89 0.183383 0.160001 26914 176310 -1 1632 19 1072 1486 183744 40499 2.73002 2.73002 -103.333 -2.73002 0 0 926341. 3205.33 0.23 0.07 0.15 -1 -1 0.23 0.0231761 0.020268 61 54 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 6.58 vpr 63.27 MiB -1 -1 0.19 17900 1 0.03 -1 -1 30448 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64784 32 32 367 282 1 193 85 17 17 289 -1 unnamed_device 24.6 MiB 0.68 827 14593 4666 7411 2516 63.3 MiB 0.13 0.00 4.22723 -122.469 -4.22723 4.22723 0.67 0.000735051 0.000682715 0.0604865 0.0561151 40 2898 29 6.95648e+06 303989 706193. 2443.58 3.01 0.215817 0.189168 26914 176310 -1 2212 23 1637 2779 247978 54617 4.10856 4.10856 -135.648 -4.10856 0 0 926341. 3205.33 0.23 0.10 0.15 -1 -1 0.23 0.0333062 0.0291427 84 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 6.34 vpr 63.13 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30356 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64648 32 32 391 311 1 184 88 17 17 289 -1 unnamed_device 24.5 MiB 1.05 745 13738 4997 6870 1871 63.1 MiB 0.12 0.00 3.2962 -117.206 -3.2962 3.2962 0.66 0.000756935 0.000700374 0.0561521 0.0519884 40 2441 27 6.95648e+06 347416 706193. 2443.58 2.42 0.207023 0.181084 26914 176310 -1 2016 22 1949 2778 252661 56579 3.50372 3.50372 -130.751 -3.50372 0 0 926341. 3205.33 0.24 0.10 0.15 -1 -1 0.24 0.0334099 0.0293047 82 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 13.07 vpr 62.88 MiB -1 -1 0.18 17392 1 0.03 -1 -1 30108 -1 -1 11 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64388 31 32 279 237 1 153 74 17 17 289 -1 unnamed_device 24.2 MiB 1.71 860 8754 2885 4850 1019 62.9 MiB 0.08 0.00 4.04047 -132.719 -4.04047 4.04047 0.69 0.000607923 0.000566227 0.0361842 0.0337216 40 2120 31 6.95648e+06 159232 706193. 2443.58 8.54 0.286697 0.246404 26914 176310 -1 2014 22 1310 1843 197131 38779 3.56322 3.56322 -132.421 -3.56322 0 0 926341. 3205.33 0.23 0.08 0.15 -1 -1 0.23 0.0257061 0.0224192 63 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 6.82 vpr 63.00 MiB -1 -1 0.13 17748 1 0.03 -1 -1 30380 -1 -1 16 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64512 31 32 370 297 1 179 79 17 17 289 -1 unnamed_device 24.5 MiB 0.79 747 9036 3143 4486 1407 63.0 MiB 0.09 0.00 3.76434 -122.812 -3.76434 3.76434 0.66 0.000717059 0.000665703 0.0412693 0.0383672 38 2983 41 6.95648e+06 231611 678818. 2348.85 3.29 0.207537 0.18044 26626 170182 -1 1920 21 1589 2334 190137 42056 3.83572 3.83572 -127.733 -3.83572 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.03015 0.0263963 76 61 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 7.27 vpr 63.26 MiB -1 -1 0.21 17796 1 0.03 -1 -1 30344 -1 -1 16 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64780 31 32 377 302 1 225 79 17 17 289 -1 unnamed_device 24.7 MiB 2.08 943 12585 5266 6878 441 63.3 MiB 0.12 0.00 5.54066 -172.627 -5.54066 5.54066 0.65 0.000743037 0.000690219 0.0575184 0.053443 56 2573 26 6.95648e+06 231611 973134. 3367.25 2.13 0.203599 0.176771 29794 239141 -1 2149 23 2164 3113 326462 68110 5.0776 5.0776 -172.61 -5.0776 0 0 1.19926e+06 4149.71 0.29 0.11 0.20 -1 -1 0.29 0.0327672 0.0286803 97 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 7.32 vpr 63.22 MiB -1 -1 0.20 17708 1 0.03 -1 -1 30552 -1 -1 16 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64736 31 32 383 305 1 204 79 17 17 289 -1 unnamed_device 24.8 MiB 2.53 938 15120 6700 8021 399 63.2 MiB 0.14 0.00 4.47954 -148.558 -4.47954 4.47954 0.61 0.000746312 0.000693405 0.0693479 0.064426 40 2977 25 6.95648e+06 231611 706193. 2443.58 1.86 0.222669 0.195583 26914 176310 -1 2471 20 1757 2550 249964 52119 4.78676 4.78676 -162.397 -4.78676 0 0 926341. 3205.33 0.23 0.09 0.17 -1 -1 0.23 0.0298102 0.0260686 88 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 6.31 vpr 62.98 MiB -1 -1 0.10 17796 1 0.03 -1 -1 30548 -1 -1 22 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64496 31 32 352 285 1 177 85 17 17 289 -1 unnamed_device 24.4 MiB 1.21 806 12733 4192 6306 2235 63.0 MiB 0.11 0.00 4.14583 -131.471 -4.14583 4.14583 0.65 0.000700857 0.000651395 0.0506607 0.0471394 44 2580 41 6.95648e+06 318465 787024. 2723.27 2.27 0.209928 0.183245 27778 195446 -1 1877 20 1310 1980 158921 34446 3.55827 3.55827 -129.134 -3.55827 0 0 997811. 3452.63 0.25 0.08 0.16 -1 -1 0.25 0.0281384 0.0246822 78 55 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 6.55 vpr 62.86 MiB -1 -1 0.18 17508 1 0.03 -1 -1 30428 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64364 32 32 291 242 1 173 78 17 17 289 -1 unnamed_device 24.4 MiB 1.19 717 8212 2161 5077 974 62.9 MiB 0.08 0.00 4.19005 -113.386 -4.19005 4.19005 0.65 0.000626146 0.000582854 0.0330292 0.0307453 46 1942 38 6.95648e+06 202660 828058. 2865.25 2.54 0.169388 0.146875 28066 200906 -1 1539 18 1104 1571 122247 26899 4.11171 4.11171 -112.642 -4.11171 0 0 1.01997e+06 3529.29 0.25 0.06 0.17 -1 -1 0.25 0.0230741 0.020245 71 27 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 7.36 vpr 63.71 MiB -1 -1 0.21 18076 1 0.03 -1 -1 30352 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65240 32 32 457 356 1 214 86 17 17 289 -1 unnamed_device 24.9 MiB 1.57 969 15017 6294 8197 526 63.7 MiB 0.15 0.00 4.79262 -158.033 -4.79262 4.79262 0.66 0.000870034 0.000808023 0.0722496 0.0670813 44 3004 31 6.95648e+06 318465 787024. 2723.27 2.80 0.256534 0.224373 27778 195446 -1 2405 22 1887 2737 214564 46299 4.79321 4.79321 -170.997 -4.79321 0 0 997811. 3452.63 0.27 0.10 0.17 -1 -1 0.27 0.0369729 0.0322359 93 87 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 5.14 vpr 62.71 MiB -1 -1 0.18 17508 1 0.03 -1 -1 30148 -1 -1 15 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64212 31 32 261 225 1 137 78 17 17 289 -1 unnamed_device 24.2 MiB 0.72 451 10702 3732 4796 2174 62.7 MiB 0.08 0.00 3.25706 -97.1743 -3.25706 3.25706 0.65 0.000564676 0.000525261 0.0384554 0.0357761 42 1868 35 6.95648e+06 217135 744469. 2576.02 1.58 0.160913 0.139818 27202 183097 -1 1192 34 1368 1970 146199 37137 3.39987 3.39987 -104.41 -3.39987 0 0 949917. 3286.91 0.24 0.08 0.15 -1 -1 0.24 0.0357774 0.0309543 56 28 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 7.04 vpr 63.25 MiB -1 -1 0.19 17668 1 0.03 -1 -1 30180 -1 -1 15 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64764 31 32 337 267 1 199 78 17 17 289 -1 unnamed_device 24.6 MiB 1.24 1043 13358 5192 6540 1626 63.2 MiB 0.13 0.00 4.83562 -153.036 -4.83562 4.83562 0.65 0.000684874 0.000636808 0.0583439 0.0542992 40 2908 41 6.95648e+06 217135 706193. 2443.58 3.09 0.222162 0.192466 26914 176310 -1 2542 19 1727 2534 265189 51613 4.58201 4.58201 -157.296 -4.58201 0 0 926341. 3205.33 0.22 0.05 0.10 -1 -1 0.22 0.015261 0.0136813 84 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 17.07 vpr 63.07 MiB -1 -1 0.11 17796 1 0.03 -1 -1 30444 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64584 32 32 349 284 1 175 81 17 17 289 -1 unnamed_device 24.5 MiB 1.04 832 15481 6850 8276 355 63.1 MiB 0.13 0.00 3.22585 -113.908 -3.22585 3.22585 0.66 0.000706404 0.000646665 0.0640674 0.0594879 40 2681 28 6.95648e+06 246087 706193. 2443.58 13.24 0.3548 0.307335 26914 176310 -1 2155 23 1599 2614 231061 49102 3.24022 3.24022 -125.665 -3.24022 0 0 926341. 3205.33 0.23 0.09 0.15 -1 -1 0.23 0.0309639 0.0270147 73 53 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 6.13 vpr 63.05 MiB -1 -1 0.15 17132 1 0.03 -1 -1 30084 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64560 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 24.6 MiB 0.92 692 9712 3251 4487 1974 63.0 MiB 0.08 0.00 4.55274 -121.613 -4.55274 4.55274 0.68 0.000628705 0.000584448 0.0381845 0.035547 44 2409 26 6.95648e+06 231611 787024. 2723.27 2.36 0.170932 0.149068 27778 195446 -1 1628 24 1132 1964 152907 34365 4.40427 4.40427 -132.423 -4.40427 0 0 997811. 3452.63 0.24 0.07 0.19 -1 -1 0.24 0.0291258 0.0253996 68 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 14.98 vpr 63.12 MiB -1 -1 0.19 17516 1 0.04 -1 -1 30332 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64636 32 32 353 287 1 185 78 17 17 289 -1 unnamed_device 24.6 MiB 2.51 796 11532 3820 5366 2346 63.1 MiB 0.11 0.00 4.43423 -134.57 -4.43423 4.43423 0.65 0.000703427 0.000653831 0.0514793 0.0478927 40 2553 40 6.95648e+06 202660 706193. 2443.58 9.63 0.360223 0.311113 26914 176310 -1 2106 22 1511 2049 167648 37819 3.63736 3.63736 -132.097 -3.63736 0 0 926341. 3205.33 0.23 0.08 0.15 -1 -1 0.23 0.0303123 0.0265025 78 55 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 8.73 vpr 63.13 MiB -1 -1 0.11 17828 1 0.03 -1 -1 30340 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64644 32 32 361 291 1 179 81 17 17 289 -1 unnamed_device 24.6 MiB 1.64 763 10406 4042 5595 769 63.1 MiB 0.10 0.00 3.235 -115.411 -3.235 3.235 0.65 0.000715813 0.000664492 0.0453468 0.0421604 38 2855 46 6.95648e+06 246087 678818. 2348.85 4.40 0.222824 0.194096 26626 170182 -1 2142 20 1537 2371 191337 42253 3.59617 3.59617 -130.946 -3.59617 0 0 902133. 3121.57 0.22 0.08 0.11 -1 -1 0.22 0.0288342 0.0252709 75 55 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 6.15 vpr 63.35 MiB -1 -1 0.14 17644 1 0.03 -1 -1 30344 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64868 32 32 382 305 1 184 90 17 17 289 -1 unnamed_device 24.7 MiB 0.91 832 13758 4740 6643 2375 63.3 MiB 0.13 0.00 4.17869 -135.166 -4.17869 4.17869 0.66 0.0010225 0.000940379 0.0548246 0.0508591 46 2399 24 6.95648e+06 376368 828058. 2865.25 2.32 0.204425 0.178817 28066 200906 -1 1874 24 1346 1983 166800 36204 3.73146 3.73146 -135.163 -3.73146 0 0 1.01997e+06 3529.29 0.26 0.09 0.17 -1 -1 0.26 0.0339334 0.0296052 83 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 5.65 vpr 63.08 MiB -1 -1 0.18 17532 1 0.03 -1 -1 30200 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64592 32 32 306 248 1 164 86 17 17 289 -1 unnamed_device 24.6 MiB 0.97 704 11804 4117 5540 2147 63.1 MiB 0.09 0.00 4.32723 -118.436 -4.32723 4.32723 0.68 0.0006416 0.000595601 0.0426413 0.0396 42 2228 31 6.95648e+06 318465 744469. 2576.02 1.88 0.177031 0.154127 27202 183097 -1 1785 19 1216 1934 174304 44453 3.88152 3.88152 -124.857 -3.88152 0 0 949917. 3286.91 0.23 0.08 0.16 -1 -1 0.23 0.0248025 0.0217213 69 24 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 7.75 vpr 62.97 MiB -1 -1 0.13 17624 1 0.03 -1 -1 30388 -1 -1 13 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64484 32 32 319 257 1 191 77 17 17 289 -1 unnamed_device 24.4 MiB 2.23 822 10020 3444 5308 1268 63.0 MiB 0.10 0.00 4.15778 -128.101 -4.15778 4.15778 0.66 0.000658658 0.000612123 0.0429054 0.0399146 40 2642 40 6.95648e+06 188184 706193. 2443.58 2.71 0.182267 0.159134 26914 176310 -1 2078 24 1882 2531 224754 51701 4.42162 4.42162 -143.712 -4.42162 0 0 926341. 3205.33 0.23 0.09 0.15 -1 -1 0.23 0.03099 0.0270017 79 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 8.46 vpr 63.33 MiB -1 -1 0.20 17868 1 0.03 -1 -1 30292 -1 -1 15 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64852 31 32 373 299 1 194 78 17 17 289 -1 unnamed_device 24.6 MiB 1.35 847 12030 5014 6584 432 63.3 MiB 0.12 0.00 4.57287 -144.308 -4.57287 4.57287 0.65 0.000724907 0.000672986 0.0552937 0.0513688 46 3239 34 6.95648e+06 217135 828058. 2865.25 4.20 0.216353 0.18926 28066 200906 -1 2319 21 1807 2822 246652 51934 4.03581 4.03581 -139.978 -4.03581 0 0 1.01997e+06 3529.29 0.25 0.09 0.17 -1 -1 0.25 0.0303822 0.0265866 85 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 8.14 vpr 63.05 MiB -1 -1 0.13 17644 1 0.03 -1 -1 30284 -1 -1 13 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64560 32 32 387 315 1 182 77 17 17 289 -1 unnamed_device 24.5 MiB 2.18 757 13443 5119 6395 1929 63.0 MiB 0.12 0.00 4.08826 -130.07 -4.08826 4.08826 0.66 0.000739571 0.000686709 0.0639239 0.0593671 50 2778 50 6.95648e+06 188184 902133. 3121.57 3.01 0.24258 0.212059 28642 213929 -1 2045 30 1669 2787 309493 86950 4.42046 4.42046 -143.572 -4.42046 0 0 1.08113e+06 3740.92 0.27 0.12 0.18 -1 -1 0.27 0.0410783 0.0356638 76 77 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 10.98 vpr 62.72 MiB -1 -1 0.17 17604 1 0.04 -1 -1 30120 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64224 32 32 251 219 1 136 82 17 17 289 -1 unnamed_device 24.2 MiB 0.25 503 12542 4062 6070 2410 62.7 MiB 0.09 0.00 3.14908 -92.6386 -3.14908 3.14908 0.66 0.000559735 0.00052012 0.041585 0.0386641 44 1782 30 6.95648e+06 260562 787024. 2723.27 7.90 0.264169 0.22756 27778 195446 -1 1231 37 1182 1770 242494 107698 2.83957 2.83957 -96.0143 -2.83957 0 0 997811. 3452.63 0.25 0.11 0.16 -1 -1 0.25 0.0366439 0.0316026 57 23 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 6.27 vpr 63.11 MiB -1 -1 0.16 17900 1 0.03 -1 -1 30528 -1 -1 12 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64628 32 32 341 285 1 181 76 17 17 289 -1 unnamed_device 24.6 MiB 1.29 674 9676 3990 5312 374 63.1 MiB 0.09 0.00 3.76865 -134.987 -3.76865 3.76865 0.66 0.000673631 0.00062522 0.0433643 0.0402968 60 1835 21 6.95648e+06 173708 1.01997e+06 3529.29 1.97 0.175095 0.152661 30658 258169 -1 1517 23 1426 1989 172709 39333 3.73911 3.73911 -132.853 -3.73911 0 0 1.27783e+06 4421.56 0.36 0.09 0.22 -1 -1 0.36 0.0316685 0.027598 76 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 7.05 vpr 63.22 MiB -1 -1 0.20 17856 1 0.03 -1 -1 30300 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64740 32 32 387 293 1 225 80 17 17 289 -1 unnamed_device 24.8 MiB 1.72 1197 6788 1593 4785 410 63.2 MiB 0.08 0.00 4.81732 -154.887 -4.81732 4.81732 0.71 0.00076689 0.000712869 0.0333847 0.0310947 56 2745 25 6.95648e+06 231611 973134. 3367.25 2.27 0.183875 0.159976 29794 239141 -1 2575 20 1810 2734 267843 53538 4.69936 4.69936 -158.923 -4.69936 0 0 1.19926e+06 4149.71 0.29 0.10 0.21 -1 -1 0.29 0.0312555 0.0274626 97 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 6.13 vpr 63.26 MiB -1 -1 0.14 17852 1 0.03 -1 -1 30384 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64776 32 32 340 270 1 175 81 17 17 289 -1 unnamed_device 24.7 MiB 0.93 755 11806 4947 6514 345 63.3 MiB 0.11 0.00 4.55181 -144.133 -4.55181 4.55181 0.65 0.000691022 0.000642074 0.0492244 0.0457633 46 2302 50 6.95648e+06 246087 828058. 2865.25 2.40 0.213801 0.18654 28066 200906 -1 1809 22 1405 1916 184870 41973 3.26946 3.26946 -129.646 -3.26946 0 0 1.01997e+06 3529.29 0.25 0.08 0.18 -1 -1 0.25 0.0297459 0.0260238 74 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 5.81 vpr 62.78 MiB -1 -1 0.15 17512 1 0.03 -1 -1 30316 -1 -1 20 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64284 30 32 278 235 1 143 82 17 17 289 -1 unnamed_device 24.2 MiB 0.48 536 11296 4661 6055 580 62.8 MiB 0.09 0.00 2.9714 -97.779 -2.9714 2.9714 0.68 0.000591172 0.000548198 0.0395504 0.0367301 46 1687 48 6.95648e+06 289514 828058. 2865.25 2.48 0.180385 0.156092 28066 200906 -1 1196 22 1101 1627 118419 28041 2.94567 2.94567 -98.2229 -2.94567 0 0 1.01997e+06 3529.29 0.26 0.06 0.17 -1 -1 0.26 0.0221161 0.0194858 62 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 9.34 vpr 63.40 MiB -1 -1 0.20 18076 1 0.03 -1 -1 30332 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64920 32 32 431 332 1 224 79 17 17 289 -1 unnamed_device 24.9 MiB 1.74 1154 14782 4940 8104 1738 63.4 MiB 0.16 0.00 6.12641 -181.225 -6.12641 6.12641 0.65 0.000829629 0.000771076 0.0762296 0.0708945 46 2972 48 6.95648e+06 217135 828058. 2865.25 4.29 0.281434 0.246625 28066 200906 -1 2375 24 1979 3061 252430 53962 5.06025 5.06025 -172.023 -5.06025 0 0 1.01997e+06 3529.29 0.37 0.10 0.20 -1 -1 0.37 0.0346116 0.0306345 95 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 6.23 vpr 63.09 MiB -1 -1 0.18 17852 1 0.03 -1 -1 30432 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64604 32 32 336 268 1 169 87 17 17 289 -1 unnamed_device 24.5 MiB 1.23 717 11799 3733 5850 2216 63.1 MiB 0.10 0.00 4.62806 -129.887 -4.62806 4.62806 0.70 0.000685892 0.000636192 0.0448714 0.041569 40 2137 23 6.95648e+06 332941 706193. 2443.58 2.02 0.184764 0.161162 26914 176310 -1 1846 22 1420 2105 204275 43664 4.24312 4.24312 -135.251 -4.24312 0 0 926341. 3205.33 0.23 0.09 0.19 -1 -1 0.23 0.0306914 0.026915 74 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 4.68 vpr 62.50 MiB -1 -1 0.17 17100 1 0.03 -1 -1 30516 -1 -1 13 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63996 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 24.1 MiB 0.25 514 10509 3980 5034 1495 62.5 MiB 0.08 0.00 2.96656 -92.2738 -2.96656 2.96656 0.64 0.000534005 0.00049723 0.0362112 0.0337229 44 1513 24 6.95648e+06 188184 787024. 2723.27 1.63 0.143345 0.125004 27778 195446 -1 1051 16 699 1093 64585 17611 2.96762 2.96762 -95.8174 -2.96762 0 0 997811. 3452.63 0.27 0.05 0.19 -1 -1 0.27 0.0184391 0.0161947 51 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 10.02 vpr 63.14 MiB -1 -1 0.12 17840 1 0.03 -1 -1 30224 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64656 32 32 349 273 1 184 88 17 17 289 -1 unnamed_device 24.5 MiB 0.52 1076 14908 4738 8798 1372 63.1 MiB 0.13 0.00 4.96917 -138.118 -4.96917 4.96917 0.65 0.000719054 0.000667867 0.0571509 0.0531079 38 3145 48 6.95648e+06 347416 678818. 2348.85 6.63 0.23477 0.205533 26626 170182 -1 2507 21 1570 2770 270498 50404 4.65836 4.65836 -145.067 -4.65836 0 0 902133. 3121.57 0.22 0.09 0.15 -1 -1 0.22 0.0295138 0.0257964 80 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 5.28 vpr 62.68 MiB -1 -1 0.17 17132 1 0.03 -1 -1 30236 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 24.2 MiB 0.91 492 11034 4564 6063 407 62.7 MiB 0.08 0.00 2.9972 -99.2597 -2.9972 2.9972 0.65 0.000554559 0.000515541 0.0387657 0.0360448 40 1961 39 6.95648e+06 202660 706193. 2443.58 1.68 0.162261 0.141005 26914 176310 -1 1516 22 1349 1863 169744 49562 3.32157 3.32157 -117.016 -3.32157 0 0 926341. 3205.33 0.23 0.08 0.10 -1 -1 0.23 0.0244759 0.0213203 57 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 6.43 vpr 62.73 MiB -1 -1 0.19 17380 1 0.03 -1 -1 30264 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64240 30 32 278 235 1 144 79 17 17 289 -1 unnamed_device 24.1 MiB 0.88 565 8867 3613 4967 287 62.7 MiB 0.08 0.00 3.45473 -106.167 -3.45473 3.45473 0.65 0.000590649 0.000548914 0.0331125 0.0308357 38 1930 30 6.95648e+06 246087 678818. 2348.85 2.79 0.158073 0.137157 26626 170182 -1 1481 19 1147 1674 130098 28883 3.05892 3.05892 -108.48 -3.05892 0 0 902133. 3121.57 0.22 0.06 0.15 -1 -1 0.22 0.0228396 0.0198974 60 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 6.83 vpr 63.21 MiB -1 -1 0.14 17776 1 0.03 -1 -1 30276 -1 -1 16 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64724 29 32 355 287 1 186 77 17 17 289 -1 unnamed_device 24.6 MiB 1.41 851 11487 4862 6149 476 63.2 MiB 0.11 0.00 3.95502 -124.066 -3.95502 3.95502 0.65 0.000708392 0.000656479 0.0525957 0.0487832 44 2861 40 6.95648e+06 231611 787024. 2723.27 2.58 0.209221 0.18252 27778 195446 -1 2114 22 1724 2612 186740 39662 3.67666 3.67666 -128.24 -3.67666 0 0 997811. 3452.63 0.25 0.08 0.18 -1 -1 0.25 0.0301075 0.0262749 80 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 5.99 vpr 63.15 MiB -1 -1 0.10 17852 1 0.03 -1 -1 30264 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64664 32 32 358 289 1 173 80 17 17 289 -1 unnamed_device 24.6 MiB 1.19 719 14528 6712 7344 472 63.1 MiB 0.12 0.00 4.55468 -132.882 -4.55468 4.55468 0.65 0.00070734 0.000656694 0.0624181 0.0579504 44 2547 35 6.95648e+06 231611 787024. 2723.27 1.97 0.214046 0.187367 27778 195446 -1 1688 23 1524 2201 168711 38196 4.09482 4.09482 -137.998 -4.09482 0 0 997811. 3452.63 0.25 0.10 0.17 -1 -1 0.25 0.0363648 0.0317619 72 54 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 6.80 vpr 63.09 MiB -1 -1 0.18 17748 1 0.03 -1 -1 30124 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64608 32 32 353 285 1 178 78 17 17 289 -1 unnamed_device 24.5 MiB 1.76 751 11200 4198 5153 1849 63.1 MiB 0.11 0.00 4.43749 -136.856 -4.43749 4.43749 0.67 0.000709798 0.000659969 0.0506409 0.0471251 46 2439 25 6.95648e+06 202660 828058. 2865.25 2.20 0.193066 0.168881 28066 200906 -1 1911 21 1356 2100 167493 35963 4.25946 4.25946 -140.254 -4.25946 0 0 1.01997e+06 3529.29 0.25 0.08 0.13 -1 -1 0.25 0.0304493 0.0266989 73 51 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 7.70 vpr 62.82 MiB -1 -1 0.11 17428 1 0.03 -1 -1 30336 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64332 32 32 276 237 1 155 74 17 17 289 -1 unnamed_device 24.2 MiB 2.92 640 8909 3664 5023 222 62.8 MiB 0.08 0.00 4.07418 -127.444 -4.07418 4.07418 0.65 0.000592583 0.000551219 0.0362871 0.0337584 40 2228 26 6.95648e+06 144757 706193. 2443.58 2.15 0.155398 0.135064 26914 176310 -1 1908 20 1236 1636 170227 43620 3.49292 3.49292 -123.985 -3.49292 0 0 926341. 3205.33 0.23 0.07 0.10 -1 -1 0.23 0.0238783 0.0208694 61 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 6.59 vpr 63.01 MiB -1 -1 0.18 17796 1 0.03 -1 -1 30376 -1 -1 12 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64520 31 32 319 272 1 165 75 17 17 289 -1 unnamed_device 24.5 MiB 1.90 607 11925 5002 6435 488 63.0 MiB 0.10 0.00 3.79972 -120.636 -3.79972 3.79972 0.67 0.00063889 0.0005937 0.0507212 0.0471509 48 1984 29 6.95648e+06 173708 865456. 2994.66 1.85 0.183276 0.160035 28354 207349 -1 1536 22 1367 1944 159821 37228 3.32686 3.32686 -118.238 -3.32686 0 0 1.05005e+06 3633.38 0.27 0.07 0.18 -1 -1 0.27 0.0276389 0.0240801 68 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 6.46 vpr 62.99 MiB -1 -1 0.19 17576 1 0.03 -1 -1 30376 -1 -1 22 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64500 30 32 329 273 1 160 84 17 17 289 -1 unnamed_device 24.5 MiB 0.77 673 11064 3726 5225 2113 63.0 MiB 0.10 0.00 3.0162 -94.6102 -3.0162 3.0162 0.66 0.000659684 0.000612073 0.0440426 0.0408688 38 2318 31 6.95648e+06 318465 678818. 2348.85 2.89 0.183979 0.160175 26626 170182 -1 1666 22 1207 1932 150045 33191 3.07097 3.07097 -103.367 -3.07097 0 0 902133. 3121.57 0.22 0.07 0.14 -1 -1 0.22 0.028405 0.0247519 71 57 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 5.27 vpr 62.78 MiB -1 -1 0.18 17428 1 0.03 -1 -1 30348 -1 -1 28 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64284 28 32 277 229 1 155 88 17 17 289 -1 unnamed_device 24.4 MiB 0.45 661 10813 4387 5735 691 62.8 MiB 0.08 0.00 3.6526 -99.519 -3.6526 3.6526 0.65 0.000587334 0.000545207 0.0350415 0.0325801 42 1918 35 6.95648e+06 405319 744469. 2576.02 2.10 0.16241 0.14078 27202 183097 -1 1492 19 1112 1848 158479 34720 3.42886 3.42886 -104.154 -3.42886 0 0 949917. 3286.91 0.23 0.07 0.17 -1 -1 0.23 0.0225936 0.0197048 72 27 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 5.73 vpr 63.25 MiB -1 -1 0.19 17712 1 0.03 -1 -1 30348 -1 -1 12 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64764 30 32 317 269 1 149 74 17 17 289 -1 unnamed_device 24.6 MiB 0.80 539 10769 4210 5259 1300 63.2 MiB 0.10 0.00 3.44073 -108.225 -3.44073 3.44073 0.66 0.000637297 0.00059244 0.0468682 0.0436157 48 1688 34 6.95648e+06 173708 865456. 2994.66 2.03 0.185596 0.159988 28354 207349 -1 1454 20 1284 1813 161942 38490 2.90237 2.90237 -113.138 -2.90237 0 0 1.05005e+06 3633.38 0.27 0.07 0.17 -1 -1 0.27 0.0255828 0.0223288 60 63 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 6.67 vpr 62.86 MiB -1 -1 0.18 17576 1 0.03 -1 -1 30272 -1 -1 11 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64372 32 32 335 282 1 178 75 17 17 289 -1 unnamed_device 24.3 MiB 1.35 645 12715 5333 6940 442 62.9 MiB 0.06 0.00 3.42769 -121.093 -3.42769 3.42769 0.67 0.000296782 0.00027199 0.0256023 0.023568 50 2241 50 6.95648e+06 159232 902133. 3121.57 2.54 0.180161 0.155158 28642 213929 -1 1593 19 1335 1900 145307 35353 3.38763 3.38763 -126.727 -3.38763 0 0 1.08113e+06 3740.92 0.26 0.07 0.15 -1 -1 0.26 0.0256567 0.0224833 72 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 7.43 vpr 62.85 MiB -1 -1 0.16 17284 1 0.03 -1 -1 30372 -1 -1 24 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64360 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 24.3 MiB 0.40 742 11799 3162 6813 1824 62.9 MiB 0.10 0.00 4.51778 -120.862 -4.51778 4.51778 0.65 0.000633674 0.000587345 0.0411768 0.0382653 38 2757 47 6.95648e+06 347416 678818. 2348.85 4.27 0.191682 0.166826 26626 170182 -1 1955 22 1406 2365 210396 43684 4.28086 4.28086 -136.346 -4.28086 0 0 902133. 3121.57 0.22 0.08 0.16 -1 -1 0.22 0.0273601 0.0238882 74 4 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 7.46 vpr 63.24 MiB -1 -1 0.15 17664 1 0.03 -1 -1 30336 -1 -1 13 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64760 32 32 350 275 1 196 77 17 17 289 -1 unnamed_device 24.6 MiB 1.59 848 13606 5964 7217 425 63.2 MiB 0.13 0.00 4.62557 -150.036 -4.62557 4.62557 0.65 0.000706143 0.000655334 0.0615269 0.0572063 48 2886 26 6.95648e+06 188184 865456. 2994.66 3.00 0.206053 0.180711 28354 207349 -1 2378 21 1719 2506 253013 54190 4.45496 4.45496 -155.997 -4.45496 0 0 1.05005e+06 3633.38 0.27 0.09 0.17 -1 -1 0.27 0.0296261 0.0259707 82 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 8.50 vpr 63.40 MiB -1 -1 0.17 17900 1 0.03 -1 -1 30368 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64920 32 32 385 308 1 179 88 17 17 289 -1 unnamed_device 24.7 MiB 1.42 797 15688 5451 7649 2588 63.4 MiB 0.14 0.00 4.33979 -134.933 -4.33979 4.33979 0.70 0.000735847 0.000681569 0.0624982 0.057941 46 2516 45 6.95648e+06 347416 828058. 2865.25 4.14 0.235154 0.20534 28066 200906 -1 1814 21 1369 2331 203557 42476 3.90176 3.90176 -141.076 -3.90176 0 0 1.01997e+06 3529.29 0.25 0.09 0.17 -1 -1 0.25 0.0307788 0.026884 80 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 6.34 vpr 63.25 MiB -1 -1 0.19 17796 1 0.03 -1 -1 30356 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64772 32 32 387 309 1 182 87 17 17 289 -1 unnamed_device 24.6 MiB 0.79 866 12951 5370 7312 269 63.3 MiB 0.12 0.00 4.06852 -135.722 -4.06852 4.06852 0.65 0.000760681 0.000706479 0.0538713 0.0500471 46 2675 25 6.95648e+06 332941 828058. 2865.25 2.65 0.205194 0.179475 28066 200906 -1 2147 24 1837 3034 242444 50740 3.80186 3.80186 -138.053 -3.80186 0 0 1.01997e+06 3529.29 0.28 0.09 0.17 -1 -1 0.28 0.0339317 0.0296036 80 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 5.09 vpr 62.68 MiB -1 -1 0.12 17368 1 0.03 -1 -1 30192 -1 -1 12 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 30 32 272 232 1 142 74 17 17 289 -1 unnamed_device 24.1 MiB 0.71 535 10769 4485 5866 418 62.7 MiB 0.09 0.00 3.76076 -107.124 -3.76076 3.76076 0.65 0.000586035 0.000545107 0.0425411 0.0396 40 1903 31 6.95648e+06 173708 706193. 2443.58 1.73 0.165224 0.143579 26914 176310 -1 1524 19 1178 1778 168955 37421 2.97232 2.97232 -106.451 -2.97232 0 0 926341. 3205.33 0.23 0.07 0.15 -1 -1 0.23 0.0224319 0.0195958 57 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 6.22 vpr 63.08 MiB -1 -1 0.19 17900 1 0.03 -1 -1 30420 -1 -1 14 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64592 30 32 375 299 1 179 76 17 17 289 -1 unnamed_device 24.5 MiB 0.98 646 9676 4013 5115 548 63.1 MiB 0.09 0.00 4.36203 -132.758 -4.36203 4.36203 0.66 0.000728372 0.000676738 0.0469684 0.0436945 48 2052 23 6.95648e+06 202660 865456. 2994.66 2.09 0.193998 0.169519 28354 207349 -1 1617 22 1735 2408 175230 42504 4.01936 4.01936 -135.967 -4.01936 0 0 1.05005e+06 3633.38 0.27 0.08 0.17 -1 -1 0.27 0.0312258 0.0272383 76 63 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 19.17 vpr 63.10 MiB -1 -1 0.14 17644 1 0.03 -1 -1 30264 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64616 32 32 340 270 1 193 78 17 17 289 -1 unnamed_device 24.5 MiB 1.47 811 10204 3614 5065 1525 63.1 MiB 0.10 0.00 4.885 -145.205 -4.885 4.885 0.65 0.000690716 0.000641303 0.0449295 0.0418004 48 2507 26 6.95648e+06 202660 865456. 2994.66 14.74 0.329033 0.284209 28354 207349 -1 2034 21 1699 2745 250883 55251 4.29192 4.29192 -147.488 -4.29192 0 0 1.05005e+06 3633.38 0.27 0.09 0.17 -1 -1 0.27 0.0289523 0.0253829 80 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 17.51 vpr 63.09 MiB -1 -1 0.16 17772 1 0.03 -1 -1 30360 -1 -1 14 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64600 31 32 340 275 1 187 77 17 17 289 -1 unnamed_device 24.5 MiB 1.89 840 10509 4398 5789 322 63.1 MiB 0.10 0.00 5.54805 -153.523 -5.54805 5.54805 0.65 0.000681341 0.000632994 0.0465425 0.0432883 40 2695 24 6.95648e+06 202660 706193. 2443.58 12.88 0.327768 0.283394 26914 176310 -1 2048 21 1310 1986 181257 39768 4.92101 4.92101 -156.505 -4.92101 0 0 926341. 3205.33 0.23 0.08 0.15 -1 -1 0.23 0.0286243 0.02507 79 47 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 6.86 vpr 63.20 MiB -1 -1 0.12 17692 1 0.03 -1 -1 30380 -1 -1 21 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64712 30 32 377 310 1 170 83 17 17 289 -1 unnamed_device 24.6 MiB 1.55 981 8543 2209 4916 1418 63.2 MiB 0.05 0.00 4.87546 -153.661 -4.87546 4.87546 0.81 0.000724219 0.000672815 0.0189053 0.0174926 38 2411 22 6.95648e+06 303989 678818. 2348.85 2.46 0.1613 0.139156 26626 170182 -1 1934 18 1044 1554 112841 23684 4.18706 4.18706 -148.99 -4.18706 0 0 902133. 3121.57 0.22 0.06 0.14 -1 -1 0.22 0.026511 0.0232314 74 83 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 6.07 vpr 63.10 MiB -1 -1 0.20 17504 1 0.03 -1 -1 30236 -1 -1 13 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64616 32 32 365 294 1 177 77 17 17 289 -1 unnamed_device 24.6 MiB 1.05 757 8390 3202 4298 890 63.1 MiB 0.08 0.00 4.41913 -136.437 -4.41913 4.41913 0.73 0.000568669 0.000522016 0.0318708 0.0292868 44 2553 27 6.95648e+06 188184 787024. 2723.27 2.07 0.181088 0.156792 27778 195446 -1 1842 22 1553 2635 192052 41288 3.91902 3.91902 -136.724 -3.91902 0 0 997811. 3452.63 0.25 0.08 0.16 -1 -1 0.25 0.0308823 0.0269341 72 57 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 12.76 vpr 63.16 MiB -1 -1 0.21 17796 1 0.03 -1 -1 30328 -1 -1 16 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64672 29 32 378 310 1 170 77 17 17 289 -1 unnamed_device 24.6 MiB 1.13 676 7412 2307 3688 1417 63.2 MiB 0.07 0.00 4.03938 -124.354 -4.03938 4.03938 0.65 0.000722134 0.00067069 0.0352608 0.0327771 40 1915 29 6.95648e+06 231611 706193. 2443.58 8.69 0.328935 0.282639 26914 176310 -1 1850 28 1578 2394 351685 123617 3.69672 3.69672 -129.056 -3.69672 0 0 926341. 3205.33 0.24 0.13 0.15 -1 -1 0.24 0.0385674 0.0334623 73 85 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 6.08 vpr 62.52 MiB -1 -1 0.13 17116 1 0.03 -1 -1 30416 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64016 32 32 243 205 1 139 74 17 17 289 -1 unnamed_device 24.1 MiB 0.78 565 8134 3323 4613 198 62.5 MiB 0.07 0.00 3.56099 -106.975 -3.56099 3.56099 0.65 0.000553924 0.000515348 0.0312464 0.0290873 38 2071 30 6.95648e+06 144757 678818. 2348.85 2.64 0.147629 0.128291 26626 170182 -1 1519 20 1088 1614 126966 28362 3.22832 3.22832 -114.337 -3.22832 0 0 902133. 3121.57 0.22 0.06 0.14 -1 -1 0.22 0.0222787 0.0194679 53 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 7.90 vpr 63.45 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30232 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64972 32 32 373 302 1 174 87 17 17 289 -1 unnamed_device 24.8 MiB 3.01 780 14679 6171 7995 513 63.4 MiB 0.12 0.00 4.81946 -134.729 -4.81946 4.81946 0.66 0.000732851 0.000680207 0.0590425 0.0548395 52 2232 23 6.95648e+06 332941 926341. 3205.33 1.97 0.203937 0.17874 29218 227130 -1 1621 23 1130 1780 152505 32527 4.34076 4.34076 -127.201 -4.34076 0 0 1.14541e+06 3963.36 0.27 0.08 0.17 -1 -1 0.27 0.0320954 0.0280104 76 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 6.13 vpr 63.20 MiB -1 -1 0.20 17692 1 0.03 -1 -1 30432 -1 -1 13 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64716 32 32 397 314 1 188 77 17 17 289 -1 unnamed_device 24.6 MiB 0.69 707 10672 3433 5510 1729 63.2 MiB 0.11 0.00 4.24958 -138.057 -4.24958 4.24958 0.65 0.000765754 0.000711032 0.0529107 0.049155 46 2101 39 6.95648e+06 188184 828058. 2865.25 2.47 0.220684 0.192476 28066 200906 -1 1652 20 1609 2296 152828 36988 4.14472 4.14472 -138.713 -4.14472 0 0 1.01997e+06 3529.29 0.25 0.08 0.20 -1 -1 0.25 0.0315986 0.027727 78 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 12.38 vpr 62.79 MiB -1 -1 0.16 17528 1 0.03 -1 -1 30168 -1 -1 11 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64296 32 32 269 231 1 166 75 17 17 289 -1 unnamed_device 24.1 MiB 1.38 678 11925 5137 6457 331 62.8 MiB 0.10 0.00 4.05037 -122.042 -4.05037 4.05037 0.65 0.000575891 0.000534537 0.0456379 0.0424081 44 1982 25 6.95648e+06 159232 787024. 2723.27 8.22 0.272725 0.235216 27778 195446 -1 1574 22 1167 1476 132878 28622 3.52322 3.52322 -118.138 -3.52322 0 0 997811. 3452.63 0.25 0.07 0.16 -1 -1 0.25 0.024899 0.0217358 68 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 5.54 vpr 62.63 MiB -1 -1 0.16 17368 1 0.03 -1 -1 30344 -1 -1 13 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64132 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 24.1 MiB 1.01 478 11916 5026 6437 453 62.6 MiB 0.09 0.00 3.32523 -101.355 -3.32523 3.32523 0.65 0.000551008 0.000512249 0.0432004 0.0401767 44 1783 23 6.95648e+06 188184 787024. 2723.27 1.73 0.152441 0.133158 27778 195446 -1 1359 24 1243 1747 125414 30386 3.03797 3.03797 -110.211 -3.03797 0 0 997811. 3452.63 0.25 0.07 0.16 -1 -1 0.25 0.0265155 0.0230968 57 4 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 7.47 vpr 63.19 MiB -1 -1 0.18 17576 1 0.03 -1 -1 30440 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64708 32 32 348 274 1 202 79 17 17 289 -1 unnamed_device 24.6 MiB 1.48 850 12416 5241 6727 448 63.2 MiB 0.13 0.00 4.62707 -149.564 -4.62707 4.62707 0.67 0.00125206 0.0011631 0.0638828 0.0594109 46 2722 26 6.95648e+06 217135 828058. 2865.25 3.05 0.206195 0.181651 28066 200906 -1 2074 21 1865 2438 193182 44797 4.40371 4.40371 -157.748 -4.40371 0 0 1.01997e+06 3529.29 0.25 0.08 0.17 -1 -1 0.25 0.0293192 0.0257009 85 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 7.59 vpr 63.18 MiB -1 -1 0.21 17772 1 0.03 -1 -1 30296 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64700 32 32 356 289 1 196 78 17 17 289 -1 unnamed_device 24.6 MiB 1.04 1128 10536 3281 5855 1400 63.2 MiB 0.10 0.00 4.81844 -154.124 -4.81844 4.81844 0.65 0.000702643 0.000652495 0.0473039 0.0439684 38 3024 27 6.95648e+06 202660 678818. 2348.85 3.66 0.193784 0.169344 26626 170182 -1 2569 28 1789 2629 367783 104626 4.56931 4.56931 -159.679 -4.56931 0 0 902133. 3121.57 0.22 0.13 0.14 -1 -1 0.22 0.0369168 0.0321498 82 56 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 7.10 vpr 63.18 MiB -1 -1 0.18 17532 1 0.03 -1 -1 30156 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64696 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 24.6 MiB 0.43 843 11456 4360 5763 1333 63.2 MiB 0.11 0.00 4.93982 -142.75 -4.93982 4.93982 0.65 0.000715971 0.000665168 0.049617 0.0461452 46 2871 42 6.95648e+06 246087 828058. 2865.25 3.76 0.215024 0.187562 28066 200906 -1 1957 23 1780 2859 219432 52826 4.6493 4.6493 -149.515 -4.6493 0 0 1.01997e+06 3529.29 0.25 0.09 0.17 -1 -1 0.25 0.0324624 0.0284236 83 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 5.26 vpr 63.21 MiB -1 -1 0.19 17876 1 0.03 -1 -1 30136 -1 -1 21 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64732 30 32 316 264 1 159 83 17 17 289 -1 unnamed_device 24.7 MiB 0.84 630 11063 3050 5652 2361 63.2 MiB 0.09 0.00 3.41127 -97.5363 -3.41127 3.41127 0.65 0.000643694 0.000598549 0.0419032 0.038976 40 1835 26 6.95648e+06 303989 706193. 2443.58 1.71 0.170408 0.148299 26914 176310 -1 1460 22 1308 2138 157545 37153 3.03682 3.03682 -102.64 -3.03682 0 0 926341. 3205.33 0.23 0.07 0.10 -1 -1 0.23 0.0275467 0.0239991 69 52 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 4.75 vpr 62.54 MiB -1 -1 0.17 17524 1 0.03 -1 -1 30516 -1 -1 14 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64036 27 32 255 219 1 130 73 17 17 289 -1 unnamed_device 24.1 MiB 0.45 487 8585 3718 4335 532 62.5 MiB 0.07 0.00 2.94405 -89.6154 -2.94405 2.94405 0.65 0.000554434 0.000515934 0.0329656 0.0306859 38 1494 30 6.95648e+06 202660 678818. 2348.85 1.70 0.147471 0.128031 26626 170182 -1 1118 22 1017 1284 84491 21005 3.22642 3.22642 -98.2028 -3.22642 0 0 902133. 3121.57 0.22 0.06 0.10 -1 -1 0.22 0.0235636 0.0204899 54 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 6.42 vpr 63.45 MiB -1 -1 0.22 17772 1 0.03 -1 -1 30288 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64972 32 32 421 327 1 224 80 17 17 289 -1 unnamed_device 24.8 MiB 1.15 1018 15904 6884 8410 610 63.4 MiB 0.16 0.00 3.84665 -134.608 -3.84665 3.84665 0.65 0.000810015 0.000751803 0.0777036 0.072194 50 3513 31 6.95648e+06 231611 902133. 3121.57 2.27 0.246988 0.216983 28642 213929 -1 2746 23 2119 3360 318996 69446 4.29822 4.29822 -148.875 -4.29822 0 0 1.08113e+06 3740.92 0.27 0.11 0.18 -1 -1 0.27 0.0364794 0.0318759 95 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 10.91 vpr 63.16 MiB -1 -1 0.20 17620 1 0.03 -1 -1 30240 -1 -1 15 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64680 31 32 365 296 1 190 78 17 17 289 -1 unnamed_device 24.6 MiB 4.38 805 13026 5515 7018 493 63.2 MiB 0.12 0.00 5.43776 -152.039 -5.43776 5.43776 0.65 0.000713941 0.000663266 0.0590357 0.0548631 46 2740 36 6.95648e+06 217135 828058. 2865.25 3.64 0.220013 0.19267 28066 200906 -1 2126 23 1586 2402 285851 56911 4.81541 4.81541 -158.107 -4.81541 0 0 1.01997e+06 3529.29 0.26 0.10 0.16 -1 -1 0.26 0.0292825 0.0259551 82 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 8.54 vpr 63.20 MiB -1 -1 0.15 17648 1 0.03 -1 -1 30336 -1 -1 11 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64716 32 32 331 280 1 171 75 17 17 289 -1 unnamed_device 24.5 MiB 3.19 636 10029 4132 5585 312 63.2 MiB 0.09 0.00 3.67834 -124.027 -3.67834 3.67834 0.66 0.000660582 0.000613163 0.0449093 0.0417532 48 2185 28 6.95648e+06 159232 865456. 2994.66 2.49 0.181872 0.15845 28354 207349 -1 1586 19 1295 1849 150992 36406 3.53836 3.53836 -135.928 -3.53836 0 0 1.05005e+06 3633.38 0.26 0.07 0.17 -1 -1 0.26 0.0254882 0.0223149 70 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 5.23 vpr 63.09 MiB -1 -1 0.14 17800 1 0.03 -1 -1 30420 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64608 32 32 326 263 1 169 86 17 17 289 -1 unnamed_device 24.5 MiB 0.32 731 15206 6549 8090 567 63.1 MiB 0.12 0.00 4.25273 -121.678 -4.25273 4.25273 0.65 0.000668671 0.000620532 0.0563166 0.0523071 48 2298 32 6.95648e+06 318465 865456. 2994.66 2.12 0.197129 0.172651 28354 207349 -1 1836 22 1173 1814 166694 37685 3.80451 3.80451 -123.316 -3.80451 0 0 1.05005e+06 3633.38 0.26 0.08 0.17 -1 -1 0.26 0.0288928 0.0252277 74 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 6.29 vpr 63.19 MiB -1 -1 0.09 17792 1 0.03 -1 -1 30140 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64704 31 32 373 294 1 188 88 17 17 289 -1 unnamed_device 24.6 MiB 0.85 751 14323 4212 7223 2888 63.2 MiB 0.12 0.00 4.42633 -128.985 -4.42633 4.42633 0.66 0.000730882 0.000678487 0.0559876 0.0519445 40 2622 38 6.95648e+06 361892 706193. 2443.58 2.68 0.222632 0.194459 26914 176310 -1 1848 21 1476 2267 182833 41978 4.24412 4.24412 -133.401 -4.24412 0 0 926341. 3205.33 0.23 0.08 0.15 -1 -1 0.23 0.0303727 0.0265464 83 50 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 7.80 vpr 63.06 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30536 -1 -1 16 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64576 30 32 325 268 1 166 78 17 17 289 -1 unnamed_device 24.6 MiB 0.96 713 11034 4424 5642 968 63.1 MiB 0.10 0.00 3.35027 -102.373 -3.35027 3.35027 0.66 0.000659869 0.000608738 0.0459779 0.0427236 38 2733 50 6.95648e+06 231611 678818. 2348.85 3.99 0.207473 0.180386 26626 170182 -1 1933 23 1526 2555 207027 44479 3.45197 3.45197 -114.871 -3.45197 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0295839 0.0258069 68 51 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 7.74 vpr 63.23 MiB -1 -1 0.13 17812 1 0.04 -1 -1 30232 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64744 32 32 350 275 1 208 78 17 17 289 -1 unnamed_device 24.6 MiB 1.39 907 11200 3286 6600 1314 63.2 MiB 0.11 0.00 4.64467 -151.435 -4.64467 4.64467 0.81 0.000708323 0.000657645 0.0504692 0.0469352 48 2706 42 6.95648e+06 202660 865456. 2994.66 3.30 0.21182 0.184973 28354 207349 -1 2215 23 1973 2902 294986 61193 4.36766 4.36766 -149.121 -4.36766 0 0 1.05005e+06 3633.38 0.28 0.10 0.18 -1 -1 0.28 0.0313796 0.0276375 88 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 15.87 vpr 63.16 MiB -1 -1 0.17 17664 1 0.03 -1 -1 30020 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64676 32 32 386 307 1 187 82 17 17 289 -1 unnamed_device 24.5 MiB 0.93 748 12542 5225 6709 608 63.2 MiB 0.12 0.00 4.47033 -145.191 -4.47033 4.47033 0.68 0.000753998 0.000699721 0.0562892 0.052328 48 2531 41 6.95648e+06 260562 865456. 2994.66 11.78 0.417632 0.35997 28354 207349 -1 1938 24 1584 2112 216788 50249 4.07261 4.07261 -144.703 -4.07261 0 0 1.05005e+06 3633.38 0.36 0.10 0.20 -1 -1 0.36 0.0329089 0.0288684 80 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 8.48 vpr 62.60 MiB -1 -1 0.18 17380 1 0.03 -1 -1 30292 -1 -1 12 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64104 29 32 269 229 1 132 73 17 17 289 -1 unnamed_device 24.1 MiB 4.02 466 10105 4186 5463 456 62.6 MiB 0.08 0.00 3.92822 -103.3 -3.92822 3.92822 0.65 0.000573555 0.000533193 0.0402406 0.037473 36 1523 22 6.95648e+06 173708 648988. 2245.63 1.76 0.153215 0.133556 26050 158493 -1 1228 15 778 1009 83744 19223 2.99102 2.99102 -101.074 -2.99102 0 0 828058. 2865.25 0.21 0.05 0.14 -1 -1 0.21 0.0212084 0.0187953 53 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 5.77 vpr 63.09 MiB -1 -1 0.18 17516 1 0.03 -1 -1 30324 -1 -1 11 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64608 32 32 310 266 1 163 75 17 17 289 -1 unnamed_device 24.4 MiB 1.02 606 9397 3361 4720 1316 63.1 MiB 0.08 0.00 3.68935 -126.523 -3.68935 3.68935 0.65 0.000628805 0.000584068 0.039702 0.0369057 42 2317 35 6.95648e+06 159232 744469. 2576.02 1.97 0.17603 0.152741 27202 183097 -1 1631 21 1234 1585 161332 35156 3.67372 3.67372 -130.834 -3.67372 0 0 949917. 3286.91 0.23 0.07 0.15 -1 -1 0.23 0.0261565 0.022813 64 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 6.19 vpr 62.86 MiB -1 -1 0.15 17920 1 0.03 -1 -1 30452 -1 -1 23 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64364 31 32 326 261 1 172 86 17 17 289 -1 unnamed_device 24.4 MiB 0.85 743 11993 4026 5805 2162 62.9 MiB 0.10 0.00 4.14331 -121.523 -4.14331 4.14331 0.65 0.000669773 0.000621473 0.0449726 0.0417766 44 2597 32 6.95648e+06 332941 787024. 2723.27 2.59 0.186581 0.1626 27778 195446 -1 1579 21 1324 2035 146086 33880 3.91111 3.91111 -121.462 -3.91111 0 0 997811. 3452.63 0.25 0.07 0.16 -1 -1 0.25 0.0276749 0.0242023 77 33 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 5.82 vpr 62.66 MiB -1 -1 0.15 17384 1 0.03 -1 -1 30472 -1 -1 13 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64168 29 32 262 224 1 161 74 17 17 289 -1 unnamed_device 24.1 MiB 1.41 616 10459 4329 5659 471 62.7 MiB 0.09 0.00 4.04737 -116.055 -4.04737 4.04737 0.65 0.00056569 0.000526132 0.0402796 0.0374848 42 2143 50 6.95648e+06 188184 744469. 2576.02 1.73 0.173164 0.150282 27202 183097 -1 1571 22 1189 1496 121997 26928 3.32882 3.32882 -111.78 -3.32882 0 0 949917. 3286.91 0.23 0.07 0.10 -1 -1 0.23 0.027278 0.0238507 67 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 10.66 vpr 62.73 MiB -1 -1 0.15 17252 1 0.03 -1 -1 30052 -1 -1 9 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64240 32 32 278 238 1 144 73 17 17 289 -1 unnamed_device 24.2 MiB 1.26 561 11321 4813 6209 299 62.7 MiB 0.10 0.00 3.85356 -111.135 -3.85356 3.85356 0.65 0.000601665 0.000559752 0.0471938 0.043867 40 1771 25 6.95648e+06 130281 706193. 2443.58 6.56 0.269242 0.232217 26914 176310 -1 1463 37 1552 2418 363039 142367 3.13687 3.13687 -111.746 -3.13687 0 0 926341. 3205.33 0.23 0.14 0.15 -1 -1 0.23 0.0387793 0.0334343 56 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 5.53 vpr 63.17 MiB -1 -1 0.21 17792 1 0.03 -1 -1 30260 -1 -1 24 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64684 31 32 373 300 1 174 87 17 17 289 -1 unnamed_device 24.6 MiB 1.01 696 13719 4819 6392 2508 63.2 MiB 0.12 0.00 3.46983 -115.227 -3.46983 3.46983 0.66 0.000732537 0.000679221 0.0546501 0.0507584 44 2085 27 6.95648e+06 347416 787024. 2723.27 1.63 0.201263 0.175924 27778 195446 -1 1582 23 1647 2194 169180 36742 3.00057 3.00057 -113.892 -3.00057 0 0 997811. 3452.63 0.25 0.08 0.16 -1 -1 0.25 0.0322461 0.0280983 79 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 7.08 vpr 62.79 MiB -1 -1 0.15 17400 1 0.03 -1 -1 30344 -1 -1 12 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64296 31 32 265 230 1 159 75 17 17 289 -1 unnamed_device 24.2 MiB 2.28 587 9081 3433 3891 1757 62.8 MiB 0.08 0.00 3.99537 -118.981 -3.99537 3.99537 0.68 0.000572852 0.000532843 0.0351489 0.032706 44 2307 34 6.95648e+06 173708 787024. 2723.27 1.95 0.146461 0.127286 27778 195446 -1 1553 21 1133 1566 124116 28356 3.46822 3.46822 -116.107 -3.46822 0 0 997811. 3452.63 0.25 0.06 0.17 -1 -1 0.25 0.0241094 0.0210356 64 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 6.37 vpr 63.32 MiB -1 -1 0.20 17516 1 0.03 -1 -1 30196 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64836 32 32 349 286 1 165 86 17 17 289 -1 unnamed_device 24.8 MiB 1.40 827 13505 5541 6681 1283 63.3 MiB 0.12 0.00 3.208 -113.036 -3.208 3.208 0.65 0.000697409 0.000646763 0.052045 0.0483385 40 2180 23 6.95648e+06 318465 706193. 2443.58 2.08 0.182403 0.159849 26914 176310 -1 1944 22 1395 2260 237037 48189 3.27047 3.27047 -118.143 -3.27047 0 0 926341. 3205.33 0.23 0.09 0.15 -1 -1 0.23 0.0300314 0.0262667 71 57 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 12.73 vpr 63.33 MiB -1 -1 0.17 17748 1 0.03 -1 -1 30284 -1 -1 15 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64848 31 32 396 325 1 176 78 17 17 289 -1 unnamed_device 24.8 MiB 1.85 717 9706 3985 5340 381 63.3 MiB 0.10 0.00 3.995 -134.818 -3.995 3.995 0.66 0.000750151 0.000695667 0.0467054 0.0433918 40 2180 49 6.95648e+06 217135 706193. 2443.58 8.12 0.370641 0.319297 26914 176310 -1 1785 21 1473 1992 159433 36664 3.82681 3.82681 -135.82 -3.82681 0 0 926341. 3205.33 0.23 0.08 0.15 -1 -1 0.23 0.0308506 0.0269709 73 91 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 6.19 vpr 62.76 MiB -1 -1 0.16 17384 1 0.03 -1 -1 30292 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64268 32 32 303 262 1 145 74 17 17 289 -1 unnamed_device 24.2 MiB 1.20 546 10149 3579 4930 1640 62.8 MiB 0.09 0.00 2.9023 -96.8242 -2.9023 2.9023 0.66 0.000620783 0.000576793 0.0428319 0.0398382 46 1638 37 6.95648e+06 144757 828058. 2865.25 2.21 0.180608 0.157182 28066 200906 -1 1065 31 1105 1702 170168 64073 2.92452 2.92452 -98.2858 -2.92452 0 0 1.01997e+06 3529.29 0.25 0.09 0.16 -1 -1 0.25 0.0347854 0.0300585 57 57 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 6.19 vpr 62.80 MiB -1 -1 0.19 17508 1 0.03 -1 -1 30284 -1 -1 11 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64308 32 32 290 244 1 170 75 17 17 289 -1 unnamed_device 24.4 MiB 1.31 688 11293 4712 6328 253 62.8 MiB 0.10 0.00 4.09973 -130.941 -4.09973 4.09973 0.65 0.000612306 0.000569525 0.0463918 0.0431701 46 2135 28 6.95648e+06 159232 828058. 2865.25 2.05 0.173172 0.151269 28066 200906 -1 1698 23 1365 1978 168465 36966 3.48812 3.48812 -125.856 -3.48812 0 0 1.01997e+06 3529.29 0.25 0.08 0.17 -1 -1 0.25 0.0274162 0.0238661 70 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 7.84 vpr 62.98 MiB -1 -1 0.15 17900 1 0.03 -1 -1 30284 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64492 32 32 318 257 1 188 78 17 17 289 -1 unnamed_device 24.4 MiB 2.13 759 11034 4566 6063 405 63.0 MiB 0.10 0.00 4.18668 -129.57 -4.18668 4.18668 0.65 0.00065731 0.00061006 0.0470595 0.0437359 40 2605 27 6.95648e+06 202660 706193. 2443.58 2.92 0.183581 0.160448 26914 176310 -1 2030 28 2034 2690 321469 108944 4.18692 4.18692 -144.182 -4.18692 0 0 926341. 3205.33 0.23 0.12 0.15 -1 -1 0.23 0.0343902 0.0299018 79 30 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 5.84 vpr 63.20 MiB -1 -1 0.11 17852 1 0.03 -1 -1 30124 -1 -1 21 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64720 29 32 324 268 1 162 82 17 17 289 -1 unnamed_device 24.7 MiB 1.09 716 10228 3612 4706 1910 63.2 MiB 0.09 0.00 4.16289 -113.847 -4.16289 4.16289 0.65 0.000651684 0.000605773 0.0399302 0.0371575 40 2239 28 6.95648e+06 303989 706193. 2443.58 2.06 0.174298 0.151588 26914 176310 -1 1916 21 1219 1919 167378 38434 3.83102 3.83102 -120.807 -3.83102 0 0 926341. 3205.33 0.23 0.07 0.15 -1 -1 0.23 0.0270612 0.0235779 71 55 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 6.84 vpr 63.37 MiB -1 -1 0.19 17648 1 0.03 -1 -1 30444 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64888 32 32 393 312 1 206 78 17 17 289 -1 unnamed_device 24.9 MiB 1.40 846 13524 5903 7163 458 63.4 MiB 0.13 0.00 4.885 -157.826 -4.885 4.885 0.71 0.000758611 0.000704125 0.0646907 0.060133 56 2698 26 6.95648e+06 202660 973134. 3367.25 2.35 0.218336 0.19153 29794 239141 -1 2164 24 2275 3274 346015 70595 4.53181 4.53181 -153.712 -4.53181 0 0 1.19926e+06 4149.71 0.32 0.12 0.20 -1 -1 0.32 0.0352502 0.0308107 89 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 5.84 vpr 62.71 MiB -1 -1 0.17 17232 1 0.03 -1 -1 30396 -1 -1 13 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64212 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 24.2 MiB 1.28 501 12076 4244 5318 2514 62.7 MiB 0.08 0.00 3.74884 -94.0057 -3.74884 3.74884 0.66 0.000405556 0.000370242 0.0413969 0.0384571 40 1910 34 6.95648e+06 188184 706193. 2443.58 1.86 0.157114 0.136809 26914 176310 -1 1432 21 999 1536 124979 30089 3.24152 3.24152 -100.677 -3.24152 0 0 926341. 3205.33 0.23 0.06 0.16 -1 -1 0.23 0.0224647 0.0196092 54 4 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 6.32 vpr 63.67 MiB -1 -1 0.18 17576 1 0.03 -1 -1 30268 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65200 32 32 412 334 1 182 89 17 17 289 -1 unnamed_device 25.0 MiB 1.06 1008 14543 5389 7197 1957 63.7 MiB 0.13 0.00 3.70954 -138.278 -3.70954 3.70954 0.65 0.000775732 0.000717393 0.059727 0.055205 40 2412 20 6.95648e+06 361892 706193. 2443.58 2.41 0.211717 0.185414 26914 176310 -1 2154 19 1653 2182 212075 52958 3.94551 3.94551 -149.35 -3.94551 0 0 926341. 3205.33 0.24 0.09 0.15 -1 -1 0.24 0.0296428 0.0260042 81 90 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 8.51 vpr 63.04 MiB -1 -1 0.11 17620 1 0.03 -1 -1 30124 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64556 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 24.6 MiB 2.75 599 11389 4446 5401 1542 63.0 MiB 0.11 0.00 2.96105 -112.244 -2.96105 2.96105 0.72 0.000713953 0.000661914 0.0549607 0.0510375 38 1957 49 6.95648e+06 144757 678818. 2348.85 2.97 0.227989 0.198562 26626 170182 -1 1545 21 1439 1962 170499 37127 3.32342 3.32342 -127.868 -3.32342 0 0 902133. 3121.57 0.22 0.08 0.15 -1 -1 0.22 0.0293941 0.0256569 61 96 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 6.13 vpr 63.20 MiB -1 -1 0.20 17748 1 0.03 -1 -1 30432 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64720 32 32 360 293 1 172 86 17 17 289 -1 unnamed_device 24.6 MiB 1.14 728 11993 4280 5583 2130 63.2 MiB 0.12 0.00 4.11943 -125.672 -4.11943 4.11943 0.66 0.000629413 0.000577862 0.0565471 0.0525645 44 2660 42 6.95648e+06 318465 787024. 2723.27 2.05 0.221043 0.193575 27778 195446 -1 1849 23 1170 1786 148503 34066 3.72046 3.72046 -123.66 -3.72046 0 0 997811. 3452.63 0.25 0.08 0.17 -1 -1 0.25 0.0313019 0.0272787 75 60 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 9.08 vpr 63.44 MiB -1 -1 0.12 17796 1 0.03 -1 -1 30344 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64960 32 32 396 299 1 224 79 17 17 289 -1 unnamed_device 25.0 MiB 1.65 1133 13599 5570 7048 981 63.4 MiB 0.14 0.00 6.01533 -177.28 -6.01533 6.01533 0.65 0.000782345 0.000726413 0.0655167 0.0608605 46 3137 40 6.95648e+06 217135 828058. 2865.25 4.53 0.247595 0.217646 28066 200906 -1 2522 22 2092 2983 241006 49112 4.93995 4.93995 -170.158 -4.93995 0 0 1.01997e+06 3529.29 0.25 0.10 0.17 -1 -1 0.25 0.0341662 0.0299909 95 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 6.71 vpr 62.50 MiB -1 -1 0.14 17428 1 0.03 -1 -1 30180 -1 -1 11 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64004 30 32 224 207 1 132 73 17 17 289 -1 unnamed_device 24.1 MiB 2.30 506 10409 4642 5419 348 62.5 MiB 0.08 0.00 2.68965 -94.6691 -2.68965 2.68965 0.65 0.000497957 0.000463347 0.0360833 0.0335831 38 1556 24 6.95648e+06 159232 678818. 2348.85 1.75 0.135718 0.118044 26626 170182 -1 1195 19 802 1041 94426 20467 2.45462 2.45462 -95.1551 -2.45462 0 0 902133. 3121.57 0.22 0.05 0.14 -1 -1 0.22 0.0194995 0.0170282 52 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 6.30 vpr 62.79 MiB -1 -1 0.17 17336 1 0.03 -1 -1 30092 -1 -1 11 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64300 30 32 286 239 1 135 73 17 17 289 -1 unnamed_device 24.2 MiB 1.29 453 9649 4016 5181 452 62.8 MiB 0.08 0.00 3.70034 -111.62 -3.70034 3.70034 0.65 0.000599326 0.000557058 0.0403097 0.0375021 46 1640 50 6.95648e+06 159232 828058. 2865.25 2.23 0.183932 0.159615 28066 200906 -1 1226 20 1006 1462 125465 30002 3.05703 3.05703 -110.049 -3.05703 0 0 1.01997e+06 3529.29 0.25 0.07 0.17 -1 -1 0.25 0.0240189 0.0209265 54 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 5.66 vpr 62.81 MiB -1 -1 0.17 17588 1 0.03 -1 -1 30328 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64320 32 32 296 247 1 152 74 17 17 289 -1 unnamed_device 24.2 MiB 0.45 657 10304 4396 5657 251 62.8 MiB 0.09 0.00 3.0756 -108.291 -3.0756 3.0756 0.66 0.000622949 0.000579266 0.043702 0.0406679 48 2011 23 6.95648e+06 144757 865456. 2994.66 2.39 0.167332 0.145994 28354 207349 -1 1649 24 1360 2172 225132 50412 3.10392 3.10392 -114.589 -3.10392 0 0 1.05005e+06 3633.38 0.27 0.09 0.17 -1 -1 0.27 0.0285511 0.024828 59 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 5.21 vpr 62.55 MiB -1 -1 0.16 17372 1 0.03 -1 -1 30184 -1 -1 18 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64048 25 32 216 194 1 121 75 17 17 289 -1 unnamed_device 24.1 MiB 0.42 433 7975 3255 4078 642 62.5 MiB 0.06 0.00 3.29759 -76.2304 -3.29759 3.29759 0.65 0.000475371 0.000442208 0.0259151 0.0241298 38 1530 37 6.95648e+06 260562 678818. 2348.85 2.00 0.134129 0.115721 26626 170182 -1 1053 23 716 1101 70310 18227 2.97562 2.97562 -82.152 -2.97562 0 0 902133. 3121.57 0.32 0.04 0.16 -1 -1 0.32 0.0140964 0.0124832 53 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 10.33 vpr 63.16 MiB -1 -1 0.20 17676 1 0.03 -1 -1 30216 -1 -1 12 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64672 32 32 376 307 1 178 76 17 17 289 -1 unnamed_device 24.6 MiB 1.63 736 10796 3697 5176 1923 63.2 MiB 0.10 0.00 3.75962 -125.032 -3.75962 3.75962 0.65 0.000740279 0.000687672 0.0522149 0.048514 46 3469 47 6.95648e+06 173708 828058. 2865.25 5.69 0.228212 0.198813 28066 200906 -1 2274 26 1722 2861 316286 80992 4.55982 4.55982 -147.737 -4.55982 0 0 1.01997e+06 3529.29 0.28 0.13 0.17 -1 -1 0.28 0.0395094 0.0345485 73 72 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 6.83 vpr 63.30 MiB -1 -1 0.13 17516 1 0.03 -1 -1 30236 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64816 31 32 409 331 1 183 80 17 17 289 -1 unnamed_device 24.6 MiB 1.09 761 10744 4472 5806 466 63.3 MiB 0.10 0.00 4.07648 -139.886 -4.07648 4.07648 0.65 0.000777763 0.000721569 0.0513405 0.047688 40 2524 30 6.95648e+06 246087 706193. 2443.58 2.83 0.214045 0.186602 26914 176310 -1 2082 24 1894 2565 277033 61234 3.75172 3.75172 -141.408 -3.75172 0 0 926341. 3205.33 0.26 0.11 0.19 -1 -1 0.26 0.0351576 0.0306181 80 90 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 18.57 vpr 62.96 MiB -1 -1 0.20 17600 1 0.03 -1 -1 30280 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64472 32 32 354 285 1 206 79 17 17 289 -1 unnamed_device 24.4 MiB 1.44 820 12416 4576 5562 2278 63.0 MiB 0.11 0.00 5.01635 -146.768 -5.01635 5.01635 0.65 0.000589787 0.000538986 0.0530153 0.0490407 46 2906 37 6.99608e+06 220735 828058. 2865.25 14.13 0.355297 0.306063 28066 200906 -1 1988 21 1560 2181 170932 41761 4.40451 4.40451 -147.033 -4.40451 0 0 1.01997e+06 3529.29 0.26 0.08 0.17 -1 -1 0.26 0.0293116 0.0256771 88 50 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 7.00 vpr 62.88 MiB -1 -1 0.20 17668 1 0.03 -1 -1 30388 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64388 30 32 363 293 1 224 79 17 17 289 -1 unnamed_device 24.6 MiB 1.25 962 11233 3707 5934 1592 62.9 MiB 0.11 0.00 5.03284 -151.156 -5.03284 5.03284 0.65 0.000710814 0.000659744 0.0499474 0.0464076 48 2930 36 6.99608e+06 250167 865456. 2994.66 2.81 0.20511 0.178802 28354 207349 -1 2454 22 2109 3060 320643 66754 4.64259 4.64259 -159.254 -4.64259 0 0 1.05005e+06 3633.38 0.26 0.11 0.18 -1 -1 0.26 0.0306402 0.0267643 99 63 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 17.36 vpr 62.78 MiB -1 -1 0.18 17420 1 0.02 -1 -1 30224 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64284 32 32 299 247 1 183 78 17 17 289 -1 unnamed_device 24.3 MiB 0.71 839 12196 5162 6681 353 62.8 MiB 0.10 0.00 3.55379 -113.123 -3.55379 3.55379 0.66 0.000628947 0.000584638 0.0486023 0.0451899 42 2585 35 6.99608e+06 206020 744469. 2576.02 13.60 0.337699 0.291575 27202 183097 -1 1882 23 1419 1986 154978 35763 3.65286 3.65286 -116.181 -3.65286 0 0 949917. 3286.91 0.25 0.07 0.16 -1 -1 0.25 0.0278961 0.0242684 76 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 6.16 vpr 62.85 MiB -1 -1 0.19 17636 1 0.03 -1 -1 30276 -1 -1 16 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64356 29 32 308 248 1 179 77 17 17 289 -1 unnamed_device 24.3 MiB 1.17 700 12302 4830 6041 1431 62.8 MiB 0.11 0.00 4.05128 -116.185 -4.05128 4.05128 0.65 0.00063263 0.000587723 0.0503029 0.046777 44 2703 50 6.99608e+06 235451 787024. 2723.27 2.14 0.202105 0.176093 27778 195446 -1 1833 21 1187 1876 151742 33849 3.80801 3.80801 -121.421 -3.80801 0 0 997811. 3452.63 0.25 0.07 0.17 -1 -1 0.25 0.0269985 0.0236629 78 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 8.88 vpr 63.08 MiB -1 -1 0.18 17644 1 0.03 -1 -1 30248 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64592 32 32 336 268 1 194 78 17 17 289 -1 unnamed_device 24.6 MiB 2.22 903 10204 4241 5732 231 63.1 MiB 0.10 0.00 4.44731 -141.413 -4.44731 4.44731 0.66 0.000796444 0.000735068 0.0446521 0.0414665 40 3203 32 6.99608e+06 206020 706193. 2443.58 3.73 0.195362 0.170674 26914 176310 -1 2582 26 1925 3211 395909 108698 4.57915 4.57915 -156.213 -4.57915 0 0 926341. 3205.33 0.24 0.13 0.16 -1 -1 0.24 0.0340941 0.0297637 81 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 8.06 vpr 63.03 MiB -1 -1 0.17 17812 1 0.03 -1 -1 30312 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64540 32 32 366 295 1 221 81 17 17 289 -1 unnamed_device 24.3 MiB 2.58 903 12506 4545 6575 1386 63.0 MiB 0.12 0.00 3.38924 -119.322 -3.38924 3.38924 0.66 0.000722632 0.000671393 0.0539658 0.050095 50 2568 40 6.99608e+06 250167 902133. 3121.57 2.60 0.214287 0.18699 28642 213929 -1 2051 19 1572 2359 183553 42134 3.37616 3.37616 -126.643 -3.37616 0 0 1.08113e+06 3740.92 0.27 0.08 0.18 -1 -1 0.27 0.0277759 0.0243647 97 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 7.12 vpr 62.43 MiB -1 -1 0.17 17428 1 0.03 -1 -1 30688 -1 -1 15 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63924 27 32 259 221 1 154 74 17 17 289 -1 unnamed_device 23.9 MiB 1.35 527 10769 4406 5481 882 62.4 MiB 0.09 0.00 3.89582 -110.808 -3.89582 3.89582 0.65 0.000552724 0.000514098 0.0403215 0.0375213 36 2292 38 6.99608e+06 220735 648988. 2245.63 3.05 0.165319 0.143618 26050 158493 -1 1359 21 1244 1818 169708 37451 3.29456 3.29456 -109.219 -3.29456 0 0 828058. 2865.25 0.21 0.07 0.14 -1 -1 0.21 0.0231778 0.0201729 66 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 5.40 vpr 62.67 MiB -1 -1 0.19 17136 1 0.02 -1 -1 30100 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64176 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 24.0 MiB 0.31 664 11203 3028 6067 2108 62.7 MiB 0.09 0.00 2.75465 -88.1636 -2.75465 2.75465 0.65 0.000596878 0.000554547 0.0369359 0.0343117 40 2155 26 6.99608e+06 367892 706193. 2443.58 2.30 0.158794 0.138154 26914 176310 -1 1692 20 1158 1910 156293 36151 2.88741 2.88741 -100.184 -2.88741 0 0 926341. 3205.33 0.23 0.07 0.15 -1 -1 0.23 0.0235847 0.0205493 69 4 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 6.98 vpr 62.82 MiB -1 -1 0.17 17872 1 0.03 -1 -1 30092 -1 -1 14 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64324 31 32 317 271 1 204 77 17 17 289 -1 unnamed_device 24.2 MiB 0.85 886 12302 5141 6872 289 62.8 MiB 0.11 0.00 3.35914 -124.887 -3.35914 3.35914 0.66 0.000636463 0.000591143 0.0506287 0.0470579 38 2606 25 6.99608e+06 206020 678818. 2348.85 3.29 0.183094 0.159972 26626 170182 -1 2055 25 1818 2460 203278 42148 3.45687 3.45687 -127.895 -3.45687 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0302884 0.0263048 87 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 9.74 vpr 62.79 MiB -1 -1 0.17 17640 1 0.03 -1 -1 30152 -1 -1 13 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64292 32 32 298 248 1 181 77 17 17 289 -1 unnamed_device 24.4 MiB 0.80 886 11650 3739 6142 1769 62.8 MiB 0.10 0.00 3.93292 -137.573 -3.93292 3.93292 0.66 0.00074457 0.000692694 0.0471706 0.0438975 40 2221 25 6.99608e+06 191304 706193. 2443.58 6.21 0.289922 0.25029 26914 176310 -1 1877 19 1373 1734 139655 29281 3.35756 3.35756 -128.359 -3.35756 0 0 926341. 3205.33 0.23 0.07 0.10 -1 -1 0.23 0.0242107 0.0212019 75 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 5.78 vpr 62.77 MiB -1 -1 0.19 17372 1 0.03 -1 -1 30368 -1 -1 14 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64272 30 32 303 262 1 188 76 17 17 289 -1 unnamed_device 24.3 MiB 0.73 675 11436 3956 5372 2108 62.8 MiB 0.10 0.00 3.86033 -123.728 -3.86033 3.86033 0.65 0.000616095 0.000572464 0.0464509 0.0431826 44 2557 34 6.99608e+06 206020 787024. 2723.27 2.20 0.178379 0.155297 27778 195446 -1 1641 23 1524 2109 158603 37910 3.9203 3.9203 -128.16 -3.9203 0 0 997811. 3452.63 0.25 0.08 0.16 -1 -1 0.25 0.0272158 0.0236301 83 63 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 12.90 vpr 62.70 MiB -1 -1 0.18 17576 1 0.03 -1 -1 30064 -1 -1 11 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64200 32 32 276 237 1 165 75 17 17 289 -1 unnamed_device 24.1 MiB 0.64 784 8133 1910 6031 192 62.7 MiB 0.08 0.00 3.27288 -116.653 -3.27288 3.27288 0.66 0.000586028 0.000544474 0.0322971 0.0300487 38 2394 39 6.99608e+06 161872 678818. 2348.85 9.47 0.313154 0.26924 26626 170182 -1 1832 20 1203 1524 136412 27823 2.83937 2.83937 -113.586 -2.83937 0 0 902133. 3121.57 0.22 0.07 0.14 -1 -1 0.22 0.0238431 0.0208082 66 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 6.03 vpr 62.91 MiB -1 -1 0.19 17772 1 0.03 -1 -1 30376 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64424 32 32 344 272 1 201 79 17 17 289 -1 unnamed_device 24.4 MiB 0.75 822 13937 5978 7482 477 62.9 MiB 0.13 0.00 3.95082 -133.749 -3.95082 3.95082 0.65 0.000703775 0.000653066 0.0601516 0.0559281 44 2826 40 6.99608e+06 220735 787024. 2723.27 2.25 0.217678 0.190478 27778 195446 -1 2114 22 1906 2780 212010 46158 3.47486 3.47486 -129.119 -3.47486 0 0 997811. 3452.63 0.25 0.09 0.16 -1 -1 0.25 0.029933 0.0261734 87 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 8.67 vpr 63.46 MiB -1 -1 0.20 17796 1 0.03 -1 -1 30288 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64984 32 32 363 295 1 228 81 17 17 289 -1 unnamed_device 24.7 MiB 1.27 975 9706 2651 5494 1561 63.5 MiB 0.10 0.00 4.79397 -141.28 -4.79397 4.79397 0.66 0.000718969 0.000667985 0.0427886 0.0398274 40 3303 38 6.99608e+06 250167 706193. 2443.58 4.39 0.205617 0.1791 26914 176310 -1 2620 23 2520 3437 448745 95617 4.80751 4.80751 -162.56 -4.80751 0 0 926341. 3205.33 0.23 0.13 0.15 -1 -1 0.23 0.0317509 0.0277165 97 61 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 7.52 vpr 62.43 MiB -1 -1 0.15 17256 1 0.03 -1 -1 30372 -1 -1 13 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63924 29 32 248 215 1 155 74 17 17 289 -1 unnamed_device 23.9 MiB 2.49 630 8909 3655 4893 361 62.4 MiB 0.07 0.00 3.0564 -89.3526 -3.0564 3.0564 0.66 0.000545752 0.000507644 0.0334219 0.0310963 38 2055 28 6.99608e+06 191304 678818. 2348.85 2.35 0.147991 0.128438 26626 170182 -1 1643 20 1092 1545 126544 27809 2.99782 2.99782 -99.322 -2.99782 0 0 902133. 3121.57 0.22 0.06 0.14 -1 -1 0.22 0.0216198 0.0188189 64 27 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 6.37 vpr 63.06 MiB -1 -1 0.19 17900 1 0.03 -1 -1 30312 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64572 32 32 370 297 1 222 80 17 17 289 -1 unnamed_device 24.4 MiB 1.23 999 13840 5885 7630 325 63.1 MiB 0.13 0.00 3.63599 -124.523 -3.63599 3.63599 0.65 0.000727513 0.000675786 0.0614215 0.0570519 42 3382 43 6.99608e+06 235451 744469. 2576.02 2.30 0.234721 0.205448 27202 183097 -1 2337 22 1991 3046 222901 49590 3.85421 3.85421 -132.716 -3.85421 0 0 949917. 3286.91 0.24 0.09 0.13 -1 -1 0.24 0.0314076 0.0274286 96 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 6.01 vpr 62.77 MiB -1 -1 0.19 17564 1 0.03 -1 -1 30088 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64280 32 32 338 269 1 198 79 17 17 289 -1 unnamed_device 24.3 MiB 0.72 791 13092 5076 6583 1433 62.8 MiB 0.12 0.00 4.34151 -134.806 -4.34151 4.34151 0.66 0.000687402 0.000638612 0.0558908 0.0519683 46 2501 32 6.99608e+06 220735 828058. 2865.25 2.44 0.201721 0.17627 28066 200906 -1 1778 18 1408 1828 128340 29719 3.24426 3.24426 -123.925 -3.24426 0 0 1.01997e+06 3529.29 0.25 0.07 0.17 -1 -1 0.25 0.0255575 0.0224393 84 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 6.22 vpr 62.91 MiB -1 -1 0.19 17428 1 0.03 -1 -1 30324 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64424 32 32 323 276 1 210 79 17 17 289 -1 unnamed_device 24.3 MiB 0.74 778 13261 3730 7601 1930 62.9 MiB 0.12 0.00 3.17504 -117.557 -3.17504 3.17504 0.65 0.000651425 0.000605466 0.0536418 0.0498961 50 2131 33 6.99608e+06 220735 902133. 3121.57 2.56 0.193122 0.168806 28642 213929 -1 1590 21 1617 2041 147949 35563 3.02106 3.02106 -117.33 -3.02106 0 0 1.08113e+06 3740.92 0.26 0.08 0.18 -1 -1 0.26 0.0273641 0.0239378 89 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 5.80 vpr 62.32 MiB -1 -1 0.13 17416 1 0.02 -1 -1 30084 -1 -1 10 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63812 30 32 222 206 1 131 72 17 17 289 -1 unnamed_device 23.7 MiB 1.65 513 10949 4743 5895 311 62.3 MiB 0.08 0.00 2.33546 -88.3817 -2.33546 2.33546 0.65 0.000499163 0.000464337 0.0379831 0.0353257 40 1324 27 6.99608e+06 147157 706193. 2443.58 1.48 0.145752 0.126979 26914 176310 -1 1181 23 764 860 93166 20453 2.25983 2.25983 -86.0791 -2.25983 0 0 926341. 3205.33 0.23 0.06 0.15 -1 -1 0.23 0.0219292 0.0190238 52 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 7.00 vpr 62.75 MiB -1 -1 0.19 17380 1 0.03 -1 -1 30136 -1 -1 13 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64256 31 32 291 243 1 171 76 17 17 289 -1 unnamed_device 24.0 MiB 1.91 843 8236 2227 5366 643 62.8 MiB 0.08 0.00 3.78247 -126.288 -3.78247 3.78247 0.66 0.000611533 0.000568574 0.0350667 0.0326315 38 2536 29 6.99608e+06 191304 678818. 2348.85 2.27 0.162358 0.14107 26626 170182 -1 2106 23 1547 2212 217668 42716 3.58136 3.58136 -136.48 -3.58136 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0271511 0.0236362 72 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 6.00 vpr 62.90 MiB -1 -1 0.18 17868 1 0.03 -1 -1 30492 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64412 32 32 342 271 1 201 84 17 17 289 -1 unnamed_device 24.4 MiB 1.21 802 15273 5455 7440 2378 62.9 MiB 0.13 0.00 3.98218 -132.203 -3.98218 3.98218 0.66 0.000696333 0.000644477 0.0567516 0.0522826 44 2430 42 6.99608e+06 294314 787024. 2723.27 1.90 0.210441 0.183411 27778 195446 -1 2006 22 2002 2886 210270 47858 3.85615 3.85615 -138.988 -3.85615 0 0 997811. 3452.63 0.25 0.09 0.16 -1 -1 0.25 0.0298214 0.0260843 88 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 9.67 vpr 63.13 MiB -1 -1 0.14 17772 1 0.03 -1 -1 30216 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64648 32 32 372 300 1 225 80 17 17 289 -1 unnamed_device 24.7 MiB 2.02 1225 15044 5236 8229 1579 63.1 MiB 0.14 0.00 4.6726 -146.803 -4.6726 4.6726 0.66 0.000731398 0.000678663 0.067029 0.0622993 40 3256 42 6.99608e+06 235451 706193. 2443.58 4.79 0.23958 0.210237 26914 176310 -1 2959 22 2174 3170 324163 61807 4.397 4.397 -154.131 -4.397 0 0 926341. 3205.33 0.23 0.11 0.15 -1 -1 0.23 0.0316332 0.0276442 100 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 5.98 vpr 62.21 MiB -1 -1 0.13 17324 1 0.03 -1 -1 30696 -1 -1 13 26 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63700 26 32 190 182 1 123 71 17 17 289 -1 unnamed_device 23.4 MiB 1.91 422 8539 3493 4523 523 62.2 MiB 0.06 0.00 2.7298 -77.3475 -2.7298 2.7298 0.65 0.000430225 0.000399841 0.0271182 0.0252412 38 1230 26 6.99608e+06 191304 678818. 2348.85 1.45 0.114103 0.0988812 26626 170182 -1 988 17 660 740 59916 14111 2.52491 2.52491 -76.7508 -2.52491 0 0 902133. 3121.57 0.22 0.04 0.14 -1 -1 0.22 0.0153494 0.0134321 53 30 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 5.51 vpr 62.64 MiB -1 -1 0.10 17264 1 0.03 -1 -1 30320 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64140 32 32 285 227 1 162 79 17 17 289 -1 unnamed_device 24.0 MiB 0.87 692 10050 3569 4878 1603 62.6 MiB 0.09 0.00 4.56174 -113.848 -4.56174 4.56174 0.65 0.000618709 0.000575837 0.0390741 0.0364104 40 2097 25 6.99608e+06 220735 706193. 2443.58 1.91 0.162892 0.141948 26914 176310 -1 1605 23 1263 2079 137488 34805 3.61236 3.61236 -117.368 -3.61236 0 0 926341. 3205.33 0.23 0.07 0.16 -1 -1 0.23 0.0274064 0.0238741 66 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 4.51 vpr 62.16 MiB -1 -1 0.16 16984 1 0.03 -1 -1 29996 -1 -1 8 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63652 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 23.7 MiB 0.20 399 10055 4241 5582 232 62.2 MiB 0.07 0.00 2.06111 -67.7592 -2.06111 2.06111 0.65 0.000423783 0.000393376 0.0299726 0.0278495 36 1381 35 6.99608e+06 117725 648988. 2245.63 1.63 0.123234 0.10727 26050 158493 -1 935 18 613 680 59062 14806 1.90102 1.90102 -72.2718 -1.90102 0 0 828058. 2865.25 0.24 0.06 0.14 -1 -1 0.24 0.0217574 0.0194172 42 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 6.75 vpr 62.90 MiB -1 -1 0.17 17636 1 0.03 -1 -1 30112 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64412 32 32 300 245 1 178 78 17 17 289 -1 unnamed_device 24.5 MiB 1.12 805 13358 5696 7249 413 62.9 MiB 0.11 0.00 4.47086 -121.677 -4.47086 4.47086 0.65 0.000633212 0.000588433 0.0534467 0.0496924 38 2634 33 6.99608e+06 206020 678818. 2348.85 2.86 0.179235 0.156737 26626 170182 -1 2001 18 1258 1814 144356 32185 4.05506 4.05506 -129.534 -4.05506 0 0 902133. 3121.57 0.21 0.05 0.10 -1 -1 0.21 0.0207965 0.0184245 73 24 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 5.40 vpr 62.68 MiB -1 -1 0.17 17244 1 0.04 -1 -1 30476 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 24.0 MiB 0.45 715 11617 3653 5870 2094 62.7 MiB 0.10 0.00 2.89821 -97.4108 -2.89821 2.89821 0.67 0.000637666 0.000593237 0.0421677 0.0392063 40 2334 43 6.99608e+06 309029 706193. 2443.58 2.16 0.186863 0.162504 26914 176310 -1 1764 22 1317 2198 155518 39145 2.91362 2.91362 -107.306 -2.91362 0 0 926341. 3205.33 0.23 0.08 0.15 -1 -1 0.23 0.0278753 0.0243094 74 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 8.60 vpr 62.93 MiB -1 -1 0.11 17752 1 0.03 -1 -1 30292 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64440 32 32 338 277 1 205 79 17 17 289 -1 unnamed_device 24.4 MiB 1.35 800 6839 1729 4140 970 62.9 MiB 0.07 0.00 4.20669 -125.419 -4.20669 4.20669 0.65 0.000678913 0.000631073 0.0298671 0.0277761 46 2866 37 6.99608e+06 220735 828058. 2865.25 4.39 0.186298 0.161664 28066 200906 -1 1963 27 1930 2965 207915 54574 3.98026 3.98026 -130.663 -3.98026 0 0 1.01997e+06 3529.29 0.26 0.10 0.17 -1 -1 0.26 0.0343832 0.0299487 87 50 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 6.47 vpr 62.56 MiB -1 -1 0.11 17372 1 0.03 -1 -1 30092 -1 -1 12 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64060 32 32 284 241 1 168 76 17 17 289 -1 unnamed_device 24.1 MiB 2.08 688 11116 4644 6232 240 62.6 MiB 0.11 0.00 3.13575 -107.33 -3.13575 3.13575 0.66 0.00060428 0.000561779 0.0542066 0.0504363 40 2069 25 6.99608e+06 176588 706193. 2443.58 1.58 0.174744 0.152964 26914 176310 -1 1705 19 1205 1671 144211 32934 2.85647 2.85647 -115.13 -2.85647 0 0 926341. 3205.33 0.23 0.07 0.15 -1 -1 0.23 0.0214679 0.0188742 69 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 7.16 vpr 62.60 MiB -1 -1 0.15 17528 1 0.03 -1 -1 30476 -1 -1 14 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64104 30 32 262 227 1 160 76 17 17 289 -1 unnamed_device 24.1 MiB 1.28 579 8876 3271 4297 1308 62.6 MiB 0.07 0.00 3.70857 -107.816 -3.70857 3.70857 0.65 0.000571018 0.000531104 0.0335408 0.0311965 46 2274 40 6.99608e+06 206020 828058. 2865.25 3.11 0.16087 0.139393 28066 200906 -1 1494 18 1131 1685 141949 32817 3.31781 3.31781 -110.058 -3.31781 0 0 1.01997e+06 3529.29 0.25 0.06 0.18 -1 -1 0.25 0.0209057 0.0182897 66 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 5.52 vpr 62.57 MiB -1 -1 0.17 17512 1 0.03 -1 -1 30160 -1 -1 18 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64076 28 32 260 223 1 152 78 17 17 289 -1 unnamed_device 24.0 MiB 0.70 581 9540 3893 5214 433 62.6 MiB 0.08 0.00 3.25804 -101.918 -3.25804 3.25804 0.67 0.00055774 0.000518787 0.0339713 0.0315977 40 1989 36 6.99608e+06 264882 706193. 2443.58 2.09 0.156232 0.135292 26914 176310 -1 1716 21 1169 1835 175888 37843 3.24451 3.24451 -111.764 -3.24451 0 0 926341. 3205.33 0.23 0.07 0.12 -1 -1 0.23 0.0233008 0.0202391 69 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 5.34 vpr 62.37 MiB -1 -1 0.18 17124 1 0.03 -1 -1 30276 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63868 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 23.9 MiB 0.33 677 11234 4696 6284 254 62.4 MiB 0.09 0.00 3.31833 -109.934 -3.31833 3.31833 0.65 0.000570039 0.000530094 0.0434023 0.040418 38 2069 49 6.99608e+06 147157 678818. 2348.85 2.28 0.180239 0.157241 26626 170182 -1 1632 22 1173 1750 152835 32234 3.08097 3.08097 -114.127 -3.08097 0 0 902133. 3121.57 0.22 0.07 0.14 -1 -1 0.22 0.0242196 0.021089 58 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 7.88 vpr 62.59 MiB -1 -1 0.19 17588 1 0.03 -1 -1 30236 -1 -1 13 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64096 31 32 271 231 1 165 76 17 17 289 -1 unnamed_device 24.0 MiB 0.71 656 7596 1857 5260 479 62.6 MiB 0.07 0.00 3.30918 -105.476 -3.30918 3.30918 0.65 0.000581269 0.000540049 0.0298331 0.0277739 36 2831 44 6.99608e+06 191304 648988. 2245.63 4.49 0.169873 0.146982 26050 158493 -1 1963 22 1288 1769 137287 33344 3.28422 3.28422 -119.957 -3.28422 0 0 828058. 2865.25 0.21 0.07 0.13 -1 -1 0.21 0.0247293 0.0215313 69 30 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 7.23 vpr 62.71 MiB -1 -1 0.19 17356 1 0.03 -1 -1 30436 -1 -1 15 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64216 29 32 291 250 1 180 76 17 17 289 -1 unnamed_device 24.3 MiB 2.29 919 9036 2362 6094 580 62.7 MiB 0.08 0.00 2.93125 -106.214 -2.93125 2.93125 0.65 0.000599553 0.000557819 0.0358315 0.0333743 38 2275 29 6.99608e+06 220735 678818. 2348.85 2.20 0.160573 0.139395 26626 170182 -1 1906 20 1248 1666 131418 27524 2.54072 2.54072 -103.379 -2.54072 0 0 902133. 3121.57 0.22 0.06 0.14 -1 -1 0.22 0.0241256 0.0210397 77 54 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 6.30 vpr 63.09 MiB -1 -1 0.20 17852 1 0.03 -1 -1 30500 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64604 32 32 367 282 1 217 80 17 17 289 -1 unnamed_device 24.4 MiB 1.06 980 13324 5630 7408 286 63.1 MiB 0.13 0.00 4.30703 -125.875 -4.30703 4.30703 0.65 0.000710701 0.000656862 0.0597547 0.0555019 48 2769 27 6.99608e+06 235451 865456. 2994.66 2.31 0.209841 0.183906 28354 207349 -1 2305 21 1566 2487 218085 46245 3.85107 3.85107 -126.186 -3.85107 0 0 1.05005e+06 3633.38 0.26 0.09 0.17 -1 -1 0.26 0.030632 0.0268359 92 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 7.46 vpr 63.14 MiB -1 -1 0.19 17556 1 0.03 -1 -1 30348 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64652 32 32 391 311 1 244 83 17 17 289 -1 unnamed_device 24.6 MiB 1.37 1014 12683 4657 5804 2222 63.1 MiB 0.13 0.00 4.21676 -146.737 -4.21676 4.21676 0.67 0.000757846 0.000702727 0.0563138 0.0522856 40 3377 27 6.99608e+06 279598 706193. 2443.58 3.26 0.214158 0.187747 26914 176310 -1 2683 22 2481 3505 303631 63000 4.1642 4.1642 -153.469 -4.1642 0 0 926341. 3205.33 0.23 0.11 0.14 -1 -1 0.23 0.0326596 0.028586 106 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 6.02 vpr 62.72 MiB -1 -1 0.20 17224 1 0.03 -1 -1 30144 -1 -1 11 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64228 31 32 279 237 1 157 74 17 17 289 -1 unnamed_device 24.1 MiB 1.13 880 9374 3265 4936 1173 62.7 MiB 0.08 0.00 3.62727 -120.557 -3.62727 3.62727 0.67 0.000597165 0.000555757 0.038331 0.035701 38 2274 42 6.99608e+06 161872 678818. 2348.85 2.20 0.172618 0.149955 26626 170182 -1 1870 21 1290 1839 154887 30757 3.07597 3.07597 -117.571 -3.07597 0 0 902133. 3121.57 0.24 0.04 0.14 -1 -1 0.24 0.013593 0.0120469 66 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 7.00 vpr 63.00 MiB -1 -1 0.19 17820 1 0.03 -1 -1 30336 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64508 31 32 370 297 1 226 80 17 17 289 -1 unnamed_device 24.3 MiB 1.41 969 14528 6235 7667 626 63.0 MiB 0.13 0.00 3.54759 -121.928 -3.54759 3.54759 0.65 0.000731267 0.00067358 0.0653388 0.0606558 44 3091 40 6.99608e+06 250167 787024. 2723.27 2.67 0.229408 0.200643 27778 195446 -1 2114 23 1808 2557 218723 48461 3.43406 3.43406 -125.843 -3.43406 0 0 997811. 3452.63 0.25 0.09 0.17 -1 -1 0.25 0.0329064 0.0287835 99 61 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 7.80 vpr 63.23 MiB -1 -1 0.21 17640 1 0.04 -1 -1 30276 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64748 31 32 377 302 1 235 80 17 17 289 -1 unnamed_device 24.8 MiB 1.39 989 9196 3129 4406 1661 63.2 MiB 0.09 0.00 5.24281 -163.942 -5.24281 5.24281 0.66 0.00072925 0.000676716 0.0419016 0.0389197 46 3107 34 6.99608e+06 250167 828058. 2865.25 3.43 0.201737 0.175578 28066 200906 -1 2427 24 2260 3261 322064 66079 4.9951 4.9951 -167.895 -4.9951 0 0 1.01997e+06 3529.29 0.27 0.11 0.17 -1 -1 0.27 0.0342895 0.0300129 104 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 8.67 vpr 63.34 MiB -1 -1 0.21 17796 1 0.03 -1 -1 30560 -1 -1 18 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64864 31 32 383 305 1 233 81 17 17 289 -1 unnamed_device 24.6 MiB 2.80 930 9881 4037 5524 320 63.3 MiB 0.10 0.00 5.08213 -159.731 -5.08213 5.08213 0.70 0.000743378 0.000688872 0.044819 0.0416111 44 3191 49 6.99608e+06 264882 787024. 2723.27 2.86 0.21916 0.190455 27778 195446 -1 2262 22 1979 2791 227435 48423 4.92804 4.92804 -168.151 -4.92804 0 0 997811. 3452.63 0.25 0.09 0.16 -1 -1 0.25 0.0316742 0.0276781 103 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 17.58 vpr 63.05 MiB -1 -1 0.17 17652 1 0.03 -1 -1 30372 -1 -1 16 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64568 31 32 352 285 1 215 79 17 17 289 -1 unnamed_device 24.5 MiB 1.82 879 13768 5339 6393 2036 63.1 MiB 0.13 0.00 3.89582 -126.245 -3.89582 3.89582 0.66 0.000889752 0.000819347 0.0607543 0.0564047 48 2742 24 6.99608e+06 235451 865456. 2994.66 12.57 0.371755 0.321202 28354 207349 -1 2238 24 1769 2292 241247 52052 3.50102 3.50102 -127.38 -3.50102 0 0 1.05005e+06 3633.38 0.26 0.10 0.17 -1 -1 0.26 0.0322002 0.0280768 93 55 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 6.64 vpr 62.83 MiB -1 -1 0.17 17636 1 0.03 -1 -1 30344 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64336 32 32 291 242 1 178 78 17 17 289 -1 unnamed_device 24.4 MiB 0.89 818 11864 4957 6528 379 62.8 MiB 0.10 0.00 3.99218 -112.33 -3.99218 3.99218 0.65 0.00061615 0.000572757 0.0463461 0.0431229 40 2655 45 6.99608e+06 206020 706193. 2443.58 3.00 0.191452 0.166815 26914 176310 -1 2076 22 1484 2121 208966 48190 3.79596 3.79596 -122.324 -3.79596 0 0 926341. 3205.33 0.23 0.08 0.15 -1 -1 0.23 0.0265654 0.0231381 72 27 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 6.50 vpr 63.28 MiB -1 -1 0.17 18060 1 0.03 -1 -1 30368 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64796 32 32 457 356 1 282 85 17 17 289 -1 unnamed_device 25.0 MiB 1.22 1337 8083 1871 5905 307 63.3 MiB 0.11 0.00 5.02 -170.696 -5.02 5.02 0.65 0.000867354 0.000806082 0.0409791 0.0381295 50 3572 29 6.99608e+06 309029 902133. 3121.57 2.27 0.220069 0.191265 28642 213929 -1 3217 19 2341 3402 287769 59163 5.59054 5.59054 -190.004 -5.59054 0 0 1.08113e+06 3740.92 0.27 0.11 0.18 -1 -1 0.27 0.0334943 0.0294083 129 87 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 7.25 vpr 62.50 MiB -1 -1 0.17 17640 1 0.03 -1 -1 30104 -1 -1 11 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64004 31 32 261 225 1 158 74 17 17 289 -1 unnamed_device 24.0 MiB 2.75 589 8599 2844 4344 1411 62.5 MiB 0.07 0.00 3.01 -97.4254 -3.01 3.01 0.65 0.000565415 0.000525496 0.0334081 0.0311007 40 1560 21 6.99608e+06 161872 706193. 2443.58 1.78 0.145154 0.126143 26914 176310 -1 1412 22 1176 1593 130059 30761 2.93162 2.93162 -102.009 -2.93162 0 0 926341. 3205.33 0.23 0.06 0.15 -1 -1 0.23 0.0242087 0.0210279 65 28 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 6.20 vpr 62.97 MiB -1 -1 0.21 17620 1 0.03 -1 -1 30160 -1 -1 15 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64484 31 32 337 267 1 200 78 17 17 289 -1 unnamed_device 24.5 MiB 0.64 792 13524 5096 6588 1840 63.0 MiB 0.12 0.00 4.60267 -142.66 -4.60267 4.60267 0.67 0.000709298 0.000656601 0.0586344 0.0544908 52 2817 39 6.99608e+06 220735 926341. 3205.33 2.49 0.212219 0.185639 29218 227130 -1 1873 23 1635 2275 182344 41764 4.12671 4.12671 -138.837 -4.12671 0 0 1.14541e+06 3963.36 0.28 0.08 0.19 -1 -1 0.28 0.0304021 0.0265221 85 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 6.63 vpr 62.99 MiB -1 -1 0.18 17772 1 0.03 -1 -1 30364 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64504 32 32 349 284 1 213 79 17 17 289 -1 unnamed_device 24.4 MiB 1.21 1020 12416 4555 6119 1742 63.0 MiB 0.12 0.00 3.83208 -127.177 -3.83208 3.83208 0.66 0.000700482 0.000651015 0.0538553 0.0500216 42 3450 36 6.99608e+06 220735 744469. 2576.02 2.53 0.205946 0.179989 27202 183097 -1 2539 19 1614 2491 229704 48395 3.46042 3.46042 -128.596 -3.46042 0 0 949917. 3286.91 0.23 0.09 0.14 -1 -1 0.23 0.0269522 0.0236179 91 53 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 6.59 vpr 62.82 MiB -1 -1 0.17 17120 1 0.03 -1 -1 30060 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64324 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 24.2 MiB 0.75 673 10228 2970 5232 2026 62.8 MiB 0.09 0.00 4.31309 -118.378 -4.31309 4.31309 0.66 0.000629725 0.000585216 0.0413503 0.0384792 40 2459 37 6.99608e+06 235451 706193. 2443.58 3.02 0.179494 0.156409 26914 176310 -1 1893 23 1363 2339 206113 46973 4.01142 4.01142 -127.274 -4.01142 0 0 926341. 3205.33 0.23 0.09 0.15 -1 -1 0.23 0.0281411 0.0245433 68 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 14.02 vpr 62.92 MiB -1 -1 0.16 17576 1 0.03 -1 -1 30284 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64428 32 32 353 287 1 204 79 17 17 289 -1 unnamed_device 24.3 MiB 1.26 915 11571 4863 6343 365 62.9 MiB 0.11 0.00 4.31005 -133.816 -4.31005 4.31005 0.66 0.000699585 0.000650196 0.0511905 0.0475875 40 2850 30 6.99608e+06 220735 706193. 2443.58 9.74 0.339468 0.292667 26914 176310 -1 2174 25 1722 2282 317601 125270 3.58916 3.58916 -127.554 -3.58916 0 0 926341. 3205.33 0.23 0.13 0.19 -1 -1 0.23 0.0335984 0.0293302 90 55 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 7.01 vpr 63.00 MiB -1 -1 0.20 17612 1 0.03 -1 -1 30296 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64512 32 32 361 291 1 216 79 17 17 289 -1 unnamed_device 24.4 MiB 1.57 1099 13430 4920 6010 2500 63.0 MiB 0.13 0.00 3.65969 -129.38 -3.65969 3.65969 0.64 0.00071918 0.000668346 0.0595415 0.0553569 40 2995 22 6.99608e+06 220735 706193. 2443.58 2.53 0.201385 0.176702 26914 176310 -1 2604 43 2315 3605 725612 310216 3.48731 3.48731 -133.555 -3.48731 0 0 926341. 3205.33 0.23 0.23 0.15 -1 -1 0.23 0.0526645 0.0455027 92 55 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 9.09 vpr 63.14 MiB -1 -1 0.19 17636 1 0.03 -1 -1 30296 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64656 32 32 382 305 1 237 80 17 17 289 -1 unnamed_device 24.6 MiB 2.19 1101 15216 5672 7066 2478 63.1 MiB 0.16 0.00 3.74401 -128.073 -3.74401 3.74401 0.67 0.000741785 0.000689112 0.0756486 0.0701656 38 3558 41 6.99608e+06 235451 678818. 2348.85 3.98 0.24546 0.215182 26626 170182 -1 2765 18 1860 2465 189085 40352 3.60011 3.60011 -137.797 -3.60011 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0277996 0.0244629 101 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 6.58 vpr 62.68 MiB -1 -1 0.15 17420 1 0.03 -1 -1 30340 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 32 32 306 248 1 178 78 17 17 289 -1 unnamed_device 24.2 MiB 0.92 743 11034 4121 5253 1660 62.7 MiB 0.10 0.00 4.35583 -118.93 -4.35583 4.35583 0.66 0.000647386 0.000601633 0.0446885 0.0415612 40 2999 39 6.99608e+06 206020 706193. 2443.58 2.86 0.188125 0.163717 26914 176310 -1 2275 23 1507 2283 235552 56739 4.97157 4.97157 -142.8 -4.97157 0 0 926341. 3205.33 0.23 0.09 0.16 -1 -1 0.23 0.0286541 0.0249902 74 24 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 6.76 vpr 62.86 MiB -1 -1 0.14 17692 1 0.04 -1 -1 30548 -1 -1 13 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64372 32 32 319 257 1 192 77 17 17 289 -1 unnamed_device 24.3 MiB 1.92 793 9042 2962 4450 1630 62.9 MiB 0.08 0.00 4.21168 -126.242 -4.21168 4.21168 0.65 0.000664396 0.000618019 0.0389467 0.0362381 42 3421 45 6.99608e+06 191304 744469. 2576.02 2.11 0.191406 0.166327 27202 183097 -1 2058 21 1737 2434 191590 46230 4.02242 4.02242 -132.286 -4.02242 0 0 949917. 3286.91 0.23 0.08 0.16 -1 -1 0.23 0.0274427 0.0239946 81 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 8.03 vpr 62.99 MiB -1 -1 0.16 17516 1 0.03 -1 -1 30432 -1 -1 16 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64504 31 32 373 299 1 224 79 17 17 289 -1 unnamed_device 24.7 MiB 1.13 950 10726 4120 5384 1222 63.0 MiB 0.11 0.00 4.31211 -136.261 -4.31211 4.31211 0.68 0.000721862 0.000670106 0.0485786 0.0451099 48 3520 36 6.99608e+06 235451 865456. 2994.66 3.87 0.212224 0.185312 28354 207349 -1 2537 37 2842 4365 548908 166410 4.26266 4.26266 -143.635 -4.26266 0 0 1.05005e+06 3633.38 0.26 0.18 0.18 -1 -1 0.26 0.0470767 0.0407036 99 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 7.44 vpr 63.28 MiB -1 -1 0.20 17904 1 0.03 -1 -1 30268 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64796 32 32 387 315 1 241 80 17 17 289 -1 unnamed_device 24.7 MiB 1.23 977 12980 5460 6998 522 63.3 MiB 0.11 0.00 3.94476 -129.858 -3.94476 3.94476 0.76 0.000578821 0.00053036 0.0458723 0.0420673 54 3499 42 6.99608e+06 235451 949917. 3286.91 3.09 0.218195 0.189577 29506 232905 -1 2498 22 2198 3206 290016 64071 3.76882 3.76882 -135.138 -3.76882 0 0 1.17392e+06 4061.99 0.28 0.10 0.19 -1 -1 0.28 0.0322722 0.0282144 104 77 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 5.62 vpr 62.59 MiB -1 -1 0.14 17484 1 0.03 -1 -1 30092 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64088 32 32 251 219 1 152 74 17 17 289 -1 unnamed_device 24.1 MiB 0.56 645 10769 4489 5977 303 62.6 MiB 0.09 0.00 3.21628 -99.3334 -3.21628 3.21628 0.69 0.000561109 0.000522329 0.0406853 0.0378772 38 1991 25 6.99608e+06 147157 678818. 2348.85 2.38 0.152694 0.13314 26626 170182 -1 1530 21 1168 1592 107224 24373 2.80227 2.80227 -98.3658 -2.80227 0 0 902133. 3121.57 0.22 0.06 0.12 -1 -1 0.22 0.0231136 0.02015 60 23 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 6.29 vpr 63.00 MiB -1 -1 0.11 17624 1 0.03 -1 -1 30440 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64516 32 32 341 285 1 214 79 17 17 289 -1 unnamed_device 24.4 MiB 0.84 827 10726 4440 5997 289 63.0 MiB 0.09 0.00 4.06528 -146.791 -4.06528 4.06528 0.65 0.000546015 0.000500797 0.040435 0.0373449 46 2656 31 6.99608e+06 220735 828058. 2865.25 2.40 0.184348 0.160138 28066 200906 -1 1977 20 1982 2630 211157 45567 3.77505 3.77505 -141.677 -3.77505 0 0 1.01997e+06 3529.29 0.25 0.09 0.20 -1 -1 0.25 0.0272795 0.0238617 93 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 7.37 vpr 63.00 MiB -1 -1 0.20 17504 1 0.03 -1 -1 30344 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64516 32 32 387 293 1 226 80 17 17 289 -1 unnamed_device 24.3 MiB 0.92 950 12808 5316 6896 596 63.0 MiB 0.12 0.00 4.80548 -149.393 -4.80548 4.80548 0.87 0.00077026 0.000715053 0.0587294 0.054464 50 3454 27 6.99608e+06 235451 902133. 3121.57 3.18 0.218544 0.191526 28642 213929 -1 2403 29 2499 3801 392900 102108 5.00186 5.00186 -162.712 -5.00186 0 0 1.08113e+06 3740.92 0.27 0.14 0.18 -1 -1 0.27 0.0409383 0.0355878 98 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 7.03 vpr 62.94 MiB -1 -1 0.12 17568 1 0.03 -1 -1 30416 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64452 32 32 340 270 1 203 79 17 17 289 -1 unnamed_device 24.4 MiB 0.58 849 13599 4722 6429 2448 62.9 MiB 0.13 0.00 4.35389 -139.539 -4.35389 4.35389 0.76 0.000690674 0.000641614 0.0583264 0.0542541 38 2795 34 6.99608e+06 220735 678818. 2348.85 3.38 0.206331 0.180893 26626 170182 -1 1911 22 1727 2347 177407 38768 3.50386 3.50386 -131.231 -3.50386 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0299123 0.0261727 85 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 7.01 vpr 62.63 MiB -1 -1 0.18 17252 1 0.03 -1 -1 30340 -1 -1 20 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64136 30 32 278 235 1 166 82 17 17 289 -1 unnamed_device 23.9 MiB 1.18 640 11474 4735 6197 542 62.6 MiB 0.09 0.00 3.65345 -112.727 -3.65345 3.65345 0.69 0.00059455 0.000551853 0.0404086 0.0375798 48 1940 24 6.99608e+06 294314 865456. 2994.66 2.98 0.163032 0.142375 28354 207349 -1 1580 19 1112 1760 198312 48286 3.25871 3.25871 -116.61 -3.25871 0 0 1.05005e+06 3633.38 0.26 0.07 0.17 -1 -1 0.26 0.0227545 0.0198725 72 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 9.68 vpr 63.56 MiB -1 -1 0.13 17916 1 0.03 -1 -1 30364 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65088 32 32 431 332 1 261 82 17 17 289 -1 unnamed_device 24.8 MiB 1.49 1528 15924 5227 8931 1766 63.6 MiB 0.16 0.00 6.09323 -187.636 -6.09323 6.09323 0.65 0.000829382 0.00077073 0.0778385 0.072384 40 4257 42 6.99608e+06 264882 706193. 2443.58 5.27 0.272487 0.239116 26914 176310 -1 3547 23 2806 4085 457786 97175 5.74254 5.74254 -196.746 -5.74254 0 0 926341. 3205.33 0.23 0.14 0.15 -1 -1 0.23 0.0371468 0.0324564 116 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 6.53 vpr 63.02 MiB -1 -1 0.17 17532 1 0.03 -1 -1 30328 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64536 32 32 336 268 1 199 78 17 17 289 -1 unnamed_device 24.5 MiB 0.58 768 13524 5053 6623 1848 63.0 MiB 0.12 0.00 4.76624 -142.397 -4.76624 4.76624 0.65 0.000685789 0.000636029 0.058438 0.0542837 46 2785 27 6.99608e+06 206020 828058. 2865.25 3.10 0.203429 0.178504 28066 200906 -1 1845 20 1494 2008 149110 34618 4.17065 4.17065 -143.287 -4.17065 0 0 1.01997e+06 3529.29 0.25 0.08 0.17 -1 -1 0.25 0.0274727 0.0240803 83 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 5.19 vpr 62.34 MiB -1 -1 0.16 17276 1 0.03 -1 -1 30448 -1 -1 13 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63836 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 23.7 MiB 0.24 516 10672 4080 5320 1272 62.3 MiB 0.08 0.00 2.96036 -91.6204 -2.96036 2.96036 0.67 0.000544158 0.000503769 0.0372841 0.034722 40 1546 38 6.99608e+06 191304 706193. 2443.58 2.19 0.156999 0.136448 26914 176310 -1 1189 18 859 1340 90198 25029 2.86132 2.86132 -98.2156 -2.86132 0 0 926341. 3205.33 0.23 0.05 0.16 -1 -1 0.23 0.0198135 0.017302 51 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 7.79 vpr 62.93 MiB -1 -1 0.20 17516 1 0.03 -1 -1 30284 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64440 32 32 349 273 1 207 80 17 17 289 -1 unnamed_device 24.4 MiB 1.19 903 15560 6646 7056 1858 62.9 MiB 0.13 0.00 4.75332 -131.249 -4.75332 4.75332 0.65 0.000717682 0.000666165 0.0674404 0.0626237 48 2982 46 6.99608e+06 235451 865456. 2994.66 3.66 0.225944 0.198543 28354 207349 -1 2202 23 1722 2767 230689 51369 4.63516 4.63516 -141.993 -4.63516 0 0 1.05005e+06 3633.38 0.26 0.09 0.18 -1 -1 0.26 0.0314793 0.0275181 85 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 5.65 vpr 62.47 MiB -1 -1 0.19 17044 1 0.03 -1 -1 30120 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63968 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 24.0 MiB 0.80 493 9540 2740 5276 1524 62.5 MiB 0.08 0.00 2.966 -97.1273 -2.966 2.966 0.65 0.000560359 0.00052166 0.0349643 0.032559 38 1851 39 6.99608e+06 206020 678818. 2348.85 2.24 0.158163 0.137311 26626 170182 -1 1279 23 1077 1568 111684 26906 3.55017 3.55017 -109.108 -3.55017 0 0 902133. 3121.57 0.22 0.06 0.11 -1 -1 0.22 0.0247727 0.0215445 57 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 6.40 vpr 62.58 MiB -1 -1 0.18 17244 1 0.02 -1 -1 30408 -1 -1 13 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64084 30 32 278 235 1 170 75 17 17 289 -1 unnamed_device 24.0 MiB 0.55 768 9081 3737 5047 297 62.6 MiB 0.08 0.00 3.80347 -118.428 -3.80347 3.80347 0.66 0.000592428 0.000550704 0.0360511 0.0335471 38 2446 44 6.99608e+06 191304 678818. 2348.85 3.01 0.17141 0.14857 26626 170182 -1 1772 21 1331 1863 163805 32644 3.34751 3.34751 -114.704 -3.34751 0 0 902133. 3121.57 0.31 0.07 0.17 -1 -1 0.31 0.0216845 0.019161 69 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 8.78 vpr 62.96 MiB -1 -1 0.14 17624 1 0.03 -1 -1 30304 -1 -1 18 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64476 29 32 355 287 1 213 79 17 17 289 -1 unnamed_device 24.4 MiB 1.57 956 12416 5112 6468 836 63.0 MiB 0.12 0.00 4.12666 -129.088 -4.12666 4.12666 0.66 0.000692613 0.000643637 0.0535127 0.0497225 38 3346 46 6.99608e+06 264882 678818. 2348.85 4.37 0.221922 0.193697 26626 170182 -1 2546 22 1925 2830 259948 53297 4.4105 4.4105 -145.109 -4.4105 0 0 902133. 3121.57 0.23 0.09 0.14 -1 -1 0.23 0.0299975 0.0261567 97 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 7.50 vpr 62.97 MiB -1 -1 0.20 17900 1 0.03 -1 -1 30284 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64480 32 32 358 289 1 217 79 17 17 289 -1 unnamed_device 24.4 MiB 1.31 974 13599 5339 6861 1399 63.0 MiB 0.13 0.00 4.25698 -140.266 -4.25698 4.25698 0.65 0.000709473 0.000658853 0.0596651 0.0554408 38 3070 41 6.99608e+06 220735 678818. 2348.85 3.31 0.221161 0.193626 26626 170182 -1 2342 23 1928 2638 217168 45954 4.67035 4.67035 -156.564 -4.67035 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0320562 0.0280404 93 54 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 8.59 vpr 63.12 MiB -1 -1 0.15 17856 1 0.03 -1 -1 30268 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64640 32 32 353 285 1 213 79 17 17 289 -1 unnamed_device 24.5 MiB 1.96 1087 13768 5458 5698 2612 63.1 MiB 0.13 0.00 4.58577 -147.33 -4.58577 4.58577 0.65 0.000702658 0.000652663 0.0599024 0.0556844 38 3125 30 6.99608e+06 220735 678818. 2348.85 3.84 0.210445 0.184512 26626 170182 -1 2517 19 1814 2602 203364 41786 4.42561 4.42561 -152.77 -4.42561 0 0 902133. 3121.57 0.22 0.09 0.17 -1 -1 0.22 0.0278125 0.0244508 90 51 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 6.57 vpr 62.51 MiB -1 -1 0.14 17548 1 0.03 -1 -1 30292 -1 -1 11 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64008 32 32 276 237 1 163 75 17 17 289 -1 unnamed_device 23.9 MiB 1.79 854 11609 4663 6043 903 62.5 MiB 0.10 0.00 3.95082 -130.122 -3.95082 3.95082 0.65 0.000591156 0.000550138 0.0459206 0.0427933 38 2338 24 6.99608e+06 161872 678818. 2348.85 2.06 0.165587 0.144644 26626 170182 -1 1952 23 1202 1634 138008 27635 3.34956 3.34956 -121.518 -3.34956 0 0 902133. 3121.57 0.22 0.07 0.14 -1 -1 0.22 0.0263044 0.0229032 67 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 6.08 vpr 63.09 MiB -1 -1 0.19 17692 1 0.03 -1 -1 30456 -1 -1 14 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64600 31 32 319 272 1 200 77 17 17 289 -1 unnamed_device 24.5 MiB 0.86 785 11813 4965 6422 426 63.1 MiB 0.06 0.00 3.70143 -122.026 -3.70143 3.70143 0.64 0.000289401 0.000265986 0.0230175 0.0212171 46 2466 44 6.99608e+06 206020 828058. 2865.25 2.44 0.164862 0.142171 28066 200906 -1 1742 24 1592 2267 169951 39702 3.57132 3.57132 -119.748 -3.57132 0 0 1.01997e+06 3529.29 0.25 0.08 0.17 -1 -1 0.25 0.0293753 0.0255684 86 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 6.42 vpr 62.93 MiB -1 -1 0.10 17664 1 0.03 -1 -1 30292 -1 -1 19 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64440 30 32 329 273 1 202 81 17 17 289 -1 unnamed_device 24.3 MiB 1.17 809 10756 2943 5618 2195 62.9 MiB 0.10 0.00 3.4598 -111.751 -3.4598 3.4598 0.71 0.000663789 0.00061684 0.0431356 0.0401287 46 2326 24 6.99608e+06 279598 828058. 2865.25 2.45 0.178412 0.155705 28066 200906 -1 1700 21 1475 2174 152653 35067 3.29957 3.29957 -109.769 -3.29957 0 0 1.01997e+06 3529.29 0.25 0.07 0.17 -1 -1 0.25 0.0275002 0.0239915 91 57 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 5.67 vpr 62.71 MiB -1 -1 0.19 17428 1 0.03 -1 -1 30540 -1 -1 17 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64216 28 32 277 229 1 170 77 17 17 289 -1 unnamed_device 24.0 MiB 0.41 678 13280 5850 6635 795 62.7 MiB 0.10 0.00 3.68935 -104.602 -3.68935 3.68935 0.65 0.000589552 0.000548237 0.0504742 0.0469753 42 2430 50 6.99608e+06 250167 744469. 2576.02 2.41 0.191404 0.166867 27202 183097 -1 1806 21 1391 2073 190827 45683 3.81422 3.81422 -114.081 -3.81422 0 0 949917. 3286.91 0.24 0.08 0.16 -1 -1 0.24 0.024622 0.0214837 71 27 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 7.06 vpr 62.93 MiB -1 -1 0.19 17796 1 0.03 -1 -1 30436 -1 -1 15 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64436 30 32 317 269 1 200 77 17 17 289 -1 unnamed_device 24.4 MiB 1.78 779 10020 4070 5537 413 62.9 MiB 0.09 0.00 4.56081 -142.799 -4.56081 4.56081 0.66 0.000637531 0.000591586 0.0414039 0.0384751 44 2750 43 6.99608e+06 220735 787024. 2723.27 2.43 0.186711 0.162136 27778 195446 -1 1920 23 1788 2373 198004 44117 3.97955 3.97955 -138.289 -3.97955 0 0 997811. 3452.63 0.25 0.09 0.16 -1 -1 0.25 0.0293046 0.0255495 87 63 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 7.32 vpr 62.83 MiB -1 -1 0.10 17816 1 0.03 -1 -1 30120 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64340 32 32 335 282 1 216 78 17 17 289 -1 unnamed_device 24.3 MiB 0.82 988 11366 4377 5078 1911 62.8 MiB 0.11 0.00 3.4477 -126.272 -3.4477 3.4477 0.67 0.000671154 0.00062365 0.0490727 0.0456342 40 3223 42 6.99608e+06 206020 706193. 2443.58 3.67 0.205865 0.179496 26914 176310 -1 2772 21 2024 2787 332780 64435 3.28857 3.28857 -136.411 -3.28857 0 0 926341. 3205.33 0.25 0.10 0.15 -1 -1 0.25 0.0275186 0.0240232 93 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 6.23 vpr 62.65 MiB -1 -1 0.16 17160 1 0.03 -1 -1 30372 -1 -1 24 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64156 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 24.2 MiB 0.36 735 13335 5144 6746 1445 62.7 MiB 0.10 0.00 4.50448 -121.497 -4.50448 4.50448 0.69 0.000634984 0.000590302 0.0463856 0.0431034 46 2320 24 6.99608e+06 353176 828058. 2865.25 2.97 0.173968 0.15204 28066 200906 -1 1726 19 1053 1905 137788 31995 3.80592 3.80592 -119.773 -3.80592 0 0 1.01997e+06 3529.29 0.25 0.07 0.17 -1 -1 0.25 0.0240112 0.0210261 74 4 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 7.02 vpr 62.98 MiB -1 -1 0.19 17772 1 0.03 -1 -1 30548 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64496 32 32 350 275 1 202 78 17 17 289 -1 unnamed_device 24.4 MiB 1.81 849 9872 4071 5471 330 63.0 MiB 0.10 0.00 4.41391 -145.413 -4.41391 4.41391 0.68 0.00071632 0.000666525 0.0446559 0.0415213 44 3096 30 6.99608e+06 206020 787024. 2723.27 2.28 0.191446 0.16701 27778 195446 -1 2236 23 1920 2868 210913 47371 4.3396 4.3396 -149.501 -4.3396 0 0 997811. 3452.63 0.24 0.09 0.20 -1 -1 0.24 0.0315453 0.0275922 86 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 8.89 vpr 63.16 MiB -1 -1 0.13 17900 1 0.03 -1 -1 30300 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64672 32 32 385 308 1 237 81 17 17 289 -1 unnamed_device 24.7 MiB 0.72 1031 9706 3955 5323 428 63.2 MiB 0.12 0.00 5.10216 -163.017 -5.10216 5.10216 0.66 0.000748305 0.000695048 0.0540048 0.0501096 48 3809 38 6.99608e+06 250167 865456. 2994.66 5.23 0.224254 0.196219 28354 207349 -1 2651 28 2478 3481 495235 132429 5.38994 5.38994 -176.091 -5.38994 0 0 1.05005e+06 3633.38 0.26 0.16 0.18 -1 -1 0.26 0.0388176 0.033795 102 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 6.39 vpr 63.29 MiB -1 -1 0.18 17620 1 0.03 -1 -1 30288 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64808 32 32 387 309 1 244 81 17 17 289 -1 unnamed_device 24.8 MiB 0.78 1043 9881 4045 5563 273 63.3 MiB 0.10 0.00 4.39921 -147.12 -4.39921 4.39921 0.65 0.000761078 0.000707069 0.0451104 0.0419196 46 3556 37 6.99608e+06 250167 828058. 2865.25 2.65 0.207131 0.180254 28066 200906 -1 2542 22 1921 2813 246127 50838 4.2931 4.2931 -151.36 -4.2931 0 0 1.01997e+06 3529.29 0.25 0.10 0.19 -1 -1 0.25 0.032777 0.0286597 104 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 5.46 vpr 62.95 MiB -1 -1 0.11 17380 1 0.03 -1 -1 30124 -1 -1 13 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64460 30 32 272 232 1 171 75 17 17 289 -1 unnamed_device 24.3 MiB 0.83 639 8765 3407 4448 910 62.9 MiB 0.08 0.00 4.31695 -124.149 -4.31695 4.31695 0.65 0.000582253 0.000541545 0.0343352 0.0319435 42 2236 38 6.99608e+06 191304 744469. 2576.02 1.98 0.1631 0.141388 27202 183097 -1 1564 19 1116 1583 126166 28470 3.33556 3.33556 -115.866 -3.33556 0 0 949917. 3286.91 0.23 0.08 0.16 -1 -1 0.23 0.025839 0.0226659 71 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 6.28 vpr 63.16 MiB -1 -1 0.18 17556 1 0.03 -1 -1 30408 -1 -1 18 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64676 30 32 375 299 1 233 80 17 17 289 -1 unnamed_device 24.8 MiB 0.93 919 12808 4622 5804 2382 63.2 MiB 0.11 0.00 5.00926 -154.589 -5.00926 5.00926 0.65 0.000736725 0.000681778 0.0573959 0.0533348 48 2897 47 6.99608e+06 264882 865456. 2994.66 2.38 0.227945 0.199108 28354 207349 -1 2329 23 2356 3266 309320 75619 4.83874 4.83874 -164.15 -4.83874 0 0 1.05005e+06 3633.38 0.26 0.11 0.17 -1 -1 0.26 0.0327655 0.0286383 104 63 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 7.03 vpr 62.82 MiB -1 -1 0.10 17516 1 0.03 -1 -1 30324 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64324 32 32 340 270 1 197 78 17 17 289 -1 unnamed_device 24.3 MiB 1.03 773 12860 5275 6775 810 62.8 MiB 0.12 0.00 4.8046 -140.908 -4.8046 4.8046 0.65 0.000692563 0.000643458 0.0553573 0.0514097 48 2906 29 6.99608e+06 206020 865456. 2994.66 3.08 0.199428 0.174578 28354 207349 -1 2232 21 1751 2784 284527 71100 4.13436 4.13436 -142.551 -4.13436 0 0 1.05005e+06 3633.38 0.26 0.10 0.17 -1 -1 0.26 0.0287989 0.025203 82 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 18.19 vpr 63.17 MiB -1 -1 0.19 17808 1 0.03 -1 -1 30468 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64688 31 32 340 275 1 199 80 17 17 289 -1 unnamed_device 24.6 MiB 1.16 794 10228 3166 5486 1576 63.2 MiB 0.10 0.00 5.19565 -143.212 -5.19565 5.19565 0.65 0.000684069 0.000636139 0.0432192 0.0402109 38 3224 38 6.99608e+06 250167 678818. 2348.85 14.14 0.337744 0.291274 26626 170182 -1 2195 21 1511 2171 195678 43019 4.59296 4.59296 -149.718 -4.59296 0 0 902133. 3121.57 0.23 0.08 0.14 -1 -1 0.23 0.0287242 0.0251584 87 47 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 9.32 vpr 63.41 MiB -1 -1 0.19 17584 1 0.03 -1 -1 30348 -1 -1 20 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64928 30 32 377 310 1 234 82 17 17 289 -1 unnamed_device 24.9 MiB 1.93 966 13788 4937 6208 2643 63.4 MiB 0.12 0.00 4.24398 -133.079 -4.24398 4.24398 0.66 0.00072295 0.000671863 0.058792 0.0546187 46 3311 48 6.99608e+06 294314 828058. 2865.25 4.42 0.234065 0.204525 28066 200906 -1 2374 27 2588 3623 402761 112973 4.3885 4.3885 -146.75 -4.3885 0 0 1.01997e+06 3529.29 0.26 0.14 0.16 -1 -1 0.26 0.0365895 0.0317944 108 83 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 7.62 vpr 63.11 MiB -1 -1 0.19 17772 1 0.03 -1 -1 30216 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64624 32 32 365 294 1 223 81 17 17 289 -1 unnamed_device 24.5 MiB 1.51 1164 15481 5166 8845 1470 63.1 MiB 0.14 0.00 4.66597 -153.274 -4.66597 4.66597 0.65 0.000727627 0.000676486 0.066696 0.0618882 40 3016 25 6.99608e+06 250167 706193. 2443.58 3.14 0.212622 0.187156 26914 176310 -1 2766 22 2077 3028 306564 59776 4.30941 4.30941 -157.067 -4.30941 0 0 926341. 3205.33 0.23 0.10 0.15 -1 -1 0.23 0.0307928 0.0269057 95 57 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 20.05 vpr 63.16 MiB -1 -1 0.21 17656 1 0.03 -1 -1 30380 -1 -1 20 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64676 29 32 378 310 1 237 81 17 17 289 -1 unnamed_device 24.7 MiB 2.24 970 14431 6168 7633 630 63.2 MiB 0.13 0.00 3.80498 -123.528 -3.80498 3.80498 0.65 0.000718963 0.000667622 0.0632767 0.0587034 46 3095 47 6.99608e+06 294314 828058. 2865.25 14.76 0.376855 0.325647 28066 200906 -1 2327 21 1984 2580 225360 47479 3.58866 3.58866 -125.19 -3.58866 0 0 1.01997e+06 3529.29 0.25 0.09 0.17 -1 -1 0.25 0.0306293 0.0268444 109 85 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 5.63 vpr 62.44 MiB -1 -1 0.16 17188 1 0.02 -1 -1 30312 -1 -1 10 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63940 32 32 243 205 1 140 74 17 17 289 -1 unnamed_device 23.8 MiB 1.06 673 8289 1936 5635 718 62.4 MiB 0.07 0.00 3.54309 -104.459 -3.54309 3.54309 0.67 0.0005535 0.000515542 0.0315083 0.0293754 36 2073 30 6.99608e+06 147157 648988. 2245.63 1.82 0.147728 0.128257 26050 158493 -1 1713 23 1167 1811 179356 40626 3.29327 3.29327 -116.101 -3.29327 0 0 828058. 2865.25 0.21 0.08 0.14 -1 -1 0.21 0.0243935 0.0212382 54 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 7.60 vpr 63.27 MiB -1 -1 0.20 17852 1 0.03 -1 -1 30380 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64792 32 32 373 302 1 234 81 17 17 289 -1 unnamed_device 24.6 MiB 0.63 998 13731 5201 6084 2446 63.3 MiB 0.13 0.00 5.23946 -166.614 -5.23946 5.23946 0.66 0.000730774 0.000678447 0.05997 0.0556821 46 3007 25 6.99608e+06 250167 828058. 2865.25 3.92 0.213896 0.187485 28066 200906 -1 2369 22 2125 3007 423869 125683 4.61914 4.61914 -158.329 -4.61914 0 0 1.01997e+06 3529.29 0.25 0.13 0.17 -1 -1 0.25 0.0327452 0.0287837 100 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 8.23 vpr 63.02 MiB -1 -1 0.19 17588 1 0.03 -1 -1 30308 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64528 32 32 397 314 1 249 81 17 17 289 -1 unnamed_device 24.5 MiB 0.90 1023 11631 4065 5883 1683 63.0 MiB 0.12 0.00 4.8947 -165.145 -4.8947 4.8947 0.65 0.000765973 0.000710989 0.0536444 0.0498572 40 3825 35 6.99608e+06 250167 706193. 2443.58 4.39 0.219319 0.191464 26914 176310 -1 3090 21 2784 3862 393065 81021 5.40114 5.40114 -190.623 -5.40114 0 0 926341. 3205.33 0.24 0.12 0.15 -1 -1 0.24 0.0319974 0.0280209 109 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 5.89 vpr 62.53 MiB -1 -1 0.17 17420 1 0.02 -1 -1 30184 -1 -1 11 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64032 32 32 269 231 1 168 75 17 17 289 -1 unnamed_device 23.9 MiB 0.91 649 12083 5091 6584 408 62.5 MiB 0.10 0.00 3.80367 -112.996 -3.80367 3.80367 0.65 0.000580979 0.000540557 0.0464168 0.0431791 42 2423 39 6.99608e+06 161872 744469. 2576.02 2.26 0.175892 0.153248 27202 183097 -1 1721 23 1462 1873 165965 39160 3.57511 3.57511 -118.197 -3.57511 0 0 949917. 3286.91 0.27 0.08 0.14 -1 -1 0.27 0.0258517 0.0224824 69 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 5.07 vpr 62.37 MiB -1 -1 0.17 17408 1 0.02 -1 -1 30352 -1 -1 13 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63868 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 23.9 MiB 0.45 500 9836 4038 5376 422 62.4 MiB 0.08 0.00 3.32523 -100.829 -3.32523 3.32523 0.65 0.000559886 0.000520829 0.0368674 0.0343381 44 1930 39 6.99608e+06 191304 787024. 2723.27 1.91 0.160423 0.139435 27778 195446 -1 1352 25 1156 1781 120042 29179 3.25447 3.25447 -106.844 -3.25447 0 0 997811. 3452.63 0.25 0.07 0.12 -1 -1 0.25 0.0263046 0.0228483 56 4 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 5.76 vpr 63.05 MiB -1 -1 0.16 17852 1 0.06 -1 -1 30460 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64568 32 32 348 274 1 208 79 17 17 289 -1 unnamed_device 24.4 MiB 0.76 868 11909 4701 5758 1450 63.1 MiB 0.11 0.00 4.58703 -149.04 -4.58703 4.58703 0.65 0.000698327 0.000648675 0.0518935 0.0482489 46 2684 28 6.99608e+06 220735 828058. 2865.25 1.96 0.194394 0.169904 28066 200906 -1 1970 30 1883 2462 174865 39592 4.33525 4.33525 -150.653 -4.33525 0 0 1.01997e+06 3529.29 0.25 0.11 0.17 -1 -1 0.25 0.0467776 0.0414591 88 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 7.42 vpr 63.10 MiB -1 -1 0.18 17852 1 0.03 -1 -1 30372 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64616 32 32 356 289 1 218 79 17 17 289 -1 unnamed_device 24.5 MiB 1.59 896 11571 3933 6047 1591 63.1 MiB 0.11 0.00 4.54977 -137.477 -4.54977 4.54977 0.65 0.000706966 0.0006571 0.0510134 0.0474163 46 2917 48 6.99608e+06 220735 828058. 2865.25 2.96 0.222071 0.193837 28066 200906 -1 2011 23 1738 2395 192670 42992 4.31425 4.31425 -142.349 -4.31425 0 0 1.01997e+06 3529.29 0.25 0.09 0.17 -1 -1 0.25 0.0315714 0.0276024 95 56 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 16.93 vpr 62.98 MiB -1 -1 0.13 17344 1 0.03 -1 -1 30156 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64488 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 24.5 MiB 0.38 847 13556 4796 7036 1724 63.0 MiB 0.13 0.00 4.71017 -139.049 -4.71017 4.71017 0.67 0.000864048 0.000803232 0.0599087 0.0557304 44 3122 48 6.99608e+06 250167 787024. 2723.27 13.63 0.365547 0.316877 27778 195446 -1 2155 23 1937 3243 335429 101756 4.32031 4.32031 -143.248 -4.32031 0 0 997811. 3452.63 0.25 0.11 0.16 -1 -1 0.25 0.0318997 0.0278578 83 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 6.02 vpr 62.84 MiB -1 -1 0.17 17832 1 0.04 -1 -1 30216 -1 -1 16 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64348 30 32 316 264 1 197 78 17 17 289 -1 unnamed_device 24.3 MiB 1.05 742 9042 3157 4137 1748 62.8 MiB 0.08 0.00 3.64737 -104.512 -3.64737 3.64737 0.67 0.000639431 0.000593899 0.0376378 0.0350457 48 2323 27 6.99608e+06 235451 865456. 2994.66 2.09 0.17116 0.148798 28354 207349 -1 2005 21 1525 2217 196585 45075 3.21422 3.21422 -112.086 -3.21422 0 0 1.05005e+06 3633.38 0.26 0.08 0.18 -1 -1 0.26 0.0265794 0.0231833 86 52 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 6.52 vpr 62.57 MiB -1 -1 0.19 17508 1 0.02 -1 -1 30604 -1 -1 15 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64076 27 32 255 219 1 145 74 17 17 289 -1 unnamed_device 24.0 MiB 0.84 490 9374 3097 4710 1567 62.6 MiB 0.07 0.00 3.44679 -100.328 -3.44679 3.44679 0.68 0.000537039 0.00049877 0.0353775 0.0329614 38 1628 40 6.99608e+06 220735 678818. 2348.85 2.93 0.162662 0.141151 26626 170182 -1 1015 22 964 1436 85969 21901 3.78332 3.78332 -105.678 -3.78332 0 0 902133. 3121.57 0.22 0.06 0.14 -1 -1 0.22 0.023488 0.020372 66 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 9.21 vpr 63.32 MiB -1 -1 0.11 17808 1 0.03 -1 -1 30448 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64836 32 32 421 327 1 257 82 17 17 289 -1 unnamed_device 24.8 MiB 0.74 1154 16102 6967 8731 404 63.3 MiB 0.16 0.00 4.18254 -144.202 -4.18254 4.18254 0.67 0.000806097 0.000748724 0.0764043 0.0708309 46 4050 36 6.99608e+06 264882 828058. 2865.25 5.68 0.256807 0.225143 28066 200906 -1 2908 20 2381 3583 293313 62159 4.25831 4.25831 -148.246 -4.25831 0 0 1.01997e+06 3529.29 0.24 0.06 0.11 -1 -1 0.24 0.0182358 0.0162626 111 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 8.61 vpr 63.32 MiB -1 -1 0.13 17644 1 0.03 -1 -1 30376 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64844 31 32 365 296 1 229 80 17 17 289 -1 unnamed_device 24.5 MiB 1.64 1126 13496 4705 6380 2411 63.3 MiB 0.13 0.00 5.49463 -159.408 -5.49463 5.49463 0.66 0.000719749 0.000669065 0.0587228 0.0545707 38 3177 46 6.99608e+06 250167 678818. 2348.85 4.10 0.227872 0.19924 26626 170182 -1 2724 25 2603 3642 402783 105038 4.74444 4.74444 -164.451 -4.74444 0 0 902133. 3121.57 0.23 0.13 0.14 -1 -1 0.23 0.0338388 0.0295318 100 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 5.53 vpr 62.82 MiB -1 -1 0.15 17776 1 0.03 -1 -1 30356 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64324 32 32 331 280 1 215 78 17 17 289 -1 unnamed_device 24.3 MiB 0.86 926 14354 6182 7861 311 62.8 MiB 0.12 0.00 4.28347 -151.804 -4.28347 4.28347 0.65 0.000654957 0.000607485 0.0590367 0.0548058 48 2248 21 6.99608e+06 206020 865456. 2994.66 1.82 0.186872 0.16381 28354 207349 -1 1928 19 1425 1785 146446 31779 3.62281 3.62281 -138.169 -3.62281 0 0 1.05005e+06 3633.38 0.27 0.07 0.18 -1 -1 0.27 0.0259765 0.0227984 91 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 5.65 vpr 62.88 MiB -1 -1 0.18 17888 1 0.03 -1 -1 30480 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64392 32 32 326 263 1 197 79 17 17 289 -1 unnamed_device 24.4 MiB 0.64 1057 13599 5180 6238 2181 62.9 MiB 0.12 0.00 4.11318 -134.456 -4.11318 4.11318 0.66 0.00067425 0.000627042 0.0569133 0.0529213 38 2724 25 6.99608e+06 220735 678818. 2348.85 2.21 0.19093 0.167798 26626 170182 -1 2270 21 1412 1911 157008 31445 3.87982 3.87982 -137.691 -3.87982 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0279591 0.0244681 81 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 6.57 vpr 62.94 MiB -1 -1 0.14 17668 1 0.03 -1 -1 30172 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64452 31 32 373 294 1 221 80 17 17 289 -1 unnamed_device 24.3 MiB 1.33 870 12120 4959 6494 667 62.9 MiB 0.11 0.00 4.09557 -123.875 -4.09557 4.09557 0.66 0.000737891 0.000684914 0.0547459 0.0508733 42 3474 41 6.99608e+06 250167 744469. 2576.02 2.40 0.219824 0.192279 27202 183097 -1 2179 21 2026 2841 215668 48460 4.10972 4.10972 -131.468 -4.10972 0 0 949917. 3286.91 0.24 0.09 0.16 -1 -1 0.24 0.0316806 0.027742 97 50 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 6.59 vpr 63.04 MiB -1 -1 0.17 17644 1 0.03 -1 -1 30356 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64552 30 32 325 268 1 198 79 17 17 289 -1 unnamed_device 24.5 MiB 1.33 825 9205 3109 4150 1946 63.0 MiB 0.09 0.00 3.47679 -109.391 -3.47679 3.47679 0.66 0.000660169 0.000612546 0.0396477 0.0368606 46 2602 31 6.99608e+06 250167 828058. 2865.25 2.41 0.184165 0.160412 28066 200906 -1 1955 25 1736 2700 204746 44982 2.98316 2.98316 -108.983 -2.98316 0 0 1.01997e+06 3529.29 0.25 0.09 0.17 -1 -1 0.25 0.0314945 0.0273849 88 51 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 7.15 vpr 62.98 MiB -1 -1 0.18 17796 1 0.03 -1 -1 30464 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64492 32 32 350 275 1 209 78 17 17 289 -1 unnamed_device 24.4 MiB 0.77 918 10536 3621 5008 1907 63.0 MiB 0.11 0.00 4.39601 -144.18 -4.39601 4.39601 0.66 0.000704054 0.000654508 0.0471635 0.0437528 46 3353 30 6.99608e+06 206020 828058. 2865.25 3.42 0.199608 0.174559 28066 200906 -1 2447 22 1820 2659 238030 50430 4.86281 4.86281 -154.129 -4.86281 0 0 1.01997e+06 3529.29 0.25 0.09 0.18 -1 -1 0.25 0.0306618 0.0268286 88 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 21.24 vpr 63.11 MiB -1 -1 0.20 17504 1 0.03 -1 -1 30088 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64620 32 32 386 307 1 240 80 17 17 289 -1 unnamed_device 24.7 MiB 2.17 942 12292 4666 5756 1870 63.1 MiB 0.12 0.00 3.70017 -126.602 -3.70017 3.70017 0.68 0.000761869 0.000708274 0.0570406 0.0530425 48 3168 40 6.99608e+06 235451 865456. 2994.66 15.99 0.382548 0.330747 28354 207349 -1 2445 29 2504 3455 343966 87145 3.56046 3.56046 -132.854 -3.56046 0 0 1.05005e+06 3633.38 0.26 0.13 0.19 -1 -1 0.26 0.040649 0.0354074 103 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 5.69 vpr 62.69 MiB -1 -1 0.18 17548 1 0.03 -1 -1 30240 -1 -1 14 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64192 29 32 269 229 1 168 75 17 17 289 -1 unnamed_device 24.1 MiB 1.18 638 10503 3616 4659 2228 62.7 MiB 0.09 0.00 4.33189 -121.838 -4.33189 4.33189 0.65 0.000575905 0.000535691 0.0404157 0.0376168 38 1692 24 6.99608e+06 206020 678818. 2348.85 1.72 0.155547 0.135678 26626 170182 -1 1377 20 1243 1634 118973 26855 3.32456 3.32456 -115.376 -3.32456 0 0 902133. 3121.57 0.22 0.06 0.15 -1 -1 0.22 0.0231687 0.0202194 70 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 7.38 vpr 62.74 MiB -1 -1 0.18 17680 1 0.03 -1 -1 30440 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64244 32 32 310 266 1 182 78 17 17 289 -1 unnamed_device 24.3 MiB 1.94 733 10370 4308 5800 262 62.7 MiB 0.09 0.00 4.00228 -133.8 -4.00228 4.00228 0.66 0.000635374 0.000590174 0.0421286 0.0391478 44 2610 40 6.99608e+06 206020 787024. 2723.27 2.42 0.187646 0.163477 27778 195446 -1 1795 22 1481 2009 156084 34478 3.77925 3.77925 -136.622 -3.77925 0 0 997811. 3452.63 0.37 0.07 0.19 -1 -1 0.37 0.0242727 0.0214624 79 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 6.61 vpr 62.82 MiB -1 -1 0.18 17908 1 0.02 -1 -1 30448 -1 -1 15 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64328 31 32 326 261 1 193 78 17 17 289 -1 unnamed_device 24.3 MiB 0.71 764 12362 5067 6494 801 62.8 MiB 0.11 0.00 4.07608 -123.99 -4.07608 4.07608 0.65 0.000667751 0.000620434 0.0521797 0.0484842 46 2867 29 6.99608e+06 220735 828058. 2865.25 3.07 0.190327 0.166434 28066 200906 -1 1915 24 1817 2659 234904 53092 3.84482 3.84482 -130.987 -3.84482 0 0 1.01997e+06 3529.29 0.26 0.09 0.17 -1 -1 0.26 0.0309852 0.0270389 80 33 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 5.83 vpr 62.52 MiB -1 -1 0.10 17312 1 0.03 -1 -1 30524 -1 -1 13 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64016 29 32 262 224 1 162 74 17 17 289 -1 unnamed_device 24.0 MiB 0.88 586 8909 3659 4796 454 62.5 MiB 0.07 0.00 3.79267 -108.98 -3.79267 3.79267 0.65 0.000566038 0.000526625 0.0344108 0.0320221 44 2352 39 6.99608e+06 191304 787024. 2723.27 2.26 0.158684 0.137481 27778 195446 -1 1570 31 1378 1749 242201 105330 3.57531 3.57531 -110.334 -3.57531 0 0 997811. 3452.63 0.26 0.11 0.17 -1 -1 0.26 0.0320436 0.0276748 68 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 6.13 vpr 62.95 MiB -1 -1 0.18 17240 1 0.03 -1 -1 30068 -1 -1 12 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64460 32 32 278 238 1 178 76 17 17 289 -1 unnamed_device 24.3 MiB 0.73 860 12076 5115 6633 328 62.9 MiB 0.10 0.00 4.30315 -133.848 -4.30315 4.30315 0.65 0.000597701 0.000556001 0.0473594 0.0441048 38 2379 33 6.99608e+06 176588 678818. 2348.85 2.57 0.17367 0.151548 26626 170182 -1 1900 19 1328 1759 137489 29036 3.73446 3.73446 -133.615 -3.73446 0 0 902133. 3121.57 0.27 0.07 0.16 -1 -1 0.27 0.0244098 0.0215808 73 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 6.12 vpr 63.13 MiB -1 -1 0.20 17796 1 0.03 -1 -1 30092 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64644 31 32 373 300 1 231 80 17 17 289 -1 unnamed_device 24.6 MiB 0.84 1156 13840 5407 6744 1689 63.1 MiB 0.13 0.00 4.42187 -150.582 -4.42187 4.42187 0.65 0.000721087 0.000668948 0.0613659 0.0570262 46 2902 21 6.99608e+06 250167 828058. 2865.25 2.26 0.208269 0.183014 28066 200906 -1 2353 23 2067 2872 231612 47712 3.75905 3.75905 -142.095 -3.75905 0 0 1.01997e+06 3529.29 0.35 0.09 0.17 -1 -1 0.35 0.0298852 0.0265672 101 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 6.57 vpr 62.62 MiB -1 -1 0.17 17432 1 0.03 -1 -1 30492 -1 -1 13 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64120 31 32 265 230 1 171 76 17 17 289 -1 unnamed_device 24.0 MiB 0.70 820 12876 4559 5981 2336 62.6 MiB 0.11 0.00 3.74867 -118.743 -3.74867 3.74867 0.67 0.000575118 0.000535276 0.0483476 0.045019 36 2421 43 6.99608e+06 191304 648988. 2245.63 3.08 0.179208 0.156066 26050 158493 -1 2079 20 1267 1781 176497 34895 3.12421 3.12421 -119.163 -3.12421 0 0 828058. 2865.25 0.21 0.07 0.14 -1 -1 0.21 0.0232652 0.0203011 71 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 5.85 vpr 63.00 MiB -1 -1 0.20 17508 1 0.03 -1 -1 30032 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64512 32 32 349 286 1 207 79 17 17 289 -1 unnamed_device 24.4 MiB 0.97 889 10726 4477 5918 331 63.0 MiB 0.10 0.00 3.49879 -116.053 -3.49879 3.49879 0.65 0.00069501 0.000644931 0.0465834 0.0432775 48 2372 28 6.99608e+06 220735 865456. 2994.66 1.97 0.19004 0.165944 28354 207349 -1 1864 16 1347 1780 128094 30206 3.22856 3.22856 -116.238 -3.22856 0 0 1.05005e+06 3633.38 0.26 0.06 0.18 -1 -1 0.26 0.0255461 0.0226224 91 57 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 27.23 vpr 63.34 MiB -1 -1 0.21 17796 1 0.03 -1 -1 30432 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64856 31 32 396 325 1 255 83 17 17 289 -1 unnamed_device 24.6 MiB 2.34 1223 9263 3795 5242 226 63.3 MiB 0.10 0.00 4.74537 -163.238 -4.74537 4.74537 0.66 0.000755872 0.000701784 0.0417619 0.0388187 48 3333 47 6.99608e+06 294314 865456. 2994.66 21.75 0.390164 0.33724 28354 207349 -1 2908 35 3164 4392 767405 233701 4.54929 4.54929 -166.714 -4.54929 0 0 1.05005e+06 3633.38 0.26 0.22 0.17 -1 -1 0.26 0.0465995 0.0404152 113 91 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 7.80 vpr 62.88 MiB -1 -1 0.11 17528 1 0.03 -1 -1 30312 -1 -1 12 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64388 32 32 303 262 1 192 76 17 17 289 -1 unnamed_device 24.4 MiB 1.66 727 10316 3968 5326 1022 62.9 MiB 0.09 0.00 3.38944 -114.889 -3.38944 3.38944 0.66 0.000625748 0.000581255 0.0415061 0.0385042 46 2548 48 6.99608e+06 176588 828058. 2865.25 3.33 0.188752 0.16374 28066 200906 -1 1774 21 1715 2269 170442 39233 3.16641 3.16641 -116.986 -3.16641 0 0 1.01997e+06 3529.29 0.25 0.08 0.17 -1 -1 0.25 0.0262661 0.0229458 80 57 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 7.09 vpr 62.65 MiB -1 -1 0.19 17356 1 0.03 -1 -1 30248 -1 -1 11 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64152 32 32 290 244 1 172 75 17 17 289 -1 unnamed_device 23.9 MiB 0.65 695 11609 4409 5699 1501 62.6 MiB 0.10 0.00 3.88892 -124.254 -3.88892 3.88892 0.66 0.000611437 0.000568271 0.0472141 0.0438773 40 2563 29 6.99608e+06 161872 706193. 2443.58 3.57 0.179081 0.156351 26914 176310 -1 2106 19 1525 2213 231571 51201 3.43886 3.43886 -127.129 -3.43886 0 0 926341. 3205.33 0.23 0.08 0.15 -1 -1 0.23 0.0238569 0.0208693 72 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 6.96 vpr 62.79 MiB -1 -1 0.19 17628 1 0.03 -1 -1 30468 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64296 32 32 318 257 1 191 78 17 17 289 -1 unnamed_device 24.3 MiB 1.30 729 11034 3646 5163 2225 62.8 MiB 0.11 0.00 4.07043 -123.448 -4.07043 4.07043 0.68 0.000656517 0.000610244 0.0550328 0.0511814 46 2576 50 6.99608e+06 206020 828058. 2865.25 2.77 0.216446 0.189195 28066 200906 -1 1832 30 1790 2581 175346 42302 4.16472 4.16472 -129.342 -4.16472 0 0 1.01997e+06 3529.29 0.26 0.09 0.17 -1 -1 0.26 0.0373394 0.0324004 79 30 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 7.89 vpr 62.94 MiB -1 -1 0.20 17872 1 0.03 -1 -1 30056 -1 -1 18 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64452 29 32 324 268 1 195 79 17 17 289 -1 unnamed_device 24.4 MiB 1.39 807 9881 4044 5289 548 62.9 MiB 0.09 0.00 3.78147 -112.033 -3.78147 3.78147 0.65 0.00119538 0.00111163 0.0413282 0.0384495 40 2561 37 6.99608e+06 264882 706193. 2443.58 3.65 0.188205 0.163784 26914 176310 -1 2185 21 1573 2240 248384 59453 3.75971 3.75971 -116.507 -3.75971 0 0 926341. 3205.33 0.23 0.09 0.16 -1 -1 0.23 0.0271533 0.0237313 88 55 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 9.31 vpr 63.12 MiB -1 -1 0.18 17796 1 0.03 -1 -1 30404 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64632 32 32 393 312 1 235 81 17 17 289 -1 unnamed_device 24.7 MiB 1.33 1189 13031 5003 6393 1635 63.1 MiB 0.13 0.00 5.55394 -180.701 -5.55394 5.55394 0.65 0.000760319 0.000705729 0.0595657 0.0553644 40 3527 35 6.99608e+06 250167 706193. 2443.58 5.11 0.227687 0.1994 26914 176310 -1 3144 23 2649 3987 436819 84814 4.9 4.9 -177.886 -4.9 0 0 926341. 3205.33 0.24 0.14 0.10 -1 -1 0.24 0.0341803 0.0299247 105 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 4.89 vpr 62.58 MiB -1 -1 0.17 17396 1 0.02 -1 -1 30360 -1 -1 13 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64080 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 23.9 MiB 0.78 678 10796 4152 4483 2161 62.6 MiB 0.08 0.00 3.34663 -92.0539 -3.34663 3.34663 0.66 0.000526843 0.000489963 0.0372329 0.0346276 34 1899 26 6.99608e+06 191304 618332. 2139.56 1.46 0.14479 0.126028 25762 151098 -1 1532 19 951 1531 115611 24412 2.79811 2.79811 -101.114 -2.79811 0 0 787024. 2723.27 0.20 0.06 0.13 -1 -1 0.20 0.0203337 0.0177334 54 4 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 7.62 vpr 63.52 MiB -1 -1 0.18 17852 1 0.03 -1 -1 30320 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65040 32 32 412 334 1 258 84 17 17 289 -1 unnamed_device 24.8 MiB 2.44 1002 14907 4915 7817 2175 63.5 MiB 0.14 0.00 4.76623 -160.299 -4.76623 4.76623 0.69 0.000774844 0.000719035 0.0659522 0.061215 48 2884 23 6.99608e+06 294314 865456. 2994.66 2.14 0.221397 0.194498 28354 207349 -1 2440 20 2323 2954 285538 61481 4.9593 4.9593 -167.879 -4.9593 0 0 1.05005e+06 3633.38 0.26 0.12 0.18 -1 -1 0.26 0.0395598 0.0346876 116 90 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 7.36 vpr 63.41 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30060 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64928 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 24.8 MiB 0.71 1317 10744 3615 5258 1871 63.4 MiB 0.10 0.00 4.50112 -167.331 -4.50112 4.50112 0.66 0.000712018 0.000660995 0.0473671 0.0439801 40 3495 26 6.99608e+06 235451 706193. 2443.58 3.79 0.201605 0.17628 26914 176310 -1 2926 24 3276 4138 494202 92561 4.85739 4.85739 -181.953 -4.85739 0 0 926341. 3205.33 0.23 0.14 0.15 -1 -1 0.23 0.0332053 0.0289414 110 96 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 7.26 vpr 63.12 MiB -1 -1 0.18 17900 1 0.03 -1 -1 30468 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64632 32 32 360 293 1 219 79 17 17 289 -1 unnamed_device 24.4 MiB 1.30 944 9712 3948 5370 394 63.1 MiB 0.10 0.00 3.79657 -123.64 -3.79657 3.79657 0.66 0.000710163 0.000659281 0.0437958 0.0407098 44 3075 49 6.99608e+06 220735 787024. 2723.27 3.13 0.223364 0.194713 27778 195446 -1 1984 21 1585 2040 163763 38450 3.46081 3.46081 -119.657 -3.46081 0 0 997811. 3452.63 0.25 0.08 0.16 -1 -1 0.25 0.0294203 0.0257039 94 60 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 7.73 vpr 63.22 MiB -1 -1 0.16 17600 1 0.03 -1 -1 30516 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64740 32 32 396 299 1 228 79 17 17 289 -1 unnamed_device 24.8 MiB 0.90 1078 15796 7109 8306 381 63.2 MiB 0.16 0.00 5.81442 -170.312 -5.81442 5.81442 0.66 0.000778449 0.000722309 0.0760601 0.0706765 46 3303 43 6.99608e+06 220735 828058. 2865.25 3.94 0.257372 0.225958 28066 200906 -1 2519 19 2001 2996 241064 50553 4.8635 4.8635 -169.634 -4.8635 0 0 1.01997e+06 3529.29 0.25 0.09 0.16 -1 -1 0.25 0.0300825 0.0264579 98 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 4.64 vpr 62.50 MiB -1 -1 0.17 17524 1 0.03 -1 -1 30060 -1 -1 12 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64000 30 32 224 207 1 132 74 17 17 289 -1 unnamed_device 23.9 MiB 0.65 501 9684 3375 4762 1547 62.5 MiB 0.08 0.00 2.78575 -96.9119 -2.78575 2.78575 0.66 0.000506007 0.000471321 0.0351718 0.0327541 38 1487 22 6.99608e+06 176588 678818. 2348.85 1.44 0.133058 0.115701 26626 170182 -1 1249 19 785 987 89017 19309 2.57072 2.57072 -92.9223 -2.57072 0 0 902133. 3121.57 0.21 0.03 0.10 -1 -1 0.21 0.0109561 0.00971046 53 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 7.49 vpr 62.48 MiB -1 -1 0.11 17312 1 0.03 -1 -1 30088 -1 -1 14 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63980 30 32 286 239 1 157 76 17 17 289 -1 unnamed_device 23.9 MiB 3.01 598 11756 4032 5894 1830 62.5 MiB 0.09 0.00 3.77712 -117.524 -3.77712 3.77712 0.66 0.000600384 0.000558301 0.0464988 0.0432674 38 1780 24 6.99608e+06 206020 678818. 2348.85 1.63 0.167636 0.146174 26626 170182 -1 1431 21 1164 1714 139245 30323 3.30746 3.30746 -119.712 -3.30746 0 0 902133. 3121.57 0.22 0.07 0.15 -1 -1 0.22 0.0251084 0.0218919 68 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 6.06 vpr 62.69 MiB -1 -1 0.19 17312 1 0.03 -1 -1 29976 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64192 32 32 296 247 1 182 81 17 17 289 -1 unnamed_device 24.2 MiB 0.56 791 12331 4777 6250 1304 62.7 MiB 0.10 0.00 3.68644 -122.952 -3.68644 3.68644 0.65 0.000616793 0.000572872 0.0456741 0.0424252 44 2873 41 6.99608e+06 250167 787024. 2723.27 2.65 0.188015 0.163624 27778 195446 -1 2087 19 1489 2342 241451 50537 3.70196 3.70196 -133.232 -3.70196 0 0 997811. 3452.63 0.25 0.09 0.16 -1 -1 0.25 0.0248139 0.0217282 78 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 5.83 vpr 62.36 MiB -1 -1 0.19 17240 1 0.02 -1 -1 30184 -1 -1 16 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63856 25 32 216 194 1 136 73 17 17 289 -1 unnamed_device 23.9 MiB 0.93 448 7369 2953 3764 652 62.4 MiB 0.05 0.00 3.31959 -76.8944 -3.31959 3.31959 0.65 0.000477051 0.000443653 0.024769 0.023052 38 1742 32 6.99608e+06 235451 678818. 2348.85 2.24 0.125319 0.108193 26626 170182 -1 1067 18 820 1065 70792 18815 2.98797 2.98797 -80.5539 -2.98797 0 0 902133. 3121.57 0.22 0.05 0.14 -1 -1 0.22 0.0180819 0.0157832 59 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 7.86 vpr 63.27 MiB -1 -1 0.13 17808 1 0.03 -1 -1 30460 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64792 32 32 376 307 1 234 81 17 17 289 -1 unnamed_device 24.6 MiB 2.56 1245 8306 2489 4423 1394 63.3 MiB 0.09 0.00 4.0386 -139.855 -4.0386 4.0386 0.65 0.000727631 0.000675877 0.0373501 0.0347433 48 3245 50 6.99608e+06 250167 865456. 2994.66 2.48 0.213389 0.185297 28354 207349 -1 2845 21 2041 2978 290931 56452 3.88612 3.88612 -141.416 -3.88612 0 0 1.05005e+06 3633.38 0.26 0.10 0.17 -1 -1 0.26 0.0301175 0.0263167 103 72 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 7.73 vpr 63.42 MiB -1 -1 0.19 17636 1 0.03 -1 -1 30264 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64940 31 32 409 331 1 258 82 17 17 289 -1 unnamed_device 24.7 MiB 2.09 1163 15568 6109 7919 1540 63.4 MiB 0.15 0.00 4.35051 -150.242 -4.35051 4.35051 0.65 0.000768863 0.000713681 0.0702178 0.0652095 40 3509 30 6.99608e+06 279598 706193. 2443.58 2.72 0.230021 0.201431 26914 176310 -1 2880 22 2655 3597 319611 69613 4.47785 4.47785 -163.263 -4.47785 0 0 926341. 3205.33 0.23 0.11 0.15 -1 -1 0.23 0.0303367 0.0267518 117 90 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_001.v common 9.83 vpr 62.68 MiB -1 -1 0.27 17896 14 0.23 -1 -1 32796 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64184 32 32 277 309 1 203 83 17 17 289 -1 unnamed_device 24.1 MiB 1.50 1276 8543 2090 5594 859 62.7 MiB 0.10 0.00 8.38905 -176.577 -8.38905 8.38905 0.65 0.000897975 0.000832394 0.0471161 0.0437702 36 3665 49 6.79088e+06 255968 648988. 2245.63 5.23 0.27692 0.240921 25390 158009 -1 3031 19 1428 3960 252465 55201 7.21088 7.21088 -172.542 -7.21088 0 0 828058. 2865.25 0.21 0.10 0.13 -1 -1 0.21 0.0358883 0.0315722 130 183 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_002.v common 8.46 vpr 62.62 MiB -1 -1 0.27 17776 14 0.28 -1 -1 32752 -1 -1 19 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64120 30 32 272 304 1 194 81 17 17 289 -1 unnamed_device 24.1 MiB 2.09 1147 12331 4133 6053 2145 62.6 MiB 0.13 0.00 7.6097 -157.374 -7.6097 7.6097 0.65 0.000901032 0.000834759 0.0669473 0.0618761 34 3327 27 6.79088e+06 255968 618332. 2139.56 3.20 0.253016 0.220485 25102 150614 -1 2658 19 1284 3490 200353 45854 6.82379 6.82379 -154.476 -6.82379 0 0 787024. 2723.27 0.20 0.09 0.13 -1 -1 0.20 0.0355135 0.031198 125 184 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_003.v common 10.01 vpr 62.72 MiB -1 -1 0.24 17472 11 0.28 -1 -1 33036 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64224 32 32 280 312 1 193 83 17 17 289 -1 unnamed_device 24.2 MiB 2.94 1231 6383 1494 4487 402 62.7 MiB 0.07 0.00 6.81003 -148.008 -6.81003 6.81003 0.65 0.000898227 0.00083173 0.0355846 0.0329879 36 3209 32 6.79088e+06 255968 648988. 2245.63 3.95 0.232064 0.20096 25390 158009 -1 2801 19 1317 3890 223314 49848 6.29093 6.29093 -148.387 -6.29093 0 0 828058. 2865.25 0.21 0.09 0.14 -1 -1 0.21 0.0359061 0.0315688 130 186 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_004.v common 7.72 vpr 62.62 MiB -1 -1 0.24 17644 12 0.31 -1 -1 32744 -1 -1 24 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64128 29 32 275 307 1 202 85 17 17 289 -1 unnamed_device 24.0 MiB 1.04 1099 5293 1100 3885 308 62.6 MiB 0.06 0.00 7.28153 -143.815 -7.28153 7.28153 0.67 0.0009034 0.000837238 0.0294841 0.0273848 36 3357 33 6.79088e+06 323328 648988. 2245.63 3.50 0.224903 0.194213 25390 158009 -1 2586 20 1448 4044 231944 51886 6.54158 6.54158 -139.567 -6.54158 0 0 828058. 2865.25 0.21 0.09 0.13 -1 -1 0.21 0.0372409 0.0326762 136 190 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_005.v common 17.84 vpr 62.78 MiB -1 -1 0.27 17796 13 0.27 -1 -1 32756 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64284 32 32 302 334 1 234 86 17 17 289 -1 unnamed_device 24.3 MiB 1.59 1401 5756 1163 4305 288 62.8 MiB 0.07 0.00 8.2885 -175.09 -8.2885 8.2885 0.65 0.00097973 0.000907819 0.0339626 0.0315142 40 3602 29 6.79088e+06 296384 706193. 2443.58 12.96 0.43922 0.378361 26254 175826 -1 3419 16 1490 3850 301755 77078 7.51181 7.51181 -175.313 -7.51181 0 0 926341. 3205.33 0.23 0.11 0.15 -1 -1 0.23 0.0354634 0.031438 152 208 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_006.v common 7.86 vpr 62.78 MiB -1 -1 0.22 17760 13 0.24 -1 -1 32752 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64284 32 32 292 324 1 210 83 17 17 289 -1 unnamed_device 24.2 MiB 1.45 1243 11063 3086 5977 2000 62.8 MiB 0.14 0.00 7.40767 -155.099 -7.40767 7.40767 0.65 0.00128671 0.00119234 0.0750938 0.0695936 38 3505 23 6.79088e+06 255968 678818. 2348.85 3.27 0.269689 0.235968 25966 169698 -1 2804 18 1394 4237 219172 49131 6.58427 6.58427 -150.238 -6.58427 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0362024 0.0318759 137 198 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_007.v common 6.63 vpr 62.25 MiB -1 -1 0.21 17344 12 0.19 -1 -1 32668 -1 -1 21 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63744 27 32 229 261 1 168 80 17 17 289 -1 unnamed_device 23.6 MiB 1.25 831 9024 2147 6104 773 62.2 MiB 0.08 0.00 7.03512 -124.15 -7.03512 7.03512 0.65 0.000739735 0.000680339 0.0414626 0.0384214 36 2328 49 6.79088e+06 282912 648988. 2245.63 2.39 0.218771 0.18967 25390 158009 -1 1811 24 963 2242 190298 71060 6.02493 6.02493 -115.935 -6.02493 0 0 828058. 2865.25 0.21 0.09 0.14 -1 -1 0.21 0.0351924 0.0308117 106 150 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_008.v common 7.55 vpr 62.38 MiB -1 -1 0.23 17496 12 0.21 -1 -1 32752 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63872 31 32 229 261 1 188 80 17 17 289 -1 unnamed_device 23.7 MiB 2.62 997 12636 5258 7154 224 62.4 MiB 0.11 0.00 6.42294 -136.16 -6.42294 6.42294 0.64 0.000735809 0.000681011 0.0570527 0.0528523 44 2627 22 6.79088e+06 229024 787024. 2723.27 1.87 0.206056 0.18036 27118 194962 -1 2129 16 1056 2792 155188 35766 5.65861 5.65861 -131.48 -5.65861 0 0 997811. 3452.63 0.25 0.07 0.16 -1 -1 0.25 0.0260591 0.0230099 106 138 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_009.v common 8.24 vpr 62.27 MiB -1 -1 0.24 17832 12 0.18 -1 -1 32656 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63768 31 32 235 267 1 194 83 17 17 289 -1 unnamed_device 23.9 MiB 2.75 1116 6203 1235 4627 341 62.3 MiB 0.07 0.00 7.04997 -146.463 -7.04997 7.04997 0.67 0.000822906 0.000743498 0.0298591 0.0277288 38 2827 29 6.79088e+06 269440 678818. 2348.85 2.48 0.188461 0.163245 25966 169698 -1 2377 15 1090 2724 145236 33529 6.25178 6.25178 -137.947 -6.25178 0 0 902133. 3121.57 0.22 0.07 0.15 -1 -1 0.22 0.0255926 0.022649 113 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_010.v common 8.17 vpr 62.32 MiB -1 -1 0.22 17552 13 0.19 -1 -1 32600 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63820 32 32 250 282 1 182 79 17 17 289 -1 unnamed_device 23.9 MiB 1.93 1109 7177 1737 4800 640 62.3 MiB 0.08 0.00 7.59858 -166.488 -7.59858 7.59858 0.65 0.000814949 0.000755613 0.037789 0.0350548 36 3033 30 6.79088e+06 202080 648988. 2245.63 3.31 0.211555 0.183525 25390 158009 -1 2399 14 1005 2359 142479 31916 6.91327 6.91327 -163.368 -6.91327 0 0 828058. 2865.25 0.21 0.07 0.14 -1 -1 0.21 0.0262448 0.0232557 106 156 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_011.v common 5.35 vpr 62.15 MiB -1 -1 0.24 17632 12 0.18 -1 -1 32592 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63644 30 32 216 248 1 161 79 17 17 289 -1 unnamed_device 23.6 MiB 1.55 935 11402 3533 6247 1622 62.2 MiB 0.07 0.00 7.11778 -148.236 -7.11778 7.11778 0.56 0.000707053 0.000655114 0.0275324 0.0253595 30 2539 45 6.79088e+06 229024 556674. 1926.21 1.03 0.137923 0.119868 24526 138013 -1 2061 17 900 2128 107060 25145 6.24408 6.24408 -144.119 -6.24408 0 0 706193. 2443.58 0.18 0.06 0.12 -1 -1 0.18 0.0258291 0.0227208 96 128 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_012.v common 17.66 vpr 62.18 MiB -1 -1 0.23 17672 12 0.14 -1 -1 32792 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63668 32 32 236 268 1 171 81 17 17 289 -1 unnamed_device 23.5 MiB 2.07 937 12856 4731 5927 2198 62.2 MiB 0.11 0.00 5.84661 -143.137 -5.84661 5.84661 0.66 0.000737566 0.000682535 0.0570817 0.0528557 38 2936 43 6.79088e+06 229024 678818. 2348.85 12.57 0.366599 0.31653 25966 169698 -1 2301 16 1075 2850 166834 38480 5.18431 5.18431 -138.28 -5.18431 0 0 902133. 3121.57 0.22 0.07 0.14 -1 -1 0.22 0.0263185 0.0232173 101 142 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_013.v common 16.03 vpr 62.73 MiB -1 -1 0.22 17660 13 0.25 -1 -1 32580 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64232 32 32 283 315 1 215 84 17 17 289 -1 unnamed_device 24.1 MiB 1.71 1258 8319 2303 5000 1016 62.7 MiB 0.10 0.00 7.91028 -166.355 -7.91028 7.91028 0.65 0.000927267 0.000849131 0.0462374 0.0427371 40 3060 21 6.79088e+06 269440 706193. 2443.58 11.08 0.401345 0.345512 26254 175826 -1 2982 19 1354 3465 231601 50194 7.01056 7.01056 -160.338 -7.01056 0 0 926341. 3205.33 0.23 0.09 0.15 -1 -1 0.23 0.0366557 0.032283 134 189 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_014.v common 8.35 vpr 62.99 MiB -1 -1 0.28 17588 14 0.31 -1 -1 32812 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64500 32 32 303 335 1 230 86 17 17 289 -1 unnamed_device 24.6 MiB 1.80 1345 7268 1767 5038 463 63.0 MiB 0.11 0.00 8.74626 -182.518 -8.74626 8.74626 0.65 0.00113115 0.00104387 0.0503458 0.0464863 36 3522 26 6.79088e+06 296384 648988. 2245.63 3.31 0.251522 0.217801 25390 158009 -1 2989 18 1459 3621 213522 48818 7.56225 7.56225 -174.856 -7.56225 0 0 828058. 2865.25 0.21 0.09 0.13 -1 -1 0.21 0.0377165 0.0332384 151 209 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_015.v common 8.42 vpr 62.29 MiB -1 -1 0.22 17384 11 0.18 -1 -1 32504 -1 -1 21 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63788 29 32 225 257 1 176 82 17 17 289 -1 unnamed_device 23.9 MiB 2.19 987 12186 3908 6119 2159 62.3 MiB 0.11 0.00 6.7187 -136.52 -6.7187 6.7187 0.65 0.00072387 0.000669953 0.052814 0.0489072 34 3118 45 6.79088e+06 282912 618332. 2139.56 3.01 0.233822 0.204139 25102 150614 -1 2533 55 1326 3340 702783 417195 6.16214 6.16214 -140.269 -6.16214 0 0 787024. 2723.27 0.20 0.26 0.13 -1 -1 0.20 0.0678064 0.0584255 106 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_016.v common 21.25 vpr 62.86 MiB -1 -1 0.25 17604 12 0.31 -1 -1 32944 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64368 32 32 301 333 1 221 88 17 17 289 -1 unnamed_device 24.5 MiB 1.31 1224 13348 3764 6998 2586 62.9 MiB 0.14 0.00 7.24781 -156.42 -7.24781 7.24781 0.66 0.000993471 0.000920556 0.0717531 0.0664181 40 3377 35 6.79088e+06 323328 706193. 2443.58 16.56 0.508325 0.439032 26254 175826 -1 3153 21 1625 5435 403477 86340 6.75642 6.75642 -155.136 -6.75642 0 0 926341. 3205.33 0.27 0.13 0.15 -1 -1 0.27 0.042962 0.0378338 145 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_017.v common 21.52 vpr 62.66 MiB -1 -1 0.26 17600 14 0.25 -1 -1 32744 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64168 32 32 277 309 1 210 83 17 17 289 -1 unnamed_device 24.1 MiB 2.10 1311 6743 1544 4772 427 62.7 MiB 0.08 0.00 8.47078 -173.752 -8.47078 8.47078 0.64 0.000899757 0.000833526 0.037466 0.0347496 38 3697 39 6.79088e+06 255968 678818. 2348.85 16.30 0.430887 0.370412 25966 169698 -1 2925 18 1377 3834 209038 46091 7.22545 7.22545 -162.343 -7.22545 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0344668 0.0303679 126 183 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_018.v common 7.73 vpr 62.32 MiB -1 -1 0.24 17364 12 0.16 -1 -1 32620 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63812 32 32 227 259 1 172 79 17 17 289 -1 unnamed_device 23.6 MiB 1.55 1008 11740 3543 6499 1698 62.3 MiB 0.11 0.00 7.24148 -161.628 -7.24148 7.24148 0.65 0.000744496 0.000689281 0.0548791 0.0508458 36 2795 45 6.79088e+06 202080 648988. 2245.63 3.20 0.22415 0.195724 25390 158009 -1 2378 15 976 2474 149319 33765 6.21607 6.21607 -156.301 -6.21607 0 0 828058. 2865.25 0.21 0.07 0.13 -1 -1 0.21 0.0252704 0.0223871 105 133 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_019.v common 6.14 vpr 62.02 MiB -1 -1 0.20 17084 10 0.10 -1 -1 32240 -1 -1 13 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63512 30 32 175 207 1 133 75 17 17 289 -1 unnamed_device 23.4 MiB 1.81 679 4973 1078 3739 156 62.0 MiB 0.05 0.00 4.83286 -114.815 -4.83286 4.83286 0.65 0.000565584 0.000525615 0.019878 0.0184711 38 1772 21 6.79088e+06 175136 678818. 2348.85 1.51 0.130063 0.112292 25966 169698 -1 1619 14 647 1409 89824 20060 4.29586 4.29586 -114.403 -4.29586 0 0 902133. 3121.57 0.24 0.05 0.15 -1 -1 0.24 0.0187551 0.0166412 66 87 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_020.v common 7.29 vpr 62.37 MiB -1 -1 0.16 17324 13 0.18 -1 -1 32720 -1 -1 18 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63864 31 32 231 263 1 186 81 17 17 289 -1 unnamed_device 23.7 MiB 1.92 997 12331 4111 5801 2419 62.4 MiB 0.11 0.00 7.54752 -160.268 -7.54752 7.54752 0.65 0.000746666 0.000690361 0.0555056 0.0513665 36 2929 29 6.79088e+06 242496 648988. 2245.63 2.37 0.215175 0.187758 25390 158009 -1 2308 18 1125 2654 153918 35011 6.16922 6.16922 -147.333 -6.16922 0 0 828058. 2865.25 0.21 0.07 0.15 -1 -1 0.21 0.0288024 0.0253858 107 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_021.v common 11.66 vpr 63.02 MiB -1 -1 0.25 17936 13 0.27 -1 -1 32992 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64528 32 32 304 336 1 224 85 17 17 289 -1 unnamed_device 24.4 MiB 1.71 1287 9943 2672 5830 1441 63.0 MiB 0.11 0.00 7.66212 -166.709 -7.66212 7.66212 0.65 0.000965987 0.000894894 0.0555842 0.0515246 36 4367 40 6.79088e+06 282912 648988. 2245.63 6.66 0.279159 0.242911 25390 158009 -1 3174 30 2184 6712 566802 176298 6.96787 6.96787 -161.481 -6.96787 0 0 828058. 2865.25 0.23 0.22 0.14 -1 -1 0.23 0.0629587 0.0553451 143 210 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_022.v common 9.70 vpr 62.76 MiB -1 -1 0.20 17696 13 0.32 -1 -1 32452 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64268 32 32 288 320 1 216 85 17 17 289 -1 unnamed_device 24.1 MiB 2.08 1366 11989 3183 6998 1808 62.8 MiB 0.12 0.00 7.56666 -167.812 -7.56666 7.56666 0.65 0.000737829 0.00067568 0.0556237 0.0511944 38 3931 41 6.79088e+06 282912 678818. 2348.85 4.40 0.270277 0.234429 25966 169698 -1 3120 17 1406 4182 239599 51913 6.50587 6.50587 -157.808 -6.50587 0 0 902133. 3121.57 0.24 0.11 0.14 -1 -1 0.24 0.0476003 0.042874 141 194 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_023.v common 5.22 vpr 61.89 MiB -1 -1 0.12 17064 9 0.09 -1 -1 32200 -1 -1 18 26 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63376 26 32 152 184 1 122 76 17 17 289 -1 unnamed_device 23.2 MiB 1.22 700 7596 2556 3853 1187 61.9 MiB 0.06 0.00 4.83723 -93.7879 -4.83723 4.83723 0.65 0.000515395 0.00047946 0.0267015 0.0248689 34 1715 26 6.79088e+06 242496 618332. 2139.56 1.34 0.130939 0.113193 25102 150614 -1 1498 18 668 1631 98751 22412 4.3539 4.3539 -94.2702 -4.3539 0 0 787024. 2723.27 0.20 0.05 0.13 -1 -1 0.20 0.0193617 0.0169173 67 76 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_024.v common 7.77 vpr 62.68 MiB -1 -1 0.24 17552 13 0.30 -1 -1 32708 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 32 32 287 319 1 214 87 17 17 289 -1 unnamed_device 24.1 MiB 1.83 1263 10263 2709 7113 441 62.7 MiB 0.11 0.00 8.1433 -166.845 -8.1433 8.1433 0.65 0.000918499 0.000850101 0.0529514 0.0490628 40 3385 50 6.79088e+06 309856 706193. 2443.58 2.74 0.27548 0.238531 26254 175826 -1 3075 21 1607 4496 277530 61024 7.34382 7.34382 -164.809 -7.34382 0 0 926341. 3205.33 0.23 0.11 0.16 -1 -1 0.23 0.0397841 0.0349002 136 193 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_025.v common 6.00 vpr 61.84 MiB -1 -1 0.17 16868 8 0.10 -1 -1 32792 -1 -1 11 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63328 32 32 154 186 1 126 75 17 17 289 -1 unnamed_device 23.3 MiB 1.85 633 5921 1256 4594 71 61.8 MiB 0.05 0.00 4.18492 -95.1021 -4.18492 4.18492 0.65 0.000504808 0.000468971 0.0207371 0.0192672 36 1824 30 6.79088e+06 148192 648988. 2245.63 1.50 0.126756 0.109157 25390 158009 -1 1490 18 656 1469 79844 19181 3.83796 3.83796 -94.1549 -3.83796 0 0 828058. 2865.25 0.21 0.05 0.15 -1 -1 0.21 0.0191904 0.0167984 60 60 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_026.v common 11.70 vpr 62.68 MiB -1 -1 0.20 17396 15 0.24 -1 -1 32700 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 32 32 254 286 1 202 82 17 17 289 -1 unnamed_device 24.2 MiB 2.10 1197 14144 5293 7084 1767 62.7 MiB 0.14 0.00 8.89118 -178.017 -8.89118 8.89118 0.65 0.00083899 0.000777309 0.0701837 0.0650693 36 3911 43 6.79088e+06 242496 648988. 2245.63 6.52 0.271233 0.236607 25390 158009 -1 3064 31 1411 3990 445921 169785 7.93467 7.93467 -173.678 -7.93467 0 0 828058. 2865.25 0.21 0.16 0.14 -1 -1 0.21 0.0485183 0.0421606 121 160 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_027.v common 10.42 vpr 62.44 MiB -1 -1 0.23 17496 13 0.23 -1 -1 32856 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63936 32 32 260 292 1 195 82 17 17 289 -1 unnamed_device 24.0 MiB 1.71 1207 12898 3890 6827 2181 62.4 MiB 0.13 0.00 6.79894 -149.553 -6.79894 6.79894 0.65 0.000847932 0.000785126 0.0650668 0.0603094 36 3359 23 6.79088e+06 242496 648988. 2245.63 5.63 0.240816 0.210714 25390 158009 -1 2799 19 1253 3687 226923 49033 5.82898 5.82898 -144.739 -5.82898 0 0 828058. 2865.25 0.21 0.09 0.13 -1 -1 0.21 0.0337513 0.029655 117 166 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_028.v common 8.68 vpr 62.77 MiB -1 -1 0.17 17816 13 0.26 -1 -1 32868 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64280 32 32 279 311 1 202 82 17 17 289 -1 unnamed_device 24.2 MiB 1.45 1179 7024 1686 4552 786 62.8 MiB 0.08 0.00 7.81323 -165.772 -7.81323 7.81323 0.65 0.000909842 0.00084286 0.0399561 0.0370604 40 3618 36 6.79088e+06 242496 706193. 2443.58 4.06 0.246074 0.213219 26254 175826 -1 3151 26 1711 4941 532467 172390 6.77334 6.77334 -165.618 -6.77334 0 0 926341. 3205.33 0.23 0.17 0.15 -1 -1 0.23 0.0457775 0.0399467 136 185 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_029.v common 13.42 vpr 62.24 MiB -1 -1 0.21 17552 12 0.16 -1 -1 32656 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63732 32 32 238 270 1 186 80 17 17 289 -1 unnamed_device 23.6 MiB 1.96 1077 9024 2472 4753 1799 62.2 MiB 0.09 0.00 6.90294 -154.176 -6.90294 6.90294 0.66 0.000750227 0.00069412 0.0423845 0.0392834 38 2685 27 6.79088e+06 215552 678818. 2348.85 8.51 0.307229 0.265375 25966 169698 -1 2236 14 1015 2429 130604 29713 5.99004 5.99004 -143.607 -5.99004 0 0 902133. 3121.57 0.22 0.06 0.10 -1 -1 0.22 0.0246244 0.0218701 103 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_030.v common 7.77 vpr 62.18 MiB -1 -1 0.20 17532 11 0.15 -1 -1 32568 -1 -1 18 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63676 30 32 213 245 1 164 80 17 17 289 -1 unnamed_device 23.6 MiB 1.69 910 12120 3870 6285 1965 62.2 MiB 0.10 0.00 6.3635 -135.496 -6.3635 6.3635 0.65 0.000680122 0.000629663 0.0506928 0.0469403 36 2758 44 6.79088e+06 242496 648988. 2245.63 3.18 0.213081 0.185874 25390 158009 -1 2137 15 968 2319 151256 33988 5.69238 5.69238 -131.952 -5.69238 0 0 828058. 2865.25 0.22 0.06 0.13 -1 -1 0.22 0.023231 0.0205497 95 125 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_031.v common 6.26 vpr 62.20 MiB -1 -1 0.20 17344 11 0.17 -1 -1 32644 -1 -1 21 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63692 28 32 227 259 1 171 81 17 17 289 -1 unnamed_device 23.6 MiB 1.43 934 11106 3231 6003 1872 62.2 MiB 0.10 0.00 7.04953 -133.904 -7.04953 7.04953 0.65 0.000727868 0.000673378 0.0496002 0.0459622 36 2495 17 6.79088e+06 282912 648988. 2245.63 1.93 0.19065 0.166666 25390 158009 -1 2080 15 900 2405 142832 32141 6.24403 6.24403 -128.601 -6.24403 0 0 828058. 2865.25 0.21 0.06 0.13 -1 -1 0.21 0.0248905 0.0220344 109 145 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_032.v common 8.45 vpr 62.66 MiB -1 -1 0.22 17324 12 0.19 -1 -1 32700 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64164 32 32 274 306 1 209 81 17 17 289 -1 unnamed_device 23.9 MiB 2.31 1143 7431 2070 3957 1404 62.7 MiB 0.09 0.00 7.03679 -162.788 -7.03679 7.03679 0.65 0.000862645 0.000799367 0.042381 0.0393597 38 3489 28 6.79088e+06 229024 678818. 2348.85 3.12 0.213819 0.185553 25966 169698 -1 2750 18 1400 3465 198948 44554 6.45548 6.45548 -161.876 -6.45548 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0329896 0.0290446 119 180 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_033.v common 8.78 vpr 62.25 MiB -1 -1 0.18 17396 12 0.16 -1 -1 32672 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63740 31 32 237 269 1 176 80 17 17 289 -1 unnamed_device 23.6 MiB 2.04 1005 7304 1599 5397 308 62.2 MiB 0.08 0.00 6.85818 -143.144 -6.85818 6.85818 0.66 0.000748963 0.000693417 0.0359548 0.0333349 36 3104 26 6.79088e+06 229024 648988. 2245.63 3.78 0.198494 0.172497 25390 158009 -1 2546 20 1197 3028 191255 42293 5.92738 5.92738 -138.337 -5.92738 0 0 828058. 2865.25 0.25 0.08 0.13 -1 -1 0.25 0.0306532 0.026898 101 146 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_034.v common 6.54 vpr 62.23 MiB -1 -1 0.23 17540 10 0.18 -1 -1 32752 -1 -1 17 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63728 29 32 220 252 1 166 78 17 17 289 -1 unnamed_device 23.6 MiB 1.39 942 8378 2178 5592 608 62.2 MiB 0.08 0.00 6.16888 -135.594 -6.16888 6.16888 0.65 0.000725346 0.000671806 0.0391604 0.0362609 34 2825 33 6.79088e+06 229024 618332. 2139.56 2.26 0.194707 0.169166 25102 150614 -1 2283 15 958 2705 169570 37670 5.36338 5.36338 -129.171 -5.36338 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0245103 0.0216827 103 135 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_035.v common 7.54 vpr 63.43 MiB -1 -1 0.29 18040 13 0.29 -1 -1 32804 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64948 32 32 315 347 1 232 85 17 17 289 -1 unnamed_device 24.7 MiB 1.73 1312 13663 4088 7126 2449 63.4 MiB 0.15 0.00 8.09614 -166.803 -8.09614 8.09614 0.68 0.00100269 0.000926376 0.078344 0.0725217 44 3318 23 6.79088e+06 282912 787024. 2723.27 2.42 0.282006 0.246727 27118 194962 -1 2701 17 1373 4208 224771 50229 7.1394 7.1394 -154.037 -7.1394 0 0 997811. 3452.63 0.25 0.09 0.19 -1 -1 0.25 0.0378555 0.0334902 149 221 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_036.v common 8.42 vpr 62.82 MiB -1 -1 0.27 18020 14 0.31 -1 -1 33400 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64328 32 32 282 314 1 225 82 17 17 289 -1 unnamed_device 24.2 MiB 2.00 1261 10584 2627 7173 784 62.8 MiB 0.12 0.00 7.68903 -168.897 -7.68903 7.68903 0.65 0.000935991 0.000867991 0.0596857 0.0552211 44 3649 50 6.79088e+06 242496 787024. 2723.27 3.14 0.29134 0.254004 27118 194962 -1 2886 17 1427 3968 219322 49259 6.95258 6.95258 -162.986 -6.95258 0 0 997811. 3452.63 0.25 0.09 0.16 -1 -1 0.25 0.0344341 0.0304302 136 188 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_037.v common 17.77 vpr 62.21 MiB -1 -1 0.16 17568 12 0.15 -1 -1 32592 -1 -1 16 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63700 31 32 241 273 1 173 79 17 17 289 -1 unnamed_device 23.6 MiB 1.96 1099 9036 2242 5503 1291 62.2 MiB 0.09 0.00 7.11595 -155.813 -7.11595 7.11595 0.65 0.000751572 0.00069614 0.043168 0.0400106 30 2953 39 6.79088e+06 215552 556674. 1926.21 12.73 0.335374 0.289718 24526 138013 -1 2356 56 1353 4062 755449 394520 6.33018 6.33018 -154.996 -6.33018 0 0 706193. 2443.58 0.19 0.27 0.12 -1 -1 0.19 0.0707312 0.0608455 101 150 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_038.v common 26.43 vpr 62.88 MiB -1 -1 0.27 17804 12 0.27 -1 -1 32756 -1 -1 24 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64392 31 32 307 339 1 226 87 17 17 289 -1 unnamed_device 24.4 MiB 2.18 1467 6039 1319 4287 433 62.9 MiB 0.07 0.00 7.47278 -158.083 -7.47278 7.47278 0.65 0.000981998 0.0009092 0.0349007 0.0324077 44 3629 28 6.79088e+06 323328 787024. 2723.27 20.97 0.418965 0.360081 27118 194962 -1 3054 17 1429 4213 263921 56409 6.54502 6.54502 -148.774 -6.54502 0 0 997811. 3452.63 0.25 0.10 0.16 -1 -1 0.25 0.0363808 0.0321769 146 216 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_039.v common 7.27 vpr 62.79 MiB -1 -1 0.28 17896 14 0.32 -1 -1 33188 -1 -1 22 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64296 31 32 293 325 1 209 85 17 17 289 -1 unnamed_device 24.2 MiB 1.25 1271 10129 2796 6287 1046 62.8 MiB 0.11 0.00 8.30959 -169.599 -8.30959 8.30959 0.66 0.000971626 0.000901467 0.0560829 0.0520037 36 3450 22 6.79088e+06 296384 648988. 2245.63 2.82 0.25068 0.21871 25390 158009 -1 2866 16 1351 3700 212299 48794 7.47267 7.47267 -164.725 -7.47267 0 0 828058. 2865.25 0.21 0.09 0.13 -1 -1 0.21 0.0337034 0.0297367 142 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_040.v common 7.37 vpr 62.68 MiB -1 -1 0.27 18080 13 0.25 -1 -1 32892 -1 -1 23 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64184 31 32 276 308 1 215 86 17 17 289 -1 unnamed_device 24.0 MiB 1.86 1280 4811 900 3622 289 62.7 MiB 0.06 0.00 8.58767 -169.841 -8.58767 8.58767 0.65 0.000898867 0.000833209 0.0265646 0.0246675 38 3430 34 6.79088e+06 309856 678818. 2348.85 2.37 0.221783 0.191345 25966 169698 -1 2787 17 1355 3483 187965 42656 7.47267 7.47267 -159.441 -7.47267 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0338746 0.0299665 136 185 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_041.v common 7.66 vpr 62.78 MiB -1 -1 0.27 17720 13 0.24 -1 -1 32540 -1 -1 21 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64288 31 32 269 301 1 204 84 17 17 289 -1 unnamed_device 24.2 MiB 1.75 1180 11979 3854 6305 1820 62.8 MiB 0.12 0.00 7.68398 -158.005 -7.68398 7.68398 0.65 0.000890923 0.000820103 0.0618626 0.0572174 46 3049 18 6.79088e+06 282912 828058. 2865.25 2.69 0.23283 0.20326 27406 200422 -1 2544 16 1179 3480 191322 42294 6.96798 6.96798 -148.071 -6.96798 0 0 1.01997e+06 3529.29 0.25 0.08 0.17 -1 -1 0.25 0.0310726 0.027411 125 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_042.v common 8.06 vpr 62.53 MiB -1 -1 0.24 17560 12 0.18 -1 -1 32740 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64032 32 32 264 296 1 184 80 17 17 289 -1 unnamed_device 24.0 MiB 1.82 851 11432 3292 6222 1918 62.5 MiB 0.12 0.00 6.74005 -141.479 -6.74005 6.74005 0.66 0.00083468 0.000773591 0.0607612 0.0563613 38 2732 20 6.79088e+06 215552 678818. 2348.85 3.22 0.198714 0.174829 25966 169698 -1 2025 17 1043 2803 137992 33770 6.06839 6.06839 -140.261 -6.06839 0 0 902133. 3121.57 0.22 0.07 0.14 -1 -1 0.22 0.0309709 0.0273874 111 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_043.v common 7.91 vpr 63.16 MiB -1 -1 0.31 18392 14 0.37 -1 -1 32840 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64680 32 32 324 356 1 241 85 17 17 289 -1 unnamed_device 24.4 MiB 1.08 1525 8269 1990 5730 549 63.2 MiB 0.10 0.00 8.76146 -179.232 -8.76146 8.76146 0.65 0.00105571 0.000967634 0.0511827 0.0472515 40 3962 28 6.79088e+06 282912 706193. 2443.58 3.41 0.273214 0.237655 26254 175826 -1 3736 16 1643 4957 342662 72776 7.59797 7.59797 -174.093 -7.59797 0 0 926341. 3205.33 0.23 0.11 0.15 -1 -1 0.23 0.0374176 0.0331444 159 230 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_044.v common 16.99 vpr 62.24 MiB -1 -1 0.24 17344 11 0.19 -1 -1 32460 -1 -1 16 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63736 31 32 249 281 1 186 79 17 17 289 -1 unnamed_device 23.6 MiB 2.04 1083 5656 1222 4312 122 62.2 MiB 0.07 0.00 6.44427 -138.672 -6.44427 6.44427 0.71 0.000807905 0.000748702 0.0305571 0.0283522 40 2970 31 6.79088e+06 215552 706193. 2443.58 11.84 0.367614 0.315891 26254 175826 -1 2695 18 1295 3569 245355 53324 5.60634 5.60634 -134.282 -5.60634 0 0 926341. 3205.33 0.25 0.09 0.15 -1 -1 0.25 0.0312161 0.0274826 112 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_045.v common 8.77 vpr 62.76 MiB -1 -1 0.22 17796 13 0.26 -1 -1 33308 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64268 31 32 284 316 1 193 83 17 17 289 -1 unnamed_device 24.2 MiB 1.62 1224 12683 3651 6628 2404 62.8 MiB 0.13 0.00 8.19665 -170.984 -8.19665 8.19665 0.66 0.000904322 0.000836404 0.0684237 0.0633431 36 3521 25 6.79088e+06 269440 648988. 2245.63 3.95 0.25722 0.224494 25390 158009 -1 2718 17 1178 3776 220011 48287 7.01061 7.01061 -160.627 -7.01061 0 0 828058. 2865.25 0.21 0.09 0.14 -1 -1 0.21 0.0341932 0.0301461 137 193 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_046.v common 7.91 vpr 62.68 MiB -1 -1 0.15 17832 12 0.25 -1 -1 32796 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 32 32 303 335 1 212 85 17 17 289 -1 unnamed_device 24.0 MiB 1.90 1183 14221 4949 6932 2340 62.7 MiB 0.17 0.00 7.19197 -155.782 -7.19197 7.19197 0.67 0.00113801 0.00104777 0.0872274 0.0804344 48 3258 28 6.79088e+06 282912 865456. 2994.66 2.64 0.30633 0.268045 27694 206865 -1 2769 24 1411 4530 432759 157864 6.41972 6.41972 -150.586 -6.41972 0 0 1.05005e+06 3633.38 0.29 0.15 0.17 -1 -1 0.29 0.0456086 0.0399066 146 209 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_047.v common 6.34 vpr 62.70 MiB -1 -1 0.24 17364 13 0.31 -1 -1 32852 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64208 32 32 272 304 1 200 86 17 17 289 -1 unnamed_device 24.2 MiB 1.18 1273 10103 2600 6194 1309 62.7 MiB 0.10 0.00 8.00961 -170.987 -8.00961 8.00961 0.65 0.000894459 0.000829018 0.0514462 0.0476751 38 3255 22 6.79088e+06 296384 678818. 2348.85 2.21 0.229748 0.200048 25966 169698 -1 2694 17 1212 3197 175848 40913 6.72081 6.72081 -158.428 -6.72081 0 0 902133. 3121.57 0.22 0.08 0.12 -1 -1 0.22 0.0329069 0.0289832 131 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_048.v common 10.86 vpr 62.67 MiB -1 -1 0.26 17760 13 0.20 -1 -1 32708 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64176 32 32 271 303 1 212 82 17 17 289 -1 unnamed_device 24.0 MiB 2.47 1094 12364 4318 5742 2304 62.7 MiB 0.13 0.00 7.6093 -157.428 -7.6093 7.6093 0.65 0.000874507 0.0008099 0.0648312 0.0600595 38 3487 43 6.79088e+06 242496 678818. 2348.85 5.33 0.270788 0.235835 25966 169698 -1 2496 17 1300 3454 186520 43111 6.50936 6.50936 -147.867 -6.50936 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0318737 0.0280831 124 177 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_049.v common 20.13 vpr 62.83 MiB -1 -1 0.17 17816 12 0.32 -1 -1 32568 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64336 32 32 288 320 1 218 84 17 17 289 -1 unnamed_device 24.2 MiB 2.00 1339 6489 1417 4538 534 62.8 MiB 0.08 0.00 7.45027 -163.951 -7.45027 7.45027 0.65 0.000931345 0.000862008 0.0368859 0.0341733 36 4037 43 6.79088e+06 269440 648988. 2245.63 15.03 0.37597 0.323218 25390 158009 -1 3242 19 1321 4175 282612 58801 6.45897 6.45897 -154.692 -6.45897 0 0 828058. 2865.25 0.21 0.10 0.13 -1 -1 0.21 0.0374741 0.0329602 140 194 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_050.v common 11.55 vpr 62.80 MiB -1 -1 0.29 18040 13 0.29 -1 -1 32880 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64308 32 32 306 338 1 225 84 17 17 289 -1 unnamed_device 24.3 MiB 1.79 1312 5757 1265 4157 335 62.8 MiB 0.08 0.00 7.77691 -170.238 -7.77691 7.77691 0.65 0.000989177 0.000915537 0.0357906 0.0331752 36 4117 46 6.79088e+06 269440 648988. 2245.63 6.42 0.274332 0.236987 25390 158009 -1 3191 34 1537 4493 529481 205202 6.95673 6.95673 -165.406 -6.95673 0 0 828058. 2865.25 0.21 0.20 0.13 -1 -1 0.21 0.0617758 0.0537491 145 212 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_051.v common 7.23 vpr 62.62 MiB -1 -1 0.24 17500 14 0.27 -1 -1 33064 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64120 32 32 262 294 1 194 84 17 17 289 -1 unnamed_device 24.1 MiB 1.37 1196 9783 2600 6598 585 62.6 MiB 0.09 0.00 8.29092 -170.108 -8.29092 8.29092 0.81 0.00066336 0.000606154 0.0394696 0.0361392 38 3116 34 6.79088e+06 269440 678818. 2348.85 2.54 0.228808 0.198049 25966 169698 -1 2517 19 1395 4131 212750 47962 7.04638 7.04638 -156.873 -7.04638 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.034339 0.0301719 125 168 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_052.v common 11.27 vpr 62.96 MiB -1 -1 0.22 17816 13 0.26 -1 -1 32764 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64476 32 32 291 323 1 214 85 17 17 289 -1 unnamed_device 24.4 MiB 2.06 1239 12547 3128 7964 1455 63.0 MiB 0.13 0.00 8.02156 -162.008 -8.02156 8.02156 0.73 0.000935645 0.000866676 0.0665217 0.0616094 36 3706 32 6.79088e+06 282912 648988. 2245.63 6.02 0.273381 0.238749 25390 158009 -1 3134 23 1969 5759 376061 79652 7.33607 7.33607 -160.823 -7.33607 0 0 828058. 2865.25 0.21 0.13 0.14 -1 -1 0.21 0.0429383 0.0376029 136 197 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_053.v common 8.51 vpr 62.74 MiB -1 -1 0.29 17796 13 0.27 -1 -1 32656 -1 -1 21 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64244 31 32 302 334 1 224 84 17 17 289 -1 unnamed_device 24.3 MiB 1.73 1309 8319 2069 5720 530 62.7 MiB 0.10 0.00 7.83086 -168.91 -7.83086 7.83086 0.65 0.000969389 0.000898505 0.0491192 0.0454835 38 3459 40 6.79088e+06 282912 678818. 2348.85 3.57 0.272347 0.236807 25966 169698 -1 2928 18 1445 4114 215674 47831 6.83836 6.83836 -161.551 -6.83836 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0369032 0.0325347 144 211 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_054.v common 8.76 vpr 63.09 MiB -1 -1 0.15 17776 12 0.29 -1 -1 32764 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64608 32 32 308 340 1 225 85 17 17 289 -1 unnamed_device 24.7 MiB 1.47 1321 14779 4130 8902 1747 63.1 MiB 0.15 0.00 7.66848 -162.706 -7.66848 7.66848 0.66 0.000961287 0.000888997 0.0808162 0.0748123 38 3631 50 6.79088e+06 282912 678818. 2348.85 4.09 0.323287 0.282823 25966 169698 -1 3008 18 1459 4087 232219 51644 6.98829 6.98829 -157.579 -6.98829 0 0 902133. 3121.57 0.22 0.10 0.12 -1 -1 0.22 0.037258 0.0328099 147 214 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_055.v common 6.50 vpr 62.06 MiB -1 -1 0.22 17232 11 0.16 -1 -1 32572 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63552 32 32 216 248 1 160 78 17 17 289 -1 unnamed_device 23.5 MiB 1.20 752 5224 962 4133 129 62.1 MiB 0.05 0.00 6.41251 -131.25 -6.41251 6.41251 0.65 0.000536119 0.000489615 0.0197575 0.0180864 36 2354 24 6.79088e+06 188608 648988. 2245.63 2.44 0.160035 0.137586 25390 158009 -1 1841 17 982 2579 141528 34864 5.56708 5.56708 -127.034 -5.56708 0 0 828058. 2865.25 0.23 0.07 0.10 -1 -1 0.23 0.0254722 0.0224535 91 122 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_056.v common 8.48 vpr 62.46 MiB -1 -1 0.20 17936 13 0.21 -1 -1 32684 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63960 32 32 254 286 1 197 84 17 17 289 -1 unnamed_device 23.9 MiB 1.74 1180 7221 1648 4796 777 62.5 MiB 0.08 0.00 7.59268 -164.24 -7.59268 7.59268 0.65 0.000833994 0.000773619 0.0363326 0.0337222 36 3135 25 6.79088e+06 269440 648988. 2245.63 3.79 0.212774 0.184625 25390 158009 -1 2766 17 1158 3022 197887 43020 6.74539 6.74539 -159.239 -6.74539 0 0 828058. 2865.25 0.21 0.08 0.14 -1 -1 0.21 0.0306082 0.0269174 118 160 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_057.v common 27.17 vpr 63.08 MiB -1 -1 0.28 18364 14 0.45 -1 -1 32896 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64596 32 32 338 370 1 251 88 17 17 289 -1 unnamed_device 24.5 MiB 1.25 1584 7108 1588 4936 584 63.1 MiB 0.09 0.00 9.32595 -187.261 -9.32595 9.32595 0.76 0.00108035 0.00101136 0.0456684 0.0422757 46 3936 32 6.79088e+06 323328 828058. 2865.25 22.13 0.438423 0.378258 27406 200422 -1 3289 21 1725 5115 340361 89609 8.0201 8.0201 -172.736 -8.0201 0 0 1.01997e+06 3529.29 0.34 0.13 0.20 -1 -1 0.34 0.047276 0.0416728 171 244 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_058.v common 8.30 vpr 62.67 MiB -1 -1 0.27 17608 13 0.28 -1 -1 32760 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64176 32 32 271 303 1 215 85 17 17 289 -1 unnamed_device 24.0 MiB 1.46 1314 12733 3340 7297 2096 62.7 MiB 0.13 0.00 7.97246 -177.126 -7.97246 7.97246 0.66 0.00090106 0.000834812 0.0653199 0.0605593 38 3411 27 6.79088e+06 282912 678818. 2348.85 3.69 0.249148 0.217482 25966 169698 -1 2845 17 1391 3842 239432 52895 7.01061 7.01061 -170.364 -7.01061 0 0 902133. 3121.57 0.22 0.09 0.10 -1 -1 0.22 0.0332671 0.0293479 134 177 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_059.v common 6.43 vpr 62.26 MiB -1 -1 0.24 17552 11 0.17 -1 -1 32748 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63756 30 32 224 256 1 163 79 17 17 289 -1 unnamed_device 23.6 MiB 0.67 915 4304 849 3239 216 62.3 MiB 0.05 0.00 6.78614 -143.669 -6.78614 6.78614 0.66 0.000743836 0.000684204 0.0219369 0.0204017 36 2700 29 6.79088e+06 229024 648988. 2245.63 2.90 0.176334 0.152204 25390 158009 -1 2122 15 1010 2751 160047 35594 6.06839 6.06839 -137.13 -6.06839 0 0 828058. 2865.25 0.22 0.07 0.09 -1 -1 0.22 0.0263581 0.0234799 101 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_060.v common 13.82 vpr 63.27 MiB -1 -1 0.31 18392 15 0.48 -1 -1 32536 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64792 32 32 351 383 1 259 89 17 17 289 -1 unnamed_device 24.6 MiB 1.07 1525 5039 926 3796 317 63.3 MiB 0.07 0.00 9.59451 -195.342 -9.59451 9.59451 0.65 0.00113162 0.0010467 0.0334737 0.0309927 36 4861 41 6.79088e+06 336800 648988. 2245.63 9.32 0.307505 0.266673 25390 158009 -1 3846 22 1955 5417 336882 74570 8.35685 8.35685 -188.636 -8.35685 0 0 828058. 2865.25 0.21 0.13 0.14 -1 -1 0.21 0.0505632 0.0444924 179 257 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_061.v common 6.64 vpr 62.78 MiB -1 -1 0.24 17808 13 0.40 -1 -1 32800 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64288 32 32 297 329 1 217 84 17 17 289 -1 unnamed_device 24.4 MiB 1.06 1281 8502 2158 5508 836 62.8 MiB 0.10 0.00 8.03603 -175.042 -8.03603 8.03603 0.65 0.000959768 0.000888383 0.0483244 0.0447435 38 3308 18 6.79088e+06 269440 678818. 2348.85 2.31 0.231242 0.201636 25966 169698 -1 2729 15 1311 3591 186034 41679 7.09671 7.09671 -167.647 -7.09671 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0325747 0.0288739 139 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_062.v common 5.32 vpr 62.22 MiB -1 -1 0.18 17192 11 0.17 -1 -1 32440 -1 -1 13 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63716 32 32 231 263 1 165 77 17 17 289 -1 unnamed_device 23.7 MiB 1.33 1102 10183 2765 6008 1410 62.2 MiB 0.10 0.00 6.80233 -145.463 -6.80233 6.80233 0.66 0.00072524 0.000671389 0.048901 0.0453126 30 2820 19 6.79088e+06 175136 556674. 1926.21 1.21 0.136328 0.120444 24526 138013 -1 2278 16 941 2287 125340 28672 5.65673 5.65673 -139.283 -5.65673 0 0 706193. 2443.58 0.18 0.06 0.12 -1 -1 0.18 0.0255831 0.0226176 94 137 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_063.v common 7.39 vpr 62.83 MiB -1 -1 0.27 17608 12 0.28 -1 -1 32864 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64340 32 32 305 337 1 217 84 17 17 289 -1 unnamed_device 24.4 MiB 1.09 1332 7953 2031 5347 575 62.8 MiB 0.09 0.00 7.73069 -165.16 -7.73069 7.73069 0.65 0.000964 0.000890569 0.0456717 0.0421955 38 3533 25 6.79088e+06 269440 678818. 2348.85 3.10 0.2487 0.21573 25966 169698 -1 2880 16 1393 4466 244161 53393 6.50936 6.50936 -156.666 -6.50936 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0346728 0.030597 146 211 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_064.v common 17.34 vpr 62.52 MiB -1 -1 0.22 17532 12 0.22 -1 -1 32636 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64016 32 32 243 275 1 187 82 17 17 289 -1 unnamed_device 24.1 MiB 1.16 1053 12008 3553 6389 2066 62.5 MiB 0.11 0.00 7.28149 -150.89 -7.28149 7.28149 0.65 0.000789131 0.000731414 0.0561785 0.052091 44 2625 43 6.79088e+06 242496 787024. 2723.27 13.11 0.416319 0.359089 27118 194962 -1 2033 17 1102 2951 150094 35597 6.20493 6.20493 -138.957 -6.20493 0 0 997811. 3452.63 0.25 0.07 0.16 -1 -1 0.25 0.0289711 0.0255532 113 149 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_065.v common 11.84 vpr 62.28 MiB -1 -1 0.17 17532 12 0.18 -1 -1 32664 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63776 30 32 228 260 1 166 79 17 17 289 -1 unnamed_device 23.7 MiB 1.03 903 6163 1336 4643 184 62.3 MiB 0.07 0.00 7.56546 -146.033 -7.56546 7.56546 0.66 0.000727775 0.000672303 0.0300188 0.0277792 30 2736 29 6.79088e+06 229024 556674. 1926.21 7.93 0.241243 0.208577 24526 138013 -1 2112 20 907 2572 134816 30941 6.67032 6.67032 -143.964 -6.67032 0 0 706193. 2443.58 0.18 0.07 0.12 -1 -1 0.18 0.0307648 0.0270191 106 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_066.v common 7.43 vpr 62.76 MiB -1 -1 0.27 17588 12 0.27 -1 -1 32760 -1 -1 26 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64264 29 32 275 307 1 201 87 17 17 289 -1 unnamed_device 24.1 MiB 2.03 1197 6039 1341 4100 598 62.8 MiB 0.07 0.00 7.39356 -141.853 -7.39356 7.39356 0.66 0.000910431 0.000843034 0.0322218 0.0298629 40 2765 18 6.79088e+06 350272 706193. 2443.58 2.24 0.208922 0.180663 26254 175826 -1 2683 18 1287 4001 245257 52754 6.50238 6.50238 -135.7 -6.50238 0 0 926341. 3205.33 0.24 0.11 0.15 -1 -1 0.24 0.0402835 0.0355281 140 190 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_067.v common 12.11 vpr 63.02 MiB -1 -1 0.27 17712 13 0.33 -1 -1 32716 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64528 32 32 330 362 1 257 87 17 17 289 -1 unnamed_device 24.5 MiB 0.98 1362 9111 2298 6252 561 63.0 MiB 0.11 0.00 7.91407 -168.647 -7.91407 7.91407 0.66 0.00103286 0.000955684 0.0534875 0.0494396 36 4498 41 6.79088e+06 309856 648988. 2245.63 7.58 0.300084 0.261512 25390 158009 -1 3430 25 2554 6396 468023 127210 7.54398 7.54398 -171.891 -7.54398 0 0 828058. 2865.25 0.21 0.16 0.13 -1 -1 0.21 0.0506363 0.0442805 160 236 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_068.v common 8.05 vpr 62.77 MiB -1 -1 0.26 17760 12 0.21 -1 -1 32732 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64276 32 32 290 322 1 218 84 17 17 289 -1 unnamed_device 24.1 MiB 1.19 1278 7770 1751 5660 359 62.8 MiB 0.09 0.00 7.73336 -164.138 -7.73336 7.73336 0.66 0.000950024 0.000880739 0.0438339 0.0405982 38 3570 28 6.79088e+06 269440 678818. 2348.85 3.72 0.247019 0.215267 25966 169698 -1 2893 19 1614 4633 260219 56380 6.62347 6.62347 -155.711 -6.62347 0 0 902133. 3121.57 0.22 0.10 0.14 -1 -1 0.22 0.0375635 0.033073 140 196 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_069.v common 7.66 vpr 62.12 MiB -1 -1 0.16 17516 12 0.13 -1 -1 32500 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63612 32 32 214 246 1 160 79 17 17 289 -1 unnamed_device 23.6 MiB 1.77 988 4473 916 3372 185 62.1 MiB 0.05 0.00 7.24997 -147.671 -7.24997 7.24997 0.65 0.000707291 0.00065618 0.022194 0.0205907 36 2682 24 6.79088e+06 202080 648988. 2245.63 3.09 0.163083 0.140565 25390 158009 -1 2226 18 906 2477 157273 34411 6.12222 6.12222 -141.304 -6.12222 0 0 828058. 2865.25 0.21 0.07 0.13 -1 -1 0.21 0.0271049 0.0238525 93 120 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_070.v common 8.12 vpr 62.38 MiB -1 -1 0.26 17720 12 0.24 -1 -1 32464 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63872 31 32 244 276 1 178 82 17 17 289 -1 unnamed_device 23.7 MiB 1.32 1084 12898 3877 6797 2224 62.4 MiB 0.12 0.00 7.21455 -151.198 -7.21455 7.21455 0.65 0.000785101 0.000727172 0.0602524 0.0557564 36 2934 32 6.79088e+06 255968 648988. 2245.63 3.73 0.228521 0.199143 25390 158009 -1 2522 17 1069 2930 187495 40044 6.38938 6.38938 -145.28 -6.38938 0 0 828058. 2865.25 0.21 0.08 0.13 -1 -1 0.21 0.0290961 0.0256175 111 153 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_071.v common 7.23 vpr 62.61 MiB -1 -1 0.19 17712 11 0.18 -1 -1 32748 -1 -1 20 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64108 30 32 276 308 1 190 82 17 17 289 -1 unnamed_device 24.0 MiB 1.38 1115 8626 2299 5376 951 62.6 MiB 0.09 0.00 6.84847 -137.093 -6.84847 6.84847 0.64 0.00087515 0.000810387 0.0460887 0.0426746 36 3085 31 6.79088e+06 269440 648988. 2245.63 2.91 0.23545 0.204587 25390 158009 -1 2587 16 1145 3498 206937 46000 5.91846 5.91846 -134.854 -5.91846 0 0 828058. 2865.25 0.21 0.08 0.13 -1 -1 0.21 0.0311055 0.0274402 125 188 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_072.v common 7.94 vpr 62.77 MiB -1 -1 0.24 17448 11 0.20 -1 -1 32728 -1 -1 19 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64276 28 32 253 285 1 176 79 17 17 289 -1 unnamed_device 24.3 MiB 1.17 1077 4642 990 3215 437 62.8 MiB 0.05 0.00 6.39394 -126.807 -6.39394 6.39394 0.65 0.000818836 0.000759181 0.0254812 0.0236803 36 2869 37 6.79088e+06 255968 648988. 2245.63 3.73 0.210239 0.181067 25390 158009 -1 2437 20 1375 4064 247006 53420 5.76386 5.76386 -127.412 -5.76386 0 0 828058. 2865.25 0.23 0.09 0.15 -1 -1 0.23 0.0336194 0.0294236 116 171 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_073.v common 6.54 vpr 62.34 MiB -1 -1 0.25 17672 13 0.21 -1 -1 32832 -1 -1 18 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63832 30 32 235 267 1 172 80 17 17 289 -1 unnamed_device 23.9 MiB 1.64 1115 9196 2684 4764 1748 62.3 MiB 0.09 0.00 7.27805 -147.461 -7.27805 7.27805 0.65 0.000768155 0.00071176 0.0442552 0.0410236 30 3203 46 6.79088e+06 242496 556674. 1926.21 1.93 0.166825 0.145915 24526 138013 -1 2365 18 1028 2893 146859 34111 6.4521 6.4521 -143.501 -6.4521 0 0 706193. 2443.58 0.18 0.07 0.12 -1 -1 0.18 0.0293136 0.0258055 108 147 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_074.v common 7.06 vpr 62.60 MiB -1 -1 0.21 17796 12 0.19 -1 -1 32648 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64100 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 24.0 MiB 1.91 1193 6490 1485 4564 441 62.6 MiB 0.08 0.00 7.26273 -167.563 -7.26273 7.26273 0.70 0.000865632 0.000802658 0.0357254 0.0331438 40 2753 23 6.79088e+06 242496 706193. 2443.58 2.09 0.205279 0.177919 26254 175826 -1 2592 15 1162 3054 182136 40617 6.16912 6.16912 -155.542 -6.16912 0 0 926341. 3205.33 0.23 0.07 0.15 -1 -1 0.23 0.0288037 0.0255448 120 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_075.v common 10.18 vpr 62.44 MiB -1 -1 0.21 17456 13 0.28 -1 -1 32884 -1 -1 21 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63936 31 32 278 310 1 200 84 17 17 289 -1 unnamed_device 23.9 MiB 1.58 1194 6123 1343 4442 338 62.4 MiB 0.07 0.00 8.79477 -171.911 -8.79477 8.79477 0.65 0.000909552 0.00084276 0.0339932 0.0315285 34 3211 26 6.79088e+06 282912 618332. 2139.56 5.44 0.321229 0.276006 25102 150614 -1 2646 15 1148 3180 179176 40712 7.64065 7.64065 -158.33 -7.64065 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0286905 0.0257446 137 187 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_076.v common 8.43 vpr 62.83 MiB -1 -1 0.27 17720 14 0.25 -1 -1 32844 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64336 32 32 290 322 1 212 84 17 17 289 -1 unnamed_device 24.2 MiB 1.22 1287 8685 2330 5621 734 62.8 MiB 0.10 0.00 8.66267 -183.033 -8.66267 8.66267 0.67 0.000928874 0.000860025 0.0478698 0.0443494 38 3763 48 6.79088e+06 269440 678818. 2348.85 4.10 0.278372 0.241265 25966 169698 -1 2915 16 1330 3905 202778 45246 7.71556 7.71556 -172.147 -7.71556 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0331172 0.0292745 132 196 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_077.v common 8.43 vpr 62.61 MiB -1 -1 0.27 17892 14 0.27 -1 -1 32828 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64108 32 32 269 301 1 198 81 17 17 289 -1 unnamed_device 24.1 MiB 1.95 1083 11456 4044 5385 2027 62.6 MiB 0.12 0.00 7.96611 -159.164 -7.96611 7.96611 0.65 0.000881636 0.000816576 0.0622222 0.0574787 38 3010 34 6.79088e+06 229024 678818. 2348.85 3.38 0.258565 0.225222 25966 169698 -1 2533 21 1329 3905 220140 48577 6.88531 6.88531 -150.267 -6.88531 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0376211 0.0330015 122 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_078.v common 7.45 vpr 62.79 MiB -1 -1 0.27 17928 13 0.32 -1 -1 32968 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64292 32 32 296 328 1 223 86 17 17 289 -1 unnamed_device 24.3 MiB 1.65 1338 8024 1861 5707 456 62.8 MiB 0.09 0.00 8.29812 -170.177 -8.29812 8.29812 0.65 0.000965207 0.00089395 0.0441122 0.0407986 46 3286 28 6.79088e+06 296384 828058. 2865.25 2.50 0.245244 0.212847 27406 200422 -1 2713 17 1339 3882 198248 44688 7.42576 7.42576 -159.92 -7.42576 0 0 1.01997e+06 3529.29 0.27 0.09 0.17 -1 -1 0.27 0.0397766 0.0354187 144 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_079.v common 7.42 vpr 62.18 MiB -1 -1 0.23 17568 13 0.18 -1 -1 32308 -1 -1 18 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63676 30 32 234 266 1 175 80 17 17 289 -1 unnamed_device 23.6 MiB 1.87 919 12292 3407 6536 2349 62.2 MiB 0.12 0.00 7.20737 -146.133 -7.20737 7.20737 0.68 0.000743444 0.000666756 0.061928 0.0572142 36 2720 18 6.79088e+06 242496 648988. 2245.63 2.40 0.215805 0.188786 25390 158009 -1 2349 21 1060 2707 237673 81251 6.16917 6.16917 -141.277 -6.16917 0 0 828058. 2865.25 0.22 0.10 0.14 -1 -1 0.22 0.0324101 0.0284635 104 146 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_080.v common 9.19 vpr 62.99 MiB -1 -1 0.21 17928 13 0.42 -1 -1 32736 -1 -1 22 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64500 30 32 291 323 1 225 84 17 17 289 -1 unnamed_device 24.6 MiB 1.62 1350 9234 2593 5787 854 63.0 MiB 0.11 0.00 8.31996 -168.69 -8.31996 8.31996 0.65 0.000974617 0.000903546 0.053231 0.0493392 38 3825 50 6.79088e+06 296384 678818. 2348.85 4.28 0.292479 0.254009 25966 169698 -1 3171 20 1711 4755 291516 62812 7.05325 7.05325 -160.364 -7.05325 0 0 902133. 3121.57 0.22 0.11 0.14 -1 -1 0.22 0.0402904 0.0353792 145 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_081.v common 7.05 vpr 62.64 MiB -1 -1 0.27 17776 14 0.30 -1 -1 32800 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64140 32 32 274 306 1 205 82 17 17 289 -1 unnamed_device 24.1 MiB 1.50 1251 6312 1329 4019 964 62.6 MiB 0.07 0.00 8.37235 -176.058 -8.37235 8.37235 0.64 0.00090551 0.000834693 0.0358375 0.0328502 40 3069 17 6.79088e+06 242496 706193. 2443.58 2.33 0.212659 0.18395 26254 175826 -1 2990 20 1531 4498 306796 65009 7.25783 7.25783 -172.618 -7.25783 0 0 926341. 3205.33 0.24 0.12 0.15 -1 -1 0.24 0.0426835 0.0378243 128 180 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_082.v common 7.53 vpr 62.49 MiB -1 -1 0.27 17796 13 0.23 -1 -1 32784 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63992 31 32 266 298 1 196 82 17 17 289 -1 unnamed_device 24.0 MiB 1.71 1125 7914 1884 5216 814 62.5 MiB 0.09 0.00 7.39828 -160.2 -7.39828 7.39828 0.65 0.000876069 0.000811907 0.0427174 0.0395962 38 3005 21 6.79088e+06 255968 678818. 2348.85 2.74 0.213607 0.185434 25966 169698 -1 2486 23 1200 3332 176702 39015 6.56626 6.56626 -155.185 -6.56626 0 0 902133. 3121.57 0.22 0.05 0.17 -1 -1 0.22 0.0230078 0.0205603 124 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_083.v common 7.38 vpr 62.81 MiB -1 -1 0.25 17932 13 0.23 -1 -1 32868 -1 -1 19 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64316 30 32 266 298 1 199 81 17 17 289 -1 unnamed_device 24.3 MiB 1.63 1096 8306 2939 4353 1014 62.8 MiB 0.09 0.00 7.45237 -146.107 -7.45237 7.45237 0.65 0.000857025 0.000793693 0.0443665 0.0411091 38 3152 24 6.79088e+06 255968 678818. 2348.85 2.76 0.216677 0.188092 25966 169698 -1 2342 16 1150 3084 162162 37365 6.43207 6.43207 -139.415 -6.43207 0 0 902133. 3121.57 0.23 0.08 0.11 -1 -1 0.23 0.0341025 0.030437 121 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_084.v common 7.91 vpr 62.83 MiB -1 -1 0.27 17692 14 0.35 -1 -1 32944 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64336 32 32 310 342 1 231 85 17 17 289 -1 unnamed_device 24.4 MiB 1.55 1434 9943 2708 5582 1653 62.8 MiB 0.11 0.00 8.52022 -179.043 -8.52022 8.52022 0.64 0.00100723 0.000932803 0.0579384 0.0537278 46 3715 29 6.79088e+06 282912 828058. 2865.25 3.04 0.267619 0.233205 27406 200422 -1 3171 17 1583 4694 251057 54959 7.46497 7.46497 -164.971 -7.46497 0 0 1.01997e+06 3529.29 0.26 0.12 0.14 -1 -1 0.26 0.0448511 0.0395654 154 216 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_085.v common 9.67 vpr 62.53 MiB -1 -1 0.17 17940 11 0.27 -1 -1 32740 -1 -1 23 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64032 29 32 262 294 1 201 84 17 17 289 -1 unnamed_device 24.0 MiB 1.90 1077 10149 2679 5779 1691 62.5 MiB 0.10 0.00 7.52622 -140.559 -7.52622 7.52622 0.65 0.000873623 0.000809523 0.0521758 0.0483369 36 3431 24 6.79088e+06 309856 648988. 2245.63 4.73 0.237179 0.20644 25390 158009 -1 2771 20 1451 4096 246936 56814 6.50587 6.50587 -138.088 -6.50587 0 0 828058. 2865.25 0.21 0.10 0.13 -1 -1 0.21 0.0366056 0.032081 136 177 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_086.v common 7.56 vpr 62.23 MiB -1 -1 0.21 17252 13 0.16 -1 -1 32704 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63724 32 32 222 254 1 182 78 17 17 289 -1 unnamed_device 23.6 MiB 2.80 972 6054 1229 4689 136 62.2 MiB 0.07 0.00 6.97458 -160.094 -6.97458 6.97458 0.66 0.000731567 0.000670611 0.0301581 0.027919 44 2580 35 6.79088e+06 188608 787024. 2723.27 1.85 0.191086 0.165454 27118 194962 -1 2150 15 1044 2364 139472 31738 5.93965 5.93965 -149.931 -5.93965 0 0 997811. 3452.63 0.25 0.06 0.16 -1 -1 0.25 0.0244777 0.0216755 98 128 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_087.v common 9.08 vpr 62.61 MiB -1 -1 0.27 17804 14 0.23 -1 -1 32576 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64116 32 32 267 299 1 200 81 17 17 289 -1 unnamed_device 24.1 MiB 1.57 963 3581 624 2890 67 62.6 MiB 0.05 0.00 8.38402 -165.799 -8.38402 8.38402 0.65 0.000872635 0.000809054 0.0214483 0.0199319 36 3137 33 6.79088e+06 229024 648988. 2245.63 4.36 0.206494 0.178374 25390 158009 -1 2489 23 1433 3816 225579 53597 7.63717 7.63717 -163.256 -7.63717 0 0 828058. 2865.25 0.23 0.10 0.14 -1 -1 0.23 0.0408096 0.0357007 122 173 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_088.v common 20.72 vpr 63.18 MiB -1 -1 0.28 18008 15 0.42 -1 -1 32752 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64700 32 32 334 366 1 254 87 17 17 289 -1 unnamed_device 24.6 MiB 1.43 1424 5271 949 4056 266 63.2 MiB 0.08 0.00 9.1358 -193.594 -9.1358 9.1358 0.66 0.00108542 0.00100548 0.0353689 0.0328252 40 3948 49 6.79088e+06 309856 706193. 2443.58 15.69 0.529677 0.455669 26254 175826 -1 3616 22 2383 6323 430097 92001 7.89475 7.89475 -181.053 -7.89475 0 0 926341. 3205.33 0.23 0.14 0.15 -1 -1 0.23 0.0484684 0.0424736 163 240 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_089.v common 5.64 vpr 62.25 MiB -1 -1 0.22 17532 11 0.17 -1 -1 32620 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63744 32 32 220 252 1 168 79 17 17 289 -1 unnamed_device 23.7 MiB 1.53 958 10726 3368 5272 2086 62.2 MiB 0.10 0.00 6.79222 -142.45 -6.79222 6.79222 0.65 0.000707321 0.000655175 0.0477879 0.0442632 30 2689 41 6.79088e+06 202080 556674. 1926.21 1.22 0.157214 0.138108 24526 138013 -1 2234 18 943 2504 151073 33167 5.78973 5.78973 -136.953 -5.78973 0 0 706193. 2443.58 0.18 0.07 0.12 -1 -1 0.18 0.0271602 0.0239095 97 126 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_090.v common 16.28 vpr 62.40 MiB -1 -1 0.23 17540 12 0.18 -1 -1 32796 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63896 31 32 244 276 1 193 80 17 17 289 -1 unnamed_device 24.0 MiB 1.47 1114 10400 2653 5866 1881 62.4 MiB 0.10 0.00 6.63358 -148.815 -6.63358 6.63358 0.65 0.000783151 0.000724845 0.0506235 0.0469023 38 3230 30 6.79088e+06 229024 678818. 2348.85 11.72 0.337755 0.291231 25966 169698 -1 2582 19 1265 3460 189795 41991 5.82549 5.82549 -148.72 -5.82549 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0310915 0.0273246 112 153 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_091.v common 15.10 vpr 63.05 MiB -1 -1 0.20 17796 12 0.29 -1 -1 32864 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64568 32 32 300 332 1 219 83 17 17 289 -1 unnamed_device 24.7 MiB 1.13 1409 5123 1016 3643 464 63.1 MiB 0.07 0.00 7.37446 -165.375 -7.37446 7.37446 0.65 0.000979177 0.000906734 0.0318864 0.029534 38 3552 27 6.79088e+06 255968 678818. 2348.85 10.95 0.384941 0.330118 25966 169698 -1 3027 19 1404 4076 214650 48148 6.46241 6.46241 -154.792 -6.46241 0 0 902133. 3121.57 0.21 0.05 0.09 -1 -1 0.21 0.0227835 0.0205403 143 206 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_092.v common 23.27 vpr 62.73 MiB -1 -1 0.24 17664 12 0.23 -1 -1 32768 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64240 32 32 271 303 1 209 82 17 17 289 -1 unnamed_device 24.0 MiB 1.86 1290 8804 2224 5446 1134 62.7 MiB 0.10 0.00 7.66631 -156.992 -7.66631 7.66631 0.66 0.000898425 0.000832859 0.0465402 0.0430774 38 3773 50 6.79088e+06 242496 678818. 2348.85 18.25 0.410315 0.353158 25966 169698 -1 2908 20 1397 4117 236922 51526 6.45897 6.45897 -151.356 -6.45897 0 0 902133. 3121.57 0.26 0.10 0.14 -1 -1 0.26 0.0368022 0.0323183 130 177 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_093.v common 7.67 vpr 63.00 MiB -1 -1 0.24 17948 14 0.44 -1 -1 32764 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64508 32 32 327 359 1 233 86 17 17 289 -1 unnamed_device 24.5 MiB 1.70 1339 6323 1159 4879 285 63.0 MiB 0.10 0.00 9.2305 -183.989 -9.2305 9.2305 0.65 0.00140765 0.00132703 0.0490847 0.0454788 38 3705 30 6.79088e+06 296384 678818. 2348.85 2.74 0.273579 0.237473 25966 169698 -1 3013 18 1532 4522 227149 51743 7.89131 7.89131 -171.065 -7.89131 0 0 902133. 3121.57 0.22 0.10 0.14 -1 -1 0.22 0.0419256 0.0370252 167 233 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_094.v common 10.18 vpr 62.55 MiB -1 -1 0.22 17644 12 0.23 -1 -1 32680 -1 -1 19 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64056 30 32 246 278 1 185 81 17 17 289 -1 unnamed_device 24.1 MiB 1.51 1023 10231 4165 5804 262 62.6 MiB 0.11 0.00 7.21752 -140.072 -7.21752 7.21752 0.65 0.000835646 0.000774212 0.0529946 0.0491438 36 3532 36 6.79088e+06 255968 648988. 2245.63 5.62 0.240193 0.208887 25390 158009 -1 2548 16 1205 3395 212673 48136 6.16142 6.16142 -137.705 -6.16142 0 0 828058. 2865.25 0.21 0.08 0.15 -1 -1 0.21 0.0297913 0.0262521 121 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_095.v common 5.65 vpr 62.30 MiB -1 -1 0.21 17532 11 0.18 -1 -1 32712 -1 -1 19 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63796 27 32 219 251 1 163 78 17 17 289 -1 unnamed_device 23.7 MiB 1.95 852 9208 3256 4555 1397 62.3 MiB 0.09 0.00 7.04622 -127.422 -7.04622 7.04622 0.65 0.000845715 0.000776443 0.0457971 0.0422499 30 2286 23 6.79088e+06 255968 556674. 1926.21 0.93 0.133927 0.117743 24526 138013 -1 1813 16 927 2368 105512 26395 5.91852 5.91852 -121.555 -5.91852 0 0 706193. 2443.58 0.19 0.03 0.08 -1 -1 0.19 0.0150397 0.0136307 104 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_096.v common 9.05 vpr 63.68 MiB -1 -1 0.21 18336 13 0.45 -1 -1 32772 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65208 32 32 380 412 1 276 90 17 17 289 -1 unnamed_device 25.1 MiB 1.56 1698 8532 2074 5800 658 63.7 MiB 0.11 0.00 7.91451 -163.387 -7.91451 7.91451 0.65 0.00118298 0.00109418 0.0556205 0.0514842 46 4524 47 6.79088e+06 350272 828058. 2865.25 4.10 0.342616 0.297791 27406 200422 -1 3573 18 1870 5879 293787 64537 6.99937 6.99937 -156.314 -6.99937 0 0 1.01997e+06 3529.29 0.25 0.12 0.20 -1 -1 0.25 0.0455711 0.0402934 188 286 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_097.v common 6.55 vpr 62.71 MiB -1 -1 0.27 17660 14 0.25 -1 -1 33104 -1 -1 22 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64216 31 32 277 309 1 197 85 17 17 289 -1 unnamed_device 24.2 MiB 1.65 1264 9385 2554 5852 979 62.7 MiB 0.11 0.00 8.41881 -168.357 -8.41881 8.41881 0.66 0.000898228 0.000832554 0.0523551 0.0485148 30 3443 28 6.79088e+06 296384 556674. 1926.21 1.83 0.169242 0.148826 24526 138013 -1 2741 17 1270 3452 177968 40166 7.21431 7.21431 -163.766 -7.21431 0 0 706193. 2443.58 0.18 0.08 0.12 -1 -1 0.18 0.032728 0.0288308 130 186 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_098.v common 6.81 vpr 62.23 MiB -1 -1 0.20 17896 12 0.16 -1 -1 32372 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63728 32 32 229 261 1 174 82 17 17 289 -1 unnamed_device 23.6 MiB 1.52 1075 7736 1882 5428 426 62.2 MiB 0.08 0.00 7.20863 -155.968 -7.20863 7.20863 0.65 0.00075905 0.000702481 0.0361966 0.0335103 38 2746 23 6.79088e+06 242496 678818. 2348.85 2.38 0.191376 0.166702 25966 169698 -1 2229 18 1032 2575 147443 32944 6.12992 6.12992 -146.185 -6.12992 0 0 902133. 3121.57 0.22 0.07 0.14 -1 -1 0.22 0.029007 0.0255949 109 135 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_099.v common 8.59 vpr 62.51 MiB -1 -1 0.25 17608 13 0.27 -1 -1 32784 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64012 32 32 263 295 1 199 82 17 17 289 -1 unnamed_device 24.0 MiB 1.32 1233 12542 3940 6787 1815 62.5 MiB 0.12 0.00 8.09146 -166.475 -8.09146 8.09146 0.71 0.000681578 0.000622674 0.0550873 0.0505615 36 3202 30 6.79088e+06 242496 648988. 2245.63 4.13 0.246259 0.213837 25390 158009 -1 2687 18 1245 3410 184945 42779 6.82029 6.82029 -158.939 -6.82029 0 0 828058. 2865.25 0.21 0.08 0.13 -1 -1 0.21 0.0334718 0.0294138 128 169 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_100.v common 7.65 vpr 62.91 MiB -1 -1 0.28 17928 13 0.31 -1 -1 32752 -1 -1 24 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64416 31 32 321 353 1 241 87 17 17 289 -1 unnamed_device 24.4 MiB 1.61 1392 4695 845 3563 287 62.9 MiB 0.06 0.00 7.47587 -155.329 -7.47587 7.47587 0.65 0.0010339 0.00095697 0.0295175 0.0274024 40 3664 28 6.79088e+06 323328 706193. 2443.58 2.85 0.245988 0.212609 26254 175826 -1 3433 18 1707 4807 297818 65147 6.54507 6.54507 -151.854 -6.54507 0 0 926341. 3205.33 0.22 0.11 0.10 -1 -1 0.22 0.0395743 0.0348946 157 230 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_101.v common 8.26 vpr 62.71 MiB -1 -1 0.14 17608 11 0.28 -1 -1 32740 -1 -1 22 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64220 30 32 287 319 1 201 84 17 17 289 -1 unnamed_device 24.1 MiB 1.58 1218 4659 929 3342 388 62.7 MiB 0.06 0.00 7.06923 -144.171 -7.06923 7.06923 0.65 0.000916995 0.000849041 0.0274923 0.0255304 36 3280 38 6.79088e+06 296384 648988. 2245.63 3.72 0.233118 0.201034 25390 158009 -1 2789 15 1179 3509 202940 44755 6.16912 6.16912 -138.96 -6.16912 0 0 828058. 2865.25 0.21 0.08 0.14 -1 -1 0.21 0.0311014 0.0275112 141 199 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_102.v common 7.41 vpr 62.82 MiB -1 -1 0.27 17804 15 0.36 -1 -1 32780 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64332 32 32 296 328 1 220 86 17 17 289 -1 unnamed_device 24.4 MiB 1.42 1290 8402 2178 5761 463 62.8 MiB 0.10 0.00 8.74612 -186.954 -8.74612 8.74612 0.66 0.000964758 0.000892134 0.0474366 0.0438387 46 3189 27 6.79088e+06 296384 828058. 2865.25 2.52 0.248594 0.215819 27406 200422 -1 2786 28 1295 4217 461701 217315 7.50422 7.50422 -171.497 -7.50422 0 0 1.01997e+06 3529.29 0.25 0.19 0.17 -1 -1 0.25 0.056822 0.0500496 147 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_103.v common 14.89 vpr 62.82 MiB -1 -1 0.23 18124 13 0.33 -1 -1 32884 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64328 32 32 285 317 1 217 85 17 17 289 -1 unnamed_device 24.2 MiB 1.86 1316 8641 2148 5636 857 62.8 MiB 0.11 0.00 8.07216 -175.63 -8.07216 8.07216 0.68 0.000946833 0.000874917 0.0541162 0.0498246 40 2999 17 6.79088e+06 282912 706193. 2443.58 9.83 0.424357 0.365352 26254 175826 -1 2987 16 1343 3851 238119 53175 7.04981 7.04981 -167.947 -7.04981 0 0 926341. 3205.33 0.23 0.09 0.15 -1 -1 0.23 0.0334408 0.0295524 143 191 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_104.v common 7.66 vpr 62.29 MiB -1 -1 0.16 17344 12 0.22 -1 -1 32768 -1 -1 18 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63788 29 32 239 271 1 185 79 17 17 289 -1 unnamed_device 23.7 MiB 1.58 864 9543 2234 6923 386 62.3 MiB 0.09 0.00 7.58072 -150.751 -7.58072 7.58072 0.65 0.000773525 0.000716648 0.0468196 0.0434067 38 2787 32 6.79088e+06 242496 678818. 2348.85 3.17 0.216181 0.188585 25966 169698 -1 2065 16 1049 2587 138165 31689 6.83831 6.83831 -144.518 -6.83831 0 0 902133. 3121.57 0.22 0.07 0.14 -1 -1 0.22 0.0272769 0.0241865 111 154 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_105.v common 7.52 vpr 62.26 MiB -1 -1 0.18 17384 11 0.15 -1 -1 32716 -1 -1 14 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63752 32 32 235 267 1 172 78 17 17 289 -1 unnamed_device 23.7 MiB 1.47 1018 5722 1217 4259 246 62.3 MiB 0.06 0.00 6.71746 -144.764 -6.71746 6.71746 0.65 0.000732968 0.000678426 0.0280205 0.0259502 36 2822 26 6.79088e+06 188608 648988. 2245.63 3.27 0.183893 0.159153 25390 158009 -1 2332 17 1031 2563 161297 35918 5.86813 5.86813 -141.367 -5.86813 0 0 828058. 2865.25 0.21 0.07 0.14 -1 -1 0.21 0.0268965 0.0236881 98 141 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_106.v common 6.71 vpr 63.02 MiB -1 -1 0.23 17804 13 0.32 -1 -1 32860 -1 -1 21 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64536 31 32 294 326 1 212 84 17 17 289 -1 unnamed_device 24.4 MiB 1.06 1236 5940 1259 4215 466 63.0 MiB 0.07 0.00 8.31912 -162.691 -8.31912 8.31912 0.63 0.000940237 0.00087034 0.0346031 0.0320733 38 3344 20 6.79088e+06 282912 678818. 2348.85 2.52 0.223054 0.19318 25966 169698 -1 2835 16 1360 3850 202602 44829 7.6875 7.6875 -160.272 -7.6875 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0343245 0.0303667 143 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_107.v common 5.83 vpr 62.20 MiB -1 -1 0.23 17456 10 0.17 -1 -1 32612 -1 -1 17 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63692 29 32 219 251 1 163 78 17 17 289 -1 unnamed_device 23.6 MiB 1.72 951 4560 1025 3135 400 62.2 MiB 0.05 0.00 6.21922 -128.027 -6.21922 6.21922 0.65 0.000716763 0.000657006 0.0223085 0.0206811 30 2695 24 6.79088e+06 229024 556674. 1926.21 1.30 0.109873 0.0956216 24526 138013 -1 2076 18 907 2285 114350 26868 5.53902 5.53902 -125.872 -5.53902 0 0 706193. 2443.58 0.18 0.06 0.12 -1 -1 0.18 0.0271188 0.0238687 101 134 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_108.v common 9.35 vpr 62.27 MiB -1 -1 0.22 17324 14 0.24 -1 -1 32724 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63768 32 32 239 271 1 184 82 17 17 289 -1 unnamed_device 23.9 MiB 2.58 984 4532 878 3142 512 62.3 MiB 0.05 0.00 7.85466 -160.915 -7.85466 7.85466 0.64 0.000766674 0.000709896 0.0227193 0.0210875 34 3083 47 6.79088e+06 242496 618332. 2139.56 3.83 0.204591 0.176135 25102 150614 -1 2447 18 1120 2955 192392 43299 6.87418 6.87418 -156.718 -6.87418 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0293702 0.0258739 110 145 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_109.v common 8.13 vpr 62.80 MiB -1 -1 0.14 17868 13 0.28 -1 -1 32948 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64304 31 32 266 298 1 209 83 17 17 289 -1 unnamed_device 24.1 MiB 2.48 1210 8183 2048 5045 1090 62.8 MiB 0.09 0.00 7.80505 -164.773 -7.80505 7.80505 0.65 0.000878916 0.0008158 0.0433158 0.0401964 38 3002 19 6.79088e+06 269440 678818. 2348.85 2.57 0.218098 0.189906 25966 169698 -1 2695 16 1228 3149 180865 39628 6.72425 6.72425 -158.926 -6.72425 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0307016 0.0271404 125 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_110.v common 8.07 vpr 62.19 MiB -1 -1 0.26 17532 12 0.15 -1 -1 32752 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63684 31 32 225 257 1 172 80 17 17 289 -1 unnamed_device 23.6 MiB 3.13 940 12120 3498 6380 2242 62.2 MiB 0.11 0.00 6.85518 -144.407 -6.85518 6.85518 0.69 0.000712568 0.000660271 0.0533869 0.0494021 46 2350 15 6.79088e+06 229024 828058. 2865.25 1.86 0.186996 0.16356 27406 200422 -1 2033 17 937 2446 140777 31315 5.99343 5.99343 -137.347 -5.99343 0 0 1.01997e+06 3529.29 0.25 0.07 0.17 -1 -1 0.25 0.0263719 0.023268 99 134 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_111.v common 6.78 vpr 62.71 MiB -1 -1 0.14 17628 12 0.19 -1 -1 32828 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64212 32 32 288 320 1 203 82 17 17 289 -1 unnamed_device 24.1 MiB 1.88 1190 9694 2639 6567 488 62.7 MiB 0.10 0.00 7.04874 -155.773 -7.04874 7.04874 0.65 0.00089854 0.000830153 0.0526673 0.0486103 46 2758 18 6.79088e+06 242496 828058. 2865.25 1.87 0.228255 0.198512 27406 200422 -1 2312 15 1077 3163 160333 35862 6.20488 6.20488 -146.595 -6.20488 0 0 1.01997e+06 3529.29 0.25 0.07 0.17 -1 -1 0.25 0.0312418 0.0276397 130 194 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_112.v common 14.76 vpr 62.75 MiB -1 -1 0.15 18080 13 0.28 -1 -1 32860 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64256 31 32 282 314 1 212 83 17 17 289 -1 unnamed_device 24.3 MiB 1.10 1303 8543 2004 5667 872 62.8 MiB 0.08 0.00 7.83951 -165.716 -7.83951 7.83951 0.65 0.00050416 0.000464237 0.0360554 0.033174 38 3278 20 6.79088e+06 269440 678818. 2348.85 10.59 0.370296 0.318104 25966 169698 -1 2822 21 1439 4066 220511 48947 6.93332 6.93332 -157.758 -6.93332 0 0 902133. 3121.57 0.22 0.10 0.12 -1 -1 0.22 0.0404928 0.035564 143 191 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_113.v common 7.13 vpr 62.29 MiB -1 -1 0.18 17632 11 0.19 -1 -1 32672 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63788 32 32 233 265 1 183 80 17 17 289 -1 unnamed_device 23.6 MiB 1.79 1116 6616 1429 3881 1306 62.3 MiB 0.07 0.00 6.2158 -147.345 -6.2158 6.2158 0.70 0.000747522 0.000691696 0.0322993 0.0299386 36 3218 33 6.79088e+06 215552 648988. 2245.63 2.42 0.141248 0.123013 25390 158009 -1 2609 16 1232 3209 185957 42205 5.35995 5.35995 -141.952 -5.35995 0 0 828058. 2865.25 0.21 0.08 0.13 -1 -1 0.21 0.0266569 0.0235764 106 139 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_114.v common 8.90 vpr 62.53 MiB -1 -1 0.14 17632 13 0.21 -1 -1 32620 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64032 32 32 254 286 1 188 79 17 17 289 -1 unnamed_device 24.0 MiB 2.37 994 9543 2876 4545 2122 62.5 MiB 0.10 0.00 7.66009 -161.63 -7.66009 7.66009 0.65 0.000830116 0.00076882 0.050397 0.0466143 38 3161 25 6.79088e+06 202080 678818. 2348.85 3.65 0.224886 0.195856 25966 169698 -1 2217 20 1130 3116 162814 38166 6.67042 6.67042 -152.159 -6.67042 0 0 902133. 3121.57 0.22 0.08 0.10 -1 -1 0.22 0.03599 0.0318113 113 160 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_115.v common 13.89 vpr 62.71 MiB -1 -1 0.25 17612 13 0.25 -1 -1 32812 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64212 32 32 285 317 1 214 83 17 17 289 -1 unnamed_device 24.1 MiB 1.13 1317 8183 2040 5445 698 62.7 MiB 0.11 0.00 7.48608 -168.657 -7.48608 7.48608 0.65 0.000914516 0.000847478 0.0551502 0.0511117 38 3334 18 6.79088e+06 255968 678818. 2348.85 9.73 0.354683 0.306528 25966 169698 -1 2836 17 1443 3829 193627 45163 6.69849 6.69849 -160.313 -6.69849 0 0 902133. 3121.57 0.21 0.05 0.09 -1 -1 0.21 0.0200468 0.0181363 136 191 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_116.v common 10.55 vpr 62.36 MiB -1 -1 0.15 17612 11 0.20 -1 -1 32716 -1 -1 19 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63860 29 32 243 275 1 184 80 17 17 289 -1 unnamed_device 23.9 MiB 1.90 932 11088 4572 6163 353 62.4 MiB 0.11 0.00 6.40374 -127.638 -6.40374 6.40374 0.65 0.000801018 0.00074125 0.0547768 0.0507128 38 3204 35 6.79088e+06 255968 678818. 2348.85 5.69 0.236168 0.205034 25966 169698 -1 2154 16 1193 3220 164660 39971 5.38344 5.38344 -120.238 -5.38344 0 0 902133. 3121.57 0.22 0.07 0.14 -1 -1 0.22 0.0286278 0.0252208 116 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_117.v common 14.82 vpr 62.88 MiB -1 -1 0.29 18188 14 0.28 -1 -1 33236 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64384 32 32 318 350 1 238 87 17 17 289 -1 unnamed_device 24.4 MiB 1.39 1275 12567 3064 6849 2654 62.9 MiB 0.14 0.00 8.90517 -187.129 -8.90517 8.90517 0.65 0.0010339 0.000956874 0.0715932 0.0662943 38 3597 20 6.79088e+06 309856 678818. 2348.85 10.19 0.439662 0.381301 25966 169698 -1 2719 15 1368 3478 182247 41956 8.06351 8.06351 -178.421 -8.06351 0 0 902133. 3121.57 0.23 0.09 0.15 -1 -1 0.23 0.0360619 0.0320409 159 224 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_118.v common 7.91 vpr 62.21 MiB -1 -1 0.22 17232 12 0.15 -1 -1 32596 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63708 31 32 222 254 1 188 82 17 17 289 -1 unnamed_device 23.6 MiB 2.21 1076 14144 4263 7957 1924 62.2 MiB 0.12 0.00 6.67019 -155.032 -6.67019 6.67019 0.69 0.000713237 0.000660783 0.0604007 0.0558645 38 2834 33 6.79088e+06 255968 678818. 2348.85 2.67 0.21709 0.189595 25966 169698 -1 2431 17 1063 2485 143989 31620 5.9004 5.9004 -146.441 -5.9004 0 0 902133. 3121.57 0.22 0.07 0.15 -1 -1 0.22 0.0266677 0.0235326 106 131 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_119.v common 17.51 vpr 62.73 MiB -1 -1 0.28 18092 13 0.29 -1 -1 32732 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64240 32 32 282 314 1 211 84 17 17 289 -1 unnamed_device 24.1 MiB 1.40 1249 10698 2850 6495 1353 62.7 MiB 0.13 0.00 8.17702 -169.59 -8.17702 8.17702 0.66 0.001072 0.000985281 0.0626055 0.0578089 38 3574 20 6.79088e+06 269440 678818. 2348.85 12.84 0.409832 0.354528 25966 169698 -1 2827 19 1307 3681 195634 44638 7.25013 7.25013 -165.64 -7.25013 0 0 902133. 3121.57 0.23 0.09 0.14 -1 -1 0.23 0.0369141 0.0325406 136 188 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_120.v common 13.56 vpr 62.31 MiB -1 -1 0.25 17664 13 0.18 -1 -1 32596 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63804 32 32 238 270 1 180 84 17 17 289 -1 unnamed_device 23.7 MiB 1.07 988 12528 4457 5893 2178 62.3 MiB 0.11 0.00 7.60722 -166.135 -7.60722 7.60722 0.67 0.000623747 0.000572637 0.0551689 0.0510352 38 2718 29 6.79088e+06 269440 678818. 2348.85 9.38 0.340964 0.294778 25966 169698 -1 2116 22 1062 2686 131823 31124 6.36938 6.36938 -151.235 -6.36938 0 0 902133. 3121.57 0.24 0.08 0.17 -1 -1 0.24 0.0334932 0.0293257 107 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_121.v common 14.02 vpr 62.55 MiB -1 -1 0.27 17720 12 0.22 -1 -1 32792 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64048 32 32 269 301 1 190 83 17 17 289 -1 unnamed_device 24.0 MiB 1.42 1129 6563 1390 4948 225 62.5 MiB 0.07 0.00 7.37103 -160.155 -7.37103 7.37103 0.64 0.000889299 0.000823022 0.0358567 0.0332178 30 3342 45 6.79088e+06 255968 556674. 1926.21 9.55 0.292427 0.252169 24526 138013 -1 2538 16 1126 3371 177495 40121 6.37287 6.37287 -151.837 -6.37287 0 0 706193. 2443.58 0.19 0.08 0.12 -1 -1 0.19 0.0327592 0.0289556 128 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_122.v common 7.72 vpr 63.19 MiB -1 -1 0.29 18320 15 0.47 -1 -1 33276 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64704 32 32 350 382 1 256 89 17 17 289 -1 unnamed_device 24.6 MiB 1.10 1461 7019 1723 4462 834 63.2 MiB 0.10 0.00 9.4802 -194.196 -9.4802 9.4802 0.65 0.00113845 0.00105155 0.0464561 0.0429446 40 3857 20 6.79088e+06 336800 706193. 2443.58 3.05 0.276363 0.240302 26254 175826 -1 3750 20 2150 6380 414318 91535 8.47507 8.47507 -190.97 -8.47507 0 0 926341. 3205.33 0.23 0.14 0.15 -1 -1 0.23 0.0478573 0.0421308 183 256 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_123.v common 5.51 vpr 61.83 MiB -1 -1 0.18 17408 10 0.10 -1 -1 32084 -1 -1 12 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63316 30 32 172 204 1 133 74 17 17 289 -1 unnamed_device 23.2 MiB 1.47 790 7049 2015 4252 782 61.8 MiB 0.07 0.00 4.74332 -119.113 -4.74332 4.74332 0.66 0.000623954 0.000575914 0.032296 0.0299963 30 2094 24 6.79088e+06 161664 556674. 1926.21 1.30 0.103114 0.0905478 24526 138013 -1 1704 15 667 1522 94047 20784 4.33162 4.33162 -116.881 -4.33162 0 0 706193. 2443.58 0.18 0.05 0.12 -1 -1 0.18 0.0186289 0.0163997 66 84 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_124.v common 7.55 vpr 62.33 MiB -1 -1 0.23 17380 13 0.26 -1 -1 32648 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63828 30 32 228 260 1 176 79 17 17 289 -1 unnamed_device 23.9 MiB 1.52 974 10050 2926 5436 1688 62.3 MiB 0.10 0.00 7.74787 -157.983 -7.74787 7.74787 0.66 0.00074975 0.000694987 0.0480186 0.0445213 36 2911 43 6.79088e+06 229024 648988. 2245.63 2.96 0.220104 0.191561 25390 158009 -1 2377 15 1131 2801 179307 40320 6.58438 6.58438 -153.211 -6.58438 0 0 828058. 2865.25 0.21 0.07 0.13 -1 -1 0.21 0.0256494 0.0227623 103 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_125.v common 7.55 vpr 62.39 MiB -1 -1 0.24 17368 12 0.20 -1 -1 32576 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63888 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 23.9 MiB 1.86 1289 8448 2191 5318 939 62.4 MiB 0.08 0.00 7.18863 -160.485 -7.18863 7.18863 0.65 0.000666816 0.000609929 0.040015 0.0369608 38 3079 21 6.79088e+06 242496 678818. 2348.85 2.62 0.209597 0.181868 25966 169698 -1 2575 15 1269 3143 178719 39214 6.08296 6.08296 -151.708 -6.08296 0 0 902133. 3121.57 0.25 0.09 0.15 -1 -1 0.25 0.032691 0.0291593 117 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_126.v common 4.73 vpr 61.87 MiB -1 -1 0.21 17276 9 0.16 -1 -1 32436 -1 -1 18 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63356 25 32 183 215 1 134 75 17 17 289 -1 unnamed_device 23.4 MiB 0.84 752 9555 2712 5903 940 61.9 MiB 0.08 0.00 5.16629 -99.605 -5.16629 5.16629 0.65 0.0006068 0.000563059 0.0391518 0.0363286 30 2043 29 6.79088e+06 242496 556674. 1926.21 1.03 0.119647 0.104938 24526 138013 -1 1659 17 741 2054 108678 24546 4.39659 4.39659 -97.2578 -4.39659 0 0 706193. 2443.58 0.18 0.05 0.12 -1 -1 0.18 0.0222117 0.0195227 86 110 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_127.v common 9.02 vpr 62.81 MiB -1 -1 0.28 17804 12 0.28 -1 -1 32764 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64316 32 32 300 332 1 226 85 17 17 289 -1 unnamed_device 24.2 MiB 1.48 1312 13291 3621 7142 2528 62.8 MiB 0.14 0.00 7.35568 -164.212 -7.35568 7.35568 0.65 0.000958128 0.000883732 0.0721738 0.0667278 38 3697 28 6.79088e+06 282912 678818. 2348.85 4.27 0.279409 0.2443 25966 169698 -1 3095 17 1650 4419 232270 52748 6.67037 6.67037 -158.867 -6.67037 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0353262 0.0311867 143 206 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_128.v common 11.45 vpr 62.98 MiB -1 -1 0.24 18156 13 0.34 -1 -1 32640 -1 -1 22 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64496 31 32 290 322 1 215 85 17 17 289 -1 unnamed_device 24.3 MiB 1.84 1311 13291 3763 7122 2406 63.0 MiB 0.14 0.00 8.3208 -173.057 -8.3208 8.3208 0.65 0.000959909 0.000888605 0.0729051 0.0675704 34 4579 49 6.79088e+06 296384 618332. 2139.56 6.42 0.306009 0.266335 25102 150614 -1 3475 20 1541 4341 291704 63371 7.3039 7.3039 -171.303 -7.3039 0 0 787024. 2723.27 0.20 0.11 0.10 -1 -1 0.20 0.0395762 0.0346816 147 199 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 7.19 vpr 63.10 MiB -1 -1 0.21 17644 1 0.03 -1 -1 30244 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64612 32 32 354 285 1 207 90 17 17 289 -1 unnamed_device 24.5 MiB 2.76 1137 15768 5063 8031 2674 63.1 MiB 0.15 0.00 5.46262 -163.811 -5.46262 5.46262 0.65 0.00070409 0.000653812 0.0576114 0.0535097 34 2793 23 6.87369e+06 363320 618332. 2139.56 1.63 0.195823 0.171564 25762 151098 -1 2290 18 1636 2589 181807 43066 4.4513 4.4513 -151.51 -4.4513 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0251416 0.0219712 142 50 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 6.63 vpr 62.78 MiB -1 -1 0.16 17812 1 0.03 -1 -1 30328 -1 -1 24 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64288 30 32 363 293 1 199 86 17 17 289 -1 unnamed_device 24.3 MiB 2.40 995 9347 2406 6094 847 62.8 MiB 0.10 0.00 4.40625 -134.148 -4.40625 4.40625 0.69 0.000705981 0.000656157 0.0372348 0.0346051 34 2568 29 6.87369e+06 335372 618332. 2139.56 1.46 0.182543 0.158598 25762 151098 -1 2204 21 1821 2766 192148 46144 4.07136 4.07136 -140.123 -4.07136 0 0 787024. 2723.27 0.23 0.08 0.14 -1 -1 0.23 0.0285205 0.0248281 138 63 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 6.26 vpr 62.75 MiB -1 -1 0.14 17252 1 0.03 -1 -1 30216 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64256 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 24.3 MiB 2.23 954 15337 4637 8345 2355 62.8 MiB 0.13 0.00 4.42735 -120.659 -4.42735 4.42735 0.65 0.000624243 0.000580482 0.0536864 0.0498778 34 2485 25 6.87369e+06 293451 618332. 2139.56 1.31 0.178056 0.155745 25762 151098 -1 1976 20 1261 1705 123346 29622 3.61336 3.61336 -117.518 -3.61336 0 0 787024. 2723.27 0.20 0.06 0.13 -1 -1 0.20 0.0245786 0.0214086 124 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 5.47 vpr 62.72 MiB -1 -1 0.19 17240 1 0.03 -1 -1 30200 -1 -1 29 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64224 29 32 308 248 1 172 90 17 17 289 -1 unnamed_device 24.3 MiB 1.14 990 16170 4805 9691 1674 62.7 MiB 0.15 0.00 4.56722 -126.881 -4.56722 4.56722 0.67 0.000637932 0.000592899 0.0538523 0.0500278 34 2380 21 6.87369e+06 405241 618332. 2139.56 1.48 0.178616 0.156249 25762 151098 -1 2046 23 1518 2779 207672 47000 3.7744 3.7744 -124.262 -3.7744 0 0 787024. 2723.27 0.21 0.08 0.14 -1 -1 0.21 0.0278217 0.0240918 124 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 5.13 vpr 62.79 MiB -1 -1 0.18 17900 1 0.03 -1 -1 30420 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64296 32 32 336 268 1 181 91 17 17 289 -1 unnamed_device 24.3 MiB 1.17 1020 12127 3332 7989 806 62.8 MiB 0.12 0.00 4.58138 -135.491 -4.58138 4.58138 0.66 0.000694499 0.000643039 0.0429769 0.039757 28 2864 24 6.87369e+06 377294 531479. 1839.03 1.17 0.128001 0.112649 24610 126494 -1 2425 23 1873 3644 282352 63929 3.9097 3.9097 -138.221 -3.9097 0 0 648988. 2245.63 0.17 0.10 0.12 -1 -1 0.17 0.0290406 0.0251452 131 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 5.01 vpr 62.90 MiB -1 -1 0.19 17668 1 0.03 -1 -1 30244 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64412 32 32 366 295 1 189 94 17 17 289 -1 unnamed_device 24.4 MiB 1.28 1076 15643 4151 9274 2218 62.9 MiB 0.15 0.00 3.36233 -119.977 -3.36233 3.36233 0.71 0.000714931 0.000663986 0.0565832 0.0525297 28 2604 23 6.87369e+06 419215 531479. 1839.03 0.85 0.14168 0.125343 24610 126494 -1 2382 21 1482 2421 183960 41128 3.11061 3.11061 -126.364 -3.11061 0 0 648988. 2245.63 0.18 0.08 0.11 -1 -1 0.18 0.0287567 0.0249748 136 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 5.85 vpr 62.35 MiB -1 -1 0.09 17636 1 0.02 -1 -1 30572 -1 -1 19 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63844 27 32 259 221 1 141 78 17 17 289 -1 unnamed_device 23.9 MiB 1.97 768 11200 3422 6221 1557 62.3 MiB 0.09 0.00 3.88482 -108.503 -3.88482 3.88482 0.68 0.000560721 0.000522478 0.0396043 0.0368208 34 1729 19 6.87369e+06 265503 618332. 2139.56 1.26 0.144501 0.125822 25762 151098 -1 1509 20 1099 1797 124003 29021 3.03626 3.03626 -105.349 -3.03626 0 0 787024. 2723.27 0.20 0.06 0.13 -1 -1 0.20 0.021465 0.0185863 97 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 4.88 vpr 62.58 MiB -1 -1 0.14 17192 1 0.04 -1 -1 30196 -1 -1 32 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64080 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 23.9 MiB 0.80 962 13487 3595 7903 1989 62.6 MiB 0.11 0.00 3.53179 -106.578 -3.53179 3.53179 0.68 0.000601365 0.000559226 0.0396837 0.0368249 34 2236 19 6.87369e+06 447163 618332. 2139.56 1.32 0.153848 0.134091 25762 151098 -1 1863 20 995 1672 108736 24731 2.70166 2.70166 -98.9172 -2.70166 0 0 787024. 2723.27 0.21 0.06 0.15 -1 -1 0.21 0.0228786 0.0198494 119 4 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 6.64 vpr 62.80 MiB -1 -1 0.17 17796 1 0.04 -1 -1 30072 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64304 31 32 317 271 1 175 80 17 17 289 -1 unnamed_device 24.1 MiB 2.41 925 12636 4369 6197 2070 62.8 MiB 0.12 0.00 3.30197 -112.873 -3.30197 3.30197 0.68 0.000637278 0.000592469 0.0494775 0.0459495 36 2160 23 6.87369e+06 237555 648988. 2245.63 1.43 0.173401 0.151376 26050 158493 -1 1872 20 1095 1601 124739 28051 3.06361 3.06361 -117.683 -3.06361 0 0 828058. 2865.25 0.21 0.06 0.14 -1 -1 0.21 0.0247673 0.0213637 113 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 7.27 vpr 62.48 MiB -1 -1 0.15 17532 1 0.03 -1 -1 30140 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63984 32 32 298 248 1 162 80 17 17 289 -1 unnamed_device 23.9 MiB 3.30 866 10916 3152 5921 1843 62.5 MiB 0.11 0.00 4.09393 -136.454 -4.09393 4.09393 0.65 0.000621617 0.000577916 0.0415333 0.0386277 34 2156 24 6.87369e+06 223581 618332. 2139.56 1.32 0.16394 0.142702 25762 151098 -1 1860 21 1208 2066 158811 35660 3.14326 3.14326 -128.503 -3.14326 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0251029 0.0217948 107 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 6.64 vpr 62.42 MiB -1 -1 0.18 17312 1 0.03 -1 -1 30484 -1 -1 16 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63920 30 32 303 262 1 148 78 17 17 289 -1 unnamed_device 23.9 MiB 2.68 765 11532 2973 7641 918 62.4 MiB 0.11 0.00 4.09699 -119.415 -4.09699 4.09699 0.66 0.000619465 0.000575406 0.0447386 0.0416167 34 1766 21 6.87369e+06 223581 618332. 2139.56 1.27 0.163821 0.142952 25762 151098 -1 1515 20 1085 1715 117780 28644 2.85166 2.85166 -105.6 -2.85166 0 0 787024. 2723.27 0.20 0.07 0.14 -1 -1 0.20 0.0241675 0.0209708 98 63 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 6.26 vpr 62.48 MiB -1 -1 0.14 17428 1 0.03 -1 -1 30128 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63980 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 23.9 MiB 2.00 706 8306 1954 5512 840 62.5 MiB 0.07 0.00 3.6525 -111.833 -3.6525 3.6525 0.67 0.00059589 0.000554692 0.0299349 0.0278549 36 1988 27 6.87369e+06 237555 648988. 2245.63 1.49 0.15031 0.129941 26050 158493 -1 1661 19 1125 1568 111534 28073 2.96326 2.96326 -113.226 -2.96326 0 0 828058. 2865.25 0.21 0.06 0.19 -1 -1 0.21 0.0221819 0.0193374 107 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 7.74 vpr 62.82 MiB -1 -1 0.13 17900 1 0.03 -1 -1 30252 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64332 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 24.2 MiB 3.26 1028 16599 6315 8361 1923 62.8 MiB 0.16 0.00 4.13563 -133.6 -4.13563 4.13563 0.65 0.000691537 0.000641612 0.0619952 0.0575244 34 3057 25 6.87369e+06 321398 618332. 2139.56 1.68 0.193784 0.170202 25762 151098 -1 2405 22 1980 3001 267731 58292 3.31981 3.31981 -129.305 -3.31981 0 0 787024. 2723.27 0.20 0.10 0.13 -1 -1 0.20 0.0291575 0.0253637 142 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 5.80 vpr 62.83 MiB -1 -1 0.15 17644 1 0.03 -1 -1 30292 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64336 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 24.2 MiB 2.10 989 10031 2534 6882 615 62.8 MiB 0.12 0.00 4.81484 -142.23 -4.81484 4.81484 0.66 0.000726066 0.000675577 0.0381498 0.0353387 32 2593 24 6.87369e+06 433189 586450. 2029.24 0.95 0.125225 0.110047 25474 144626 -1 2153 22 1723 2788 217881 49682 4.00776 4.00776 -140.461 -4.00776 0 0 744469. 2576.02 0.19 0.09 0.13 -1 -1 0.19 0.0292256 0.0253652 133 61 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 4.86 vpr 62.29 MiB -1 -1 0.18 17428 1 0.03 -1 -1 30076 -1 -1 19 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63780 29 32 248 215 1 142 80 17 17 289 -1 unnamed_device 23.8 MiB 1.46 614 5068 1019 3719 330 62.3 MiB 0.05 0.00 3.26207 -95.0897 -3.26207 3.26207 0.65 0.000545828 0.000508092 0.0176927 0.0164818 26 1919 28 6.87369e+06 265503 503264. 1741.40 0.85 0.0872141 0.0756189 24322 120374 -1 1789 24 1254 1970 166202 39802 3.06661 3.06661 -101.255 -3.06661 0 0 618332. 2139.56 0.17 0.07 0.11 -1 -1 0.17 0.0244057 0.0210255 94 27 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 6.19 vpr 62.81 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30352 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64316 32 32 370 297 1 191 88 17 17 289 -1 unnamed_device 24.2 MiB 1.91 1007 16078 5563 8042 2473 62.8 MiB 0.16 0.00 3.7063 -122.467 -3.7063 3.7063 0.65 0.000723366 0.000671639 0.0619367 0.0575177 34 2625 21 6.87369e+06 335372 618332. 2139.56 1.41 0.201058 0.176215 25762 151098 -1 2084 22 1604 2825 206431 47747 2.98531 2.98531 -118.548 -2.98531 0 0 787024. 2723.27 0.22 0.09 0.14 -1 -1 0.22 0.0304828 0.026565 135 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 7.80 vpr 62.75 MiB -1 -1 0.13 17820 1 0.03 -1 -1 30072 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64252 32 32 338 269 1 204 85 17 17 289 -1 unnamed_device 24.2 MiB 3.42 1032 15523 6549 8204 770 62.7 MiB 0.14 0.00 4.15353 -134.149 -4.15353 4.15353 0.65 0.000687651 0.000638921 0.0596259 0.0554172 34 2905 38 6.87369e+06 293451 618332. 2139.56 1.62 0.209006 0.182674 25762 151098 -1 2147 22 1799 2550 195998 46224 3.23381 3.23381 -122.14 -3.23381 0 0 787024. 2723.27 0.20 0.09 0.15 -1 -1 0.20 0.0292335 0.0255179 140 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 6.38 vpr 62.60 MiB -1 -1 0.18 17360 1 0.03 -1 -1 30284 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64100 32 32 323 276 1 156 92 17 17 289 -1 unnamed_device 23.9 MiB 2.16 847 14168 4025 7985 2158 62.6 MiB 0.12 0.00 3.09156 -110.795 -3.09156 3.09156 0.67 0.000649837 0.000603839 0.0464567 0.0431586 34 2031 19 6.87369e+06 391268 618332. 2139.56 1.31 0.169614 0.147798 25762 151098 -1 1698 23 1184 1734 139759 32212 2.18787 2.18787 -100.659 -2.18787 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0276624 0.0239298 109 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 4.02 vpr 62.28 MiB -1 -1 0.15 17252 1 0.03 -1 -1 30052 -1 -1 14 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63776 30 32 222 206 1 117 76 17 17 289 -1 unnamed_device 23.7 MiB 0.71 656 11276 3436 6760 1080 62.3 MiB 0.09 0.00 2.61023 -88.8242 -2.61023 2.61023 0.69 0.000506441 0.000471484 0.0369844 0.0344466 32 1559 23 6.87369e+06 195634 586450. 2029.24 0.79 0.0964402 0.0850471 25474 144626 -1 1296 23 653 927 84113 18660 1.95772 1.95772 -86.9117 -1.95772 0 0 744469. 2576.02 0.18 0.03 0.08 -1 -1 0.18 0.0113342 0.00987645 71 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 6.60 vpr 62.54 MiB -1 -1 0.09 17508 1 0.03 -1 -1 30356 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64044 31 32 291 243 1 178 82 17 17 289 -1 unnamed_device 23.8 MiB 2.60 778 9338 2420 6492 426 62.5 MiB 0.10 0.00 4.95513 -145.252 -4.95513 4.95513 0.68 0.000618133 0.000575504 0.0346283 0.0321961 34 2105 23 6.87369e+06 265503 618332. 2139.56 1.30 0.154588 0.134267 25762 151098 -1 1729 19 1243 1783 105498 27198 3.54786 3.54786 -132.494 -3.54786 0 0 787024. 2723.27 0.22 0.06 0.15 -1 -1 0.22 0.0231485 0.0202505 116 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 4.82 vpr 62.89 MiB -1 -1 0.16 17900 1 0.03 -1 -1 30448 -1 -1 35 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64400 32 32 342 271 1 181 99 17 17 289 -1 unnamed_device 24.4 MiB 0.78 985 11499 2787 8050 662 62.9 MiB 0.13 0.00 4.26399 -136.517 -4.26399 4.26399 0.78 0.000689019 0.000640337 0.0453487 0.0420586 32 2549 47 6.87369e+06 489084 586450. 2029.24 1.03 0.151615 0.132994 25474 144626 -1 2132 28 1898 2850 260302 73804 3.7954 3.7954 -135.219 -3.7954 0 0 744469. 2576.02 0.19 0.14 0.13 -1 -1 0.19 0.0451021 0.0394727 137 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 6.57 vpr 62.82 MiB -1 -1 0.19 17624 1 0.03 -1 -1 30300 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64324 32 32 372 300 1 206 86 17 17 289 -1 unnamed_device 24.3 MiB 1.92 995 6701 1305 5169 227 62.8 MiB 0.08 0.00 4.31715 -129.69 -4.31715 4.31715 0.65 0.000727676 0.000676219 0.0281766 0.0261951 34 3077 27 6.87369e+06 307425 618332. 2139.56 1.87 0.174568 0.151026 25762 151098 -1 2241 22 1750 2856 245759 55031 3.75866 3.75866 -130.038 -3.75866 0 0 787024. 2723.27 0.20 0.09 0.13 -1 -1 0.20 0.0301358 0.0262142 142 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 4.87 vpr 62.06 MiB -1 -1 0.10 17284 1 0.02 -1 -1 30584 -1 -1 17 26 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63548 26 32 190 182 1 108 75 17 17 289 -1 unnamed_device 23.5 MiB 1.49 371 10977 3839 5013 2125 62.1 MiB 0.07 0.00 2.58413 -70.3474 -2.58413 2.58413 0.65 0.000325942 0.000298068 0.0314335 0.0291581 28 1325 27 6.87369e+06 237555 531479. 1839.03 0.86 0.0860589 0.075611 24610 126494 -1 1074 22 742 1040 84221 21563 2.50407 2.50407 -79.8397 -2.50407 0 0 648988. 2245.63 0.18 0.05 0.12 -1 -1 0.18 0.0185013 0.0160006 67 30 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 4.54 vpr 62.68 MiB -1 -1 0.15 17192 1 0.03 -1 -1 30400 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64184 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 24.0 MiB 0.91 952 5847 1159 4467 221 62.7 MiB 0.06 0.00 4.63338 -129.909 -4.63338 4.63338 0.67 0.000619174 0.000576226 0.0211512 0.0196722 30 2387 25 6.87369e+06 321398 556674. 1926.21 0.92 0.0985804 0.085937 25186 138497 -1 1962 22 1181 2132 158416 34156 3.7241 3.7241 -122.996 -3.7241 0 0 706193. 2443.58 0.18 0.07 0.12 -1 -1 0.18 0.0256282 0.0222463 119 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 3.80 vpr 61.97 MiB -1 -1 0.16 16984 1 0.02 -1 -1 30156 -1 -1 12 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63460 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 23.5 MiB 0.39 704 9676 3283 5033 1360 62.0 MiB 0.07 0.00 2.58823 -84.5042 -2.58823 2.58823 0.69 0.00042357 0.000393275 0.0273144 0.025366 28 1429 20 6.87369e+06 167686 531479. 1839.03 0.81 0.0813491 0.071348 24610 126494 -1 1344 16 565 664 51936 12522 2.15017 2.15017 -84.3239 -2.15017 0 0 648988. 2245.63 0.17 0.04 0.11 -1 -1 0.17 0.0141506 0.0123328 65 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 4.46 vpr 62.60 MiB -1 -1 0.19 17240 1 0.03 -1 -1 30380 -1 -1 30 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64104 32 32 300 245 1 169 94 17 17 289 -1 unnamed_device 24.2 MiB 0.79 922 10744 2499 7805 440 62.6 MiB 0.10 0.00 4.70738 -131.097 -4.70738 4.70738 0.67 0.00063851 0.000594165 0.0339866 0.0315453 26 2404 21 6.87369e+06 419215 503264. 1741.40 1.00 0.1086 0.0954713 24322 120374 -1 2181 26 1365 2192 198316 46035 4.0267 4.0267 -126.462 -4.0267 0 0 618332. 2139.56 0.16 0.09 0.07 -1 -1 0.16 0.0300158 0.0259627 120 24 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 4.48 vpr 62.59 MiB -1 -1 0.19 17368 1 0.03 -1 -1 30448 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64096 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 24.1 MiB 0.79 1018 15215 4457 9037 1721 62.6 MiB 0.13 0.00 3.58631 -115.037 -3.58631 3.58631 0.67 0.000631169 0.000586248 0.0465984 0.0432115 28 2435 25 6.87369e+06 433189 531479. 1839.03 0.98 0.110364 0.0979545 24610 126494 -1 2089 16 1119 1959 128537 28970 2.77996 2.77996 -111.593 -2.77996 0 0 648988. 2245.63 0.17 0.06 0.11 -1 -1 0.17 0.0218344 0.0190913 130 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 5.14 vpr 62.99 MiB -1 -1 0.12 17900 1 0.03 -1 -1 30264 -1 -1 28 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64500 32 32 338 277 1 186 92 17 17 289 -1 unnamed_device 24.5 MiB 1.57 1070 17066 5177 9769 2120 63.0 MiB 0.16 0.00 4.79173 -136.462 -4.79173 4.79173 0.65 0.000678027 0.000630202 0.0581988 0.0540551 30 2520 24 6.87369e+06 391268 556674. 1926.21 0.87 0.140138 0.124143 25186 138497 -1 2060 17 1055 1910 104827 24868 3.6681 3.6681 -124.886 -3.6681 0 0 706193. 2443.58 0.18 0.06 0.12 -1 -1 0.18 0.0231413 0.0202202 131 50 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 4.74 vpr 62.51 MiB -1 -1 0.18 17616 1 0.03 -1 -1 30060 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64008 32 32 284 241 1 148 80 17 17 289 -1 unnamed_device 23.9 MiB 0.80 703 9368 2220 5797 1351 62.5 MiB 0.09 0.00 3.24007 -107.338 -3.24007 3.24007 0.65 0.000608546 0.000566218 0.0349471 0.0325124 34 1987 21 6.87369e+06 223581 618332. 2139.56 1.27 0.151607 0.131714 25762 151098 -1 1602 21 1019 1690 110919 26652 2.93026 2.93026 -109.609 -2.93026 0 0 787024. 2723.27 0.21 0.06 0.13 -1 -1 0.21 0.0240911 0.0208863 99 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 5.00 vpr 62.28 MiB -1 -1 0.18 17240 1 0.03 -1 -1 30132 -1 -1 26 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63776 30 32 262 227 1 138 88 17 17 289 -1 unnamed_device 23.8 MiB 1.05 624 12763 3540 6427 2796 62.3 MiB 0.10 0.00 3.24697 -98.9537 -3.24697 3.24697 0.68 0.000572613 0.00053121 0.0391871 0.0364387 34 1668 26 6.87369e+06 363320 618332. 2139.56 1.27 0.152528 0.132583 25762 151098 -1 1287 19 837 1210 79394 20357 2.88626 2.88626 -92.5246 -2.88626 0 0 787024. 2723.27 0.20 0.05 0.13 -1 -1 0.20 0.0211692 0.0183815 97 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 4.40 vpr 62.27 MiB -1 -1 0.17 17400 1 0.04 -1 -1 30100 -1 -1 18 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63764 28 32 260 223 1 140 78 17 17 289 -1 unnamed_device 23.8 MiB 0.84 763 12694 4114 7113 1467 62.3 MiB 0.10 0.00 3.5993 -104.629 -3.5993 3.5993 0.65 0.000557433 0.000518311 0.0443188 0.0412563 32 2109 21 6.87369e+06 251529 586450. 2029.24 0.87 0.109766 0.0970198 25474 144626 -1 1799 19 1081 1917 171125 38306 3.09656 3.09656 -109.387 -3.09656 0 0 744469. 2576.02 0.19 0.07 0.13 -1 -1 0.19 0.0208351 0.0180741 95 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 4.17 vpr 62.32 MiB -1 -1 0.19 17160 1 0.03 -1 -1 30312 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63812 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 23.8 MiB 0.68 834 13031 3636 7853 1542 62.3 MiB 0.11 0.00 3.99153 -123.226 -3.99153 3.99153 0.65 0.0005732 0.000533487 0.0443129 0.0412225 30 1992 21 6.87369e+06 237555 556674. 1926.21 0.82 0.111888 0.0990081 25186 138497 -1 1733 20 1068 1783 108326 24960 2.87696 2.87696 -115.111 -2.87696 0 0 706193. 2443.58 0.19 0.06 0.12 -1 -1 0.19 0.0222537 0.0193643 101 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 4.91 vpr 62.36 MiB -1 -1 0.19 17428 1 0.03 -1 -1 30112 -1 -1 26 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63852 31 32 271 231 1 149 89 17 17 289 -1 unnamed_device 23.8 MiB 0.79 614 5831 1071 4187 573 62.4 MiB 0.06 0.00 3.5993 -104.92 -3.5993 3.5993 0.72 0.000586006 0.000545291 0.0190441 0.0177146 34 1764 25 6.87369e+06 363320 618332. 2139.56 1.37 0.13632 0.117218 25762 151098 -1 1500 18 955 1528 104603 27153 2.94926 2.94926 -105.489 -2.94926 0 0 787024. 2723.27 0.20 0.06 0.13 -1 -1 0.20 0.0206285 0.0179388 102 30 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 6.62 vpr 62.47 MiB -1 -1 0.20 17380 1 0.03 -1 -1 30332 -1 -1 25 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63968 29 32 291 250 1 154 86 17 17 289 -1 unnamed_device 23.9 MiB 2.61 863 14072 4376 7539 2157 62.5 MiB 0.11 0.00 3.04756 -100.489 -3.04756 3.04756 0.67 0.000599012 0.000556539 0.0462815 0.0430242 34 1909 21 6.87369e+06 349346 618332. 2139.56 1.26 0.162495 0.141679 25762 151098 -1 1708 19 1091 1614 119245 28263 2.38047 2.38047 -99.7204 -2.38047 0 0 787024. 2723.27 0.20 0.06 0.14 -1 -1 0.20 0.0220282 0.019097 106 54 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 7.49 vpr 63.04 MiB -1 -1 0.20 17696 1 0.03 -1 -1 30352 -1 -1 40 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64548 32 32 367 282 1 201 104 17 17 289 -1 unnamed_device 24.3 MiB 3.03 1118 10108 2278 7040 790 63.0 MiB 0.10 0.00 4.17389 -123.088 -4.17389 4.17389 0.65 0.000734839 0.000681851 0.0328956 0.0304984 26 3090 38 6.87369e+06 558954 503264. 1741.40 1.62 0.139306 0.121707 24322 120374 -1 2752 73 3440 6386 638453 134864 3.8437 3.8437 -130.264 -3.8437 0 0 618332. 2139.56 0.16 0.24 0.11 -1 -1 0.16 0.0865174 0.0741366 156 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 6.44 vpr 62.87 MiB -1 -1 0.17 17644 1 0.03 -1 -1 30240 -1 -1 38 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64380 32 32 391 311 1 194 102 17 17 289 -1 unnamed_device 24.3 MiB 2.85 1050 18428 5889 9545 2994 62.9 MiB 0.16 0.00 3.99748 -134.85 -3.99748 3.99748 0.56 0.000753967 0.000699351 0.0611117 0.0566059 30 2304 26 6.87369e+06 531006 556674. 1926.21 0.94 0.154539 0.136646 25186 138497 -1 1893 18 1445 2277 122140 29198 2.89086 2.89086 -117.356 -2.89086 0 0 706193. 2443.58 0.19 0.07 0.12 -1 -1 0.19 0.0265657 0.0231553 148 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 6.41 vpr 62.30 MiB -1 -1 0.15 17252 1 0.03 -1 -1 30064 -1 -1 18 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63792 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 23.8 MiB 1.97 729 12681 4828 6102 1751 62.3 MiB 0.11 0.00 4.09163 -121.55 -4.09163 4.09163 0.66 0.000590386 0.000548741 0.0451865 0.0420081 36 2115 36 6.87369e+06 251529 648988. 2245.63 1.72 0.174951 0.152348 26050 158493 -1 1823 19 1282 1905 152144 36164 3.3235 3.3235 -117.041 -3.3235 0 0 828058. 2865.25 0.21 0.07 0.14 -1 -1 0.21 0.022115 0.0192143 109 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 6.53 vpr 62.83 MiB -1 -1 0.15 17692 1 0.03 -1 -1 30328 -1 -1 26 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64336 31 32 370 297 1 187 89 17 17 289 -1 unnamed_device 24.3 MiB 2.33 953 14543 4925 6755 2863 62.8 MiB 0.14 0.00 3.78134 -121.658 -3.78134 3.78134 0.65 0.000721258 0.000669307 0.0559049 0.051944 34 2770 24 6.87369e+06 363320 618332. 2139.56 1.43 0.184914 0.161963 25762 151098 -1 2008 21 1651 2803 198970 45902 3.16061 3.16061 -121.592 -3.16061 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0290174 0.0252287 136 61 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 8.72 vpr 63.04 MiB -1 -1 0.17 17644 1 0.03 -1 -1 30304 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64548 31 32 377 302 1 237 88 17 17 289 -1 unnamed_device 24.7 MiB 3.71 1511 10033 2621 6359 1053 63.0 MiB 0.12 0.00 5.60672 -170.903 -5.60672 5.60672 0.65 0.000733099 0.000681287 0.0399741 0.0371296 36 3561 24 6.87369e+06 349346 648988. 2245.63 2.13 0.187741 0.163127 26050 158493 -1 3027 20 2176 3185 271931 58363 4.9855 4.9855 -172.625 -4.9855 0 0 828058. 2865.25 0.21 0.10 0.14 -1 -1 0.21 0.0285667 0.024886 159 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 7.60 vpr 63.05 MiB -1 -1 0.20 17748 1 0.03 -1 -1 30440 -1 -1 27 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64564 31 32 383 305 1 212 90 17 17 289 -1 unnamed_device 24.4 MiB 3.12 1142 14964 4330 8425 2209 63.1 MiB 0.15 0.00 5.2871 -162.814 -5.2871 5.2871 0.65 0.000742732 0.000689319 0.0578876 0.0537692 34 2876 29 6.87369e+06 377294 618332. 2139.56 1.52 0.209607 0.183079 25762 151098 -1 2362 21 1520 2409 179820 40778 4.5536 4.5536 -160.543 -4.5536 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0299554 0.0260814 152 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 6.99 vpr 62.80 MiB -1 -1 0.18 17692 1 0.04 -1 -1 30352 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64312 31 32 352 285 1 186 88 17 17 289 -1 unnamed_device 24.3 MiB 2.56 964 8863 1996 5999 868 62.8 MiB 0.10 0.00 4.10673 -127.256 -4.10673 4.10673 0.65 0.000706397 0.000657312 0.0343618 0.0319724 34 2616 23 6.87369e+06 349346 618332. 2139.56 1.80 0.187184 0.163491 25762 151098 -1 2236 21 1617 2633 212782 50249 3.36391 3.36391 -125.026 -3.36391 0 0 787024. 2723.27 0.20 0.09 0.09 -1 -1 0.20 0.0281846 0.0245284 131 55 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 6.51 vpr 62.64 MiB -1 -1 0.14 17380 1 0.03 -1 -1 30328 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64140 32 32 291 242 1 183 84 17 17 289 -1 unnamed_device 24.1 MiB 2.21 831 14175 5092 5953 3130 62.6 MiB 0.12 0.00 4.31305 -113.651 -4.31305 4.31305 0.65 0.000624613 0.000580753 0.0498991 0.0463922 34 2736 36 6.87369e+06 279477 618332. 2139.56 1.63 0.182827 0.159443 25762 151098 -1 1845 22 1288 1920 137580 35695 3.95006 3.95006 -116.785 -3.95006 0 0 787024. 2723.27 0.20 0.07 0.14 -1 -1 0.20 0.026012 0.0225353 119 27 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 7.18 vpr 63.34 MiB -1 -1 0.20 17884 1 0.03 -1 -1 30432 -1 -1 38 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64856 32 32 457 356 1 225 102 17 17 289 -1 unnamed_device 24.8 MiB 3.02 1125 12954 3388 8890 676 63.3 MiB 0.15 0.00 4.84068 -153.465 -4.84068 4.84068 0.66 0.000878788 0.000817812 0.0522543 0.0486823 30 2992 40 6.87369e+06 531006 556674. 1926.21 1.20 0.177432 0.155653 25186 138497 -1 2204 20 1416 2329 119183 30863 3.83736 3.83736 -145.825 -3.83736 0 0 706193. 2443.58 0.18 0.08 0.12 -1 -1 0.18 0.0327647 0.0285015 173 87 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 5.13 vpr 62.38 MiB -1 -1 0.18 17528 1 0.03 -1 -1 30096 -1 -1 22 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63880 31 32 261 225 1 148 85 17 17 289 -1 unnamed_device 23.9 MiB 1.57 779 13291 4134 7087 2070 62.4 MiB 0.11 0.00 3.55895 -107.74 -3.55895 3.55895 0.66 0.000571165 0.000529942 0.042168 0.0391811 32 2017 21 6.87369e+06 307425 586450. 2029.24 0.87 0.108552 0.0958242 25474 144626 -1 1822 19 1136 1936 156094 34416 2.84596 2.84596 -105.425 -2.84596 0 0 744469. 2576.02 0.19 0.07 0.14 -1 -1 0.19 0.0212651 0.0184484 96 28 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 5.81 vpr 62.83 MiB -1 -1 0.15 17868 1 0.03 -1 -1 30128 -1 -1 23 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64340 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 24.3 MiB 2.05 1055 8969 2107 6380 482 62.8 MiB 0.10 0.00 4.79158 -142.334 -4.79158 4.79158 0.71 0.000690049 0.000641849 0.0352396 0.0327989 30 2731 23 6.87369e+06 321398 556674. 1926.21 1.05 0.118536 0.104138 25186 138497 -1 2039 22 1317 1992 125222 30107 3.86576 3.86576 -132.751 -3.86576 0 0 706193. 2443.58 0.19 0.07 0.14 -1 -1 0.19 0.0286525 0.0249291 140 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 5.48 vpr 62.71 MiB -1 -1 0.18 17748 1 0.03 -1 -1 30260 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64220 32 32 349 284 1 183 96 17 17 289 -1 unnamed_device 24.2 MiB 1.71 980 9951 2142 7372 437 62.7 MiB 0.11 0.00 3.6843 -115.486 -3.6843 3.6843 0.65 0.000696065 0.000647076 0.0342082 0.0318122 32 2890 26 6.87369e+06 447163 586450. 2029.24 0.99 0.12049 0.1054 25474 144626 -1 2221 21 1588 2681 224480 50258 3.07761 3.07761 -115.254 -3.07761 0 0 744469. 2576.02 0.19 0.08 0.14 -1 -1 0.19 0.0276949 0.0240523 132 53 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 4.71 vpr 62.70 MiB -1 -1 0.18 17248 1 0.03 -1 -1 30044 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64200 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 24.3 MiB 0.62 972 9537 2296 6533 708 62.7 MiB 0.09 0.00 4.25479 -129.925 -4.25479 4.25479 0.65 0.000626212 0.000582042 0.0316676 0.0294115 34 2449 22 6.87369e+06 363320 618332. 2139.56 1.35 0.153933 0.133668 25762 151098 -1 2032 21 1272 2425 189312 41406 3.7011 3.7011 -125.423 -3.7011 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0253387 0.0219759 123 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 6.92 vpr 62.91 MiB -1 -1 0.13 17748 1 0.03 -1 -1 30292 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64420 32 32 353 287 1 203 86 17 17 289 -1 unnamed_device 24.4 MiB 2.66 1122 14450 4547 7634 2269 62.9 MiB 0.14 0.00 5.14049 -153.237 -5.14049 5.14049 0.70 0.000711928 0.000661877 0.055525 0.0515447 34 2716 24 6.87369e+06 307425 618332. 2139.56 1.37 0.194097 0.169645 25762 151098 -1 2240 21 1280 1794 138052 31873 3.3592 3.3592 -127.805 -3.3592 0 0 787024. 2723.27 0.25 0.08 0.13 -1 -1 0.25 0.028509 0.0248561 136 55 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 6.84 vpr 62.78 MiB -1 -1 0.19 17624 1 0.03 -1 -1 30268 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64284 32 32 361 291 1 189 96 17 17 289 -1 unnamed_device 24.3 MiB 2.72 990 17178 5882 8168 3128 62.8 MiB 0.16 0.00 3.6884 -118.378 -3.6884 3.6884 0.65 0.000713339 0.000661914 0.0667022 0.0619384 30 2877 24 6.87369e+06 447163 556674. 1926.21 1.36 0.153334 0.136019 25186 138497 -1 2092 22 1461 2649 168211 40081 3.23791 3.23791 -114.832 -3.23791 0 0 706193. 2443.58 0.18 0.08 0.12 -1 -1 0.18 0.0295058 0.0256536 136 55 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 7.97 vpr 62.95 MiB -1 -1 0.15 17504 1 0.03 -1 -1 30336 -1 -1 35 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64464 32 32 382 305 1 193 99 17 17 289 -1 unnamed_device 24.3 MiB 2.65 922 18795 6474 8507 3814 63.0 MiB 0.16 0.00 4.11773 -131.117 -4.11773 4.11773 0.65 0.000742695 0.00068941 0.0633929 0.0587644 34 3101 29 6.87369e+06 489084 618332. 2139.56 2.51 0.218812 0.191463 25762 151098 -1 2029 22 1693 2800 249488 68630 3.24686 3.24686 -120.996 -3.24686 0 0 787024. 2723.27 0.20 0.10 0.15 -1 -1 0.20 0.0308337 0.0268143 144 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 4.37 vpr 62.70 MiB -1 -1 0.18 17252 1 0.03 -1 -1 30220 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64200 32 32 306 248 1 170 97 17 17 289 -1 unnamed_device 24.2 MiB 0.78 881 12751 3354 7696 1701 62.7 MiB 0.11 0.00 4.26989 -123.123 -4.26989 4.26989 0.65 0.000639931 0.00059457 0.0388275 0.0360592 30 2195 22 6.87369e+06 461137 556674. 1926.21 0.83 0.114587 0.100898 25186 138497 -1 1695 21 965 1709 89776 21316 3.6008 3.6008 -117.658 -3.6008 0 0 706193. 2443.58 0.23 0.08 0.12 -1 -1 0.23 0.0322882 0.0284158 124 24 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 6.10 vpr 62.66 MiB -1 -1 0.18 17636 1 0.03 -1 -1 30352 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64160 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 24.1 MiB 1.90 1140 14450 4739 7431 2280 62.7 MiB 0.14 0.00 4.86398 -140.272 -4.86398 4.86398 0.65 0.000657004 0.000610106 0.0522599 0.0485618 34 2688 26 6.87369e+06 307425 618332. 2139.56 1.43 0.184201 0.161078 25762 151098 -1 2351 20 1637 2366 176234 40551 3.92996 3.92996 -134.935 -3.92996 0 0 787024. 2723.27 0.22 0.07 0.15 -1 -1 0.22 0.0244839 0.0212738 135 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 9.12 vpr 62.88 MiB -1 -1 0.21 17644 1 0.03 -1 -1 30316 -1 -1 22 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64384 31 32 373 299 1 204 85 17 17 289 -1 unnamed_device 24.3 MiB 1.87 912 13105 4297 5300 3508 62.9 MiB 0.12 0.00 4.74348 -140.694 -4.74348 4.74348 0.65 0.000723662 0.000671863 0.0534528 0.0496154 36 3390 47 6.87369e+06 307425 648988. 2245.63 4.40 0.226564 0.197396 26050 158493 -1 2334 18 1713 2698 193753 48203 4.43196 4.43196 -140.453 -4.43196 0 0 828058. 2865.25 0.28 0.08 0.14 -1 -1 0.28 0.0235948 0.0209362 141 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 6.84 vpr 62.87 MiB -1 -1 0.19 17692 1 0.03 -1 -1 30372 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64376 32 32 387 315 1 194 85 17 17 289 -1 unnamed_device 24.3 MiB 2.40 1089 15709 4726 9045 1938 62.9 MiB 0.16 0.00 4.3693 -136.102 -4.3693 4.3693 0.65 0.000754363 0.000700984 0.0654821 0.0608174 34 2963 29 6.87369e+06 293451 618332. 2139.56 1.58 0.219065 0.191844 25762 151098 -1 2412 22 1699 3098 239730 53476 3.72146 3.72146 -134.49 -3.72146 0 0 787024. 2723.27 0.25 0.09 0.13 -1 -1 0.25 0.0289939 0.0255225 135 77 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 4.10 vpr 62.20 MiB -1 -1 0.17 17400 1 0.03 -1 -1 29976 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63688 32 32 251 219 1 141 86 17 17 289 -1 unnamed_device 23.8 MiB 0.66 730 9914 2670 6709 535 62.2 MiB 0.08 0.00 3.5583 -105.077 -3.5583 3.5583 0.65 0.000559133 0.000520919 0.0311062 0.0289444 28 1795 23 6.87369e+06 307425 531479. 1839.03 0.80 0.0982206 0.0862934 24610 126494 -1 1697 21 974 1670 124586 29567 2.90826 2.90826 -103.963 -2.90826 0 0 648988. 2245.63 0.17 0.06 0.11 -1 -1 0.17 0.0222827 0.0192926 93 23 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 6.10 vpr 63.04 MiB -1 -1 0.20 17788 1 0.03 -1 -1 30320 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64552 32 32 341 285 1 188 82 17 17 289 -1 unnamed_device 24.6 MiB 1.61 968 15568 5616 7798 2154 63.0 MiB 0.15 0.00 3.7434 -130.891 -3.7434 3.7434 0.65 0.000677475 0.000629638 0.0613385 0.0569945 34 2779 24 6.87369e+06 251529 618332. 2139.56 1.65 0.19595 0.17178 25762 151098 -1 2225 24 1781 2546 222546 49444 3.3365 3.3365 -133.232 -3.3365 0 0 787024. 2723.27 0.20 0.11 0.13 -1 -1 0.20 0.0321007 0.0277587 124 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 7.14 vpr 62.98 MiB -1 -1 0.21 17852 1 0.03 -1 -1 30356 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64496 32 32 387 293 1 236 88 17 17 289 -1 unnamed_device 24.5 MiB 2.59 1427 16858 5256 9636 1966 63.0 MiB 0.19 0.00 5.58608 -163.667 -5.58608 5.58608 0.65 0.000769249 0.000706854 0.0687906 0.0636081 34 3599 26 6.87369e+06 335372 618332. 2139.56 1.66 0.224131 0.19651 25762 151098 -1 2933 22 2135 3307 260209 58698 4.8184 4.8184 -161.399 -4.8184 0 0 787024. 2723.27 0.20 0.10 0.13 -1 -1 0.20 0.0319155 0.0278211 166 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 6.27 vpr 62.70 MiB -1 -1 0.09 17576 1 0.03 -1 -1 30376 -1 -1 34 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64204 32 32 340 270 1 185 98 17 17 289 -1 unnamed_device 24.2 MiB 2.58 968 18998 5516 10575 2907 62.7 MiB 0.16 0.00 4.31005 -135.578 -4.31005 4.31005 0.65 0.000697535 0.000648261 0.060664 0.0562877 28 2295 25 6.87369e+06 475111 531479. 1839.03 0.97 0.146363 0.129728 24610 126494 -1 2110 22 1551 2528 176522 41622 3.19176 3.19176 -124.427 -3.19176 0 0 648988. 2245.63 0.21 0.10 0.11 -1 -1 0.21 0.0323489 0.0284576 137 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 4.48 vpr 62.41 MiB -1 -1 0.10 17312 1 0.03 -1 -1 30336 -1 -1 25 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63908 30 32 278 235 1 150 87 17 17 289 -1 unnamed_device 23.9 MiB 0.68 634 6615 1367 5002 246 62.4 MiB 0.07 0.00 3.6392 -108.305 -3.6392 3.6392 0.67 0.000589045 0.000547996 0.0220934 0.0205496 26 2210 35 6.87369e+06 349346 503264. 1741.40 1.26 0.103873 0.09021 24322 120374 -1 1732 22 1273 2053 177294 42109 3.24486 3.24486 -115.112 -3.24486 0 0 618332. 2139.56 0.17 0.07 0.12 -1 -1 0.17 0.0244931 0.0211715 104 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 9.01 vpr 63.20 MiB -1 -1 0.12 17768 1 0.03 -1 -1 30288 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64712 32 32 431 332 1 239 89 17 17 289 -1 unnamed_device 24.7 MiB 4.39 1457 14543 4326 8631 1586 63.2 MiB 0.16 0.00 5.90291 -175.463 -5.90291 5.90291 0.65 0.000828504 0.00077032 0.0641284 0.0596201 34 3438 35 6.87369e+06 349346 618332. 2139.56 1.81 0.240303 0.209689 25762 151098 -1 2911 20 2264 3374 276997 62014 4.9852 4.9852 -172.57 -4.9852 0 0 787024. 2723.27 0.20 0.10 0.13 -1 -1 0.20 0.0318492 0.0277296 171 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 6.49 vpr 62.69 MiB -1 -1 0.15 17900 1 0.03 -1 -1 30424 -1 -1 35 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64196 32 32 336 268 1 182 99 17 17 289 -1 unnamed_device 24.2 MiB 2.75 1003 17199 4580 10530 2089 62.7 MiB 0.14 0.00 4.63938 -140.336 -4.63938 4.63938 0.65 0.00068529 0.00063755 0.0543289 0.0504491 32 2464 25 6.87369e+06 489084 586450. 2029.24 0.94 0.139343 0.123215 25474 144626 -1 2095 23 1635 2729 201771 45578 3.7433 3.7433 -131.792 -3.7433 0 0 744469. 2576.02 0.19 0.08 0.13 -1 -1 0.19 0.0292782 0.0254109 135 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 3.95 vpr 62.21 MiB -1 -1 0.17 17108 1 0.03 -1 -1 30404 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63700 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 23.8 MiB 0.57 878 10618 2802 6933 883 62.2 MiB 0.09 0.00 3.66956 -107.639 -3.66956 3.66956 0.65 0.000537146 0.000500016 0.0308593 0.0287074 30 1958 22 6.87369e+06 335372 556674. 1926.21 0.82 0.0941294 0.0826841 25186 138497 -1 1653 18 727 1303 82483 19055 2.69971 2.69971 -100.23 -2.69971 0 0 706193. 2443.58 0.18 0.05 0.12 -1 -1 0.18 0.0188953 0.0164029 94 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 6.04 vpr 62.70 MiB -1 -1 0.18 17796 1 0.03 -1 -1 30112 -1 -1 37 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64208 32 32 349 273 1 191 101 17 17 289 -1 unnamed_device 24.1 MiB 2.03 1091 19371 5911 10963 2497 62.7 MiB 0.17 0.00 5.19487 -138.438 -5.19487 5.19487 0.66 0.000712033 0.000660616 0.0617398 0.0571886 28 2690 23 6.87369e+06 517032 531479. 1839.03 1.26 0.148909 0.131983 24610 126494 -1 2422 22 1633 3034 222693 49806 4.75015 4.75015 -146.366 -4.75015 0 0 648988. 2245.63 0.17 0.09 0.11 -1 -1 0.17 0.0291308 0.0252967 145 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 4.72 vpr 62.28 MiB -1 -1 0.17 17116 1 0.03 -1 -1 30064 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63776 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 23.8 MiB 0.72 765 8363 1967 5928 468 62.3 MiB 0.08 0.00 3.6502 -113.574 -3.6502 3.6502 0.65 0.000558477 0.000520091 0.0275926 0.0256746 34 2139 22 6.87369e+06 265503 618332. 2139.56 1.28 0.136248 0.118132 25762 151098 -1 1778 19 1158 2038 144835 33647 2.93826 2.93826 -111.413 -2.93826 0 0 787024. 2723.27 0.20 0.06 0.13 -1 -1 0.20 0.0208659 0.0181493 98 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 6.05 vpr 62.53 MiB -1 -1 0.15 17316 1 0.03 -1 -1 30464 -1 -1 34 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64032 30 32 278 235 1 151 96 17 17 289 -1 unnamed_device 23.9 MiB 2.19 687 8418 1716 5962 740 62.5 MiB 0.08 0.00 3.88482 -111.398 -3.88482 3.88482 0.65 0.000598362 0.000555136 0.0255897 0.0237088 28 2032 22 6.87369e+06 475111 531479. 1839.03 1.21 0.0961066 0.0838395 24610 126494 -1 1732 18 1171 2098 140097 34058 3.01326 3.01326 -110.382 -3.01326 0 0 648988. 2245.63 0.17 0.07 0.11 -1 -1 0.17 0.021673 0.0187799 109 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 7.68 vpr 62.90 MiB -1 -1 0.20 17580 1 0.03 -1 -1 30272 -1 -1 24 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64408 29 32 355 287 1 200 85 17 17 289 -1 unnamed_device 24.2 MiB 3.44 1118 13105 3443 8133 1529 62.9 MiB 0.14 0.00 4.10563 -124.474 -4.10563 4.10563 0.65 0.000696699 0.000647101 0.0511739 0.0474959 34 2878 24 6.87369e+06 335372 618332. 2139.56 1.49 0.187329 0.163391 25762 151098 -1 2350 23 1916 2927 214977 49304 3.34511 3.34511 -121.343 -3.34511 0 0 787024. 2723.27 0.20 0.09 0.10 -1 -1 0.20 0.0299033 0.0259501 138 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 6.26 vpr 63.11 MiB -1 -1 0.18 17852 1 0.03 -1 -1 30244 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64620 32 32 358 289 1 183 90 17 17 289 -1 unnamed_device 24.6 MiB 2.14 806 8532 1884 6173 475 63.1 MiB 0.09 0.00 4.39805 -136.981 -4.39805 4.39805 0.65 0.000706991 0.000656608 0.0322255 0.0299359 34 2439 23 6.87369e+06 363320 618332. 2139.56 1.41 0.170274 0.147582 25762 151098 -1 1892 22 1562 2465 167343 39695 4.014 4.014 -132.895 -4.014 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0292757 0.0254244 132 54 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 9.72 vpr 63.07 MiB -1 -1 0.22 17576 1 0.03 -1 -1 30020 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64584 32 32 353 285 1 188 91 17 17 289 -1 unnamed_device 24.3 MiB 1.99 1121 14983 4753 8344 1886 63.1 MiB 0.15 0.00 4.71348 -141.457 -4.71348 4.71348 0.70 0.000704223 0.000652739 0.0541371 0.0501568 32 3230 48 6.87369e+06 377294 586450. 2029.24 4.86 0.301485 0.260829 25474 144626 -1 2572 20 1540 2596 302466 61481 4.17136 4.17136 -144.462 -4.17136 0 0 744469. 2576.02 0.19 0.10 0.13 -1 -1 0.19 0.0270208 0.023501 133 51 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 7.12 vpr 62.47 MiB -1 -1 0.17 17372 1 0.03 -1 -1 30252 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63968 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 23.9 MiB 2.97 883 12923 4460 6401 2062 62.5 MiB 0.12 0.00 4.76482 -134.311 -4.76482 4.76482 0.66 0.000590972 0.000549678 0.047119 0.0438204 34 2173 23 6.87369e+06 209608 618332. 2139.56 1.36 0.163065 0.14248 25762 151098 -1 1919 24 1085 1501 133785 29128 3.40117 3.40117 -117.767 -3.40117 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.026555 0.0229821 103 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 6.56 vpr 62.64 MiB -1 -1 0.20 17692 1 0.03 -1 -1 30544 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64144 31 32 319 272 1 176 80 17 17 289 -1 unnamed_device 24.0 MiB 2.36 973 14184 4741 7494 1949 62.6 MiB 0.13 0.00 3.76746 -124.928 -3.76746 3.76746 0.66 0.000639927 0.00059451 0.0548516 0.0509893 34 2486 19 6.87369e+06 237555 618332. 2139.56 1.40 0.176495 0.154506 25762 151098 -1 2089 19 1315 1949 148629 34679 3.2835 3.2835 -124.572 -3.2835 0 0 787024. 2723.27 0.21 0.08 0.13 -1 -1 0.21 0.0269517 0.0236439 114 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 5.93 vpr 62.86 MiB -1 -1 0.16 17816 1 0.03 -1 -1 30332 -1 -1 34 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64372 30 32 329 273 1 167 96 17 17 289 -1 unnamed_device 24.3 MiB 2.34 925 17835 5186 10054 2595 62.9 MiB 0.15 0.00 3.47005 -102.148 -3.47005 3.47005 0.65 0.000665914 0.000609058 0.0563675 0.0521647 32 2458 31 6.87369e+06 475111 586450. 2029.24 0.86 0.142315 0.125498 25474 144626 -1 1948 22 1270 2371 177844 39960 2.91726 2.91726 -101.622 -2.91726 0 0 744469. 2576.02 0.20 0.08 0.13 -1 -1 0.20 0.0272439 0.0236096 124 57 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 5.59 vpr 62.39 MiB -1 -1 0.17 17608 1 0.03 -1 -1 30332 -1 -1 35 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63888 28 32 277 229 1 156 95 17 17 289 -1 unnamed_device 23.8 MiB 1.73 875 14783 4032 9129 1622 62.4 MiB 0.12 0.00 4.05975 -105.458 -4.05975 4.05975 0.66 0.000587476 0.000546384 0.0387418 0.0356953 26 2270 25 6.87369e+06 489084 503264. 1741.40 1.14 0.111583 0.0977726 24322 120374 -1 2027 21 1268 2387 205598 45639 3.972 3.972 -115.213 -3.972 0 0 618332. 2139.56 0.17 0.09 0.11 -1 -1 0.17 0.0269178 0.0233519 117 27 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 6.20 vpr 62.61 MiB -1 -1 0.18 17796 1 0.03 -1 -1 30352 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64116 30 32 317 269 1 155 79 17 17 289 -1 unnamed_device 24.2 MiB 2.48 802 13599 4534 7471 1594 62.6 MiB 0.13 0.00 4.04073 -123.042 -4.04073 4.04073 0.65 0.000635002 0.000590506 0.0536514 0.0499018 28 2087 22 6.87369e+06 237555 531479. 1839.03 1.06 0.129459 0.114603 24610 126494 -1 1892 21 1343 2306 173766 40652 3.29986 3.29986 -123.134 -3.29986 0 0 648988. 2245.63 0.17 0.08 0.11 -1 -1 0.17 0.0256556 0.0222382 105 63 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 6.90 vpr 62.75 MiB -1 -1 0.18 17800 1 0.03 -1 -1 30120 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64260 32 32 335 282 1 189 81 17 17 289 -1 unnamed_device 24.2 MiB 2.64 1020 11806 3110 7534 1162 62.8 MiB 0.12 0.00 3.6756 -125.066 -3.6756 3.6756 0.67 0.000669003 0.000622006 0.047356 0.0440009 34 2679 22 6.87369e+06 237555 618332. 2139.56 1.54 0.176806 0.154137 25762 151098 -1 2172 18 1392 2053 173685 39227 3.20081 3.20081 -127.632 -3.20081 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0236502 0.0206149 122 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 4.32 vpr 62.70 MiB -1 -1 0.12 17232 1 0.03 -1 -1 30484 -1 -1 31 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64200 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 24.3 MiB 0.69 1014 15430 4861 8054 2515 62.7 MiB 0.14 0.00 4.6284 -133.663 -4.6284 4.6284 0.67 0.000636272 0.000590274 0.0480604 0.0445169 32 2693 29 6.87369e+06 433189 586450. 2029.24 0.96 0.130385 0.11501 25474 144626 -1 2134 19 1219 2210 164082 37394 3.5018 3.5018 -123.469 -3.5018 0 0 744469. 2576.02 0.19 0.07 0.13 -1 -1 0.19 0.0234523 0.0204448 129 4 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 7.52 vpr 62.82 MiB -1 -1 0.17 17584 1 0.03 -1 -1 30380 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64328 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 24.3 MiB 3.15 1172 16215 5632 8430 2153 62.8 MiB 0.17 0.00 4.82738 -155.303 -4.82738 4.82738 0.65 0.000708669 0.000658054 0.0618764 0.0574814 34 3239 22 6.87369e+06 321398 618332. 2139.56 1.56 0.200343 0.175775 25762 151098 -1 2741 20 1767 2644 217703 50575 4.30086 4.30086 -152.489 -4.30086 0 0 787024. 2723.27 0.21 0.09 0.13 -1 -1 0.21 0.0273558 0.0238562 147 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 8.04 vpr 63.01 MiB -1 -1 0.18 17516 1 0.03 -1 -1 30204 -1 -1 36 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64520 32 32 385 308 1 196 100 17 17 289 -1 unnamed_device 24.4 MiB 3.38 951 10308 2331 7565 412 63.0 MiB 0.11 0.00 5.39654 -155.229 -5.39654 5.39654 0.66 0.00074861 0.000695102 0.0359345 0.0332742 34 2817 24 6.87369e+06 503058 618332. 2139.56 1.89 0.184151 0.159942 25762 151098 -1 2165 23 1634 2704 199475 48470 4.14135 4.14135 -141.69 -4.14135 0 0 787024. 2723.27 0.26 0.05 0.15 -1 -1 0.26 0.0169455 0.0148928 147 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 7.11 vpr 63.11 MiB -1 -1 0.18 17772 1 0.03 -1 -1 30420 -1 -1 41 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64628 32 32 387 309 1 192 105 17 17 289 -1 unnamed_device 24.5 MiB 2.84 1114 12702 3372 8526 804 63.1 MiB 0.12 0.00 4.59844 -147.406 -4.59844 4.59844 0.65 0.000747112 0.000693857 0.0419058 0.0387428 28 3068 25 6.87369e+06 572927 531479. 1839.03 1.45 0.1359 0.119487 24610 126494 -1 2645 25 1813 3370 330481 68782 3.96 3.96 -145.051 -3.96 0 0 648988. 2245.63 0.19 0.12 0.11 -1 -1 0.19 0.034117 0.0295721 148 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 7.05 vpr 62.39 MiB -1 -1 0.18 17520 1 0.03 -1 -1 30200 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63892 30 32 272 232 1 151 79 17 17 289 -1 unnamed_device 23.9 MiB 2.22 643 13768 5811 6950 1007 62.4 MiB 0.13 0.00 4.04073 -117.599 -4.04073 4.04073 0.69 0.000586167 0.000545156 0.0569606 0.0528816 36 1975 29 6.87369e+06 237555 648988. 2245.63 2.03 0.181709 0.159143 26050 158493 -1 1437 20 958 1552 107805 26738 3.17261 3.17261 -106.943 -3.17261 0 0 828058. 2865.25 0.21 0.06 0.14 -1 -1 0.21 0.0228096 0.0198198 99 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 7.33 vpr 63.03 MiB -1 -1 0.13 17620 1 0.03 -1 -1 30384 -1 -1 22 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64544 30 32 375 299 1 188 84 17 17 289 -1 unnamed_device 24.3 MiB 3.19 958 7038 1640 4840 558 63.0 MiB 0.09 0.00 4.57902 -143.19 -4.57902 4.57902 0.65 0.00072371 0.000672736 0.0303758 0.0282351 34 2472 21 6.87369e+06 307425 618332. 2139.56 1.44 0.169024 0.146403 25762 151098 -1 1990 20 1617 2540 179225 41610 3.7651 3.7651 -137.998 -3.7651 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0285177 0.0248579 136 63 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 6.41 vpr 62.87 MiB -1 -1 0.17 17928 1 0.03 -1 -1 30260 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64380 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 24.2 MiB 2.31 1035 6615 1396 4961 258 62.9 MiB 0.08 0.00 5.21006 -150.271 -5.21006 5.21006 0.65 0.00068858 0.000640309 0.0259389 0.0241347 34 2845 24 6.87369e+06 321398 618332. 2139.56 1.56 0.162415 0.140645 25762 151098 -1 2413 22 1704 2806 223267 50806 4.44196 4.44196 -146.742 -4.44196 0 0 787024. 2723.27 0.20 0.09 0.09 -1 -1 0.20 0.0289116 0.0251662 140 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 6.64 vpr 62.90 MiB -1 -1 0.20 17668 1 0.03 -1 -1 30088 -1 -1 28 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64412 31 32 340 275 1 201 91 17 17 289 -1 unnamed_device 24.3 MiB 2.13 1178 17839 5313 10611 1915 62.9 MiB 0.18 0.00 5.241 -151.339 -5.241 5.241 0.66 0.000684256 0.000635952 0.0681972 0.0630977 36 2587 21 6.87369e+06 391268 648988. 2245.63 1.53 0.201065 0.176378 26050 158493 -1 2283 20 1514 2383 165366 37937 4.261 4.261 -144.483 -4.261 0 0 828058. 2865.25 0.29 0.08 0.19 -1 -1 0.29 0.0266269 0.0232198 141 47 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 6.07 vpr 62.75 MiB -1 -1 0.19 17624 1 0.03 -1 -1 30172 -1 -1 32 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64252 30 32 377 310 1 183 94 17 17 289 -1 unnamed_device 24.2 MiB 2.37 1032 10531 2482 6524 1525 62.7 MiB 0.10 0.00 4.69758 -143.214 -4.69758 4.69758 0.65 0.000720253 0.000669098 0.0380024 0.0352859 32 2579 23 6.87369e+06 447163 586450. 2029.24 0.89 0.124867 0.109762 25474 144626 -1 2142 21 1344 2275 193292 43208 3.4535 3.4535 -131.105 -3.4535 0 0 744469. 2576.02 0.28 0.10 0.14 -1 -1 0.28 0.0342817 0.029825 135 83 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 6.43 vpr 63.00 MiB -1 -1 0.19 17504 1 0.03 -1 -1 30236 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64508 32 32 365 294 1 187 85 17 17 289 -1 unnamed_device 24.6 MiB 2.09 1030 11245 3242 7121 882 63.0 MiB 0.12 0.00 4.79284 -145.044 -4.79284 4.79284 0.65 0.000723695 0.000672246 0.0458553 0.0425917 34 2708 22 6.87369e+06 293451 618332. 2139.56 1.53 0.185836 0.162122 25762 151098 -1 2211 24 1772 3172 252269 55262 3.84946 3.84946 -137.262 -3.84946 0 0 787024. 2723.27 0.20 0.12 0.13 -1 -1 0.20 0.0349403 0.0303102 132 57 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 6.75 vpr 62.84 MiB -1 -1 0.19 17580 1 0.03 -1 -1 30216 -1 -1 29 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64352 29 32 378 310 1 179 90 17 17 289 -1 unnamed_device 24.3 MiB 2.43 973 10944 3003 6644 1297 62.8 MiB 0.11 0.00 4.08063 -124.263 -4.08063 4.08063 0.65 0.000717785 0.000666406 0.041659 0.0387066 34 2457 23 6.87369e+06 405241 618332. 2139.56 1.43 0.181278 0.15765 25762 151098 -1 2069 23 1652 2711 206508 47762 3.11951 3.11951 -119.044 -3.11951 0 0 787024. 2723.27 0.21 0.09 0.15 -1 -1 0.21 0.0309046 0.0268249 132 85 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 4.70 vpr 62.21 MiB -1 -1 0.15 17104 1 0.02 -1 -1 30304 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63704 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 23.8 MiB 0.58 780 13906 5362 7318 1226 62.2 MiB 0.12 0.00 4.08063 -122.384 -4.08063 4.08063 0.69 0.000557704 0.000519254 0.04631 0.0430978 34 1855 45 6.87369e+06 237555 618332. 2139.56 1.33 0.174124 0.151812 25762 151098 -1 1545 20 890 1368 99226 22570 2.94401 2.94401 -106.567 -2.94401 0 0 787024. 2723.27 0.20 0.06 0.13 -1 -1 0.20 0.0216669 0.01882 96 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 7.56 vpr 62.95 MiB -1 -1 0.15 17652 1 0.03 -1 -1 30276 -1 -1 34 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64464 32 32 373 302 1 184 98 17 17 289 -1 unnamed_device 24.4 MiB 3.94 1081 9548 2182 6489 877 63.0 MiB 0.10 0.00 4.62608 -140.581 -4.62608 4.62608 0.65 0.000727549 0.000675884 0.0331655 0.0307847 28 2635 30 6.87369e+06 475111 531479. 1839.03 1.00 0.127481 0.11145 24610 126494 -1 2394 20 1652 2696 203697 47134 4.0193 4.0193 -140.548 -4.0193 0 0 648988. 2245.63 0.17 0.08 0.11 -1 -1 0.17 0.027788 0.0241862 137 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 8.18 vpr 62.82 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30440 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64332 32 32 397 314 1 197 85 17 17 289 -1 unnamed_device 24.2 MiB 3.53 1050 13477 3452 8462 1563 62.8 MiB 0.15 0.00 4.60818 -154.696 -4.60818 4.60818 0.65 0.000766788 0.000712418 0.0583738 0.0542574 34 2764 25 6.87369e+06 293451 618332. 2139.56 1.85 0.212794 0.186189 25762 151098 -1 2341 19 1705 2865 213262 48811 3.7531 3.7531 -149.559 -3.7531 0 0 787024. 2723.27 0.20 0.09 0.12 -1 -1 0.20 0.0283629 0.0247537 142 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 6.80 vpr 62.32 MiB -1 -1 0.16 17312 1 0.03 -1 -1 30052 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63820 32 32 269 231 1 170 80 17 17 289 -1 unnamed_device 23.8 MiB 2.75 803 10744 3029 6489 1226 62.3 MiB 0.10 0.00 4.47622 -122.656 -4.47622 4.47622 0.65 0.000585264 0.000545172 0.0383274 0.0356914 34 2330 21 6.87369e+06 223581 618332. 2139.56 1.42 0.15003 0.130592 25762 151098 -1 1874 19 1142 1513 111450 26852 3.4908 3.4908 -118.606 -3.4908 0 0 787024. 2723.27 0.20 0.06 0.13 -1 -1 0.20 0.0216969 0.0188603 106 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 4.10 vpr 62.23 MiB -1 -1 0.16 17104 1 0.02 -1 -1 30336 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63728 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 23.8 MiB 0.68 704 7103 1505 5021 577 62.2 MiB 0.07 0.00 4.06963 -117.109 -4.06963 4.06963 0.65 0.000556228 0.000517634 0.0238975 0.0222509 30 1825 19 6.87369e+06 279477 556674. 1926.21 0.81 0.0881519 0.0770568 25186 138497 -1 1577 16 870 1445 78907 18836 2.91301 2.91301 -105.151 -2.91301 0 0 706193. 2443.58 0.19 0.05 0.12 -1 -1 0.19 0.0181033 0.0157684 99 4 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 7.30 vpr 62.94 MiB -1 -1 0.16 17560 1 0.03 -1 -1 30420 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64448 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 24.4 MiB 2.90 1122 16407 5100 9312 1995 62.9 MiB 0.17 0.00 4.76368 -149.576 -4.76368 4.76368 0.66 0.000700696 0.000651225 0.0623149 0.0579309 34 3132 23 6.87369e+06 321398 618332. 2139.56 1.59 0.200762 0.176002 25762 151098 -1 2492 20 1868 2567 249452 54373 4.30566 4.30566 -151.517 -4.30566 0 0 787024. 2723.27 0.20 0.09 0.13 -1 -1 0.20 0.0272106 0.0237055 145 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 6.70 vpr 62.86 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30336 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64368 32 32 356 289 1 202 91 17 17 289 -1 unnamed_device 24.3 MiB 2.24 1027 10087 2665 7077 345 62.9 MiB 0.10 0.00 5.28228 -152.543 -5.28228 5.28228 0.65 0.000711893 0.000661745 0.0372453 0.0346104 36 2623 39 6.87369e+06 377294 648988. 2245.63 1.70 0.191447 0.166258 26050 158493 -1 2094 19 1245 1937 117668 28657 4.6349 4.6349 -144.976 -4.6349 0 0 828058. 2865.25 0.21 0.07 0.11 -1 -1 0.21 0.0263698 0.023041 142 56 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 4.83 vpr 63.06 MiB -1 -1 0.12 17552 1 0.03 -1 -1 30208 -1 -1 36 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64576 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 24.4 MiB 0.86 1027 19820 5642 10737 3441 63.1 MiB 0.18 0.00 5.45503 -148.146 -5.45503 5.45503 0.68 0.000722651 0.000671771 0.0642306 0.0594738 30 3056 37 6.87369e+06 503058 556674. 1926.21 1.07 0.166072 0.146744 25186 138497 -1 2126 21 1515 2796 169916 40317 4.54885 4.54885 -143.677 -4.54885 0 0 706193. 2443.58 0.18 0.08 0.12 -1 -1 0.18 0.0289723 0.0252563 157 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 5.79 vpr 62.68 MiB -1 -1 0.18 17596 1 0.03 -1 -1 30024 -1 -1 34 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 30 32 316 264 1 165 96 17 17 289 -1 unnamed_device 24.1 MiB 2.18 803 13893 3888 7187 2818 62.7 MiB 0.12 0.00 3.64131 -107.005 -3.64131 3.64131 0.65 0.000638023 0.000592753 0.0426681 0.0396489 32 2150 23 6.87369e+06 475111 586450. 2029.24 0.92 0.118766 0.104628 25474 144626 -1 1779 22 1365 2449 186602 43150 2.93196 2.93196 -102.245 -2.93196 0 0 744469. 2576.02 0.19 0.08 0.13 -1 -1 0.19 0.0264871 0.022937 119 52 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 4.60 vpr 62.35 MiB -1 -1 0.17 17256 1 0.03 -1 -1 30572 -1 -1 21 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63848 27 32 255 219 1 139 80 17 17 289 -1 unnamed_device 23.9 MiB 0.99 507 7132 1637 4881 614 62.4 MiB 0.08 0.00 3.6605 -96.1635 -3.6605 3.6605 0.66 0.000672608 0.000619985 0.0301637 0.0278275 30 1496 20 6.87369e+06 293451 556674. 1926.21 0.85 0.0961492 0.084314 25186 138497 -1 1196 17 785 1135 70779 17542 2.76101 2.76101 -92.6515 -2.76101 0 0 706193. 2443.58 0.19 0.05 0.12 -1 -1 0.19 0.018826 0.0163594 96 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 9.08 vpr 63.33 MiB -1 -1 0.20 17792 1 0.03 -1 -1 30308 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64848 32 32 421 327 1 233 88 17 17 289 -1 unnamed_device 24.7 MiB 3.43 1378 10228 2746 6595 887 63.3 MiB 0.13 0.00 4.35815 -140.01 -4.35815 4.35815 0.67 0.000814108 0.000755882 0.0470194 0.0437442 34 3944 37 6.87369e+06 335372 618332. 2139.56 2.74 0.222734 0.193685 25762 151098 -1 3174 19 1978 3279 270018 60360 4.54246 4.54246 -148.713 -4.54246 0 0 787024. 2723.27 0.26 0.09 0.16 -1 -1 0.26 0.0283344 0.0247401 165 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 8.66 vpr 63.02 MiB -1 -1 0.12 17696 1 0.03 -1 -1 30260 -1 -1 22 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64536 31 32 365 296 1 203 85 17 17 289 -1 unnamed_device 24.4 MiB 4.15 1036 15709 5736 7909 2064 63.0 MiB 0.15 0.00 5.60997 -168.26 -5.60997 5.60997 0.65 0.000720522 0.00066962 0.0627163 0.0582784 34 2870 35 6.87369e+06 307425 618332. 2139.56 1.75 0.217391 0.190323 25762 151098 -1 2240 23 2002 3041 253136 56900 4.5866 4.5866 -154.916 -4.5866 0 0 787024. 2723.27 0.20 0.10 0.13 -1 -1 0.20 0.030889 0.0268619 139 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 8.33 vpr 62.82 MiB -1 -1 0.18 17620 1 0.03 -1 -1 30464 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64328 32 32 331 280 1 185 82 17 17 289 -1 unnamed_device 24.4 MiB 3.97 954 12542 3477 7836 1229 62.8 MiB 0.12 0.00 4.34735 -144.276 -4.34735 4.34735 0.66 0.000671983 0.000624415 0.049037 0.0455403 34 2396 24 6.87369e+06 251529 618332. 2139.56 1.59 0.179621 0.156731 25762 151098 -1 1977 20 1353 1987 138164 34066 3.5981 3.5981 -140.671 -3.5981 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0253833 0.022073 118 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 4.84 vpr 62.84 MiB -1 -1 0.15 17744 1 0.03 -1 -1 30436 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64344 32 32 326 263 1 176 97 17 17 289 -1 unnamed_device 24.3 MiB 1.05 986 18523 6141 9875 2507 62.8 MiB 0.16 0.00 5.03998 -136.555 -5.03998 5.03998 0.67 0.000676903 0.000622139 0.0585535 0.0542939 28 2617 26 6.87369e+06 461137 531479. 1839.03 1.13 0.141741 0.125534 24610 126494 -1 2265 23 1411 2278 185501 41444 3.8566 3.8566 -128.643 -3.8566 0 0 648988. 2245.63 0.18 0.08 0.08 -1 -1 0.18 0.0287558 0.0249488 129 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 5.52 vpr 62.89 MiB -1 -1 0.16 17504 1 0.03 -1 -1 30268 -1 -1 34 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64404 31 32 373 294 1 197 97 17 17 289 -1 unnamed_device 24.3 MiB 1.79 1064 18301 5445 10263 2593 62.9 MiB 0.17 0.00 4.47348 -130.92 -4.47348 4.47348 0.66 0.000855909 0.000791226 0.0632522 0.0587168 32 2670 22 6.87369e+06 475111 586450. 2029.24 0.93 0.15013 0.133077 25474 144626 -1 2206 21 1472 2558 198438 45014 3.63536 3.63536 -124.973 -3.63536 0 0 744469. 2576.02 0.25 0.08 0.13 -1 -1 0.25 0.0306417 0.0267742 149 50 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 6.08 vpr 62.63 MiB -1 -1 0.19 17748 1 0.03 -1 -1 30076 -1 -1 31 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64132 30 32 325 268 1 172 93 17 17 289 -1 unnamed_device 24.2 MiB 1.85 1005 13953 3900 8706 1347 62.6 MiB 0.12 0.00 3.71604 -108.811 -3.71604 3.71604 0.66 0.000657832 0.000611762 0.046026 0.0427765 34 2309 22 6.87369e+06 433189 618332. 2139.56 1.32 0.173179 0.151055 25762 151098 -1 1950 17 1067 1860 124922 29376 2.93031 2.93031 -104.331 -2.93031 0 0 787024. 2723.27 0.29 0.06 0.15 -1 -1 0.29 0.0202431 0.0179476 124 51 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 8.19 vpr 63.01 MiB -1 -1 0.19 17576 1 0.03 -1 -1 30328 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64524 32 32 350 275 1 216 86 17 17 289 -1 unnamed_device 24.4 MiB 2.95 1158 14261 4788 7245 2228 63.0 MiB 0.15 0.00 4.84864 -152.871 -4.84864 4.84864 0.68 0.000707256 0.000657773 0.0558178 0.0518629 34 3462 28 6.87369e+06 307425 618332. 2139.56 2.48 0.204277 0.179065 25762 151098 -1 2628 23 2062 3235 287780 62306 4.17495 4.17495 -148.162 -4.17495 0 0 787024. 2723.27 0.20 0.10 0.09 -1 -1 0.20 0.0305905 0.0266098 148 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 6.69 vpr 63.06 MiB -1 -1 0.18 17636 1 0.03 -1 -1 30116 -1 -1 36 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64576 32 32 386 307 1 196 100 17 17 289 -1 unnamed_device 24.3 MiB 2.93 1138 19124 5521 11431 2172 63.1 MiB 0.17 0.00 4.13563 -138.632 -4.13563 4.13563 0.65 0.000749113 0.000694288 0.064103 0.0594699 28 2715 22 6.87369e+06 503058 531479. 1839.03 0.95 0.154799 0.137216 24610 126494 -1 2408 19 1498 2419 164089 37336 3.12431 3.12431 -127.083 -3.12431 0 0 648988. 2245.63 0.24 0.07 0.12 -1 -1 0.24 0.0255562 0.0224955 147 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 5.29 vpr 62.36 MiB -1 -1 0.17 17484 1 0.03 -1 -1 30272 -1 -1 19 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63860 29 32 269 229 1 150 80 17 17 289 -1 unnamed_device 23.9 MiB 1.69 666 12120 4433 5222 2465 62.4 MiB 0.10 0.00 3.97634 -118.279 -3.97634 3.97634 0.66 0.000573316 0.000533155 0.0422264 0.0392842 32 1713 25 6.87369e+06 265503 586450. 2029.24 0.86 0.113556 0.0998988 25474 144626 -1 1450 16 1051 1510 102810 24073 2.88196 2.88196 -105.209 -2.88196 0 0 744469. 2576.02 0.26 0.05 0.13 -1 -1 0.26 0.0189234 0.016904 101 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 5.05 vpr 62.67 MiB -1 -1 0.17 17696 1 0.03 -1 -1 30340 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64172 32 32 310 266 1 176 81 17 17 289 -1 unnamed_device 24.0 MiB 1.51 954 14256 4666 7594 1996 62.7 MiB 0.13 0.00 4.32352 -124.508 -4.32352 4.32352 0.66 0.000635929 0.000591636 0.0541921 0.0504292 30 2387 23 6.87369e+06 237555 556674. 1926.21 0.86 0.129734 0.114839 25186 138497 -1 2015 15 856 1149 82635 18383 3.26184 3.26184 -123.375 -3.26184 0 0 706193. 2443.58 0.19 0.05 0.14 -1 -1 0.19 0.0211735 0.0187259 112 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 5.73 vpr 62.87 MiB -1 -1 0.20 17692 1 0.04 -1 -1 30480 -1 -1 39 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64376 31 32 326 261 1 178 102 17 17 289 -1 unnamed_device 24.3 MiB 1.99 1008 17238 4626 10206 2406 62.9 MiB 0.14 0.00 4.58512 -131.297 -4.58512 4.58512 0.66 0.000663255 0.000616792 0.0507663 0.047156 28 2463 22 6.87369e+06 544980 531479. 1839.03 1.00 0.129492 0.114517 24610 126494 -1 2321 20 1520 2685 209113 46179 4.2616 4.2616 -136.339 -4.2616 0 0 648988. 2245.63 0.17 0.08 0.11 -1 -1 0.17 0.0257746 0.0224383 135 33 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 6.92 vpr 62.49 MiB -1 -1 0.19 17432 1 0.03 -1 -1 30280 -1 -1 19 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63992 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 23.9 MiB 2.88 766 12464 3650 7053 1761 62.5 MiB 0.11 0.00 4.72278 -121.674 -4.72278 4.72278 0.65 0.000565768 0.000526574 0.042781 0.0398247 36 2048 24 6.87369e+06 265503 648988. 2245.63 1.48 0.154577 0.134607 26050 158493 -1 1693 19 1092 1446 93186 24458 3.45685 3.45685 -110.754 -3.45685 0 0 828058. 2865.25 0.20 0.03 0.09 -1 -1 0.20 0.0117988 0.0104182 107 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 7.01 vpr 62.45 MiB -1 -1 0.18 17484 1 0.03 -1 -1 30184 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63944 32 32 278 238 1 158 79 17 17 289 -1 unnamed_device 23.9 MiB 2.94 839 11909 3234 7671 1004 62.4 MiB 0.10 0.00 4.09853 -129.483 -4.09853 4.09853 0.71 0.00059469 0.00055343 0.0440787 0.0410043 34 2157 23 6.87369e+06 209608 618332. 2139.56 1.33 0.16141 0.140935 25762 151098 -1 1820 21 1422 2436 176587 40630 2.89096 2.89096 -115.541 -2.89096 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0239307 0.0207781 101 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 6.16 vpr 62.87 MiB -1 -1 0.19 17664 1 0.03 -1 -1 30112 -1 -1 37 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64376 31 32 373 300 1 185 100 17 17 289 -1 unnamed_device 24.3 MiB 2.59 942 11236 2692 7798 746 62.9 MiB 0.12 0.00 3.93572 -125.697 -3.93572 3.93572 0.61 0.000728428 0.00067663 0.0376952 0.0349438 30 2084 23 6.87369e+06 517032 556674. 1926.21 0.86 0.124614 0.109325 25186 138497 -1 1755 22 1427 2345 123843 30179 2.85066 2.85066 -111.306 -2.85066 0 0 706193. 2443.58 0.18 0.07 0.12 -1 -1 0.18 0.0300666 0.0261177 141 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 7.09 vpr 62.39 MiB -1 -1 0.19 17316 1 0.02 -1 -1 30384 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63884 31 32 265 230 1 169 80 17 17 289 -1 unnamed_device 23.9 MiB 2.74 854 11604 2702 8073 829 62.4 MiB 0.10 0.00 3.71466 -115.66 -3.71466 3.71466 0.80 0.00057401 0.000534556 0.0404184 0.0376175 34 2121 22 6.87369e+06 237555 618332. 2139.56 1.37 0.156724 0.13683 25762 151098 -1 1793 24 1269 1863 147188 34139 3.22491 3.22491 -116.376 -3.22491 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0256835 0.0222042 105 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 6.45 vpr 62.66 MiB -1 -1 0.16 17792 1 0.03 -1 -1 30024 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64160 32 32 349 286 1 177 95 17 17 289 -1 unnamed_device 24.2 MiB 2.70 1000 15215 3699 9919 1597 62.7 MiB 0.14 0.00 3.6733 -115.913 -3.6733 3.6733 0.66 0.000763355 0.000712905 0.0513462 0.0476766 28 2463 22 6.87369e+06 433189 531479. 1839.03 1.07 0.13232 0.11696 24610 126494 -1 2134 17 1072 1687 130609 29568 3.23291 3.23291 -117.711 -3.23291 0 0 648988. 2245.63 0.18 0.06 0.12 -1 -1 0.18 0.0239284 0.0209016 129 57 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 7.08 vpr 62.89 MiB -1 -1 0.21 17692 1 0.04 -1 -1 30308 -1 -1 32 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64396 31 32 396 325 1 185 95 17 17 289 -1 unnamed_device 24.3 MiB 3.02 1013 12839 3510 8051 1278 62.9 MiB 0.13 0.00 3.7214 -127.022 -3.7214 3.7214 0.65 0.000747748 0.0006941 0.0470486 0.0436639 26 2613 33 6.87369e+06 447163 503264. 1741.40 1.24 0.151058 0.133097 24322 120374 -1 2318 22 1836 2739 240609 53381 3.49736 3.49736 -136.167 -3.49736 0 0 618332. 2139.56 0.20 0.09 0.12 -1 -1 0.20 0.0312678 0.0271512 137 91 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 6.05 vpr 62.63 MiB -1 -1 0.14 17392 1 0.03 -1 -1 30336 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64132 32 32 303 262 1 154 80 17 17 289 -1 unnamed_device 24.1 MiB 2.13 868 13324 3871 8104 1349 62.6 MiB 0.12 0.00 3.6034 -114.008 -3.6034 3.6034 0.65 0.000628902 0.000584272 0.0499794 0.0464497 34 2053 23 6.87369e+06 223581 618332. 2139.56 1.29 0.171183 0.149656 25762 151098 -1 1867 20 1096 1750 148394 33483 2.93031 2.93031 -111.865 -2.93031 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0245835 0.021442 99 57 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 5.42 vpr 62.69 MiB -1 -1 0.10 17508 1 0.03 -1 -1 30252 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64192 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 24.0 MiB 1.42 974 10050 2495 6517 1038 62.7 MiB 0.10 0.00 4.16989 -130.796 -4.16989 4.16989 0.65 0.000622397 0.000579422 0.0374502 0.0347325 34 2564 21 6.87369e+06 251529 618332. 2139.56 1.40 0.15722 0.136785 25762 151098 -1 2158 22 1584 2433 195283 44249 3.42321 3.42321 -125.2 -3.42321 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0254957 0.0221121 114 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 6.60 vpr 62.77 MiB -1 -1 0.18 17792 1 0.03 -1 -1 30204 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64272 32 32 318 257 1 197 86 17 17 289 -1 unnamed_device 24.3 MiB 2.46 1073 14072 3847 8138 2087 62.8 MiB 0.13 0.00 4.82103 -137.111 -4.82103 4.82103 0.65 0.000658005 0.00061149 0.0512259 0.047637 34 2766 23 6.87369e+06 307425 618332. 2139.56 1.37 0.179344 0.156843 25762 151098 -1 2315 22 1603 2279 167129 38418 3.85576 3.85576 -132.18 -3.85576 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0273073 0.0236984 132 30 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 6.62 vpr 62.66 MiB -1 -1 0.18 17588 1 0.03 -1 -1 30060 -1 -1 29 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64160 29 32 324 268 1 169 90 17 17 289 -1 unnamed_device 24.1 MiB 2.66 938 11346 3139 7252 955 62.7 MiB 0.10 0.00 4.10263 -113.928 -4.10263 4.10263 0.65 0.000650645 0.000605428 0.0388816 0.0361732 34 2292 21 6.87369e+06 405241 618332. 2139.56 1.27 0.16345 0.142292 25762 151098 -1 1865 18 985 1663 101328 25310 3.08831 3.08831 -105.918 -3.08831 0 0 787024. 2723.27 0.22 0.06 0.13 -1 -1 0.22 0.0248048 0.0218926 123 55 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 7.30 vpr 63.01 MiB -1 -1 0.16 17796 1 0.03 -1 -1 30500 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64520 32 32 393 312 1 215 86 17 17 289 -1 unnamed_device 24.4 MiB 2.68 1137 15773 5092 8347 2334 63.0 MiB 0.17 0.00 5.16181 -165.054 -5.16181 5.16181 0.61 0.000761672 0.000699453 0.0657963 0.0608792 34 3224 24 6.87369e+06 307425 618332. 2139.56 1.80 0.215479 0.188772 25762 151098 -1 2506 23 1972 3000 246393 55956 4.23626 4.23626 -156.047 -4.23626 0 0 787024. 2723.27 0.20 0.10 0.13 -1 -1 0.20 0.0325076 0.028233 151 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 4.54 vpr 62.39 MiB -1 -1 0.16 17172 1 0.02 -1 -1 30332 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63884 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 24.0 MiB 0.59 812 10400 2700 6281 1419 62.4 MiB 0.08 0.00 3.6213 -110.383 -3.6213 3.6213 0.65 0.000529707 0.000493883 0.033643 0.0313459 34 1771 19 6.87369e+06 237555 618332. 2139.56 1.25 0.135057 0.117531 25762 151098 -1 1572 19 861 1344 90065 21187 2.78501 2.78501 -102.459 -2.78501 0 0 787024. 2723.27 0.20 0.05 0.15 -1 -1 0.20 0.0200937 0.0174878 92 4 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 5.47 vpr 63.07 MiB -1 -1 0.17 17732 1 0.03 -1 -1 30188 -1 -1 35 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64584 32 32 412 334 1 194 99 17 17 289 -1 unnamed_device 24.4 MiB 1.75 1097 18567 5571 11084 1912 63.1 MiB 0.17 0.00 4.4584 -148.753 -4.4584 4.4584 0.65 0.00077921 0.000723582 0.0661158 0.0613613 30 2603 24 6.87369e+06 489084 556674. 1926.21 0.95 0.159798 0.141617 25186 138497 -1 2091 22 1434 2068 140013 31232 3.72316 3.72316 -139.913 -3.72316 0 0 706193. 2443.58 0.18 0.08 0.12 -1 -1 0.18 0.0319638 0.0277597 145 90 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 8.61 vpr 62.97 MiB -1 -1 0.18 17812 1 0.03 -1 -1 30124 -1 -1 16 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64480 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 24.4 MiB 4.40 823 13152 5512 7421 219 63.0 MiB 0.13 0.00 3.7595 -132.319 -3.7595 3.7595 0.67 0.000712529 0.000661501 0.0567964 0.0527397 34 2123 27 6.87369e+06 223581 618332. 2139.56 1.41 0.199899 0.174585 25762 151098 -1 1805 19 1496 2160 182254 40246 3.05731 3.05731 -125.203 -3.05731 0 0 787024. 2723.27 0.21 0.08 0.15 -1 -1 0.21 0.0262459 0.0228411 114 96 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 6.70 vpr 62.85 MiB -1 -1 0.20 17504 1 0.03 -1 -1 30260 -1 -1 32 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64360 32 32 360 293 1 182 96 17 17 289 -1 unnamed_device 24.4 MiB 2.58 1010 10827 2581 6963 1283 62.9 MiB 0.11 0.00 4.11773 -126.026 -4.11773 4.11773 0.65 0.000709222 0.000658831 0.0373689 0.0346883 34 2332 20 6.87369e+06 447163 618332. 2139.56 1.35 0.172386 0.149863 25762 151098 -1 1913 20 1166 1887 120654 28634 2.75641 2.75641 -108.206 -2.75641 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0271235 0.0235801 134 60 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 8.32 vpr 63.34 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30304 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64856 32 32 396 299 1 240 89 17 17 289 -1 unnamed_device 24.8 MiB 3.78 1280 16127 4711 8958 2458 63.3 MiB 0.18 0.00 5.89191 -180.703 -5.89191 5.89191 0.66 0.000774978 0.000719554 0.0660058 0.0613053 34 3352 23 6.87369e+06 349346 618332. 2139.56 1.63 0.219199 0.192399 25762 151098 -1 2806 23 2166 3316 300140 64406 5.0795 5.0795 -171.863 -5.0795 0 0 787024. 2723.27 0.20 0.11 0.13 -1 -1 0.20 0.0337762 0.0294658 171 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 4.55 vpr 62.34 MiB -1 -1 0.18 17484 1 0.03 -1 -1 30060 -1 -1 15 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63836 30 32 224 207 1 137 77 17 17 289 -1 unnamed_device 23.7 MiB 1.14 668 8716 2078 6018 620 62.3 MiB 0.07 0.00 3.01966 -95.583 -3.01966 3.01966 0.65 0.000506229 0.000471808 0.0290085 0.0270453 30 1784 17 6.87369e+06 209608 556674. 1926.21 0.79 0.0851605 0.0749381 25186 138497 -1 1450 18 757 999 78556 18489 2.57366 2.57366 -100.628 -2.57366 0 0 706193. 2443.58 0.21 0.05 0.13 -1 -1 0.21 0.0181625 0.0157963 81 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 4.86 vpr 62.41 MiB -1 -1 0.15 17420 1 0.03 -1 -1 30060 -1 -1 19 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63908 30 32 286 239 1 151 81 17 17 289 -1 unnamed_device 23.9 MiB 1.01 599 7081 1635 4909 537 62.4 MiB 0.07 0.00 4.05453 -121.132 -4.05453 4.05453 0.66 0.000600844 0.000558471 0.026556 0.0247438 34 1802 23 6.87369e+06 265503 618332. 2139.56 1.26 0.144147 0.124516 25762 151098 -1 1344 22 1125 1743 107136 27099 2.90001 2.90001 -110.666 -2.90001 0 0 787024. 2723.27 0.22 0.06 0.13 -1 -1 0.22 0.0252935 0.021922 105 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 4.74 vpr 62.50 MiB -1 -1 0.19 17588 1 0.03 -1 -1 29984 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64004 32 32 296 247 1 158 87 17 17 289 -1 unnamed_device 23.9 MiB 0.92 913 15639 4952 8936 1751 62.5 MiB 0.14 0.00 3.6323 -121.89 -3.6323 3.6323 0.69 0.000623994 0.000578387 0.053115 0.0493686 32 2483 25 6.87369e+06 321398 586450. 2029.24 0.91 0.131484 0.116515 25474 144626 -1 2082 23 1398 2534 242205 51921 3.19991 3.19991 -122.936 -3.19991 0 0 744469. 2576.02 0.27 0.09 0.14 -1 -1 0.27 0.0267582 0.0231624 109 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 4.14 vpr 62.39 MiB -1 -1 0.14 17428 1 0.03 -1 -1 30184 -1 -1 29 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63884 25 32 216 194 1 123 86 17 17 289 -1 unnamed_device 24.0 MiB 0.82 516 9536 2821 4714 2001 62.4 MiB 0.07 0.00 3.5473 -82.6349 -3.5473 3.5473 0.65 0.000481156 0.000447952 0.0256574 0.0238323 28 1443 23 6.87369e+06 405241 531479. 1839.03 0.79 0.0831579 0.0727516 24610 126494 -1 1276 16 742 1274 86553 21057 3.05256 3.05256 -82.6649 -3.05256 0 0 648988. 2245.63 0.20 0.05 0.11 -1 -1 0.20 0.0155973 0.0135639 87 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 7.19 vpr 63.12 MiB -1 -1 0.17 17620 1 0.03 -1 -1 30256 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64640 32 32 376 307 1 193 84 17 17 289 -1 unnamed_device 24.4 MiB 2.68 974 10332 2610 6542 1180 63.1 MiB 0.11 0.00 4.3434 -128.294 -4.3434 4.3434 0.65 0.00072797 0.000676112 0.0435381 0.0404502 34 2904 44 6.87369e+06 279477 618332. 2139.56 1.62 0.214547 0.186137 25762 151098 -1 2481 22 1620 2847 226586 52091 3.79676 3.79676 -132.011 -3.79676 0 0 787024. 2723.27 0.28 0.08 0.15 -1 -1 0.28 0.0259972 0.0228281 133 72 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 6.50 vpr 63.21 MiB -1 -1 0.21 17792 1 0.03 -1 -1 30340 -1 -1 31 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64732 31 32 409 331 1 193 94 17 17 289 -1 unnamed_device 24.6 MiB 2.70 978 9679 2433 6610 636 63.2 MiB 0.11 0.00 4.12463 -132.597 -4.12463 4.12463 0.67 0.000765817 0.000710716 0.0373798 0.0346968 28 2440 23 6.87369e+06 433189 531479. 1839.03 1.04 0.129616 0.11361 24610 126494 -1 2090 22 1828 2808 189300 45189 3.19976 3.19976 -123.169 -3.19976 0 0 648988. 2245.63 0.17 0.09 0.11 -1 -1 0.17 0.0346247 0.0300292 143 90 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 7.14 vpr 62.67 MiB -1 -1 0.15 17808 1 0.03 -1 -1 29944 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64172 32 32 354 285 1 223 88 17 17 289 -1 unnamed_device 24.1 MiB 2.65 1101 11203 3178 6921 1104 62.7 MiB 0.12 0.00 5.42457 -156.316 -5.42457 5.42457 0.65 0.000703516 0.000653738 0.0428756 0.0398131 34 2918 37 6.89349e+06 338252 618332. 2139.56 1.73 0.185176 0.161265 25762 151098 -1 2330 21 1708 2520 163764 40336 4.34515 4.34515 -149.16 -4.34515 0 0 787024. 2723.27 0.21 0.08 0.13 -1 -1 0.21 0.0285596 0.024889 149 50 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 6.15 vpr 62.77 MiB -1 -1 0.20 17664 1 0.03 -1 -1 30496 -1 -1 26 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64272 30 32 363 293 1 228 88 17 17 289 -1 unnamed_device 24.3 MiB 1.58 1174 12178 3196 7626 1356 62.8 MiB 0.13 0.00 4.90208 -149.95 -4.90208 4.90208 0.65 0.000699267 0.000648346 0.0468993 0.0436141 34 3129 45 6.89349e+06 366440 618332. 2139.56 1.66 0.210067 0.182312 25762 151098 -1 2525 20 1896 2817 195635 44278 4.54103 4.54103 -152.393 -4.54103 0 0 787024. 2723.27 0.30 0.08 0.17 -1 -1 0.30 0.0238987 0.0210372 156 63 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 6.03 vpr 62.36 MiB -1 -1 0.22 17312 1 0.03 -1 -1 30320 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63860 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 23.7 MiB 1.84 1048 13663 4160 7949 1554 62.4 MiB 0.13 0.00 4.2044 -120.612 -4.2044 4.2044 0.65 0.00062757 0.00058389 0.0483279 0.0449332 34 2461 28 6.89349e+06 295971 618332. 2139.56 1.44 0.175981 0.153507 25762 151098 -1 2068 17 1174 1629 125136 28487 3.6043 3.6043 -118.534 -3.6043 0 0 787024. 2723.27 0.20 0.06 0.14 -1 -1 0.20 0.0216731 0.0189196 125 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 5.84 vpr 62.51 MiB -1 -1 0.18 17328 1 0.03 -1 -1 30308 -1 -1 24 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64008 29 32 308 248 1 195 85 17 17 289 -1 unnamed_device 23.8 MiB 1.66 1070 14593 4351 8219 2023 62.5 MiB 0.13 0.00 4.83618 -131.951 -4.83618 4.83618 0.66 0.000638621 0.000593767 0.0519697 0.0483128 34 2512 27 6.89349e+06 338252 618332. 2139.56 1.42 0.180465 0.157608 25762 151098 -1 2085 21 1310 2112 150521 33470 3.83566 3.83566 -123.468 -3.83566 0 0 787024. 2723.27 0.21 0.08 0.10 -1 -1 0.21 0.0280844 0.024488 134 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 6.75 vpr 62.61 MiB -1 -1 0.16 17768 1 0.03 -1 -1 30180 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64116 32 32 336 268 1 212 87 17 17 289 -1 unnamed_device 24.2 MiB 1.27 1121 10839 3086 5720 2033 62.6 MiB 0.12 0.00 5.28221 -151.791 -5.28221 5.28221 0.82 0.000685174 0.000636978 0.0407297 0.0378644 36 3048 26 6.89349e+06 324158 648988. 2245.63 2.39 0.180805 0.157385 26050 158493 -1 2547 20 1919 3437 271377 58237 4.29409 4.29409 -144.18 -4.29409 0 0 828058. 2865.25 0.21 0.09 0.13 -1 -1 0.21 0.0264039 0.0229941 142 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 6.51 vpr 62.74 MiB -1 -1 0.18 17620 1 0.03 -1 -1 30224 -1 -1 33 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64248 32 32 366 295 1 231 97 17 17 289 -1 unnamed_device 24.2 MiB 1.85 1263 20077 7001 10670 2406 62.7 MiB 0.19 0.00 3.92406 -127.128 -3.92406 3.92406 0.67 0.000716678 0.000664729 0.0676892 0.0627367 34 3484 24 6.89349e+06 465097 618332. 2139.56 1.72 0.208746 0.182888 25762 151098 -1 2789 22 1642 2691 272873 55199 3.27965 3.27965 -126.713 -3.27965 0 0 787024. 2723.27 0.20 0.10 0.13 -1 -1 0.20 0.0297758 0.0258493 162 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 5.23 vpr 62.23 MiB -1 -1 0.18 17484 1 0.02 -1 -1 30736 -1 -1 21 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63724 27 32 259 221 1 160 80 17 17 289 -1 unnamed_device 23.8 MiB 1.15 795 13496 4096 7659 1741 62.2 MiB 0.11 0.00 4.14623 -113.724 -4.14623 4.14623 0.65 0.00055787 0.000519397 0.0453107 0.0421523 34 1922 21 6.89349e+06 295971 618332. 2139.56 1.33 0.15167 0.132266 25762 151098 -1 1665 19 1209 1767 145110 32540 3.09466 3.09466 -107.031 -3.09466 0 0 787024. 2723.27 0.21 0.08 0.15 -1 -1 0.21 0.0238286 0.0208541 107 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 4.33 vpr 62.25 MiB -1 -1 0.16 17248 1 0.03 -1 -1 30208 -1 -1 32 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63744 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 23.7 MiB 0.64 908 11759 3095 6971 1693 62.2 MiB 0.10 0.00 3.40307 -102.549 -3.40307 3.40307 0.65 0.000600999 0.00055741 0.0348945 0.0323508 26 2334 21 6.89349e+06 451003 503264. 1741.40 0.97 0.105496 0.0927631 24322 120374 -1 2119 20 1170 2104 171783 38298 2.69355 2.69355 -101.086 -2.69355 0 0 618332. 2139.56 0.25 0.07 0.12 -1 -1 0.25 0.0201574 0.0176525 119 4 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 5.83 vpr 62.43 MiB -1 -1 0.20 17664 1 0.03 -1 -1 30172 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63932 31 32 317 271 1 207 83 17 17 289 -1 unnamed_device 24.0 MiB 1.49 1042 10703 3845 4978 1880 62.4 MiB 0.10 0.00 3.68945 -124.167 -3.68945 3.68945 0.65 0.000502568 0.000458694 0.0333063 0.0305959 34 2732 28 6.89349e+06 281877 618332. 2139.56 1.63 0.163533 0.141127 25762 151098 -1 2160 20 1579 2113 170875 38070 2.94946 2.94946 -119.188 -2.94946 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0247934 0.0215416 130 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 6.11 vpr 62.50 MiB -1 -1 0.19 17532 1 0.03 -1 -1 30116 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64000 32 32 298 248 1 185 82 17 17 289 -1 unnamed_device 23.9 MiB 1.87 928 7914 1841 5211 862 62.5 MiB 0.09 0.00 4.05148 -133.476 -4.05148 4.05148 0.65 0.000626429 0.0005831 0.0296547 0.0276039 34 2363 46 6.89349e+06 253689 618332. 2139.56 1.52 0.172479 0.149085 25762 151098 -1 1967 18 1068 1435 118944 26570 3.2697 3.2697 -123.949 -3.2697 0 0 787024. 2723.27 0.29 0.06 0.11 -1 -1 0.29 0.0196141 0.0173377 120 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 6.29 vpr 62.74 MiB -1 -1 0.17 17548 1 0.03 -1 -1 30312 -1 -1 21 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64248 30 32 303 262 1 191 83 17 17 289 -1 unnamed_device 24.1 MiB 1.94 867 6563 1487 4637 439 62.7 MiB 0.07 0.00 4.47797 -127.666 -4.47797 4.47797 0.68 0.000622726 0.000579761 0.0245316 0.0228086 34 2468 30 6.89349e+06 295971 618332. 2139.56 1.63 0.144376 0.124726 25762 151098 -1 1954 18 1246 1652 117850 27065 3.58625 3.58625 -124.145 -3.58625 0 0 787024. 2723.27 0.20 0.06 0.16 -1 -1 0.20 0.0221159 0.0192502 124 63 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 5.71 vpr 62.41 MiB -1 -1 0.12 17372 1 0.03 -1 -1 30068 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63908 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 23.9 MiB 1.61 849 7781 1935 5506 340 62.4 MiB 0.08 0.00 3.6807 -111.961 -3.6807 3.6807 0.65 0.000596341 0.000555752 0.028677 0.0267239 34 2156 24 6.89349e+06 239595 618332. 2139.56 1.57 0.145552 0.12615 25762 151098 -1 1837 18 1097 1520 113918 26887 3.08901 3.08901 -112.434 -3.08901 0 0 787024. 2723.27 0.20 0.06 0.13 -1 -1 0.20 0.0211135 0.0183746 108 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 6.34 vpr 62.66 MiB -1 -1 0.18 17636 1 0.03 -1 -1 30280 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64168 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 24.2 MiB 1.86 1060 16407 4994 8930 2483 62.7 MiB 0.16 0.00 4.09068 -131.143 -4.09068 4.09068 0.65 0.00069443 0.000645071 0.0617162 0.0573334 34 2942 46 6.89349e+06 324158 618332. 2139.56 1.76 0.222039 0.193916 25762 151098 -1 2312 19 1654 2518 184499 42386 3.22401 3.22401 -123.401 -3.22401 0 0 787024. 2723.27 0.22 0.08 0.10 -1 -1 0.22 0.0256604 0.022359 143 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 8.65 vpr 62.73 MiB -1 -1 0.19 17560 1 0.03 -1 -1 30344 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64240 32 32 363 295 1 232 88 17 17 289 -1 unnamed_device 24.2 MiB 1.67 1222 15298 4935 8519 1844 62.7 MiB 0.16 0.00 5.57191 -161.898 -5.57191 5.57191 0.65 0.000719359 0.000667733 0.0590353 0.0548412 38 2640 21 6.89349e+06 338252 678818. 2348.85 4.08 0.303048 0.262786 26626 170182 -1 2332 20 1682 2320 155536 33971 4.52865 4.52865 -151.53 -4.52865 0 0 902133. 3121.57 0.32 0.07 0.17 -1 -1 0.32 0.0240201 0.0212002 153 61 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 5.60 vpr 62.13 MiB -1 -1 0.11 17608 1 0.03 -1 -1 30152 -1 -1 18 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63620 29 32 248 215 1 160 79 17 17 289 -1 unnamed_device 23.7 MiB 1.67 847 11909 3522 6229 2158 62.1 MiB 0.10 0.00 3.19582 -98.7926 -3.19582 3.19582 0.67 0.000551117 0.000502878 0.0410674 0.0382024 34 1978 21 6.89349e+06 253689 618332. 2139.56 1.32 0.147704 0.128619 25762 151098 -1 1713 20 983 1407 102917 23465 2.73986 2.73986 -96.8501 -2.73986 0 0 787024. 2723.27 0.20 0.06 0.13 -1 -1 0.20 0.020937 0.0181264 102 27 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 6.77 vpr 62.73 MiB -1 -1 0.19 17748 1 0.03 -1 -1 30332 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64236 32 32 370 297 1 234 88 17 17 289 -1 unnamed_device 24.2 MiB 2.13 1270 15103 4761 8086 2256 62.7 MiB 0.15 0.00 4.1162 -136.486 -4.1162 4.1162 0.67 0.000725896 0.000673632 0.0587582 0.054546 38 3035 24 6.89349e+06 338252 678818. 2348.85 1.73 0.20373 0.178389 26626 170182 -1 2635 18 1851 2943 211103 45834 3.459 3.459 -129.009 -3.459 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0260214 0.022688 159 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 6.29 vpr 62.47 MiB -1 -1 0.19 17628 1 0.03 -1 -1 30104 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63968 32 32 338 269 1 205 86 17 17 289 -1 unnamed_device 24.1 MiB 1.81 1061 15017 4935 7452 2630 62.5 MiB 0.14 0.00 4.12104 -133.123 -4.12104 4.12104 0.66 0.000685494 0.000637406 0.0566324 0.0526675 36 2514 26 6.89349e+06 310065 648988. 2245.63 1.74 0.195778 0.171547 26050 158493 -1 2201 18 1382 2001 156507 34318 3.04636 3.04636 -120.145 -3.04636 0 0 828058. 2865.25 0.21 0.07 0.14 -1 -1 0.21 0.0244904 0.0213866 142 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 6.28 vpr 62.68 MiB -1 -1 0.10 17764 1 0.03 -1 -1 30264 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64180 32 32 323 276 1 215 85 17 17 289 -1 unnamed_device 24.2 MiB 1.56 1121 14407 4796 7561 2050 62.7 MiB 0.13 0.00 3.60799 -127.319 -3.60799 3.60799 0.67 0.000659466 0.000608013 0.0479024 0.044464 36 2593 20 6.89349e+06 295971 648988. 2245.63 1.99 0.173147 0.15117 26050 158493 -1 2266 19 1560 2114 154286 34059 3.02646 3.02646 -124.23 -3.02646 0 0 828058. 2865.25 0.21 0.07 0.14 -1 -1 0.21 0.02419 0.0210643 131 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 5.17 vpr 61.99 MiB -1 -1 0.14 17528 1 0.02 -1 -1 30080 -1 -1 15 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63476 30 32 222 206 1 141 77 17 17 289 -1 unnamed_device 23.4 MiB 0.92 565 9205 3754 4929 522 62.0 MiB 0.06 0.00 2.67033 -85.3827 -2.67033 2.67033 0.69 0.000498476 0.000463788 0.0215045 0.0199447 38 1394 30 6.89349e+06 211408 678818. 2348.85 1.58 0.124729 0.10757 26626 170182 -1 1159 13 560 635 58152 13020 2.05307 2.05307 -80.887 -2.05307 0 0 902133. 3121.57 0.22 0.04 0.14 -1 -1 0.22 0.0124809 0.0110467 82 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 6.20 vpr 62.43 MiB -1 -1 0.18 17380 1 0.03 -1 -1 30132 -1 -1 19 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63924 31 32 291 243 1 179 82 17 17 289 -1 unnamed_device 23.8 MiB 1.93 986 14322 4290 8044 1988 62.4 MiB 0.13 0.00 4.76552 -144.771 -4.76552 4.76552 0.65 0.00061027 0.000567226 0.0510812 0.047517 34 2322 29 6.89349e+06 267783 618332. 2139.56 1.55 0.175352 0.153091 25762 151098 -1 2014 20 1276 1972 146102 33382 3.479 3.479 -129.696 -3.479 0 0 787024. 2723.27 0.19 0.04 0.14 -1 -1 0.19 0.0131689 0.011615 117 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 5.35 vpr 62.62 MiB -1 -1 0.13 17620 1 0.03 -1 -1 30448 -1 -1 34 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64128 32 32 342 271 1 207 98 17 17 289 -1 unnamed_device 24.1 MiB 1.01 1121 13823 3432 8585 1806 62.6 MiB 0.13 0.00 4.71322 -150.624 -4.71322 4.71322 0.65 0.000703992 0.000654566 0.0454751 0.0422874 34 2727 22 6.89349e+06 479191 618332. 2139.56 1.61 0.180478 0.157459 25762 151098 -1 2334 20 1481 2227 174544 39115 4.00824 4.00824 -143.79 -4.00824 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0286407 0.0250182 151 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 6.18 vpr 62.67 MiB -1 -1 0.15 17576 1 0.03 -1 -1 30212 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64176 32 32 372 300 1 229 87 17 17 289 -1 unnamed_device 24.1 MiB 1.60 1277 12375 3467 7863 1045 62.7 MiB 0.13 0.00 4.43295 -138.206 -4.43295 4.43295 0.66 0.000733411 0.000681355 0.0499327 0.0464196 36 3078 26 6.89349e+06 324158 648988. 2245.63 1.95 0.196784 0.171674 26050 158493 -1 2617 21 2034 3206 249894 52894 3.89554 3.89554 -137.73 -3.89554 0 0 828058. 2865.25 0.21 0.09 0.11 -1 -1 0.21 0.029181 0.0254066 155 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 6.37 vpr 61.93 MiB -1 -1 0.13 17488 1 0.02 -1 -1 30684 -1 -1 19 26 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63416 26 32 190 182 1 126 77 17 17 289 -1 unnamed_device 23.3 MiB 1.10 448 11324 4682 5071 1571 61.9 MiB 0.09 0.00 2.70371 -73.039 -2.70371 2.70371 0.65 0.000432862 0.000402272 0.0387856 0.0359205 36 1409 29 6.89349e+06 267783 648988. 2245.63 2.66 0.169057 0.146162 26050 158493 -1 1053 24 894 1077 88226 21748 2.34066 2.34066 -71.8008 -2.34066 0 0 828058. 2865.25 0.25 0.06 0.14 -1 -1 0.25 0.0191117 0.0166002 76 30 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 5.28 vpr 62.18 MiB -1 -1 0.19 17268 1 0.03 -1 -1 30232 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63668 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 23.6 MiB 1.08 989 9879 2312 6247 1320 62.2 MiB 0.10 0.00 4.42392 -127.052 -4.42392 4.42392 0.65 0.000624299 0.000580855 0.0342211 0.0318558 34 2328 22 6.89349e+06 324158 618332. 2139.56 1.46 0.153869 0.133603 25762 151098 -1 1979 21 1206 2295 156747 36159 3.50885 3.50885 -121.305 -3.50885 0 0 787024. 2723.27 0.20 0.07 0.09 -1 -1 0.20 0.0249176 0.0217245 119 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 4.08 vpr 62.08 MiB -1 -1 0.15 16984 1 0.03 -1 -1 29988 -1 -1 12 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63572 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 23.6 MiB 0.45 477 9356 3828 5185 343 62.1 MiB 0.06 0.00 2.35052 -74.7133 -2.35052 2.35052 0.70 0.000427702 0.000397013 0.0259915 0.0241266 30 1271 33 6.89349e+06 169126 556674. 1926.21 0.81 0.0842027 0.073836 25186 138497 -1 917 13 471 601 34359 9289 1.85746 1.85746 -71.2035 -1.85746 0 0 706193. 2443.58 0.19 0.03 0.12 -1 -1 0.19 0.0121125 0.0106332 65 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 5.67 vpr 62.43 MiB -1 -1 0.18 17316 1 0.03 -1 -1 29964 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63932 32 32 300 245 1 187 84 17 17 289 -1 unnamed_device 23.9 MiB 1.60 1046 9966 2582 6701 683 62.4 MiB 0.10 0.00 4.91481 -138.303 -4.91481 4.91481 0.68 0.000646567 0.000601727 0.0372364 0.0346094 34 2316 22 6.89349e+06 281877 618332. 2139.56 1.43 0.161056 0.140242 25762 151098 -1 1980 19 1004 1540 102606 24225 3.76736 3.76736 -123.228 -3.76736 0 0 787024. 2723.27 0.19 0.06 0.09 -1 -1 0.19 0.0235092 0.0204842 125 24 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 4.73 vpr 62.37 MiB -1 -1 0.15 17276 1 0.03 -1 -1 30356 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63868 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 23.8 MiB 0.85 1030 18239 5331 10544 2364 62.4 MiB 0.15 0.00 3.48935 -111.917 -3.48935 3.48935 0.70 0.000636812 0.000592023 0.0558729 0.0518759 30 2299 29 6.89349e+06 436909 556674. 1926.21 0.91 0.138974 0.123132 25186 138497 -1 1943 18 1002 1825 117062 25649 2.62651 2.62651 -101.154 -2.62651 0 0 706193. 2443.58 0.19 0.06 0.12 -1 -1 0.19 0.0225352 0.0196506 130 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 6.56 vpr 62.82 MiB -1 -1 0.19 17796 1 0.03 -1 -1 30188 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64328 32 32 338 277 1 215 87 17 17 289 -1 unnamed_device 24.4 MiB 1.94 1031 15255 4057 8092 3106 62.8 MiB 0.14 0.00 4.82008 -133.501 -4.82008 4.82008 0.65 0.000676593 0.000628754 0.0560403 0.0520692 34 2929 28 6.89349e+06 324158 618332. 2139.56 1.83 0.19384 0.169328 25762 151098 -1 2406 20 1641 2502 180517 44433 4.15846 4.15846 -138.48 -4.15846 0 0 787024. 2723.27 0.22 0.08 0.15 -1 -1 0.22 0.026278 0.0228992 142 50 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 5.90 vpr 62.37 MiB -1 -1 0.18 17372 1 0.03 -1 -1 30168 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63864 32 32 284 241 1 177 81 17 17 289 -1 unnamed_device 23.9 MiB 1.56 1042 13556 4378 7182 1996 62.4 MiB 0.12 0.00 3.7536 -126.104 -3.7536 3.7536 0.90 0.000603354 0.000561434 0.0485359 0.0450397 34 2426 26 6.89349e+06 239595 618332. 2139.56 1.39 0.169904 0.148147 25762 151098 -1 2062 21 1231 1775 140537 30780 3.10151 3.10151 -121.28 -3.10151 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.024252 0.0210288 112 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 5.92 vpr 62.17 MiB -1 -1 0.18 17252 1 0.03 -1 -1 30556 -1 -1 17 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63660 30 32 262 227 1 161 79 17 17 289 -1 unnamed_device 23.7 MiB 1.71 868 13092 4092 7012 1988 62.2 MiB 0.12 0.00 4.03552 -117.607 -4.03552 4.03552 0.67 0.000566446 0.000527084 0.0470642 0.0437448 34 2273 22 6.89349e+06 239595 618332. 2139.56 1.53 0.157721 0.137712 25762 151098 -1 1875 20 958 1604 132449 28430 3.37775 3.37775 -111.98 -3.37775 0 0 787024. 2723.27 0.20 0.06 0.13 -1 -1 0.20 0.021868 0.0189562 104 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 5.32 vpr 62.28 MiB -1 -1 0.19 17508 1 0.03 -1 -1 30056 -1 -1 20 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63772 28 32 260 223 1 163 80 17 17 289 -1 unnamed_device 23.8 MiB 1.52 757 6960 1669 4834 457 62.3 MiB 0.07 0.00 4.17394 -114.526 -4.17394 4.17394 0.66 0.000555749 0.000517388 0.0242901 0.0226192 30 2142 28 6.89349e+06 281877 556674. 1926.21 0.96 0.0959583 0.0836313 25186 138497 -1 1666 20 1033 1810 114421 26114 3.22555 3.22555 -108.797 -3.22555 0 0 706193. 2443.58 0.28 0.06 0.14 -1 -1 0.28 0.0196366 0.0172792 107 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 4.14 vpr 62.21 MiB -1 -1 0.17 17100 1 0.03 -1 -1 30308 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63708 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 23.8 MiB 0.54 835 13031 3792 7568 1671 62.2 MiB 0.11 0.00 3.90738 -121.629 -3.90738 3.90738 0.66 0.000568636 0.000528414 0.0441255 0.0410241 32 2291 36 6.89349e+06 239595 586450. 2029.24 0.95 0.124017 0.109152 25474 144626 -1 1971 20 1241 2047 177578 39376 2.97946 2.97946 -115.239 -2.97946 0 0 744469. 2576.02 0.19 0.07 0.13 -1 -1 0.19 0.0217116 0.0188556 101 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 4.88 vpr 62.38 MiB -1 -1 0.14 17376 1 0.03 -1 -1 30088 -1 -1 18 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63872 31 32 271 231 1 172 81 17 17 289 -1 unnamed_device 23.8 MiB 1.38 912 9006 2499 5943 564 62.4 MiB 0.09 0.00 3.63671 -112.55 -3.63671 3.63671 0.65 0.000584486 0.000544139 0.0317455 0.0295383 30 2196 23 6.89349e+06 253689 556674. 1926.21 0.83 0.102193 0.0896716 25186 138497 -1 1882 16 885 1325 85929 19971 2.81636 2.81636 -109.416 -2.81636 0 0 706193. 2443.58 0.20 0.05 0.13 -1 -1 0.20 0.0193762 0.0169342 108 30 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 6.09 vpr 62.35 MiB -1 -1 0.19 17484 1 0.05 -1 -1 30516 -1 -1 22 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63844 29 32 291 250 1 185 83 17 17 289 -1 unnamed_device 23.7 MiB 1.63 982 10163 2807 6505 851 62.3 MiB 0.09 0.00 3.48715 -105.954 -3.48715 3.48715 0.66 0.000622534 0.000565929 0.0356587 0.0331459 36 2114 24 6.89349e+06 310065 648988. 2245.63 1.74 0.154484 0.133878 26050 158493 -1 1893 17 1056 1446 109106 24335 2.53636 2.53636 -101.077 -2.53636 0 0 828058. 2865.25 0.21 0.06 0.14 -1 -1 0.21 0.0219433 0.0190678 120 54 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 5.85 vpr 62.85 MiB -1 -1 0.19 17636 1 0.02 -1 -1 30316 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64356 32 32 367 282 1 224 89 17 17 289 -1 unnamed_device 24.4 MiB 1.50 1339 15137 4138 9246 1753 62.8 MiB 0.16 0.00 4.47915 -132.321 -4.47915 4.47915 0.66 0.000881138 0.000826533 0.0589272 0.0546705 34 2963 23 6.89349e+06 352346 618332. 2139.56 1.52 0.207562 0.181805 25762 151098 -1 2512 21 1427 2365 176883 38523 3.84576 3.84576 -128.825 -3.84576 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0298263 0.0260043 159 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 6.47 vpr 63.25 MiB -1 -1 0.19 17664 1 0.03 -1 -1 30232 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64772 32 32 391 311 1 250 88 17 17 289 -1 unnamed_device 24.6 MiB 1.89 1289 13933 4065 8243 1625 63.3 MiB 0.15 0.00 4.58977 -156.464 -4.58977 4.58977 0.65 0.000755839 0.000701841 0.0569344 0.0529229 36 2961 23 6.89349e+06 338252 648988. 2245.63 1.78 0.204283 0.178489 26050 158493 -1 2768 19 2118 2977 227249 49577 3.80435 3.80435 -151.02 -3.80435 0 0 828058. 2865.25 0.21 0.09 0.14 -1 -1 0.21 0.0282293 0.0246699 168 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 5.49 vpr 62.32 MiB -1 -1 0.18 17252 1 0.03 -1 -1 30068 -1 -1 18 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63820 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 23.9 MiB 1.12 765 12506 3068 7484 1954 62.3 MiB 0.11 0.00 3.98848 -116.551 -3.98848 3.98848 0.66 0.000597707 0.00055611 0.0443381 0.0412668 36 1999 20 6.89349e+06 253689 648988. 2245.63 1.67 0.163945 0.143383 26050 158493 -1 1708 21 1218 1874 142062 32163 3.20796 3.20796 -111.26 -3.20796 0 0 828058. 2865.25 0.21 0.07 0.14 -1 -1 0.21 0.0244161 0.0213613 109 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 6.51 vpr 62.78 MiB -1 -1 0.20 17772 1 0.03 -1 -1 30328 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64284 31 32 370 297 1 235 88 17 17 289 -1 unnamed_device 24.3 MiB 2.05 1249 10813 2744 7185 884 62.8 MiB 0.12 0.00 4.24063 -135.696 -4.24063 4.24063 0.65 0.000730478 0.000679095 0.0429327 0.0399204 34 3153 26 6.89349e+06 352346 618332. 2139.56 1.67 0.175526 0.153161 25762 151098 -1 2661 18 1662 2449 211819 44945 3.88885 3.88885 -140.681 -3.88885 0 0 787024. 2723.27 0.24 0.09 0.13 -1 -1 0.24 0.0268211 0.0237983 160 61 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 7.88 vpr 62.83 MiB -1 -1 0.20 17796 1 0.03 -1 -1 30328 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64340 31 32 377 302 1 241 88 17 17 289 -1 unnamed_device 24.3 MiB 2.50 1247 16273 5220 8383 2670 62.8 MiB 0.17 0.00 5.45989 -162.138 -5.45989 5.45989 0.65 0.000735533 0.000683498 0.0638096 0.0592792 36 3304 23 6.89349e+06 352346 648988. 2245.63 2.36 0.213201 0.187346 26050 158493 -1 2788 22 2139 3182 279459 58032 4.86768 4.86768 -161.55 -4.86768 0 0 828058. 2865.25 0.21 0.10 0.15 -1 -1 0.21 0.0310153 0.0270578 163 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 7.91 vpr 62.85 MiB -1 -1 0.20 17748 1 0.02 -1 -1 30448 -1 -1 25 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64356 31 32 383 305 1 240 88 17 17 289 -1 unnamed_device 24.3 MiB 1.98 1201 15298 5197 6778 3323 62.8 MiB 0.15 0.00 5.99918 -171.098 -5.99918 5.99918 0.67 0.000752288 0.000698688 0.0607215 0.0564133 36 3723 40 6.89349e+06 352346 648988. 2245.63 3.02 0.225175 0.196806 26050 158493 -1 2576 21 1820 2714 210431 54047 5.27384 5.27384 -170.652 -5.27384 0 0 828058. 2865.25 0.23 0.06 0.15 -1 -1 0.23 0.0203723 0.0179977 166 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 6.73 vpr 62.62 MiB -1 -1 0.20 17516 1 0.03 -1 -1 30464 -1 -1 24 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64124 31 32 352 285 1 223 87 17 17 289 -1 unnamed_device 24.2 MiB 1.79 1173 16983 5747 8489 2747 62.6 MiB 0.19 0.00 4.05378 -126.496 -4.05378 4.05378 0.71 0.000705899 0.000655663 0.0657423 0.0610323 36 2746 23 6.89349e+06 338252 648988. 2245.63 1.86 0.205379 0.180162 26050 158493 -1 2384 20 1786 2593 194371 42478 3.12356 3.12356 -117.448 -3.12356 0 0 828058. 2865.25 0.26 0.08 0.15 -1 -1 0.26 0.0270709 0.0235754 148 55 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 6.04 vpr 62.52 MiB -1 -1 0.20 17320 1 0.03 -1 -1 30240 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64020 32 32 291 242 1 188 84 17 17 289 -1 unnamed_device 23.9 MiB 1.66 909 14358 5137 7007 2214 62.5 MiB 0.13 0.00 4.5826 -118.27 -4.5826 4.5826 0.67 0.000622198 0.000579246 0.0507444 0.0472351 34 2771 28 6.89349e+06 281877 618332. 2139.56 1.60 0.176236 0.153983 25762 151098 -1 2020 19 1141 1651 146263 32423 3.57426 3.57426 -114.046 -3.57426 0 0 787024. 2723.27 0.20 0.07 0.15 -1 -1 0.20 0.0229842 0.0199981 120 27 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 7.46 vpr 63.20 MiB -1 -1 0.21 18164 1 0.03 -1 -1 30340 -1 -1 31 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64720 32 32 457 356 1 296 95 17 17 289 -1 unnamed_device 24.6 MiB 1.99 1620 14567 4267 9425 875 63.2 MiB 0.17 0.00 5.31355 -171.75 -5.31355 5.31355 0.65 0.000861389 0.000800099 0.0610395 0.0566792 36 4099 33 6.89349e+06 436909 648988. 2245.63 2.60 0.244277 0.212765 26050 158493 -1 3468 24 2609 3895 304456 64358 4.55769 4.55769 -169.039 -4.55769 0 0 828058. 2865.25 0.21 0.12 0.09 -1 -1 0.21 0.0389126 0.0337872 203 87 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 5.61 vpr 62.21 MiB -1 -1 0.09 17312 1 0.03 -1 -1 30144 -1 -1 18 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63708 31 32 261 225 1 171 81 17 17 289 -1 unnamed_device 23.7 MiB 1.50 935 8481 2198 5465 818 62.2 MiB 0.08 0.00 3.78206 -112.802 -3.78206 3.78206 0.70 0.000565692 0.00052637 0.0295975 0.0275439 36 2118 26 6.89349e+06 253689 648988. 2245.63 1.39 0.143912 0.124657 26050 158493 -1 1959 17 1073 1447 108695 23866 3.15881 3.15881 -112.939 -3.15881 0 0 828058. 2865.25 0.21 0.05 0.15 -1 -1 0.21 0.0193403 0.016848 106 28 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 5.43 vpr 62.46 MiB -1 -1 0.19 17664 1 0.03 -1 -1 30108 -1 -1 23 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63960 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 24.1 MiB 1.41 1159 12749 3392 7336 2021 62.5 MiB 0.13 0.00 4.75882 -144.088 -4.75882 4.75882 0.65 0.0006841 0.000636181 0.0484093 0.0449988 30 3158 41 6.89349e+06 324158 556674. 1926.21 1.12 0.150571 0.132507 25186 138497 -1 2457 20 1461 2296 169002 35554 3.7423 3.7423 -131.29 -3.7423 0 0 706193. 2443.58 0.28 0.08 0.14 -1 -1 0.28 0.0267803 0.0233793 140 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 7.13 vpr 62.64 MiB -1 -1 0.20 17776 1 0.03 -1 -1 30332 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64144 32 32 349 284 1 222 87 17 17 289 -1 unnamed_device 24.1 MiB 1.97 1195 16023 5389 8481 2153 62.6 MiB 0.16 0.00 4.23925 -128.06 -4.23925 4.23925 0.65 0.000699252 0.00064952 0.0603092 0.0560185 36 2964 25 6.89349e+06 324158 648988. 2245.63 2.29 0.193814 0.170338 26050 158493 -1 2426 20 1358 2187 165444 36651 3.58905 3.58905 -124.791 -3.58905 0 0 828058. 2865.25 0.21 0.08 0.14 -1 -1 0.21 0.0285062 0.0247967 149 53 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 4.15 vpr 62.38 MiB -1 -1 0.14 17132 1 0.03 -1 -1 30056 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63880 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 23.8 MiB 0.52 1056 13758 4414 7436 1908 62.4 MiB 0.13 0.00 4.26729 -130.845 -4.26729 4.26729 0.65 0.000759942 0.000706296 0.0451244 0.041893 30 2527 28 6.89349e+06 366440 556674. 1926.21 0.98 0.125037 0.110259 25186 138497 -1 2219 22 1208 2191 168479 35056 3.595 3.595 -126.769 -3.595 0 0 706193. 2443.58 0.18 0.07 0.12 -1 -1 0.18 0.0259274 0.0225102 123 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 6.12 vpr 62.56 MiB -1 -1 0.21 17928 1 0.03 -1 -1 30448 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64064 32 32 353 287 1 220 87 17 17 289 -1 unnamed_device 24.1 MiB 1.56 1207 10455 2579 6753 1123 62.6 MiB 0.12 0.00 4.44301 -131.225 -4.44301 4.44301 0.66 0.000696678 0.000646931 0.0401266 0.037245 36 2616 21 6.89349e+06 324158 648988. 2245.63 1.66 0.175393 0.152648 26050 158493 -1 2300 19 1441 2046 153148 33477 3.18886 3.18886 -120.986 -3.18886 0 0 828058. 2865.25 0.31 0.07 0.15 -1 -1 0.31 0.0225728 0.019852 148 55 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 6.53 vpr 62.77 MiB -1 -1 0.21 17792 1 0.03 -1 -1 30288 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64272 32 32 361 291 1 233 88 17 17 289 -1 unnamed_device 24.3 MiB 1.82 1187 14518 4859 6825 2834 62.8 MiB 0.14 0.00 4.27293 -132.833 -4.27293 4.27293 0.65 0.000714279 0.000663839 0.0555296 0.0515894 34 3445 26 6.89349e+06 338252 618332. 2139.56 1.87 0.198791 0.173767 25762 151098 -1 2538 19 1645 2465 186566 42784 3.4704 3.4704 -126.55 -3.4704 0 0 787024. 2723.27 0.20 0.10 0.13 -1 -1 0.20 0.030611 0.0267565 154 55 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 6.26 vpr 62.82 MiB -1 -1 0.13 17852 1 0.03 -1 -1 30216 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64328 32 32 382 305 1 243 90 17 17 289 -1 unnamed_device 24.2 MiB 1.64 1246 9336 2230 6513 593 62.8 MiB 0.11 0.00 4.12904 -136.238 -4.12904 4.12904 0.66 0.000742829 0.00069026 0.0367337 0.0340914 34 3265 27 6.89349e+06 366440 618332. 2139.56 1.84 0.184304 0.160142 25762 151098 -1 2627 24 1955 2681 199538 45676 3.39606 3.39606 -133.734 -3.39606 0 0 787024. 2723.27 0.20 0.09 0.15 -1 -1 0.20 0.032895 0.0285655 164 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 5.62 vpr 62.70 MiB -1 -1 0.15 17340 1 0.03 -1 -1 30240 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64208 32 32 306 248 1 188 85 17 17 289 -1 unnamed_device 24.1 MiB 1.44 1001 8641 2091 5830 720 62.7 MiB 0.09 0.00 4.50695 -131.282 -4.50695 4.50695 0.65 0.000639167 0.000594192 0.0316932 0.0294843 34 2625 20 6.89349e+06 295971 618332. 2139.56 1.49 0.154661 0.134418 25762 151098 -1 2079 21 1304 2062 140160 33197 3.71836 3.71836 -125.299 -3.71836 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0256119 0.0222671 128 24 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 5.79 vpr 62.75 MiB -1 -1 0.16 17868 1 0.03 -1 -1 30392 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64252 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 24.1 MiB 1.50 1119 14450 4565 7826 2059 62.7 MiB 0.14 0.00 4.84598 -139.753 -4.84598 4.84598 0.65 0.00065817 0.00061159 0.0518757 0.0481704 34 2751 32 6.89349e+06 310065 618332. 2139.56 1.57 0.190171 0.165955 25762 151098 -1 2320 19 1445 2039 150966 33994 3.94096 3.94096 -133.357 -3.94096 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0246131 0.021197 135 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 5.75 vpr 62.77 MiB -1 -1 0.17 17868 1 0.03 -1 -1 30332 -1 -1 24 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64280 31 32 373 299 1 227 87 17 17 289 -1 unnamed_device 24.3 MiB 1.21 1292 15447 4870 8199 2378 62.8 MiB 0.16 0.00 4.72898 -145.597 -4.72898 4.72898 0.65 0.000730035 0.000678482 0.0615063 0.0571967 34 3305 38 6.89349e+06 338252 618332. 2139.56 1.71 0.222068 0.194336 25762 151098 -1 2664 22 1683 2685 217728 46549 4.1093 4.1093 -142.399 -4.1093 0 0 787024. 2723.27 0.20 0.09 0.13 -1 -1 0.20 0.029955 0.0259981 156 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 7.37 vpr 62.71 MiB -1 -1 0.21 17796 1 0.03 -1 -1 30400 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64212 32 32 387 315 1 249 89 17 17 289 -1 unnamed_device 24.1 MiB 2.23 1374 13553 4031 8630 892 62.7 MiB 0.14 0.00 4.3848 -136.299 -4.3848 4.3848 0.66 0.000744065 0.000691095 0.0530732 0.0492688 36 3546 21 6.89349e+06 352346 648988. 2245.63 2.21 0.179905 0.157565 26050 158493 -1 3131 35 2877 4359 347677 74272 3.85536 3.85536 -136.421 -3.85536 0 0 828058. 2865.25 0.21 0.13 0.16 -1 -1 0.21 0.0450667 0.0389105 166 77 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 5.71 vpr 62.11 MiB -1 -1 0.18 17464 1 0.03 -1 -1 30092 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63596 32 32 251 219 1 156 79 17 17 289 -1 unnamed_device 23.7 MiB 1.54 841 8022 2262 5194 566 62.1 MiB 0.08 0.00 3.56029 -109.346 -3.56029 3.56029 0.65 0.000630671 0.000591095 0.029097 0.0270966 36 1876 16 6.89349e+06 211408 648988. 2245.63 1.47 0.133287 0.115722 26050 158493 -1 1781 20 889 1394 102255 23750 2.58651 2.58651 -99.1772 -2.58651 0 0 828058. 2865.25 0.21 0.06 0.14 -1 -1 0.21 0.0217362 0.0188758 96 23 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 6.14 vpr 62.58 MiB -1 -1 0.18 17852 1 0.03 -1 -1 30476 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64080 32 32 341 285 1 219 84 17 17 289 -1 unnamed_device 24.1 MiB 1.24 1155 11979 3435 7133 1411 62.6 MiB 0.12 0.00 4.30741 -149.256 -4.30741 4.30741 0.69 0.000679725 0.000631405 0.0480247 0.044678 34 2804 26 6.89349e+06 281877 618332. 2139.56 1.97 0.189656 0.165323 25762 151098 -1 2340 20 1904 2594 200265 44004 3.6786 3.6786 -144.817 -3.6786 0 0 787024. 2723.27 0.21 0.09 0.15 -1 -1 0.21 0.0276525 0.0240545 138 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 6.43 vpr 63.05 MiB -1 -1 0.13 17692 1 0.03 -1 -1 30380 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64564 32 32 387 293 1 237 89 17 17 289 -1 unnamed_device 24.5 MiB 1.61 1337 12167 3186 7820 1161 63.1 MiB 0.14 0.00 5.53202 -162.159 -5.53202 5.53202 0.66 0.000761592 0.000707264 0.0492657 0.0457687 34 3534 45 6.89349e+06 352346 618332. 2139.56 2.01 0.224641 0.19543 25762 151098 -1 2896 34 2519 3992 292876 64073 4.7323 4.7323 -160.064 -4.7323 0 0 787024. 2723.27 0.20 0.12 0.13 -1 -1 0.20 0.0451283 0.0389756 168 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 6.89 vpr 62.62 MiB -1 -1 0.19 17636 1 0.03 -1 -1 30368 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64120 32 32 340 270 1 212 86 17 17 289 -1 unnamed_device 24.1 MiB 1.65 981 15773 5650 7566 2557 62.6 MiB 0.15 0.00 4.48922 -138.529 -4.48922 4.48922 0.65 0.000694952 0.000645961 0.0599876 0.0557683 36 2706 29 6.89349e+06 310065 648988. 2245.63 2.42 0.203539 0.178095 26050 158493 -1 2148 21 1650 2368 177031 41003 3.31991 3.31991 -126.449 -3.31991 0 0 828058. 2865.25 0.21 0.10 0.14 -1 -1 0.21 0.0324122 0.0284618 144 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 5.76 vpr 62.32 MiB -1 -1 0.17 17512 1 0.03 -1 -1 30284 -1 -1 27 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63820 30 32 278 235 1 175 89 17 17 289 -1 unnamed_device 23.8 MiB 1.40 892 10781 3144 6961 676 62.3 MiB 0.10 0.00 4.18863 -126.692 -4.18863 4.18863 0.66 0.000588288 0.000547643 0.0343424 0.0319841 36 2083 22 6.89349e+06 380534 648988. 2245.63 1.62 0.148935 0.128989 26050 158493 -1 1865 22 1178 1877 144664 32149 3.40385 3.40385 -122.331 -3.40385 0 0 828058. 2865.25 0.21 0.07 0.13 -1 -1 0.21 0.0244192 0.0211273 118 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 8.76 vpr 63.25 MiB -1 -1 0.21 18164 1 0.03 -1 -1 30316 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64768 32 32 431 332 1 270 91 17 17 289 -1 unnamed_device 24.5 MiB 2.89 1573 16207 5526 8946 1735 63.2 MiB 0.19 0.00 6.36902 -185.345 -6.36902 6.36902 0.65 0.0008441 0.000784982 0.0697633 0.0648762 34 4174 50 6.89349e+06 380534 618332. 2139.56 2.95 0.270188 0.235895 25762 151098 -1 3178 20 2450 3885 284990 62516 5.14154 5.14154 -178.922 -5.14154 0 0 787024. 2723.27 0.20 0.11 0.13 -1 -1 0.20 0.0329794 0.028781 188 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 5.45 vpr 62.57 MiB -1 -1 0.19 17852 1 0.03 -1 -1 30364 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64068 32 32 336 268 1 205 85 17 17 289 -1 unnamed_device 23.9 MiB 1.29 1035 15151 5099 7891 2161 62.6 MiB 0.16 0.00 4.71732 -144.131 -4.71732 4.71732 0.65 0.000816668 0.000759257 0.0631218 0.0586663 34 2637 24 6.89349e+06 295971 618332. 2139.56 1.44 0.199536 0.174992 25762 151098 -1 2128 21 1700 2398 160101 36685 3.8815 3.8815 -138.492 -3.8815 0 0 787024. 2723.27 0.20 0.08 0.09 -1 -1 0.20 0.0275282 0.0239754 139 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 4.30 vpr 62.04 MiB -1 -1 0.18 17228 1 0.02 -1 -1 30528 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63532 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 23.4 MiB 0.52 851 9838 2581 6658 599 62.0 MiB 0.08 0.00 3.70876 -106.292 -3.70876 3.70876 0.66 0.000538886 0.000501967 0.0286447 0.0266303 26 2030 30 6.89349e+06 338252 503264. 1741.40 1.19 0.100742 0.0880443 24322 120374 -1 1868 17 917 1511 170021 48717 2.84421 2.84421 -106.234 -2.84421 0 0 618332. 2139.56 0.16 0.07 0.11 -1 -1 0.16 0.0182019 0.0158209 94 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 6.91 vpr 62.65 MiB -1 -1 0.16 17848 1 0.03 -1 -1 30040 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64152 32 32 349 273 1 214 87 17 17 289 -1 unnamed_device 24.2 MiB 1.79 1097 14679 5479 6928 2272 62.6 MiB 0.14 0.00 5.34057 -137.648 -5.34057 5.34057 0.72 0.000722769 0.000664692 0.0571673 0.0531489 34 3407 33 6.89349e+06 324158 618332. 2139.56 2.29 0.182125 0.160275 25762 151098 -1 2311 21 1355 2353 209044 46222 4.38625 4.38625 -135.864 -4.38625 0 0 787024. 2723.27 0.22 0.08 0.13 -1 -1 0.22 0.0281074 0.0244491 149 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 4.56 vpr 62.09 MiB -1 -1 0.18 17276 1 0.03 -1 -1 30140 -1 -1 19 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63576 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 23.4 MiB 0.59 790 8543 2077 5745 721 62.1 MiB 0.08 0.00 3.60525 -112.744 -3.60525 3.60525 0.66 0.000560077 0.000521605 0.028229 0.0262562 34 2095 22 6.89349e+06 267783 618332. 2139.56 1.30 0.137602 0.119126 25762 151098 -1 1917 20 1208 2137 152743 35026 2.88036 2.88036 -109.901 -2.88036 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0213992 0.0185663 98 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 5.42 vpr 62.27 MiB -1 -1 0.19 17484 1 0.03 -1 -1 30292 -1 -1 20 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63764 30 32 278 235 1 175 82 17 17 289 -1 unnamed_device 23.8 MiB 1.23 900 11118 2938 7199 981 62.3 MiB 0.12 0.00 4.05078 -116.815 -4.05078 4.05078 0.65 0.000596531 0.000554933 0.0396329 0.0368797 34 2168 28 6.89349e+06 281877 618332. 2139.56 1.46 0.160484 0.139523 25762 151098 -1 1812 20 1256 1803 152268 33469 3.11246 3.11246 -112.985 -3.11246 0 0 787024. 2723.27 0.22 0.07 0.15 -1 -1 0.22 0.0228544 0.0198338 113 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 7.34 vpr 62.71 MiB -1 -1 0.17 17504 1 0.03 -1 -1 30416 -1 -1 26 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64216 29 32 355 287 1 224 87 17 17 289 -1 unnamed_device 24.2 MiB 2.92 1189 14871 4290 8850 1731 62.7 MiB 0.15 0.00 4.52181 -133.377 -4.52181 4.52181 0.68 0.000699047 0.000649428 0.0563902 0.0523754 34 2841 25 6.89349e+06 366440 618332. 2139.56 1.60 0.195914 0.170907 25762 151098 -1 2250 19 1473 2119 135906 32124 3.54234 3.54234 -126.145 -3.54234 0 0 787024. 2723.27 0.22 0.07 0.13 -1 -1 0.22 0.0258226 0.0224962 154 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 6.66 vpr 62.83 MiB -1 -1 0.18 17624 1 0.03 -1 -1 30324 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64336 32 32 358 289 1 230 86 17 17 289 -1 unnamed_device 24.3 MiB 1.86 1209 16340 4806 9520 2014 62.8 MiB 0.14 0.00 5.15268 -160.098 -5.15268 5.15268 0.74 0.000316906 0.000291424 0.046447 0.0426231 36 2942 21 6.89349e+06 310065 648988. 2245.63 1.91 0.181879 0.158315 26050 158493 -1 2466 20 1667 2432 179214 39064 4.17665 4.17665 -150.568 -4.17665 0 0 828058. 2865.25 0.21 0.08 0.14 -1 -1 0.21 0.0275497 0.0240165 151 54 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 6.58 vpr 62.53 MiB -1 -1 0.19 17692 1 0.03 -1 -1 30220 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64032 32 32 353 285 1 228 87 17 17 289 -1 unnamed_device 24.1 MiB 1.44 1151 8919 1954 6160 805 62.5 MiB 0.11 0.00 5.44797 -153.538 -5.44797 5.44797 0.64 0.000706865 0.000656903 0.0355928 0.0331049 36 2961 43 6.89349e+06 324158 648988. 2245.63 2.19 0.194433 0.168581 26050 158493 -1 2536 20 1772 2694 216458 46150 4.81329 4.81329 -151.9 -4.81329 0 0 828058. 2865.25 0.21 0.08 0.14 -1 -1 0.21 0.0272069 0.0237179 150 51 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 5.71 vpr 62.28 MiB -1 -1 0.17 17380 1 0.03 -1 -1 30288 -1 -1 15 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63772 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 23.8 MiB 1.48 948 12416 4023 6894 1499 62.3 MiB 0.12 0.00 4.44301 -126.97 -4.44301 4.44301 0.65 0.000592833 0.000551194 0.0459684 0.0428103 34 2281 31 6.89349e+06 211408 618332. 2139.56 1.55 0.168743 0.147249 25762 151098 -1 1975 18 938 1316 114947 24563 3.09196 3.09196 -114.975 -3.09196 0 0 787024. 2723.27 0.21 0.06 0.12 -1 -1 0.21 0.0211544 0.0184006 105 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 5.83 vpr 62.44 MiB -1 -1 0.20 17504 1 0.03 -1 -1 30460 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63940 31 32 319 272 1 203 83 17 17 289 -1 unnamed_device 23.8 MiB 1.54 1059 14483 4851 7224 2408 62.4 MiB 0.16 0.00 3.67535 -124.181 -3.67535 3.67535 0.65 0.00064351 0.00059763 0.0640229 0.0593976 34 2699 22 6.89349e+06 281877 618332. 2139.56 1.47 0.190751 0.167396 25762 151098 -1 2149 19 1458 2031 146792 32793 3.23845 3.23845 -122.463 -3.23845 0 0 787024. 2723.27 0.21 0.07 0.15 -1 -1 0.21 0.0241561 0.0210635 131 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 6.36 vpr 62.52 MiB -1 -1 0.18 17584 1 0.03 -1 -1 30280 -1 -1 26 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64016 30 32 329 273 1 213 88 17 17 289 -1 unnamed_device 24.1 MiB 1.94 1024 15493 4307 9520 1666 62.5 MiB 0.14 0.00 3.806 -108.658 -3.806 3.806 0.66 0.000662408 0.000616106 0.0547425 0.0508669 36 2360 20 6.89349e+06 366440 648988. 2245.63 1.63 0.184177 0.161494 26050 158493 -1 2000 19 1287 2025 142728 32893 3.04661 3.04661 -105.245 -3.04661 0 0 828058. 2865.25 0.24 0.07 0.17 -1 -1 0.24 0.0231801 0.0202595 142 57 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 5.40 vpr 62.35 MiB -1 -1 0.14 17588 1 0.03 -1 -1 30348 -1 -1 23 28 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63844 28 32 277 229 1 171 83 17 17 289 -1 unnamed_device 23.8 MiB 1.19 918 12323 3941 6490 1892 62.3 MiB 0.10 0.00 4.39675 -112.391 -4.39675 4.39675 0.66 0.000597369 0.00055618 0.042866 0.0398749 34 2147 21 6.89349e+06 324158 618332. 2139.56 1.52 0.137971 0.120692 25762 151098 -1 1908 20 1138 1995 161837 33568 3.70146 3.70146 -109.98 -3.70146 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0225925 0.0195809 119 27 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 6.57 vpr 62.46 MiB -1 -1 0.14 17768 1 0.03 -1 -1 30344 -1 -1 21 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63960 30 32 317 269 1 202 83 17 17 289 -1 unnamed_device 23.8 MiB 2.22 924 9083 2508 6037 538 62.5 MiB 0.10 0.00 4.56532 -133.276 -4.56532 4.56532 0.69 0.00064068 0.000596334 0.0342978 0.0318772 34 2736 29 6.89349e+06 295971 618332. 2139.56 1.66 0.163004 0.141544 25762 151098 -1 2186 20 1812 2507 189331 42418 4.31514 4.31514 -143.22 -4.31514 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0249009 0.0216674 130 63 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 6.74 vpr 62.56 MiB -1 -1 0.19 17516 1 0.03 -1 -1 30096 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64060 32 32 335 282 1 222 84 17 17 289 -1 unnamed_device 24.0 MiB 1.84 1216 6123 1500 4259 364 62.6 MiB 0.08 0.00 3.91264 -134.898 -3.91264 3.91264 0.65 0.00067358 0.000625923 0.0244521 0.0227149 36 2645 23 6.89349e+06 281877 648988. 2245.63 2.07 0.158298 0.136807 26050 158493 -1 2362 29 2125 2874 333718 104841 3.00176 3.00176 -122.65 -3.00176 0 0 828058. 2865.25 0.26 0.13 0.15 -1 -1 0.26 0.0349284 0.0302608 138 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 4.13 vpr 62.34 MiB -1 -1 0.18 17184 1 0.03 -1 -1 30448 -1 -1 31 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63840 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 23.8 MiB 0.74 941 8614 1787 6108 719 62.3 MiB 0.09 0.00 4.73282 -132.206 -4.73282 4.73282 0.66 0.000630116 0.000586411 0.0279106 0.02595 30 2255 21 6.89349e+06 436909 556674. 1926.21 0.85 0.101246 0.0886022 25186 138497 -1 1974 21 1018 1913 116086 27921 3.52565 3.52565 -121.514 -3.52565 0 0 706193. 2443.58 0.18 0.04 0.08 -1 -1 0.18 0.0134318 0.0117911 129 4 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 7.16 vpr 62.61 MiB -1 -1 0.18 17692 1 0.03 -1 -1 30388 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64112 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 24.2 MiB 1.92 946 14295 4865 6147 3283 62.6 MiB 0.14 0.00 4.80372 -148.142 -4.80372 4.80372 0.65 0.000708783 0.000658799 0.0556515 0.0517193 38 2827 33 6.89349e+06 324158 678818. 2348.85 2.41 0.210382 0.18408 26626 170182 -1 2148 20 1613 2415 172416 40549 3.8457 3.8457 -136.281 -3.8457 0 0 902133. 3121.57 0.22 0.08 0.14 -1 -1 0.22 0.0274763 0.0239347 148 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 6.79 vpr 62.80 MiB -1 -1 0.16 17692 1 0.03 -1 -1 30284 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64304 32 32 385 308 1 244 91 17 17 289 -1 unnamed_device 24.2 MiB 1.68 1348 15391 4691 8221 2479 62.8 MiB 0.16 0.00 5.48061 -170.804 -5.48061 5.48061 0.71 0.000749543 0.000696398 0.0589722 0.0548181 36 2971 28 6.89349e+06 380534 648988. 2245.63 2.15 0.210996 0.184659 26050 158493 -1 2521 21 1856 2673 200167 44694 4.23189 4.23189 -155.088 -4.23189 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.0260885 0.0229648 164 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 6.67 vpr 62.85 MiB -1 -1 0.12 17620 1 0.03 -1 -1 30312 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64356 32 32 387 309 1 248 90 17 17 289 -1 unnamed_device 24.2 MiB 1.74 1348 16572 5279 8759 2534 62.8 MiB 0.22 0.00 4.59633 -149.535 -4.59633 4.59633 0.66 0.000916862 0.000845744 0.0780686 0.0719142 36 3220 22 6.89349e+06 366440 648988. 2245.63 2.09 0.225971 0.198324 26050 158493 -1 2729 22 1927 2866 248487 51644 3.7334 3.7334 -140.921 -3.7334 0 0 828058. 2865.25 0.21 0.09 0.14 -1 -1 0.21 0.0312671 0.0272314 164 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 6.02 vpr 62.21 MiB -1 -1 0.18 17404 1 0.02 -1 -1 30124 -1 -1 21 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63708 30 32 272 232 1 176 83 17 17 289 -1 unnamed_device 23.7 MiB 1.92 929 11603 3304 7249 1050 62.2 MiB 0.11 0.00 4.22559 -126.079 -4.22559 4.22559 0.66 0.000585849 0.000545888 0.0398869 0.0371774 34 2274 21 6.89349e+06 295971 618332. 2139.56 1.30 0.122878 0.10762 25762 151098 -1 1994 21 1138 1586 126934 27558 3.29711 3.29711 -116.443 -3.29711 0 0 787024. 2723.27 0.20 0.06 0.14 -1 -1 0.20 0.0233229 0.0202069 112 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 6.86 vpr 62.84 MiB -1 -1 0.20 17636 1 0.03 -1 -1 30444 -1 -1 26 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64348 30 32 375 299 1 236 88 17 17 289 -1 unnamed_device 24.3 MiB 2.49 1157 9838 2412 6479 947 62.8 MiB 0.11 0.00 5.48387 -163.439 -5.48387 5.48387 0.66 0.000735397 0.000678005 0.0395178 0.0366915 34 2914 31 6.89349e+06 366440 618332. 2139.56 1.57 0.176344 0.153409 25762 151098 -1 2394 19 1932 2689 190948 42993 4.36915 4.36915 -156.668 -4.36915 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0274322 0.0239755 162 63 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 5.91 vpr 62.76 MiB -1 -1 0.20 17516 1 0.03 -1 -1 30276 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64268 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 24.3 MiB 1.20 1128 9303 2368 6110 825 62.8 MiB 0.10 0.00 5.14805 -150.89 -5.14805 5.14805 0.66 0.000692055 0.000643579 0.0355165 0.0330154 34 2876 34 6.89349e+06 324158 618332. 2139.56 1.97 0.186287 0.161968 25762 151098 -1 2362 19 1593 2666 208387 46147 3.97449 3.97449 -137.61 -3.97449 0 0 787024. 2723.27 0.20 0.08 0.16 -1 -1 0.20 0.0258706 0.0225585 139 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 6.29 vpr 62.84 MiB -1 -1 0.20 17784 1 0.03 -1 -1 30324 -1 -1 23 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64348 31 32 340 275 1 211 86 17 17 289 -1 unnamed_device 24.4 MiB 1.77 1160 14828 4291 8520 2017 62.8 MiB 0.19 0.00 5.04939 -147.832 -5.04939 5.04939 0.70 0.000834352 0.000768862 0.0684884 0.0631004 34 2696 30 6.89349e+06 324158 618332. 2139.56 1.62 0.210256 0.183843 25762 151098 -1 2222 21 1562 2377 151469 35667 4.18485 4.18485 -141.313 -4.18485 0 0 787024. 2723.27 0.21 0.08 0.13 -1 -1 0.21 0.0277758 0.0242085 142 47 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 6.64 vpr 62.75 MiB -1 -1 0.20 17676 1 0.03 -1 -1 30312 -1 -1 27 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64252 30 32 377 310 1 241 89 17 17 289 -1 unnamed_device 24.2 MiB 1.89 1280 15731 5729 7457 2545 62.7 MiB 0.16 0.00 4.67272 -140.819 -4.67272 4.67272 0.65 0.000725549 0.000672818 0.0617032 0.0573345 36 2891 31 6.89349e+06 380534 648988. 2245.63 1.97 0.211813 0.185208 26050 158493 -1 2460 19 1798 2628 192195 42175 3.84329 3.84329 -132.966 -3.84329 0 0 828058. 2865.25 0.21 0.08 0.14 -1 -1 0.21 0.02704 0.0235467 162 83 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 7.09 vpr 62.73 MiB -1 -1 0.19 17628 1 0.03 -1 -1 30208 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64236 32 32 365 294 1 230 87 17 17 289 -1 unnamed_device 24.2 MiB 2.54 1143 12183 3367 8279 537 62.7 MiB 0.13 0.00 5.41467 -156.077 -5.41467 5.41467 0.65 0.000719777 0.000668741 0.0477936 0.0443454 34 3317 24 6.89349e+06 324158 618332. 2139.56 1.81 0.189458 0.165162 25762 151098 -1 2550 21 1877 2841 221059 51776 4.56189 4.56189 -155.485 -4.56189 0 0 787024. 2723.27 0.20 0.09 0.13 -1 -1 0.20 0.0289318 0.0252142 155 57 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 5.92 vpr 63.05 MiB -1 -1 0.19 17748 1 0.03 -1 -1 30456 -1 -1 30 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64564 29 32 378 310 1 247 91 17 17 289 -1 unnamed_device 24.4 MiB 1.48 1279 8047 1945 5583 519 63.1 MiB 0.10 0.00 4.65125 -137.416 -4.65125 4.65125 0.65 0.000720336 0.000669571 0.0311933 0.0290162 36 2926 21 6.89349e+06 422815 648988. 2245.63 1.71 0.169613 0.146999 26050 158493 -1 2441 18 1475 2021 137313 30895 3.6201 3.6201 -125.951 -3.6201 0 0 828058. 2865.25 0.21 0.07 0.13 -1 -1 0.21 0.0255609 0.0223062 166 85 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 4.22 vpr 62.14 MiB -1 -1 0.14 17072 1 0.03 -1 -1 30484 -1 -1 17 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63636 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 23.5 MiB 0.63 782 13906 5117 6523 2266 62.1 MiB 0.12 0.00 4.15903 -122.769 -4.15903 4.15903 0.66 0.00055799 0.000519714 0.046019 0.0428434 30 2007 46 6.89349e+06 239595 556674. 1926.21 0.88 0.131097 0.115422 25186 138497 -1 1575 20 861 1362 93836 21337 2.75456 2.75456 -106.315 -2.75456 0 0 706193. 2443.58 0.26 0.05 0.14 -1 -1 0.26 0.0183389 0.0160973 96 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 6.60 vpr 62.64 MiB -1 -1 0.20 17796 1 0.03 -1 -1 30284 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64140 32 32 373 302 1 241 89 17 17 289 -1 unnamed_device 24.1 MiB 1.51 1307 13157 3552 8195 1410 62.6 MiB 0.14 0.00 5.64852 -169.418 -5.64852 5.64852 0.66 0.000737973 0.000685788 0.0514783 0.047845 36 3147 47 6.89349e+06 352346 648988. 2245.63 2.32 0.223922 0.195164 26050 158493 -1 2616 22 1989 2734 228443 48831 4.51639 4.51639 -156.045 -4.51639 0 0 828058. 2865.25 0.21 0.09 0.09 -1 -1 0.21 0.0303763 0.0264629 156 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 7.96 vpr 63.02 MiB -1 -1 0.17 17632 1 0.03 -1 -1 30376 -1 -1 25 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64532 32 32 397 314 1 256 89 17 17 289 -1 unnamed_device 24.4 MiB 2.82 1377 7415 1651 5387 377 63.0 MiB 0.10 0.00 5.30157 -175.126 -5.30157 5.30157 0.66 0.000762812 0.000708385 0.0310706 0.0288471 36 3393 25 6.89349e+06 352346 648988. 2245.63 2.32 0.18732 0.162605 26050 158493 -1 2835 22 2229 3205 258901 54853 4.72005 4.72005 -173.543 -4.72005 0 0 828058. 2865.25 0.24 0.10 0.14 -1 -1 0.24 0.0324357 0.0283574 171 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 8.01 vpr 62.27 MiB -1 -1 0.14 17588 1 0.03 -1 -1 29992 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63764 32 32 269 231 1 172 82 17 17 289 -1 unnamed_device 23.8 MiB 2.29 743 12720 4130 6895 1695 62.3 MiB 0.11 0.00 4.14342 -113.505 -4.14342 4.14342 0.66 0.000578844 0.000538411 0.0436349 0.0405651 30 2176 39 6.89349e+06 253689 556674. 1926.21 3.11 0.213698 0.185111 25186 138497 -1 1608 19 847 1143 74159 17662 2.99811 2.99811 -101.39 -2.99811 0 0 706193. 2443.58 0.19 0.05 0.12 -1 -1 0.19 0.0218151 0.018969 108 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 3.99 vpr 62.25 MiB -1 -1 0.19 17268 1 0.03 -1 -1 30348 -1 -1 20 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63748 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 23.6 MiB 0.64 706 7823 1736 5421 666 62.3 MiB 0.08 0.00 4.10083 -117.838 -4.10083 4.10083 0.65 0.00056343 0.000525249 0.0258881 0.0241218 30 1853 22 6.89349e+06 281877 556674. 1926.21 0.83 0.0915477 0.0801698 25186 138497 -1 1635 19 956 1623 99531 23093 2.83491 2.83491 -105.508 -2.83491 0 0 706193. 2443.58 0.19 0.06 0.08 -1 -1 0.19 0.021264 0.0184601 99 4 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 6.35 vpr 62.73 MiB -1 -1 0.18 17576 1 0.03 -1 -1 30580 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64236 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 24.2 MiB 1.97 1103 13719 4794 6980 1945 62.7 MiB 0.14 0.00 4.58942 -145.059 -4.58942 4.58942 0.65 0.000698357 0.000648022 0.0523472 0.0485864 34 2800 20 6.89349e+06 324158 618332. 2139.56 1.50 0.186193 0.162551 25762 151098 -1 2436 19 1793 2593 211212 45957 3.5781 3.5781 -137.613 -3.5781 0 0 787024. 2723.27 0.30 0.08 0.15 -1 -1 0.30 0.0224945 0.0198123 145 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 5.86 vpr 62.75 MiB -1 -1 0.16 17768 1 0.04 -1 -1 30200 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64260 32 32 356 289 1 224 87 17 17 289 -1 unnamed_device 24.3 MiB 1.59 1083 8919 2056 5474 1389 62.8 MiB 0.10 0.00 4.89424 -142.728 -4.89424 4.89424 0.66 0.000704062 0.00065372 0.0353338 0.0328274 34 3008 43 6.89349e+06 324158 618332. 2139.56 1.62 0.194712 0.168175 25762 151098 -1 2323 19 1527 2220 172266 41413 4.43665 4.43665 -142.524 -4.43665 0 0 787024. 2723.27 0.22 0.08 0.09 -1 -1 0.22 0.0263333 0.0230025 149 56 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 4.64 vpr 62.57 MiB -1 -1 0.11 17532 1 0.03 -1 -1 30108 -1 -1 36 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64076 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 24.1 MiB 0.61 1033 19356 5199 10890 3267 62.6 MiB 0.18 0.00 5.32917 -146.087 -5.32917 5.32917 0.66 0.00072103 0.000670657 0.0629017 0.0584509 30 3041 40 6.89349e+06 507378 556674. 1926.21 1.23 0.16703 0.147539 25186 138497 -1 2185 22 1788 3363 221964 52375 4.11544 4.11544 -138.805 -4.11544 0 0 706193. 2443.58 0.23 0.09 0.14 -1 -1 0.23 0.0304159 0.0265707 157 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 5.87 vpr 62.40 MiB -1 -1 0.12 17640 1 0.03 -1 -1 30172 -1 -1 25 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63900 30 32 316 264 1 208 87 17 17 289 -1 unnamed_device 24.0 MiB 1.65 1151 13143 3782 7948 1413 62.4 MiB 0.13 0.00 3.95739 -118.903 -3.95739 3.95739 0.65 0.000642469 0.000596869 0.0459421 0.0426871 34 2659 22 6.89349e+06 352346 618332. 2139.56 1.48 0.168848 0.147085 25762 151098 -1 2150 18 1518 2207 150480 33588 3.0457 3.0457 -108.49 -3.0457 0 0 787024. 2723.27 0.20 0.07 0.14 -1 -1 0.20 0.0228483 0.019905 136 52 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 5.38 vpr 62.24 MiB -1 -1 0.14 17588 1 0.03 -1 -1 30724 -1 -1 20 27 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63732 27 32 255 219 1 162 79 17 17 289 -1 unnamed_device 23.8 MiB 1.21 755 13768 6057 6665 1046 62.2 MiB 0.10 0.00 4.43859 -116.143 -4.43859 4.43859 0.66 0.000546562 0.000508244 0.0461433 0.0429354 34 2141 23 6.89349e+06 281877 618332. 2139.56 1.53 0.154401 0.134718 25762 151098 -1 1630 19 1192 1703 130803 31060 3.6153 3.6153 -113.605 -3.6153 0 0 787024. 2723.27 0.20 0.07 0.16 -1 -1 0.20 0.0228473 0.0199236 106 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 7.85 vpr 63.25 MiB -1 -1 0.17 17644 1 0.03 -1 -1 30236 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64768 32 32 421 327 1 271 91 17 17 289 -1 unnamed_device 24.5 MiB 2.64 1514 18043 5658 10071 2314 63.2 MiB 0.20 0.00 4.58581 -147.507 -4.58581 4.58581 0.65 0.000813701 0.000756305 0.074541 0.0692702 34 4194 50 6.89349e+06 380534 618332. 2139.56 2.37 0.267283 0.233963 25762 151098 -1 3210 21 1923 3102 270129 56903 4.10359 4.10359 -149.648 -4.10359 0 0 787024. 2723.27 0.20 0.10 0.13 -1 -1 0.20 0.0322133 0.0280381 185 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 6.32 vpr 62.76 MiB -1 -1 0.17 17812 1 0.03 -1 -1 30328 -1 -1 24 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64264 31 32 365 296 1 233 87 17 17 289 -1 unnamed_device 24.3 MiB 1.74 1122 15639 4714 8375 2550 62.8 MiB 0.16 0.00 5.51467 -162.715 -5.51467 5.51467 0.65 0.000719675 0.000668985 0.0608543 0.0565049 34 2973 28 6.89349e+06 338252 618332. 2139.56 1.78 0.20508 0.179395 25762 151098 -1 2496 20 2015 2776 220362 49269 4.51165 4.51165 -152.253 -4.51165 0 0 787024. 2723.27 0.22 0.09 0.13 -1 -1 0.22 0.0284881 0.0248649 155 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 6.59 vpr 62.73 MiB -1 -1 0.18 17796 1 0.03 -1 -1 30324 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64232 32 32 331 280 1 221 85 17 17 289 -1 unnamed_device 24.0 MiB 2.24 1207 14779 4497 8341 1941 62.7 MiB 0.14 0.00 4.36565 -143.578 -4.36565 4.36565 0.67 0.000666656 0.000619751 0.0548296 0.0509502 34 2807 25 6.89349e+06 295971 618332. 2139.56 1.58 0.186361 0.16283 25762 151098 -1 2289 22 1533 2072 160406 36055 3.3748 3.3748 -129.801 -3.3748 0 0 787024. 2723.27 0.21 0.08 0.13 -1 -1 0.21 0.0280246 0.0243792 137 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 7.52 vpr 62.30 MiB -1 -1 0.12 17748 1 0.03 -1 -1 30268 -1 -1 21 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63796 32 32 326 263 1 203 85 17 17 289 -1 unnamed_device 23.7 MiB 1.77 1106 13291 3686 7595 2010 62.3 MiB 0.13 0.00 5.17406 -143.598 -5.17406 5.17406 0.69 0.000668726 0.000622013 0.0500575 0.0465569 30 2835 35 6.89349e+06 295971 556674. 1926.21 3.09 0.24128 0.209618 25186 138497 -1 2176 19 1108 1682 108723 25154 3.8055 3.8055 -132.53 -3.8055 0 0 706193. 2443.58 0.19 0.06 0.12 -1 -1 0.19 0.0245096 0.0213706 135 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 5.92 vpr 62.80 MiB -1 -1 0.17 17796 1 0.03 -1 -1 30112 -1 -1 26 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64312 31 32 373 294 1 231 89 17 17 289 -1 unnamed_device 24.3 MiB 1.67 1277 13949 4663 6540 2746 62.8 MiB 0.14 0.00 4.6119 -129.607 -4.6119 4.6119 0.66 0.000731311 0.000678846 0.0539226 0.0501017 34 2960 24 6.89349e+06 366440 618332. 2139.56 1.51 0.199346 0.174124 25762 151098 -1 2590 20 1709 2564 186340 41948 3.79686 3.79686 -129.409 -3.79686 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0283138 0.0246683 163 50 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 6.43 vpr 62.57 MiB -1 -1 0.17 17504 1 0.03 -1 -1 30120 -1 -1 24 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64072 30 32 325 268 1 210 86 17 17 289 -1 unnamed_device 24.1 MiB 1.91 1057 10103 2410 7187 506 62.6 MiB 0.11 0.00 4.37294 -118.646 -4.37294 4.37294 0.69 0.000711843 0.000644027 0.0386334 0.0358814 34 2982 24 6.89349e+06 338252 618332. 2139.56 1.65 0.168228 0.146048 25762 151098 -1 2173 23 1384 2295 155687 36909 3.6794 3.6794 -116.416 -3.6794 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0286445 0.0248747 140 51 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 7.91 vpr 62.64 MiB -1 -1 0.18 17816 1 0.03 -1 -1 30328 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64144 32 32 350 275 1 215 86 17 17 289 -1 unnamed_device 24.2 MiB 2.42 1146 16340 5897 7961 2482 62.6 MiB 0.17 0.00 4.90628 -154.007 -4.90628 4.90628 0.61 0.00070681 0.00065681 0.0636631 0.0591662 34 3223 50 6.89349e+06 310065 618332. 2139.56 2.45 0.233543 0.20411 25762 151098 -1 2505 20 1732 2711 217498 48057 3.8815 3.8815 -143.675 -3.8815 0 0 787024. 2723.27 0.23 0.10 0.13 -1 -1 0.23 0.0289355 0.0255683 148 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 7.92 vpr 62.84 MiB -1 -1 0.19 17516 1 0.03 -1 -1 30224 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64352 32 32 386 307 1 246 90 17 17 289 -1 unnamed_device 24.2 MiB 2.62 1236 16371 6869 8593 909 62.8 MiB 0.17 0.00 4.19324 -136.834 -4.19324 4.19324 0.71 0.000752071 0.000697138 0.0645878 0.0599046 36 2890 25 6.89349e+06 366440 648988. 2245.63 2.20 0.216811 0.190163 26050 158493 -1 2431 20 1700 2341 156685 36663 3.17181 3.17181 -126.921 -3.17181 0 0 828058. 2865.25 0.21 0.08 0.11 -1 -1 0.21 0.0273522 0.024033 167 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 5.18 vpr 62.29 MiB -1 -1 0.18 17372 1 0.03 -1 -1 30368 -1 -1 20 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63784 29 32 269 229 1 173 81 17 17 289 -1 unnamed_device 23.8 MiB 1.23 881 7081 1573 4782 726 62.3 MiB 0.07 0.00 4.21387 -125.832 -4.21387 4.21387 0.66 0.000578389 0.000539214 0.0250955 0.0233861 34 1947 20 6.89349e+06 281877 618332. 2139.56 1.29 0.135014 0.11672 25762 151098 -1 1668 20 1336 1773 132678 29656 3.02156 3.02156 -111.286 -3.02156 0 0 787024. 2723.27 0.19 0.06 0.14 -1 -1 0.19 0.0222758 0.0193091 110 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 5.70 vpr 62.49 MiB -1 -1 0.15 17636 1 0.03 -1 -1 30476 -1 -1 20 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63988 32 32 310 266 1 200 84 17 17 289 -1 unnamed_device 23.8 MiB 1.08 868 7770 1747 5581 442 62.5 MiB 0.08 0.00 4.24583 -126.348 -4.24583 4.24583 0.65 0.000632891 0.000588552 0.0287815 0.0267178 36 2425 28 6.89349e+06 281877 648988. 2245.63 1.94 0.157575 0.136448 26050 158493 -1 1953 21 1685 2287 171941 40763 3.4029 3.4029 -121.453 -3.4029 0 0 828058. 2865.25 0.28 0.06 0.14 -1 -1 0.28 0.0202232 0.0177091 125 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 6.00 vpr 62.51 MiB -1 -1 0.16 17520 1 0.03 -1 -1 30336 -1 -1 22 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64012 31 32 326 261 1 204 85 17 17 289 -1 unnamed_device 24.0 MiB 1.34 954 15337 5910 7043 2384 62.5 MiB 0.16 0.00 4.83108 -133.604 -4.83108 4.83108 0.65 0.000671991 0.000624748 0.0660926 0.0615048 34 3035 40 6.89349e+06 310065 618332. 2139.56 1.79 0.214321 0.187836 25762 151098 -1 2125 23 1627 2422 177736 47190 3.74936 3.74936 -130.315 -3.74936 0 0 787024. 2723.27 0.25 0.08 0.13 -1 -1 0.25 0.028814 0.025292 137 33 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 6.77 vpr 62.23 MiB -1 -1 0.15 17548 1 0.03 -1 -1 30452 -1 -1 19 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63728 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 23.8 MiB 2.53 859 12636 4650 6385 1601 62.2 MiB 0.11 0.00 4.13932 -113.849 -4.13932 4.13932 0.65 0.000561863 0.000522782 0.0431107 0.0400938 34 2181 32 6.89349e+06 267783 618332. 2139.56 1.49 0.166639 0.14493 25762 151098 -1 1805 22 1129 1557 124622 29306 2.97321 2.97321 -102.396 -2.97321 0 0 787024. 2723.27 0.28 0.06 0.15 -1 -1 0.28 0.0220506 0.0192185 108 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 6.12 vpr 62.31 MiB -1 -1 0.17 17372 1 0.03 -1 -1 30012 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63808 32 32 278 238 1 182 82 17 17 289 -1 unnamed_device 23.8 MiB 1.73 931 12898 3547 7775 1576 62.3 MiB 0.11 0.00 4.14413 -130.005 -4.14413 4.14413 0.65 0.00059437 0.000553109 0.0450256 0.0418926 36 2328 29 6.89349e+06 253689 648988. 2245.63 1.62 0.166636 0.145233 26050 158493 -1 2011 21 1443 2040 168261 36117 3.30996 3.30996 -124.621 -3.30996 0 0 828058. 2865.25 0.24 0.07 0.15 -1 -1 0.24 0.0239459 0.0207595 114 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 5.84 vpr 62.66 MiB -1 -1 0.11 17780 1 0.03 -1 -1 30060 -1 -1 26 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64168 31 32 373 300 1 236 89 17 17 289 -1 unnamed_device 24.1 MiB 1.54 1223 9197 2351 6452 394 62.7 MiB 0.11 0.00 4.60737 -145.998 -4.60737 4.60737 0.65 0.000739288 0.000678811 0.0371839 0.0344993 34 2913 27 6.89349e+06 366440 618332. 2139.56 1.65 0.184851 0.160464 25762 151098 -1 2414 23 2100 2928 239147 52425 4.03295 4.03295 -146.125 -4.03295 0 0 787024. 2723.27 0.21 0.09 0.13 -1 -1 0.21 0.0314898 0.0273824 160 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 5.12 vpr 62.24 MiB -1 -1 0.15 17428 1 0.03 -1 -1 30232 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63736 31 32 265 230 1 175 80 17 17 289 -1 unnamed_device 23.7 MiB 1.71 913 11088 2855 7004 1229 62.2 MiB 0.10 0.00 3.57635 -113.738 -3.57635 3.57635 0.65 0.000576064 0.000536136 0.0392186 0.0365026 30 2273 20 6.89349e+06 239595 556674. 1926.21 0.84 0.105098 0.0926374 25186 138497 -1 1905 20 1065 1491 97174 22413 2.89331 2.89331 -107.732 -2.89331 0 0 706193. 2443.58 0.18 0.06 0.12 -1 -1 0.18 0.0222767 0.019297 108 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 5.96 vpr 62.54 MiB -1 -1 0.19 17516 1 0.03 -1 -1 29948 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64040 32 32 349 286 1 221 86 17 17 289 -1 unnamed_device 24.1 MiB 1.65 1210 14261 3846 8261 2154 62.5 MiB 0.14 0.00 4.18989 -126.928 -4.18989 4.18989 0.65 0.000701338 0.000652043 0.0553115 0.0514279 34 3022 24 6.89349e+06 310065 618332. 2139.56 1.48 0.198907 0.172879 25762 151098 -1 2489 20 1414 2094 158378 34676 3.45175 3.45175 -125.893 -3.45175 0 0 787024. 2723.27 0.21 0.08 0.13 -1 -1 0.21 0.0291936 0.0254766 146 57 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 6.47 vpr 62.84 MiB -1 -1 0.12 17692 1 0.03 -1 -1 30208 -1 -1 26 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64352 31 32 396 325 1 259 89 17 17 289 -1 unnamed_device 24.2 MiB 1.78 1307 17117 5534 9059 2524 62.8 MiB 0.18 0.00 4.84686 -157.681 -4.84686 4.84686 0.65 0.000742312 0.00068762 0.0679324 0.0630422 34 3332 30 6.89349e+06 366440 618332. 2139.56 1.75 0.224971 0.197149 25762 151098 -1 2667 23 2249 3229 236032 53031 4.41039 4.41039 -159.173 -4.41039 0 0 787024. 2723.27 0.29 0.09 0.15 -1 -1 0.29 0.02831 0.0248628 170 91 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 6.41 vpr 62.33 MiB -1 -1 0.18 17604 1 0.03 -1 -1 30220 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63824 32 32 303 262 1 200 82 17 17 289 -1 unnamed_device 23.9 MiB 2.24 1086 13432 3424 8813 1195 62.3 MiB 0.12 0.00 3.821 -117.953 -3.821 3.821 0.65 0.000618013 0.000574636 0.0487002 0.0452538 34 2606 27 6.89349e+06 253689 618332. 2139.56 1.41 0.173417 0.151197 25762 151098 -1 2143 20 1427 1932 136459 31615 2.80696 2.80696 -111.298 -2.80696 0 0 787024. 2723.27 0.20 0.07 0.13 -1 -1 0.20 0.0240016 0.0208244 124 57 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 5.62 vpr 62.29 MiB -1 -1 0.14 17588 1 0.03 -1 -1 30252 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63780 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 23.8 MiB 1.09 871 12898 3868 7278 1752 62.3 MiB 0.12 0.00 4.12213 -126.038 -4.12213 4.12213 0.69 0.000624428 0.000581574 0.0464549 0.0432396 36 2177 21 6.89349e+06 253689 648988. 2245.63 1.66 0.165637 0.14477 26050 158493 -1 1933 21 1325 1987 169565 36435 3.23035 3.23035 -113.999 -3.23035 0 0 828058. 2865.25 0.24 0.07 0.14 -1 -1 0.24 0.0244852 0.0212344 115 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 5.63 vpr 62.41 MiB -1 -1 0.19 17556 1 0.03 -1 -1 30260 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63908 32 32 318 257 1 198 86 17 17 289 -1 unnamed_device 23.8 MiB 1.51 1006 10292 2539 6455 1298 62.4 MiB 0.10 0.00 4.93133 -134.302 -4.93133 4.93133 0.67 0.000670861 0.000624578 0.0383126 0.0356386 34 2482 22 6.89349e+06 310065 618332. 2139.56 1.34 0.16678 0.145302 25762 151098 -1 2102 18 1269 1785 114938 27680 3.75856 3.75856 -129.967 -3.75856 0 0 787024. 2723.27 0.20 0.06 0.13 -1 -1 0.20 0.0234532 0.0204907 133 30 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 6.06 vpr 62.55 MiB -1 -1 0.20 17516 1 0.03 -1 -1 30072 -1 -1 25 29 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64052 29 32 324 268 1 207 86 17 17 289 -1 unnamed_device 24.1 MiB 1.78 1105 14450 4218 8203 2029 62.6 MiB 0.14 0.00 4.04968 -110.899 -4.04968 4.04968 0.66 0.000653082 0.000607604 0.0522005 0.0485539 34 2657 23 6.89349e+06 352346 618332. 2139.56 1.43 0.179684 0.156937 25762 151098 -1 2195 21 1346 1980 146862 32855 3.15146 3.15146 -109.077 -3.15146 0 0 787024. 2723.27 0.21 0.07 0.13 -1 -1 0.21 0.0261476 0.022726 138 55 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 7.53 vpr 62.80 MiB -1 -1 0.24 17980 1 0.03 -1 -1 30392 -1 -1 24 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64304 32 32 393 312 1 243 88 17 17 289 -1 unnamed_device 24.2 MiB 1.77 1170 10033 2409 6880 744 62.8 MiB 0.12 0.00 5.6505 -176.695 -5.6505 5.6505 0.66 0.000754653 0.000700963 0.0413904 0.0384429 34 3979 39 6.89349e+06 338252 618332. 2139.56 2.72 0.207834 0.180418 25762 151098 -1 2902 23 2152 3336 270669 62426 4.84719 4.84719 -171.492 -4.84719 0 0 787024. 2723.27 0.20 0.10 0.13 -1 -1 0.20 0.0324657 0.0282208 166 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 4.76 vpr 62.03 MiB -1 -1 0.18 16944 1 0.03 -1 -1 30348 -1 -1 17 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63520 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 23.5 MiB 0.50 815 9368 2443 5733 1192 62.0 MiB 0.08 0.00 3.49795 -108.682 -3.49795 3.49795 0.80 0.000536602 0.000500364 0.0311701 0.0290652 34 1814 25 6.89349e+06 239595 618332. 2139.56 1.29 0.139156 0.121106 25762 151098 -1 1577 19 774 1207 83395 19438 2.56436 2.56436 -99.3758 -2.56436 0 0 787024. 2723.27 0.20 0.05 0.13 -1 -1 0.20 0.0198876 0.0172977 92 4 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 6.72 vpr 62.91 MiB -1 -1 0.24 17776 1 0.03 -1 -1 30276 -1 -1 27 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64420 32 32 412 334 1 269 91 17 17 289 -1 unnamed_device 24.5 MiB 1.75 1425 17431 5130 10160 2141 62.9 MiB 0.20 0.00 5.66786 -177.951 -5.66786 5.66786 0.66 0.000946855 0.000872264 0.071737 0.066438 34 3494 40 6.89349e+06 380534 618332. 2139.56 1.88 0.249712 0.218847 25762 151098 -1 2800 23 2105 2897 221520 49926 5.00324 5.00324 -174.642 -5.00324 0 0 787024. 2723.27 0.20 0.09 0.13 -1 -1 0.20 0.0331543 0.0288119 175 90 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 6.78 vpr 62.93 MiB -1 -1 0.21 17536 1 0.03 -1 -1 30116 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64436 32 32 376 318 1 259 87 17 17 289 -1 unnamed_device 24.2 MiB 2.04 1387 11223 3117 6896 1210 62.9 MiB 0.12 0.00 4.854 -168.258 -4.854 4.854 0.65 0.000715718 0.000664772 0.0443389 0.0411705 38 2917 25 6.89349e+06 324158 678818. 2348.85 1.75 0.185314 0.161641 26626 170182 -1 2562 24 1921 2497 168616 37648 4.42073 4.42073 -166.036 -4.42073 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0321694 0.0279459 160 96 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 6.34 vpr 62.55 MiB -1 -1 0.25 17556 1 0.03 -1 -1 30092 -1 -1 22 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64048 32 32 360 293 1 227 86 17 17 289 -1 unnamed_device 24.1 MiB 1.57 1228 16529 5130 9253 2146 62.5 MiB 0.17 0.00 4.18062 -130.52 -4.18062 4.18062 0.65 0.000711173 0.000660733 0.0646981 0.060132 36 2679 46 6.89349e+06 310065 648988. 2245.63 1.74 0.229621 0.200721 26050 158493 -1 2371 19 1474 2061 158969 34359 3.14201 3.14201 -122.314 -3.14201 0 0 828058. 2865.25 0.21 0.07 0.14 -1 -1 0.21 0.0264253 0.0230376 152 60 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 7.53 vpr 62.87 MiB -1 -1 0.23 17868 1 0.03 -1 -1 30392 -1 -1 26 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64380 32 32 396 299 1 241 90 17 17 289 -1 unnamed_device 24.2 MiB 2.17 1277 13758 3654 8100 2004 62.9 MiB 0.17 0.00 5.8432 -174.13 -5.8432 5.8432 0.65 0.000784644 0.000729619 0.0557226 0.0518073 36 3134 30 6.89349e+06 366440 648988. 2245.63 2.25 0.216432 0.18945 26050 158493 -1 2741 21 2065 3322 272282 57654 4.69455 4.69455 -160.869 -4.69455 0 0 828058. 2865.25 0.21 0.11 0.16 -1 -1 0.21 0.0320376 0.0279284 172 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 4.90 vpr 62.33 MiB -1 -1 0.22 17444 1 0.02 -1 -1 30084 -1 -1 15 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63824 30 32 224 207 1 138 77 17 17 289 -1 unnamed_device 23.8 MiB 0.75 693 11650 3455 7011 1184 62.3 MiB 0.09 0.00 3.03066 -95.0101 -3.03066 3.03066 0.66 0.00050258 0.000467961 0.0374217 0.0348548 34 1802 38 6.89349e+06 211408 618332. 2139.56 1.30 0.147175 0.127892 25762 151098 -1 1455 17 687 909 76685 16928 2.15212 2.15212 -89.3307 -2.15212 0 0 787024. 2723.27 0.20 0.05 0.13 -1 -1 0.20 0.0171981 0.0149743 82 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 5.32 vpr 62.37 MiB -1 -1 0.22 17352 1 0.03 -1 -1 30312 -1 -1 20 30 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63868 30 32 286 239 1 176 82 17 17 289 -1 unnamed_device 23.8 MiB 1.01 726 8270 1936 5996 338 62.4 MiB 0.08 0.00 4.60327 -135.822 -4.60327 4.60327 0.65 0.000603574 0.000561706 0.0296813 0.0276011 34 1980 21 6.89349e+06 281877 618332. 2139.56 1.36 0.1453 0.125722 25762 151098 -1 1602 32 1870 2906 352863 137449 3.522 3.522 -126.735 -3.522 0 0 787024. 2723.27 0.20 0.13 0.13 -1 -1 0.20 0.0339886 0.0292534 119 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 6.39 vpr 62.56 MiB -1 -1 0.18 17352 1 0.03 -1 -1 29984 -1 -1 18 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64060 32 32 296 247 1 187 82 17 17 289 -1 unnamed_device 24.0 MiB 1.64 1036 12008 3645 6699 1664 62.6 MiB 0.12 0.00 4.33865 -139.218 -4.33865 4.33865 0.65 0.000626412 0.000583201 0.0438169 0.0407591 34 2978 44 6.89349e+06 253689 618332. 2139.56 1.83 0.185403 0.160902 25762 151098 -1 2428 21 1589 2812 229790 50098 3.72055 3.72055 -142.277 -3.72055 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.025059 0.0217767 120 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 5.18 vpr 62.34 MiB -1 -1 0.21 17348 1 0.02 -1 -1 30108 -1 -1 21 25 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63836 25 32 216 194 1 138 78 17 17 289 -1 unnamed_device 23.7 MiB 0.98 532 11034 4110 4271 2653 62.3 MiB 0.08 0.00 3.6784 -85.8398 -3.6784 3.6784 0.65 0.000475205 0.000441858 0.0341515 0.031762 34 1620 27 6.89349e+06 295971 618332. 2139.56 1.36 0.130976 0.11374 25762 151098 -1 1248 20 849 1302 92392 24875 2.98371 2.98371 -81.2823 -2.98371 0 0 787024. 2723.27 0.20 0.05 0.13 -1 -1 0.20 0.0185067 0.0160314 92 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 7.06 vpr 62.73 MiB -1 -1 0.21 17452 1 0.03 -1 -1 30228 -1 -1 23 32 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64240 32 32 376 307 1 242 87 17 17 289 -1 unnamed_device 24.2 MiB 2.26 1378 14871 4869 7700 2302 62.7 MiB 0.15 0.00 4.565 -139.747 -4.565 4.565 0.66 0.000726476 0.000674383 0.0586568 0.0544763 38 3057 23 6.89349e+06 324158 678818. 2348.85 1.74 0.202252 0.177193 26626 170182 -1 2632 19 1727 2576 176374 38187 3.68256 3.68256 -131.929 -3.68256 0 0 902133. 3121.57 0.22 0.09 0.14 -1 -1 0.22 0.0328969 0.0288165 161 72 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 6.54 vpr 62.98 MiB -1 -1 0.24 17624 1 0.03 -1 -1 30308 -1 -1 29 31 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64492 31 32 409 331 1 264 92 17 17 289 -1 unnamed_device 24.3 MiB 1.93 1414 15617 4439 9113 2065 63.0 MiB 0.17 0.00 4.8901 -157.733 -4.8901 4.8901 0.66 0.000766175 0.000711178 0.0604802 0.0560988 34 3269 24 6.89349e+06 408721 618332. 2139.56 1.58 0.215294 0.188307 25762 151098 -1 2694 20 1849 2547 181701 41207 4.21289 4.21289 -153.322 -4.21289 0 0 787024. 2723.27 0.20 0.08 0.13 -1 -1 0.20 0.0300622 0.0262604 179 90 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 14.99 vpr 63.93 MiB -1 -1 0.81 21584 14 0.83 -1 -1 37040 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65460 32 32 277 309 1 204 91 17 17 289 -1 unnamed_device 25.3 MiB 1.14 1316 5599 1118 4072 409 63.9 MiB 0.07 0.00 8.11929 -167.236 -8.11929 8.11929 2.04 0.000250645 0.0002076 0.00930375 0.00788038 36 3168 18 6.55708e+06 325485 612192. 2118.31 4.32 0.254613 0.243725 22750 144809 -1 2907 16 1166 3648 221231 48915 6.88996 6.88996 -156.901 -6.88996 0 0 782063. 2706.10 0.43 0.06 0.14 -1 -1 0.43 0.0252893 0.0199655 183 183 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 16.05 vpr 64.01 MiB -1 -1 0.59 22040 14 1.39 -1 -1 36700 -1 -1 31 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65544 30 32 272 304 1 210 93 17 17 289 -1 unnamed_device 25.3 MiB 1.75 1284 6813 1396 4664 753 64.0 MiB 0.15 0.00 8.17826 -159.503 -8.17826 8.17826 2.14 0.000229998 0.000188138 0.0116499 0.00989239 28 3899 33 6.55708e+06 373705 500653. 1732.36 4.58 0.206682 0.198006 21310 115450 -1 3202 29 1492 4434 488528 184977 7.05196 7.05196 -154.725 -7.05196 0 0 612192. 2118.31 0.28 0.13 0.10 -1 -1 0.28 0.0244321 0.0218532 184 184 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 16.93 vpr 64.02 MiB -1 -1 0.54 21432 11 0.75 -1 -1 36740 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65560 32 32 280 312 1 205 90 17 17 289 -1 unnamed_device 25.3 MiB 1.75 1318 12150 2688 7851 1611 64.0 MiB 0.15 0.00 7.09041 -141.994 -7.09041 7.09041 2.99 0.00021919 0.000176129 0.0170755 0.0142001 36 3257 49 6.55708e+06 313430 612192. 2118.31 5.15 0.161418 0.14644 22750 144809 -1 2763 18 1188 4033 209919 48995 6.21958 6.21958 -137.181 -6.21958 0 0 782063. 2706.10 0.43 0.07 0.17 -1 -1 0.43 0.0171308 0.015608 186 186 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 17.37 vpr 63.94 MiB -1 -1 0.53 21584 12 1.11 -1 -1 36740 -1 -1 30 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65476 29 32 275 307 1 205 91 17 17 289 -1 unnamed_device 25.3 MiB 2.06 1291 8659 1933 5978 748 63.9 MiB 0.15 0.00 7.59163 -142.516 -7.59163 7.59163 2.61 0.000237955 0.000194649 0.0135612 0.0113422 34 3427 47 6.55708e+06 361650 585099. 2024.56 4.21 0.141263 0.117775 22462 138074 -1 2944 20 1447 4783 267879 64966 6.45858 6.45858 -135.37 -6.45858 0 0 742403. 2568.87 0.44 0.10 0.14 -1 -1 0.44 0.0354681 0.0336491 190 190 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 16.06 vpr 64.21 MiB -1 -1 0.63 21736 13 0.91 -1 -1 36588 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65748 32 32 302 334 1 233 95 17 17 289 -1 unnamed_device 25.5 MiB 1.47 1517 10247 2681 6332 1234 64.2 MiB 0.17 0.00 7.78538 -167.201 -7.78538 7.78538 2.41 0.000280345 0.0002255 0.121306 0.0142674 30 3963 42 6.55708e+06 373705 526063. 1820.29 4.45 0.176265 0.0622064 21886 126133 -1 3369 18 1586 4655 233556 54083 6.7183 6.7183 -159.433 -6.7183 0 0 666494. 2306.21 0.60 0.10 0.13 -1 -1 0.60 0.0196487 0.0179784 210 208 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 23.37 vpr 64.00 MiB -1 -1 0.85 21432 13 1.02 -1 -1 36540 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65540 32 32 292 324 1 217 96 17 17 289 -1 unnamed_device 25.3 MiB 0.92 1424 8199 1838 5705 656 64.0 MiB 0.19 0.00 7.59163 -154.292 -7.59163 7.59163 2.57 0.000298971 0.000253415 0.125279 0.12332 30 3692 44 6.55708e+06 385760 526063. 1820.29 12.37 0.530437 0.509033 21886 126133 -1 2951 17 1311 4081 200727 47055 6.4805 6.4805 -147.355 -6.4805 0 0 666494. 2306.21 0.25 0.05 0.08 -1 -1 0.25 0.0152681 0.0137409 198 198 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 15.87 vpr 63.65 MiB -1 -1 0.70 21432 12 1.08 -1 -1 36220 -1 -1 27 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65176 27 32 229 261 1 176 86 17 17 289 -1 unnamed_device 24.9 MiB 0.98 1035 6512 1527 4332 653 63.6 MiB 0.14 0.00 7.17186 -130.596 -7.17186 7.17186 2.48 9.2588e-05 7.3799e-05 0.0053792 0.00449752 28 2878 33 6.55708e+06 325485 500653. 1732.36 4.45 0.0773257 0.0708081 21310 115450 -1 2508 16 1094 2903 172289 39877 6.43104 6.43104 -125.532 -6.43104 0 0 612192. 2118.31 0.72 0.08 0.26 -1 -1 0.72 0.0128645 0.0117338 152 150 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 19.05 vpr 63.57 MiB -1 -1 0.61 21584 12 0.65 -1 -1 36356 -1 -1 22 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65096 31 32 229 261 1 184 85 17 17 289 -1 unnamed_device 24.9 MiB 0.83 1249 5107 1019 3661 427 63.6 MiB 0.09 0.00 6.39885 -135.828 -6.39885 6.39885 2.22 0.000195832 0.00015921 0.00763114 0.00638149 36 2917 29 6.55708e+06 265210 612192. 2118.31 9.17 0.46378 0.454104 22750 144809 -1 2534 14 1014 3099 164622 37748 5.56006 5.56006 -128.182 -5.56006 0 0 782063. 2706.10 0.65 0.07 0.18 -1 -1 0.65 0.0374872 0.03645 140 138 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 16.23 vpr 63.67 MiB -1 -1 0.72 21280 12 0.46 -1 -1 36432 -1 -1 26 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65196 31 32 235 267 1 192 89 17 17 289 -1 unnamed_device 25.0 MiB 0.94 1208 12167 3615 7245 1307 63.7 MiB 0.21 0.00 6.4388 -137.229 -6.4388 6.4388 2.57 0.000220228 0.000181404 0.168754 0.166189 28 3215 28 6.55708e+06 313430 500653. 1732.36 4.92 0.380326 0.373049 21310 115450 -1 2672 18 1117 2854 165121 38557 5.75926 5.75926 -133.58 -5.75926 0 0 612192. 2118.31 0.91 0.14 0.23 -1 -1 0.91 0.0143963 0.0131338 150 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 17.42 vpr 63.75 MiB -1 -1 0.69 21432 13 0.63 -1 -1 36588 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65280 32 32 250 282 1 193 89 17 17 289 -1 unnamed_device 25.0 MiB 1.05 1179 13949 3758 7924 2267 63.8 MiB 0.25 0.00 7.37832 -161.437 -7.37832 7.37832 2.38 9.599e-05 7.6318e-05 0.119345 0.116725 28 3430 36 6.55708e+06 301375 500653. 1732.36 4.74 0.293365 0.285342 21310 115450 -1 2902 18 1151 3063 181567 42392 6.49978 6.49978 -160.111 -6.49978 0 0 612192. 2118.31 0.68 0.11 0.16 -1 -1 0.68 0.0152348 0.0138431 157 156 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 16.24 vpr 63.22 MiB -1 -1 0.59 21432 12 0.52 -1 -1 36400 -1 -1 24 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64740 30 32 216 248 1 168 86 17 17 289 -1 unnamed_device 24.9 MiB 0.81 981 5378 1128 4037 213 63.2 MiB 0.21 0.00 7.10558 -136.499 -7.10558 7.10558 2.45 0.000172321 0.000139139 0.181602 0.180431 28 2857 37 6.55708e+06 289320 500653. 1732.36 4.93 0.400055 0.3933 21310 115450 -1 2456 20 1059 3011 230424 69009 6.18298 6.18298 -135.053 -6.18298 0 0 612192. 2118.31 0.71 0.06 0.08 -1 -1 0.71 0.0108761 0.0096872 132 128 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 15.76 vpr 63.22 MiB -1 -1 0.79 21584 12 0.40 -1 -1 36532 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64740 32 32 236 268 1 183 86 17 17 289 -1 unnamed_device 24.9 MiB 0.88 1197 6890 1537 4796 557 63.2 MiB 0.07 0.00 6.77748 -151.802 -6.77748 6.77748 2.62 0.000185316 0.000149828 0.041666 0.0399195 28 3023 34 6.55708e+06 265210 500653. 1732.36 4.20 0.0762246 0.0698229 21310 115450 -1 2655 25 1046 2873 228066 76603 6.12952 6.12952 -149.175 -6.12952 0 0 612192. 2118.31 0.98 0.18 0.28 -1 -1 0.98 0.0227078 0.0210598 146 142 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 17.87 vpr 63.82 MiB -1 -1 0.51 21584 13 1.06 -1 -1 36496 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65348 32 32 283 315 1 223 94 17 17 289 -1 unnamed_device 25.2 MiB 0.88 1356 7123 1389 5311 423 63.8 MiB 0.07 0.00 8.23449 -171.323 -8.23449 8.23449 2.35 0.000243511 0.000198708 0.0359604 0.0342467 28 3890 40 6.55708e+06 361650 500653. 1732.36 5.65 0.205475 0.196524 21310 115450 -1 3255 17 1399 4014 246791 56259 6.96836 6.96836 -162.616 -6.96836 0 0 612192. 2118.31 0.84 0.22 0.12 -1 -1 0.84 0.0189513 0.0172631 191 189 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 29.78 vpr 64.23 MiB -1 -1 0.62 21888 14 1.04 -1 -1 36524 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65768 32 32 303 335 1 241 94 17 17 289 -1 unnamed_device 25.5 MiB 1.81 1483 9892 2515 6721 656 64.2 MiB 0.32 0.00 8.67238 -180.492 -8.67238 8.67238 2.33 0.000277293 0.000230932 0.132532 0.0125186 28 4160 43 6.55708e+06 361650 500653. 1732.36 18.60 0.399221 0.261397 21310 115450 -1 3424 19 1558 4418 249183 58613 7.71769 7.71769 -175.116 -7.71769 0 0 612192. 2118.31 0.27 0.06 0.08 -1 -1 0.27 0.0193934 0.0176474 210 209 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 17.84 vpr 63.53 MiB -1 -1 0.42 21280 11 0.77 -1 -1 36216 -1 -1 27 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65052 29 32 225 257 1 174 88 17 17 289 -1 unnamed_device 25.0 MiB 0.88 1052 8473 2221 5229 1023 63.5 MiB 0.24 0.00 6.75495 -130.804 -6.75495 6.75495 2.09 0.000186347 0.000143367 0.0568755 0.054588 26 3163 38 6.55708e+06 325485 477104. 1650.88 7.03 0.196024 0.090564 21022 109990 -1 2641 18 1106 3044 195220 44709 5.89878 5.89878 -129.109 -5.89878 0 0 585099. 2024.56 0.83 0.14 0.30 -1 -1 0.83 0.142459 0.141024 147 140 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 24.43 vpr 64.20 MiB -1 -1 0.75 22040 12 0.90 -1 -1 36384 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65740 32 32 301 333 1 230 97 17 17 289 -1 unnamed_device 25.5 MiB 1.42 1418 15859 4433 8623 2803 64.2 MiB 0.19 0.00 7.2388 -152.411 -7.2388 7.2388 2.44 0.000246247 0.000201596 0.0224514 0.0186579 38 3937 42 6.55708e+06 397815 638502. 2209.35 11.61 0.490081 0.47489 23326 155178 -1 3172 19 1543 5081 250926 58666 6.31284 6.31284 -144.952 -6.31284 0 0 851065. 2944.86 0.84 0.15 0.22 -1 -1 0.84 0.061565 0.0599219 209 207 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 14.97 vpr 63.89 MiB -1 -1 0.59 21736 14 0.65 -1 -1 36480 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65424 32 32 277 309 1 217 93 17 17 289 -1 unnamed_device 25.2 MiB 1.00 1479 5553 1093 3977 483 63.9 MiB 0.05 0.00 7.46703 -157.396 -7.46703 7.46703 2.16 0.000215532 0.000174512 0.00882704 0.0074498 30 3622 19 6.55708e+06 349595 526063. 1820.29 3.64 0.0545283 0.0418286 21886 126133 -1 3092 20 1468 4351 216807 50395 6.51004 6.51004 -150.243 -6.51004 0 0 666494. 2306.21 0.80 0.15 0.48 -1 -1 0.80 0.0171737 0.0155255 184 183 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 14.91 vpr 63.70 MiB -1 -1 0.51 21280 12 0.63 -1 -1 36152 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65228 32 32 227 259 1 178 87 17 17 289 -1 unnamed_device 24.9 MiB 1.04 1106 10647 2481 6612 1554 63.7 MiB 0.12 0.00 7.21601 -163.068 -7.21601 7.21601 2.54 0.000187149 0.000150026 0.0132073 0.0108813 30 2675 16 6.55708e+06 277265 526063. 1820.29 2.51 0.271777 0.26609 21886 126133 -1 2211 14 913 2619 128595 30382 6.0827 6.0827 -149.905 -6.0827 0 0 666494. 2306.21 0.86 0.09 0.46 -1 -1 0.86 0.0708267 0.0698649 140 133 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 13.04 vpr 63.02 MiB -1 -1 0.31 21128 10 0.42 -1 -1 36008 -1 -1 16 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64532 30 32 175 207 1 131 78 17 17 289 -1 unnamed_device 24.4 MiB 0.51 832 5390 1191 3544 655 63.0 MiB 0.17 0.00 5.518 -123.291 -5.518 5.518 2.63 0.000152041 0.000120499 0.00890047 0.00777637 26 2051 19 6.55708e+06 192880 477104. 1650.88 2.88 0.109942 0.0347068 21022 109990 -1 1867 14 674 1612 92831 22529 4.88266 4.88266 -120.898 -4.88266 0 0 585099. 2024.56 0.73 0.06 0.29 -1 -1 0.73 0.0398073 0.038899 91 87 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 14.37 vpr 63.63 MiB -1 -1 0.59 21432 13 0.45 -1 -1 36228 -1 -1 24 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65160 31 32 231 263 1 184 87 17 17 289 -1 unnamed_device 24.9 MiB 1.49 1110 11991 2880 6757 2354 63.6 MiB 0.09 0.00 6.78754 -145.779 -6.78754 6.78754 2.18 0.000196194 0.000160243 0.0160642 0.0133819 30 2893 20 6.55708e+06 289320 526063. 1820.29 2.61 0.275191 0.26788 21886 126133 -1 2396 23 1175 3120 155208 36586 6.34238 6.34238 -146.063 -6.34238 0 0 666494. 2306.21 0.86 0.33 0.20 -1 -1 0.86 0.224556 0.222885 144 140 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 17.87 vpr 64.18 MiB -1 -1 0.80 21736 13 0.98 -1 -1 37016 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65724 32 32 304 336 1 224 95 17 17 289 -1 unnamed_device 25.6 MiB 1.47 1342 7223 1422 5428 373 64.2 MiB 0.16 0.00 8.47343 -161.189 -8.47343 8.47343 2.81 0.000250521 0.000205034 0.128684 0.126853 28 3766 23 6.55708e+06 373705 500653. 1732.36 4.48 0.405878 0.398506 21310 115450 -1 3211 18 1501 4368 238128 57322 7.45116 7.45116 -159.426 -7.45116 0 0 612192. 2118.31 0.85 0.06 0.26 -1 -1 0.85 0.0183187 0.0167523 211 210 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 20.39 vpr 64.12 MiB -1 -1 0.63 21888 13 1.14 -1 -1 36612 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65660 32 32 288 320 1 221 91 17 17 289 -1 unnamed_device 25.5 MiB 1.78 1497 6415 1520 4239 656 64.1 MiB 0.07 0.00 7.98903 -167.855 -7.98903 7.98903 2.04 0.000323605 0.000262002 0.0112534 0.00944675 40 3422 18 6.55708e+06 325485 666494. 2306.21 7.61 0.198638 0.165212 23614 160646 -1 3409 19 1476 4945 367187 102218 6.7993 6.7993 -155.211 -6.7993 0 0 872365. 3018.56 0.99 0.35 0.29 -1 -1 0.99 0.156283 0.154534 194 194 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 14.77 vpr 62.78 MiB -1 -1 0.49 20824 9 0.54 -1 -1 36212 -1 -1 24 26 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64284 26 32 152 184 1 120 82 17 17 289 -1 unnamed_device 24.2 MiB 0.79 608 5066 1233 3547 286 62.8 MiB 0.02 0.00 4.88957 -92.6709 -4.88957 4.88957 2.35 0.000133007 9.854e-05 0.00499856 0.00407266 26 1805 25 6.55708e+06 289320 477104. 1650.88 3.97 0.0276024 0.0233125 21022 109990 -1 1575 17 648 1573 109617 25292 4.37994 4.37994 -93.4687 -4.37994 0 0 585099. 2024.56 0.70 0.27 0.47 -1 -1 0.70 0.00860853 0.00762772 87 76 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 16.99 vpr 63.90 MiB -1 -1 0.72 21736 13 0.87 -1 -1 36664 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65436 32 32 287 319 1 210 89 17 17 289 -1 unnamed_device 25.3 MiB 0.90 1399 12365 2949 7626 1790 63.9 MiB 0.16 0.00 7.71183 -153.087 -7.71183 7.71183 2.32 0.000240156 0.000189192 0.0726536 0.0692838 30 3944 35 6.55708e+06 301375 526063. 1820.29 5.68 0.122996 0.112997 21886 126133 -1 3008 16 1345 4034 208784 46996 6.6837 6.6837 -146.667 -6.6837 0 0 666494. 2306.21 0.67 0.21 0.25 -1 -1 0.67 0.0656513 0.0642845 193 193 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 15.13 vpr 62.72 MiB -1 -1 0.42 20976 8 0.55 -1 -1 36284 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64228 32 32 154 186 1 120 80 17 17 289 -1 unnamed_device 24.3 MiB 0.38 748 11432 3918 5733 1781 62.7 MiB 0.14 0.00 4.04251 -94.2802 -4.04251 4.04251 2.74 5.5568e-05 4.227e-05 0.00616164 0.00489562 26 1864 42 6.55708e+06 192880 477104. 1650.88 3.68 0.184232 0.178598 21022 109990 -1 1673 21 698 1622 148867 52760 3.61128 3.61128 -96.2364 -3.61128 0 0 585099. 2024.56 0.84 0.17 0.29 -1 -1 0.84 0.00892278 0.00792332 77 60 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 20.32 vpr 63.86 MiB -1 -1 0.41 21432 15 0.78 -1 -1 36360 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65392 32 32 254 286 1 199 92 17 17 289 -1 unnamed_device 25.2 MiB 1.11 1245 4853 801 3926 126 63.9 MiB 0.21 0.00 8.34392 -162.215 -8.34392 8.34392 2.94 0.000228798 0.000182974 0.00810016 0.00680192 36 3046 29 6.55708e+06 337540 612192. 2118.31 8.11 0.292767 0.191697 22750 144809 -1 2703 17 1198 3396 181585 42343 7.3199 7.3199 -156.187 -7.3199 0 0 782063. 2706.10 0.80 0.26 0.22 -1 -1 0.80 0.143782 0.142284 165 160 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 18.30 vpr 63.91 MiB -1 -1 0.47 21736 13 0.83 -1 -1 36524 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65448 32 32 260 292 1 207 90 17 17 289 -1 unnamed_device 25.2 MiB 1.06 1269 7326 1600 4999 727 63.9 MiB 0.12 0.00 6.99575 -156.984 -6.99575 6.99575 2.56 0.000272806 0.000225815 0.0536456 0.0516748 38 2907 28 6.55708e+06 313430 638502. 2209.35 5.81 0.438504 0.360134 23326 155178 -1 2573 15 1095 3179 157613 36582 6.05878 6.05878 -145.261 -6.05878 0 0 851065. 2944.86 1.10 0.39 0.42 -1 -1 1.10 0.0152471 0.0136767 168 166 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 17.94 vpr 64.05 MiB -1 -1 0.59 21736 13 0.92 -1 -1 36404 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65588 32 32 279 311 1 212 93 17 17 289 -1 unnamed_device 25.3 MiB 0.97 1254 7023 1455 4777 791 64.1 MiB 0.12 0.00 7.90752 -158.46 -7.90752 7.90752 2.44 0.00024355 0.000200794 0.0840947 0.0823705 28 3963 35 6.55708e+06 349595 500653. 1732.36 5.47 0.278187 0.270082 21310 115450 -1 3108 24 1793 5650 321202 75149 6.97296 6.97296 -156.634 -6.97296 0 0 612192. 2118.31 0.90 0.16 0.27 -1 -1 0.90 0.0206062 0.0184945 187 185 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 23.86 vpr 63.64 MiB -1 -1 0.94 21432 12 0.59 -1 -1 36216 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65164 32 32 238 270 1 189 87 17 17 289 -1 unnamed_device 24.9 MiB 0.86 1268 6039 1228 4546 265 63.6 MiB 0.05 0.00 6.67895 -148.946 -6.67895 6.67895 2.35 0.000190361 0.000155114 0.0240746 0.0226558 34 3542 37 6.55708e+06 277265 585099. 2024.56 12.51 0.114243 0.103952 22462 138074 -1 2861 18 1194 3516 235049 51260 5.84732 5.84732 -144.45 -5.84732 0 0 742403. 2568.87 0.86 0.09 0.44 -1 -1 0.86 0.0146786 0.0133221 147 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 15.82 vpr 63.39 MiB -1 -1 0.46 21280 11 0.52 -1 -1 36216 -1 -1 23 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64916 30 32 213 245 1 165 85 17 17 289 -1 unnamed_device 24.7 MiB 0.59 950 9199 2047 6588 564 63.4 MiB 0.30 0.00 6.46258 -131.985 -6.46258 6.46258 2.18 0.000175295 0.000140354 0.236808 0.234731 26 2917 23 6.55708e+06 277265 477104. 1650.88 5.71 0.338338 0.331592 21022 109990 -1 2253 16 947 2456 142297 33659 5.84932 5.84932 -128.893 -5.84932 0 0 585099. 2024.56 0.73 0.08 0.32 -1 -1 0.73 0.00708358 0.00648819 131 125 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 17.35 vpr 63.62 MiB -1 -1 0.58 21584 11 0.74 -1 -1 36388 -1 -1 28 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65144 28 32 227 259 1 175 88 17 17 289 -1 unnamed_device 25.0 MiB 1.17 1027 5548 1148 3763 637 63.6 MiB 0.04 0.00 6.55329 -127.208 -6.55329 6.55329 2.60 0.000191834 0.000156447 0.00804838 0.00670483 24 3402 39 6.55708e+06 337540 448715. 1552.65 4.50 0.0818455 0.0748876 20734 103517 -1 2645 17 1143 3034 196743 45248 6.18298 6.18298 -132.987 -6.18298 0 0 554710. 1919.41 0.50 0.17 0.50 -1 -1 0.50 0.00923821 0.0083866 150 145 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 14.71 vpr 63.95 MiB -1 -1 0.77 21280 12 0.95 -1 -1 36912 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65488 32 32 274 306 1 206 90 17 17 289 -1 unnamed_device 25.2 MiB 0.87 1316 8331 2003 5453 875 64.0 MiB 0.25 0.00 7.36014 -161.452 -7.36014 7.36014 1.87 0.000225881 0.000179942 0.0117248 0.00968874 28 3531 24 6.55708e+06 313430 500653. 1732.36 3.73 0.100884 0.0927577 21310 115450 -1 3128 17 1351 3514 217723 49912 6.51204 6.51204 -165.193 -6.51204 0 0 612192. 2118.31 0.62 0.31 0.18 -1 -1 0.62 0.22437 0.223004 181 180 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 16.32 vpr 63.64 MiB -1 -1 0.61 21280 12 0.81 -1 -1 36220 -1 -1 23 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65168 31 32 237 269 1 179 86 17 17 289 -1 unnamed_device 24.9 MiB 2.05 1054 7268 1685 4837 746 63.6 MiB 0.11 0.00 7.17381 -146.631 -7.17381 7.17381 2.27 0.000214669 0.000167288 0.0102319 0.00840821 28 2898 38 6.55708e+06 277265 500653. 1732.36 4.27 0.0536111 0.0458587 21310 115450 -1 2411 16 1060 2835 167693 41951 6.1239 6.1239 -140.345 -6.1239 0 0 612192. 2118.31 0.72 0.10 0.15 -1 -1 0.72 0.0917908 0.0911649 149 146 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 14.96 vpr 63.23 MiB -1 -1 0.67 21432 10 0.58 -1 -1 36280 -1 -1 22 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64748 29 32 220 252 1 163 83 17 17 289 -1 unnamed_device 24.7 MiB 0.75 1026 7463 1753 4811 899 63.2 MiB 0.08 0.00 5.795 -123.2 -5.795 5.795 2.57 0.000183162 0.000148206 0.0104414 0.00867176 28 2799 27 6.55708e+06 265210 500653. 1732.36 4.49 0.343749 0.337303 21310 115450 -1 2318 15 862 2491 156851 35739 5.15966 5.15966 -121.068 -5.15966 0 0 612192. 2118.31 0.79 0.11 0.17 -1 -1 0.79 0.0102541 0.00927236 137 135 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 17.41 vpr 64.05 MiB -1 -1 0.82 22192 13 0.90 -1 -1 36856 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65588 32 32 315 347 1 239 95 17 17 289 -1 unnamed_device 25.5 MiB 1.36 1543 8303 1822 5923 558 64.1 MiB 0.24 0.00 7.75344 -163.706 -7.75344 7.75344 2.25 0.000265666 0.000212728 0.132232 0.130695 30 3739 28 6.55708e+06 373705 526063. 1820.29 5.42 0.498812 0.491204 21886 126133 -1 3152 19 1483 4706 232308 53814 6.7595 6.7595 -157.725 -6.7595 0 0 666494. 2306.21 0.78 0.29 0.28 -1 -1 0.78 0.0205875 0.0187715 221 221 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 20.13 vpr 64.11 MiB -1 -1 0.56 22192 14 1.03 -1 -1 36796 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65652 32 32 282 314 1 220 92 17 17 289 -1 unnamed_device 25.3 MiB 1.50 1334 6095 1239 4218 638 64.1 MiB 0.07 0.00 7.39355 -163.567 -7.39355 7.39355 2.47 0.000234887 0.000189243 0.0104702 0.00869405 36 3537 22 6.55708e+06 337540 612192. 2118.31 6.93 0.25808 0.246451 22750 144809 -1 3005 17 1412 4093 215219 50717 6.65518 6.65518 -154.201 -6.65518 0 0 782063. 2706.10 1.21 0.29 0.23 -1 -1 1.21 0.0526924 0.0510832 191 188 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 14.55 vpr 63.66 MiB -1 -1 0.50 21584 12 0.28 -1 -1 36256 -1 -1 29 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65188 31 32 241 273 1 189 92 17 17 289 -1 unnamed_device 25.0 MiB 0.59 1163 7958 1799 5552 607 63.7 MiB 0.02 0.00 7.47368 -147.272 -7.47368 7.47368 2.74 9.2641e-05 7.5569e-05 0.00750833 0.00669275 30 2894 20 6.55708e+06 349595 526063. 1820.29 3.31 0.038813 0.0338137 21886 126133 -1 2412 14 945 2660 131405 30625 6.4819 6.4819 -139.307 -6.4819 0 0 666494. 2306.21 0.88 0.15 0.16 -1 -1 0.88 0.0119178 0.0108661 156 150 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 15.73 vpr 63.97 MiB -1 -1 0.52 22040 12 0.79 -1 -1 36540 -1 -1 33 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65504 31 32 307 339 1 235 96 17 17 289 -1 unnamed_device 25.5 MiB 1.36 1468 11922 3374 7097 1451 64.0 MiB 0.15 0.00 7.8013 -160.233 -7.8013 7.8013 2.45 0.000122797 9.9447e-05 0.0095185 0.00773894 30 3747 34 6.55708e+06 397815 526063. 1820.29 3.74 0.064967 0.0565504 21886 126133 -1 3088 18 1392 3987 196092 45720 6.7601 6.7601 -154.181 -6.7601 0 0 666494. 2306.21 0.88 0.22 0.33 -1 -1 0.88 0.0714129 0.0698807 218 216 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 16.46 vpr 64.17 MiB -1 -1 0.67 22192 14 1.41 -1 -1 36384 -1 -1 29 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65708 31 32 293 325 1 224 92 17 17 289 -1 unnamed_device 25.5 MiB 1.02 1386 14996 4276 8243 2477 64.2 MiB 0.18 0.00 8.29295 -162.632 -8.29295 8.29295 1.99 0.000266032 0.000221603 0.0212762 0.0174012 30 3602 23 6.55708e+06 349595 526063. 1820.29 4.52 0.175185 0.165434 21886 126133 -1 2932 17 1464 4453 204275 49023 7.4421 7.4421 -155.552 -7.4421 0 0 666494. 2306.21 1.05 0.03 0.67 -1 -1 1.05 0.00948041 0.00856926 202 202 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 19.06 vpr 63.71 MiB -1 -1 0.83 22040 13 1.12 -1 -1 36368 -1 -1 28 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65240 31 32 276 308 1 223 91 17 17 289 -1 unnamed_device 25.2 MiB 1.02 1440 7639 1723 5234 682 63.7 MiB 0.23 0.00 7.87383 -161.518 -7.87383 7.87383 2.54 0.000226965 0.000177067 0.0506055 0.0484879 36 3587 30 6.55708e+06 337540 612192. 2118.31 6.56 0.335546 0.323666 22750 144809 -1 3109 20 1413 4024 228012 52562 6.82884 6.82884 -152.617 -6.82884 0 0 782063. 2706.10 0.73 0.31 0.34 -1 -1 0.73 0.0184968 0.0167916 185 185 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 17.21 vpr 63.46 MiB -1 -1 0.76 21584 13 0.62 -1 -1 36512 -1 -1 26 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64980 31 32 269 301 1 203 89 17 17 289 -1 unnamed_device 24.9 MiB 1.62 1318 11375 3119 6567 1689 63.5 MiB 0.18 0.00 7.15329 -140.404 -7.15329 7.15329 2.07 0.000208219 0.00016891 0.069416 0.0667563 30 3610 35 6.55708e+06 313430 526063. 1820.29 5.92 0.118316 0.108947 21886 126133 -1 2841 17 1212 4040 206173 47346 6.10964 6.10964 -133.356 -6.10964 0 0 666494. 2306.21 0.82 0.14 0.24 -1 -1 0.82 0.016796 0.0154272 179 178 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 14.96 vpr 63.80 MiB -1 -1 0.64 21736 12 0.51 -1 -1 36504 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65328 32 32 264 296 1 196 88 17 17 289 -1 unnamed_device 25.2 MiB 0.72 1298 13348 3331 7818 2199 63.8 MiB 0.38 0.00 7.05352 -145.133 -7.05352 7.05352 2.61 9.3972e-05 7.6073e-05 0.225936 0.223059 30 2991 25 6.55708e+06 289320 526063. 1820.29 3.09 0.278448 0.2707 21886 126133 -1 2438 15 1115 3199 150136 35932 6.21758 6.21758 -138.799 -6.21758 0 0 666494. 2306.21 1.08 0.02 0.43 -1 -1 1.08 0.00859725 0.00797514 171 170 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 31.49 vpr 64.26 MiB -1 -1 0.57 22648 14 1.69 -1 -1 37236 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65800 32 32 324 356 1 249 95 17 17 289 -1 unnamed_device 25.8 MiB 1.84 1672 6791 1273 5168 350 64.3 MiB 0.10 0.00 8.30332 -177.675 -8.30332 8.30332 2.29 0.000257115 0.000209385 0.0605124 0.0586785 36 4717 39 6.55708e+06 373705 612192. 2118.31 17.89 0.150156 0.13635 22750 144809 -1 3779 17 1578 5239 295382 66337 6.80696 6.80696 -165.263 -6.80696 0 0 782063. 2706.10 1.14 0.18 0.49 -1 -1 1.14 0.0681389 0.0664953 230 230 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 16.21 vpr 63.78 MiB -1 -1 0.36 21432 11 0.56 -1 -1 36288 -1 -1 26 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65312 31 32 249 281 1 192 89 17 17 289 -1 unnamed_device 25.0 MiB 1.07 1193 12761 3444 7164 2153 63.8 MiB 0.06 0.00 6.7976 -143.12 -6.7976 6.7976 2.55 0.000214399 0.000174452 0.0168284 0.0137851 30 3532 31 6.55708e+06 313430 526063. 1820.29 4.66 0.0530741 0.0450625 21886 126133 -1 2773 16 1151 3356 185089 44645 6.14118 6.14118 -140.277 -6.14118 0 0 666494. 2306.21 1.17 0.24 0.21 -1 -1 1.17 0.218687 0.218009 163 158 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 29.73 vpr 64.07 MiB -1 -1 0.67 21584 13 1.18 -1 -1 37116 -1 -1 28 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65612 31 32 284 316 1 206 91 17 17 289 -1 unnamed_device 25.3 MiB 1.12 1353 4987 879 3661 447 64.1 MiB 0.20 0.00 8.21906 -157.673 -8.21906 8.21906 2.60 0.000250076 0.000208563 0.00876377 0.00744684 30 3230 17 6.55708e+06 337540 526063. 1820.29 16.21 0.772674 0.759543 21886 126133 -1 2967 17 1184 3879 195213 44958 7.17156 7.17156 -150.888 -7.17156 0 0 666494. 2306.21 1.14 0.19 0.24 -1 -1 1.14 0.0171481 0.0156243 193 193 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 34.50 vpr 64.04 MiB -1 -1 0.55 21736 12 0.78 -1 -1 36540 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65576 32 32 303 335 1 222 93 17 17 289 -1 unnamed_device 25.5 MiB 1.50 1498 9753 2481 6035 1237 64.0 MiB 0.13 0.00 7.14558 -152.066 -7.14558 7.14558 2.43 0.000231845 0.00018863 0.0144424 0.0120127 38 3600 24 6.55708e+06 349595 638502. 2209.35 22.01 0.256602 0.237007 23326 155178 -1 3037 27 1318 4614 456379 202726 6.43304 6.43304 -143.219 -6.43304 0 0 851065. 2944.86 0.97 0.35 0.25 -1 -1 0.97 0.056061 0.0540156 210 209 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 19.32 vpr 64.02 MiB -1 -1 0.71 21432 13 0.86 -1 -1 36500 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65552 32 32 272 304 1 203 93 17 17 289 -1 unnamed_device 25.3 MiB 0.89 1297 6813 1586 4608 619 64.0 MiB 0.09 0.00 7.48135 -156.262 -7.48135 7.48135 2.51 0.000234673 0.000194611 0.0106816 0.00890808 28 3823 45 6.55708e+06 349595 500653. 1732.36 6.71 0.0599986 0.051646 21310 115450 -1 3148 50 2968 10537 948366 364515 6.70864 6.70864 -156.965 -6.70864 0 0 612192. 2118.31 1.16 0.62 0.19 -1 -1 1.16 0.222713 0.219997 183 178 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 17.04 vpr 63.94 MiB -1 -1 0.79 21736 13 0.81 -1 -1 36676 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65476 32 32 271 303 1 212 90 17 17 289 -1 unnamed_device 25.2 MiB 1.09 1336 8733 2203 5909 621 63.9 MiB 0.17 0.00 7.1624 -156.518 -7.1624 7.1624 2.75 0.00023066 0.000188295 0.0131387 0.0109622 30 3329 42 6.55708e+06 313430 526063. 1820.29 4.71 0.202045 0.193331 21886 126133 -1 2751 17 1169 3376 161624 38182 6.13918 6.13918 -146.674 -6.13918 0 0 666494. 2306.21 0.64 0.19 0.37 -1 -1 0.64 0.162788 0.161418 178 177 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 24.77 vpr 64.28 MiB -1 -1 1.07 21584 12 0.81 -1 -1 36388 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65824 32 32 288 320 1 223 94 17 17 289 -1 unnamed_device 25.6 MiB 1.36 1360 10531 2375 7022 1134 64.3 MiB 0.20 0.00 7.39995 -157.446 -7.39995 7.39995 2.36 0.000239352 0.000191892 0.0797961 0.0770977 34 3908 49 6.55708e+06 361650 585099. 2024.56 10.61 0.198538 0.184351 22462 138074 -1 3165 29 1382 4606 460541 182677 6.65918 6.65918 -152.88 -6.65918 0 0 742403. 2568.87 1.20 0.47 0.24 -1 -1 1.20 0.133076 0.130756 197 194 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 22.30 vpr 64.08 MiB -1 -1 0.74 22040 13 0.93 -1 -1 37212 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65620 32 32 306 338 1 233 95 17 17 289 -1 unnamed_device 25.3 MiB 1.31 1514 8087 1653 5822 612 64.1 MiB 0.27 0.00 7.8424 -163.45 -7.8424 7.8424 2.74 0.000264498 0.000217875 0.0132645 0.0110633 36 3909 42 6.55708e+06 373705 612192. 2118.31 10.15 0.270623 0.246172 22750 144809 -1 3194 16 1545 4822 245446 57058 7.03004 7.03004 -158.179 -7.03004 0 0 782063. 2706.10 0.91 0.06 0.44 -1 -1 0.91 0.0134039 0.0123141 212 212 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 19.07 vpr 63.90 MiB -1 -1 0.66 21432 14 1.30 -1 -1 36368 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65436 32 32 262 294 1 191 88 17 17 289 -1 unnamed_device 25.2 MiB 0.66 1270 6328 1321 4213 794 63.9 MiB 0.09 0.00 8.25686 -165.075 -8.25686 8.25686 2.45 0.000215573 0.000167457 0.0102148 0.00840408 36 3201 36 6.55708e+06 289320 612192. 2118.31 6.64 0.163844 0.152244 22750 144809 -1 2629 17 1114 3456 183339 42268 7.17216 7.17216 -154.805 -7.17216 0 0 782063. 2706.10 1.10 0.17 0.38 -1 -1 1.10 0.0150727 0.013776 168 168 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 19.31 vpr 64.12 MiB -1 -1 0.68 21584 13 1.02 -1 -1 36948 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65656 32 32 291 323 1 224 94 17 17 289 -1 unnamed_device 25.5 MiB 1.08 1492 5845 1128 4445 272 64.1 MiB 0.12 0.00 8.14181 -162.894 -8.14181 8.14181 2.88 0.000305495 0.000206887 0.0109095 0.00917872 30 3707 27 6.55708e+06 361650 526063. 1820.29 5.69 0.167922 0.159794 21886 126133 -1 3113 28 1502 4504 339845 128741 6.93376 6.93376 -156.281 -6.93376 0 0 666494. 2306.21 0.88 0.19 0.32 -1 -1 0.88 0.134558 0.132516 198 197 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 23.19 vpr 64.18 MiB -1 -1 1.08 22040 13 0.88 -1 -1 36480 -1 -1 31 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65720 31 32 302 334 1 235 94 17 17 289 -1 unnamed_device 25.6 MiB 0.98 1346 6910 1355 5304 251 64.2 MiB 0.12 0.00 7.86512 -160.397 -7.86512 7.86512 2.22 0.00027271 0.000225967 0.0116621 0.00977571 36 3748 41 6.55708e+06 373705 612192. 2118.31 10.67 0.519042 0.505558 22750 144809 -1 3175 17 1501 4433 254001 59081 6.8411 6.8411 -154.25 -6.8411 0 0 782063. 2706.10 1.17 0.20 0.27 -1 -1 1.17 0.0185331 0.0170229 213 211 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 16.21 vpr 64.22 MiB -1 -1 0.67 22192 12 1.31 -1 -1 36540 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65764 32 32 308 340 1 238 97 17 17 289 -1 unnamed_device 25.5 MiB 0.96 1434 5869 1120 4385 364 64.2 MiB 0.26 0.00 7.65261 -158.289 -7.65261 7.65261 2.82 0.000263311 0.000207925 0.0103096 0.00864459 30 3474 18 6.55708e+06 397815 526063. 1820.29 3.65 0.156344 0.040551 21886 126133 -1 3042 18 1420 3930 177067 43130 6.71064 6.71064 -152.19 -6.71064 0 0 666494. 2306.21 0.72 0.27 0.24 -1 -1 0.72 0.0152312 0.0139051 216 214 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 16.28 vpr 63.12 MiB -1 -1 0.70 21432 11 0.49 -1 -1 36216 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64640 32 32 216 248 1 160 82 17 17 289 -1 unnamed_device 24.7 MiB 0.82 938 4354 809 3198 347 63.1 MiB 0.26 0.00 6.12166 -126.096 -6.12166 6.12166 2.58 0.000171101 0.000136213 0.00610813 0.00510208 26 2897 47 6.55708e+06 216990 477104. 1650.88 5.12 0.248103 0.241724 21022 109990 -1 2246 24 996 2582 215122 70691 5.29012 5.29012 -126.877 -5.29012 0 0 585099. 2024.56 0.84 0.07 0.30 -1 -1 0.84 0.0237992 0.0118264 125 122 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 16.99 vpr 63.66 MiB -1 -1 0.54 21584 13 0.65 -1 -1 36168 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65184 32 32 254 286 1 194 88 17 17 289 -1 unnamed_device 25.0 MiB 1.17 1244 6913 1456 4785 672 63.7 MiB 0.31 0.00 7.60641 -160.262 -7.60641 7.60641 2.39 0.000259354 0.000220131 0.00774441 0.00638308 30 2996 21 6.55708e+06 289320 526063. 1820.29 3.17 0.0450548 0.0388259 21886 126133 -1 2594 16 1049 3039 145132 34838 6.38724 6.38724 -146.837 -6.38724 0 0 666494. 2306.21 1.29 0.41 0.27 -1 -1 1.29 0.379267 0.337094 161 160 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 18.90 vpr 64.51 MiB -1 -1 0.91 22040 14 1.68 -1 -1 36812 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66056 32 32 338 370 1 252 97 17 17 289 -1 unnamed_device 25.8 MiB 1.02 1644 9865 2502 6499 864 64.5 MiB 0.17 0.00 8.53135 -177.728 -8.53135 8.53135 2.66 0.000277105 0.000229784 0.121284 0.118597 30 4291 29 6.55708e+06 397815 526063. 1820.29 6.73 0.418134 0.408261 21886 126133 -1 3602 17 1729 5456 262006 60865 7.3591 7.3591 -165.422 -7.3591 0 0 666494. 2306.21 0.96 0.24 0.19 -1 -1 0.96 0.0203461 0.0186193 245 244 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 20.65 vpr 64.07 MiB -1 -1 0.69 22040 13 1.12 -1 -1 36524 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65604 32 32 271 303 1 212 91 17 17 289 -1 unnamed_device 25.3 MiB 1.23 1387 8863 2093 6011 759 64.1 MiB 0.16 0.00 7.926 -171.401 -7.926 7.926 2.23 0.000224463 0.000185258 0.0770665 0.0107625 36 3165 17 6.55708e+06 325485 612192. 2118.31 8.13 0.418835 0.343493 22750 144809 -1 2855 15 1125 3293 180680 41408 6.9587 6.9587 -159.721 -6.9587 0 0 782063. 2706.10 1.00 0.21 0.48 -1 -1 1.00 0.0159113 0.014596 178 177 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 23.65 vpr 63.25 MiB -1 -1 0.61 21432 11 0.98 -1 -1 36708 -1 -1 23 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64768 30 32 224 256 1 165 85 17 17 289 -1 unnamed_device 24.7 MiB 0.57 1027 8641 2218 5647 776 63.2 MiB 0.08 0.00 6.67134 -137.606 -6.67134 6.67134 2.52 0.000185245 0.000151747 0.0487789 0.0468227 30 2408 35 6.55708e+06 277265 526063. 1820.29 12.15 0.462091 0.211644 21886 126133 -1 2006 16 836 2500 120266 28573 5.92772 5.92772 -132.802 -5.92772 0 0 666494. 2306.21 0.73 0.06 0.37 -1 -1 0.73 0.039015 0.0379287 139 136 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 22.35 vpr 63.96 MiB -1 -1 0.62 22496 15 2.30 -1 -1 36816 -1 -1 34 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65492 32 32 351 383 1 268 98 17 17 289 -1 unnamed_device 25.9 MiB 0.83 1739 8198 1861 5696 641 64.0 MiB 0.08 0.00 9.48099 -183.773 -9.48099 9.48099 2.27 0.000393793 0.000326572 0.0152582 0.0129286 30 4842 45 6.55708e+06 409870 526063. 1820.29 9.12 0.0878706 0.0762725 21886 126133 -1 3846 19 2218 7365 378356 84596 8.21781 8.21781 -174.493 -8.21781 0 0 666494. 2306.21 0.69 0.23 0.10 -1 -1 0.69 0.04138 0.039349 257 257 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 19.59 vpr 63.97 MiB -1 -1 0.64 21888 13 0.97 -1 -1 36348 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65508 32 32 297 329 1 215 92 17 17 289 -1 unnamed_device 25.5 MiB 1.06 1439 6509 1332 4682 495 64.0 MiB 0.08 0.00 8.18652 -164.372 -8.18652 8.18652 2.34 0.000239808 0.000197306 0.0110964 0.00935483 28 3956 45 6.55708e+06 337540 500653. 1732.36 8.19 0.210958 0.201628 21310 115450 -1 3396 19 1656 5038 310374 70106 7.4441 7.4441 -166.884 -7.4441 0 0 612192. 2118.31 0.67 0.25 0.30 -1 -1 0.67 0.24297 0.242014 203 203 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 14.49 vpr 63.32 MiB -1 -1 0.77 21128 11 0.50 -1 -1 36244 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64840 32 32 231 263 1 176 86 17 17 289 -1 unnamed_device 24.7 MiB 0.97 1055 6512 1402 4966 144 63.3 MiB 0.17 0.00 6.21838 -133.407 -6.21838 6.21838 2.46 0.000180908 0.000144444 0.121739 0.12007 28 3026 28 6.55708e+06 265210 500653. 1732.36 3.42 0.281054 0.274966 21310 115450 -1 2445 16 1058 2966 177272 41624 5.68992 5.68992 -134.582 -5.68992 0 0 612192. 2118.31 0.73 0.11 0.35 -1 -1 0.73 0.0124398 0.0113336 141 137 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 19.76 vpr 64.24 MiB -1 -1 0.71 21736 12 1.04 -1 -1 36320 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65780 32 32 305 337 1 231 94 17 17 289 -1 unnamed_device 25.5 MiB 1.78 1408 8188 1789 5868 531 64.2 MiB 0.16 0.00 7.58418 -153.318 -7.58418 7.58418 2.65 0.000273585 0.000227731 0.014541 0.0122114 30 3802 49 6.55708e+06 361650 526063. 1820.29 6.02 0.0697067 0.0596566 21886 126133 -1 3014 16 1306 4296 205583 47349 6.8823 6.8823 -149.116 -6.8823 0 0 666494. 2306.21 1.01 0.11 0.27 -1 -1 1.01 0.0180854 0.0166347 213 211 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 30.15 vpr 63.76 MiB -1 -1 0.49 21432 12 0.58 -1 -1 36228 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65288 32 32 243 275 1 186 90 17 17 289 -1 unnamed_device 25.0 MiB 0.99 1181 8733 2191 5537 1005 63.8 MiB 0.10 0.00 7.34198 -153.7 -7.34198 7.34198 2.27 0.000284073 0.000245447 0.0134034 0.0108118 28 3451 25 6.55708e+06 313430 500653. 1732.36 18.65 0.1461 0.131578 21310 115450 -1 2819 17 1129 3314 185185 43189 6.47284 6.47284 -151.063 -6.47284 0 0 612192. 2118.31 0.74 0.24 0.29 -1 -1 0.74 0.171431 0.17017 153 149 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 16.90 vpr 63.52 MiB -1 -1 0.58 21584 12 0.70 -1 -1 36352 -1 -1 21 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65040 30 32 228 260 1 161 83 17 17 289 -1 unnamed_device 24.7 MiB 0.52 977 10163 2498 5973 1692 63.5 MiB 0.12 0.00 7.03389 -140.137 -7.03389 7.03389 2.89 0.000180603 0.00014552 0.0821045 0.0110786 26 2674 45 6.55708e+06 253155 477104. 1650.88 5.31 0.33595 0.144389 21022 109990 -1 2235 19 900 2564 151191 35379 6.23184 6.23184 -136.811 -6.23184 0 0 585099. 2024.56 1.27 0.14 0.29 -1 -1 1.27 0.0141995 0.0129324 140 140 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 15.57 vpr 64.05 MiB -1 -1 0.70 21736 12 1.03 -1 -1 36356 -1 -1 31 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65584 29 32 275 307 1 206 92 17 17 289 -1 unnamed_device 25.3 MiB 0.72 1286 8165 1985 5620 560 64.0 MiB 0.19 0.00 6.66378 -127.805 -6.66378 6.66378 2.21 0.000265504 0.000223022 0.157749 0.114161 30 3497 38 6.55708e+06 373705 526063. 1820.29 4.02 0.206106 0.155828 21886 126133 -1 2685 18 1204 3985 199862 45719 5.82238 5.82238 -124.619 -5.82238 0 0 666494. 2306.21 0.81 0.16 0.37 -1 -1 0.81 0.0498197 0.0483227 191 190 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 20.60 vpr 64.41 MiB -1 -1 0.67 21736 13 0.86 -1 -1 36380 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65960 32 32 330 362 1 256 97 17 17 289 -1 unnamed_device 25.6 MiB 1.80 1575 8089 1792 5648 649 64.4 MiB 0.31 0.13 8.199 -173.718 -8.199 8.199 2.81 0.129128 0.129066 0.14304 0.140801 30 4366 46 6.55708e+06 397815 526063. 1820.29 6.44 0.41256 0.402106 21886 126133 -1 3389 25 1746 4854 343466 119381 7.16956 7.16956 -166.873 -7.16956 0 0 666494. 2306.21 0.85 0.25 0.25 -1 -1 0.85 0.125728 0.124294 238 236 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 21.88 vpr 63.96 MiB -1 -1 0.66 21736 12 0.61 -1 -1 36516 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65492 32 32 290 322 1 220 96 17 17 289 -1 unnamed_device 25.3 MiB 1.33 1304 13674 3198 8447 2029 64.0 MiB 0.23 0.00 7.70392 -150.607 -7.70392 7.70392 2.62 0.000287756 0.000243993 0.0156864 0.0131775 36 3558 36 6.55708e+06 385760 612192. 2118.31 10.03 0.140172 0.0835049 22750 144809 -1 2907 21 1684 5666 329352 73961 6.79104 6.79104 -144.906 -6.79104 0 0 782063. 2706.10 0.97 0.18 0.37 -1 -1 0.97 0.0190456 0.0172129 200 196 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 17.17 vpr 63.38 MiB -1 -1 0.28 21584 12 0.72 -1 -1 36536 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64904 32 32 214 246 1 164 84 17 17 289 -1 unnamed_device 24.7 MiB 1.82 1050 7587 1832 5326 429 63.4 MiB 0.08 0.00 6.64951 -139.656 -6.64951 6.64951 2.79 0.000174859 0.000141086 0.00982881 0.00812266 28 2914 43 6.55708e+06 241100 500653. 1732.36 5.09 0.0518456 0.0444756 21310 115450 -1 2569 21 989 2719 224458 66094 5.72972 5.72972 -139.691 -5.72972 0 0 612192. 2118.31 0.61 0.45 0.24 -1 -1 0.61 0.405671 0.40421 126 120 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 17.94 vpr 63.74 MiB -1 -1 0.74 21432 12 0.86 -1 -1 36188 -1 -1 24 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65268 31 32 244 276 1 182 87 17 17 289 -1 unnamed_device 25.0 MiB 1.21 1153 6039 1205 4356 478 63.7 MiB 0.14 0.00 6.87029 -138.197 -6.87029 6.87029 2.28 0.000202327 0.000164137 0.00945293 0.00786813 28 3361 44 6.55708e+06 289320 500653. 1732.36 6.30 0.154211 0.146761 21310 115450 -1 2772 17 1156 3559 199622 46561 6.42138 6.42138 -144.612 -6.42138 0 0 612192. 2118.31 0.72 0.11 0.13 -1 -1 0.72 0.0136977 0.012436 154 153 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 19.58 vpr 63.81 MiB -1 -1 0.74 21280 11 0.73 -1 -1 36512 -1 -1 30 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65344 30 32 276 308 1 210 92 17 17 289 -1 unnamed_device 25.2 MiB 0.43 1269 13340 3337 7820 2183 63.8 MiB 0.20 0.00 6.87709 -132.09 -6.87709 6.87709 2.44 0.000243462 0.000198361 0.0204075 0.0170615 36 3124 36 6.55708e+06 361650 612192. 2118.31 7.91 0.381597 0.163625 22750 144809 -1 2680 15 1122 3678 200840 45836 6.15344 6.15344 -128.496 -6.15344 0 0 782063. 2706.10 1.16 0.19 0.28 -1 -1 1.16 0.162084 0.014053 190 188 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 18.52 vpr 63.81 MiB -1 -1 0.59 21432 11 0.46 -1 -1 36348 -1 -1 27 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65340 28 32 253 285 1 181 87 17 17 289 -1 unnamed_device 25.2 MiB 0.65 1130 7959 1993 5244 722 63.8 MiB 0.13 0.00 6.52095 -121.312 -6.52095 6.52095 2.30 0.000215182 0.000169722 0.0966236 0.0946183 28 3267 50 6.55708e+06 325485 500653. 1732.36 7.89 0.302792 0.133017 21310 115450 -1 2607 21 1291 4624 265920 59926 6.02298 6.02298 -121.386 -6.02298 0 0 612192. 2118.31 0.70 0.25 0.21 -1 -1 0.70 0.094331 0.0927083 172 171 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 27.39 vpr 63.68 MiB -1 -1 0.81 21584 13 0.61 -1 -1 36484 -1 -1 25 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65208 30 32 235 267 1 174 87 17 17 289 -1 unnamed_device 25.2 MiB 0.84 1062 5655 1187 4180 288 63.7 MiB 0.22 0.00 7.51524 -139.468 -7.51524 7.51524 2.18 9.3136e-05 7.5513e-05 0.00720594 0.00606239 30 2774 25 6.55708e+06 301375 526063. 1820.29 16.46 0.20291 0.175792 21886 126133 -1 2453 31 1002 3188 344719 155581 6.43104 6.43104 -133.612 -6.43104 0 0 666494. 2306.21 0.90 0.26 0.21 -1 -1 0.90 0.0172822 0.0153141 148 147 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 17.61 vpr 63.89 MiB -1 -1 0.57 21584 12 0.66 -1 -1 36704 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65420 32 32 264 296 1 207 92 17 17 289 -1 unnamed_device 25.2 MiB 0.71 1223 6923 1491 4662 770 63.9 MiB 0.08 0.00 7.37241 -157.796 -7.37241 7.37241 2.53 0.000235551 0.000191972 0.0108155 0.00907941 28 3438 19 6.55708e+06 337540 500653. 1732.36 6.57 0.0505002 0.0437114 21310 115450 -1 2927 15 1236 3365 193788 45715 6.4427 6.4427 -155.406 -6.4427 0 0 612192. 2118.31 0.62 0.15 0.40 -1 -1 0.62 0.0215211 0.0203 174 170 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 19.66 vpr 64.04 MiB -1 -1 0.80 21584 13 1.13 -1 -1 36712 -1 -1 27 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65572 31 32 278 310 1 202 90 17 17 289 -1 unnamed_device 25.3 MiB 1.16 1253 5919 1160 4308 451 64.0 MiB 0.02 0.00 8.10858 -155.032 -8.10858 8.10858 2.93 9.9363e-05 8.0103e-05 0.00460606 0.00385389 28 3477 40 6.55708e+06 325485 500653. 1732.36 6.03 0.275341 0.155675 21310 115450 -1 2926 18 1294 3788 266712 71814 7.24996 7.24996 -156.034 -7.24996 0 0 612192. 2118.31 0.85 0.14 0.14 -1 -1 0.85 0.0173078 0.0157634 187 187 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 14.49 vpr 63.93 MiB -1 -1 0.84 21888 14 0.79 -1 -1 36636 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65468 32 32 290 322 1 214 92 17 17 289 -1 unnamed_device 25.3 MiB 0.99 1284 10442 2916 6424 1102 63.9 MiB 0.17 0.00 8.2513 -166.21 -8.2513 8.2513 2.53 0.000114041 9.1017e-05 0.0138308 0.0115221 28 3487 25 6.55708e+06 337540 500653. 1732.36 3.67 0.0549611 0.0471063 21310 115450 -1 3128 21 1567 4269 260536 59014 7.4395 7.4395 -165.331 -7.4395 0 0 612192. 2118.31 0.69 0.32 0.23 -1 -1 0.69 0.013333 0.0120216 196 196 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 39.21 vpr 63.95 MiB -1 -1 0.75 22192 14 1.00 -1 -1 36832 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65488 32 32 269 301 1 199 89 17 17 289 -1 unnamed_device 25.3 MiB 1.16 1345 13751 3514 7977 2260 64.0 MiB 0.19 0.00 7.89392 -157.269 -7.89392 7.89392 2.69 0.000218832 0.00017508 0.136029 0.132626 28 3938 44 6.55708e+06 301375 500653. 1732.36 25.85 0.240042 0.220753 21310 115450 -1 3166 45 2401 8589 1037444 427254 7.26844 7.26844 -156.544 -7.26844 0 0 612192. 2118.31 0.71 0.82 0.20 -1 -1 0.71 0.164016 0.160598 175 175 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 16.66 vpr 64.11 MiB -1 -1 0.60 21888 13 1.34 -1 -1 36260 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65652 32 32 296 328 1 221 93 17 17 289 -1 unnamed_device 25.6 MiB 1.47 1380 7443 1649 5147 647 64.1 MiB 0.20 0.00 8.01781 -156.923 -8.01781 8.01781 2.19 0.000253427 0.000209441 0.0128526 0.0108606 30 3412 19 6.55708e+06 349595 526063. 1820.29 3.34 0.0563902 0.0485874 21886 126133 -1 2981 16 1289 4003 190097 45381 6.97036 6.97036 -150.248 -6.97036 0 0 666494. 2306.21 0.88 0.17 0.28 -1 -1 0.88 0.0168691 0.0154788 205 202 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 15.50 vpr 63.66 MiB -1 -1 0.44 21432 13 0.71 -1 -1 36264 -1 -1 24 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65184 30 32 234 266 1 186 86 17 17 289 -1 unnamed_device 25.2 MiB 1.49 1107 9158 2494 5916 748 63.7 MiB 0.13 0.00 7.35655 -149.828 -7.35655 7.35655 2.75 0.000262176 0.000216583 0.0554604 0.053026 30 2818 21 6.55708e+06 289320 526063. 1820.29 3.38 0.091842 0.0844766 21886 126133 -1 2341 16 962 2571 120557 29483 6.50744 6.50744 -141.672 -6.50744 0 0 666494. 2306.21 0.77 0.06 0.28 -1 -1 0.77 0.155731 0.0121329 147 146 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 21.33 vpr 64.20 MiB -1 -1 0.64 22040 13 1.46 -1 -1 36388 -1 -1 32 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65736 30 32 291 323 1 232 94 17 17 289 -1 unnamed_device 25.6 MiB 1.01 1381 6484 1204 4933 347 64.2 MiB 0.49 0.00 8.19984 -161.023 -8.19984 8.19984 2.13 0.00026095 0.000215637 0.00782817 0.00655675 36 3648 26 6.55708e+06 385760 612192. 2118.31 7.77 0.265722 0.25413 22750 144809 -1 3201 17 1547 4352 233422 54419 7.04936 7.04936 -153.097 -7.04936 0 0 782063. 2706.10 1.00 0.36 0.35 -1 -1 1.00 0.0182814 0.0169265 203 203 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 18.70 vpr 64.01 MiB -1 -1 0.70 22040 14 1.32 -1 -1 36532 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65548 32 32 274 306 1 210 91 17 17 289 -1 unnamed_device 25.3 MiB 1.65 1305 5599 950 4386 263 64.0 MiB 0.14 0.00 8.03849 -165.113 -8.03849 8.03849 2.30 0.000228771 0.000188167 0.106627 0.10508 30 3350 18 6.55708e+06 325485 526063. 1820.29 5.63 0.225627 0.21883 21886 126133 -1 2845 17 1252 4156 204492 47404 6.93176 6.93176 -158.794 -6.93176 0 0 666494. 2306.21 0.93 0.29 0.27 -1 -1 0.93 0.252371 0.250949 181 180 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 17.87 vpr 63.89 MiB -1 -1 0.63 22040 13 0.82 -1 -1 36360 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65428 31 32 266 298 1 204 88 17 17 289 -1 unnamed_device 25.2 MiB 0.79 1143 8473 2254 5090 1129 63.9 MiB 0.16 0.00 7.8048 -151.404 -7.8048 7.8048 2.31 0.000313906 0.000265347 0.00642095 0.00525225 36 3221 20 6.55708e+06 301375 612192. 2118.31 7.08 0.0681647 0.059318 22750 144809 -1 2693 17 1231 3664 204139 47159 7.1997 7.1997 -150.348 -7.1997 0 0 782063. 2706.10 0.69 0.20 0.28 -1 -1 0.69 0.0282677 0.0269425 175 175 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 20.90 vpr 63.82 MiB -1 -1 0.57 21736 13 0.83 -1 -1 36676 -1 -1 27 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65352 30 32 266 298 1 204 89 17 17 289 -1 unnamed_device 25.2 MiB 1.43 1313 4445 808 3252 385 63.8 MiB 0.15 0.00 7.65777 -143.701 -7.65777 7.65777 2.59 0.00020558 0.00016777 0.0081875 0.00688493 28 3663 37 6.55708e+06 325485 500653. 1732.36 8.21 0.234629 0.129027 21310 115450 -1 3035 17 1282 3751 215756 50319 6.8013 6.8013 -143.19 -6.8013 0 0 612192. 2118.31 0.70 0.21 0.20 -1 -1 0.70 0.0151123 0.0137949 178 178 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 45.30 vpr 64.34 MiB -1 -1 0.63 22040 14 1.64 -1 -1 36380 -1 -1 37 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65880 32 32 310 342 1 238 101 17 17 289 -1 unnamed_device 25.6 MiB 1.28 1488 10441 2580 6390 1471 64.3 MiB 0.28 0.00 8.09286 -167.507 -8.09286 8.09286 2.76 0.000263127 0.000203516 0.198848 0.196182 30 3818 36 6.55708e+06 446035 526063. 1820.29 32.31 0.852786 0.829196 21886 126133 -1 3099 18 1565 4417 210129 50150 7.06724 7.06724 -157.302 -7.06724 0 0 666494. 2306.21 0.81 0.11 0.17 -1 -1 0.81 0.0192087 0.0176027 218 216 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 17.62 vpr 63.88 MiB -1 -1 0.54 21888 11 0.87 -1 -1 36436 -1 -1 29 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65412 29 32 262 294 1 203 90 17 17 289 -1 unnamed_device 24.9 MiB 1.48 1205 8130 1875 5560 695 63.9 MiB 0.05 0.00 6.90974 -135.543 -6.90974 6.90974 2.56 0.000216654 0.000177734 0.0122832 0.0101735 28 3454 29 6.55708e+06 349595 500653. 1732.36 5.26 0.137545 0.12948 21310 115450 -1 2951 16 1464 4326 256241 59312 6.18098 6.18098 -136.432 -6.18098 0 0 612192. 2118.31 0.85 0.15 0.25 -1 -1 0.85 0.109371 0.107951 177 177 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 20.04 vpr 63.26 MiB -1 -1 0.55 21280 13 0.58 -1 -1 36372 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64776 32 32 222 254 1 180 88 17 17 289 -1 unnamed_device 24.6 MiB 0.98 1186 6328 1272 4421 635 63.3 MiB 0.05 0.00 7.14789 -159.983 -7.14789 7.14789 2.68 0.000173826 0.000139063 0.00908981 0.00769341 26 3313 36 6.55708e+06 289320 477104. 1650.88 9.52 0.367453 0.360281 21022 109990 -1 2803 19 1167 3155 260805 62504 6.33838 6.33838 -161.077 -6.33838 0 0 585099. 2024.56 0.76 0.24 0.16 -1 -1 0.76 0.00941676 0.00853264 138 128 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 23.88 vpr 63.79 MiB -1 -1 0.84 22040 14 0.87 -1 -1 36588 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65316 32 32 267 299 1 205 92 17 17 289 -1 unnamed_device 25.2 MiB 1.62 1337 6509 1295 4681 533 63.8 MiB 0.04 0.00 7.98458 -168.027 -7.98458 7.98458 2.26 0.000209332 0.000169761 0.0092842 0.00773734 36 3424 21 6.55708e+06 337540 612192. 2118.31 11.37 0.589778 0.579204 22750 144809 -1 2895 15 1148 3462 205457 45599 7.1187 7.1187 -160.111 -7.1187 0 0 782063. 2706.10 0.80 0.07 0.42 -1 -1 0.80 0.0154346 0.0141391 179 173 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 34.93 vpr 64.30 MiB -1 -1 0.61 22040 15 1.44 -1 -1 36548 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65848 32 32 334 366 1 263 97 17 17 289 -1 unnamed_device 25.6 MiB 1.16 1610 8311 1730 5798 783 64.3 MiB 0.17 0.00 9.07969 -190.885 -9.07969 9.07969 2.45 0.000135824 0.000110209 0.137955 0.136462 34 5238 35 6.55708e+06 397815 585099. 2024.56 21.23 0.463497 0.443696 22462 138074 -1 3827 17 1741 5120 299981 68588 8.10021 8.10021 -185.163 -8.10021 0 0 742403. 2568.87 0.94 0.39 0.36 -1 -1 0.94 0.160426 0.158717 241 240 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 14.63 vpr 63.39 MiB -1 -1 0.53 21128 11 0.56 -1 -1 36216 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64908 32 32 220 252 1 157 86 17 17 289 -1 unnamed_device 24.9 MiB 1.31 979 5945 1142 4535 268 63.4 MiB 0.13 0.00 6.54888 -132.473 -6.54888 6.54888 2.54 0.000176056 0.000141464 0.00771292 0.0064609 28 2730 19 6.55708e+06 265210 500653. 1732.36 2.97 0.0385242 0.0330155 21310 115450 -1 2351 19 899 2612 163258 37580 6.17332 6.17332 -135.267 -6.17332 0 0 612192. 2118.31 0.65 0.16 0.36 -1 -1 0.65 0.013447 0.0117404 129 126 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 17.94 vpr 63.50 MiB -1 -1 0.71 21128 12 1.31 -1 -1 36552 -1 -1 26 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65028 31 32 244 276 1 193 89 17 17 289 -1 unnamed_device 25.0 MiB 0.68 1184 5435 1029 3992 414 63.5 MiB 0.12 0.00 6.88026 -147.814 -6.88026 6.88026 2.72 0.000197667 0.000161384 0.0969983 0.00664193 34 3286 21 6.55708e+06 313430 585099. 2024.56 5.65 0.270517 0.173041 22462 138074 -1 2758 16 1351 3898 222252 50537 6.34238 6.34238 -146.944 -6.34238 0 0 742403. 2568.87 1.04 0.17 0.15 -1 -1 1.04 0.0139047 0.012623 156 153 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 16.70 vpr 64.19 MiB -1 -1 0.62 21432 12 1.26 -1 -1 36372 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65732 32 32 300 332 1 237 96 17 17 289 -1 unnamed_device 25.6 MiB 1.16 1451 10608 2638 6974 996 64.2 MiB 0.23 0.00 7.23744 -159.275 -7.23744 7.23744 2.53 0.000266829 0.000219688 0.0470763 0.0442255 30 3847 24 6.55708e+06 385760 526063. 1820.29 4.26 0.259313 0.219445 21886 126133 -1 3154 17 1634 4863 229621 53777 6.11164 6.11164 -150.417 -6.11164 0 0 666494. 2306.21 0.83 0.07 0.22 -1 -1 0.83 0.0310462 0.0293725 213 206 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 20.53 vpr 63.94 MiB -1 -1 0.57 21888 12 0.78 -1 -1 36364 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65476 32 32 271 303 1 211 90 17 17 289 -1 unnamed_device 25.2 MiB 1.04 1398 8733 1967 5833 933 63.9 MiB 0.09 0.00 7.43539 -158.721 -7.43539 7.43539 2.42 0.000101209 8.1922e-05 0.0367919 0.0346588 36 3499 50 6.55708e+06 313430 612192. 2118.31 9.03 0.119948 0.106485 22750 144809 -1 2978 18 1249 3803 214372 48233 6.50944 6.50944 -152.45 -6.50944 0 0 782063. 2706.10 0.85 0.22 0.18 -1 -1 0.85 0.164066 0.163122 181 177 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 32.50 vpr 64.48 MiB -1 -1 0.48 22040 14 1.63 -1 -1 36584 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66028 32 32 327 359 1 242 95 17 17 289 -1 unnamed_device 25.6 MiB 1.74 1665 7871 1657 5890 324 64.5 MiB 0.08 0.00 8.95358 -178.735 -8.95358 8.95358 2.64 0.000284162 0.000233941 0.0150876 0.0127451 36 4345 46 6.55708e+06 373705 612192. 2118.31 17.57 0.396241 0.380917 22750 144809 -1 3738 21 1755 5604 309051 70573 7.56735 7.56735 -165.176 -7.56735 0 0 782063. 2706.10 1.02 0.27 0.50 -1 -1 1.02 0.236488 0.234385 234 233 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 21.24 vpr 63.82 MiB -1 -1 0.41 21432 12 0.70 -1 -1 36312 -1 -1 25 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65352 30 32 246 278 1 191 87 17 17 289 -1 unnamed_device 25.2 MiB 1.62 1243 8727 1998 5960 769 63.8 MiB 0.05 0.00 7.13411 -137.801 -7.13411 7.13411 2.76 0.000214917 0.00017616 0.0124163 0.0103993 28 3796 35 6.55708e+06 301375 500653. 1732.36 9.10 0.0560087 0.0482166 21310 115450 -1 3051 19 1280 3899 258917 56182 6.07244 6.07244 -135.214 -6.07244 0 0 612192. 2118.31 0.84 0.13 0.34 -1 -1 0.84 0.0158643 0.01436 160 158 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 14.64 vpr 63.50 MiB -1 -1 0.65 21584 11 0.91 -1 -1 36376 -1 -1 26 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65020 27 32 219 251 1 163 85 17 17 289 -1 unnamed_device 24.9 MiB 1.10 979 9199 2492 5781 926 63.5 MiB 0.22 0.00 6.86503 -123.275 -6.86503 6.86503 2.41 0.000148718 0.000119219 0.0880429 0.0858612 28 2433 14 6.55708e+06 313430 500653. 1732.36 3.45 0.122087 0.115606 21310 115450 -1 2270 16 958 2833 142761 34649 6.11164 6.11164 -124.578 -6.11164 0 0 612192. 2118.31 0.84 0.17 0.23 -1 -1 0.84 0.0123848 0.0112841 140 140 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 25.81 vpr 64.21 MiB -1 -1 0.73 22648 13 1.74 -1 -1 36944 -1 -1 40 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65748 32 32 380 412 1 282 104 17 17 289 -1 unnamed_device 26.1 MiB 1.66 1739 8400 1898 5561 941 64.2 MiB 0.10 0.00 7.90403 -157.442 -7.90403 7.90403 2.69 0.000145006 0.000116969 0.00711472 0.00594781 40 4484 27 6.55708e+06 482200 666494. 2306.21 10.92 0.576451 0.417348 23614 160646 -1 4165 48 2168 7136 946474 418918 6.98824 6.98824 -160.523 -6.98824 0 0 872365. 3018.56 0.90 0.86 0.37 -1 -1 0.90 0.291696 0.286513 286 286 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 21.51 vpr 63.98 MiB -1 -1 0.60 22040 14 0.81 -1 -1 36316 -1 -1 28 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65520 31 32 277 309 1 210 91 17 17 289 -1 unnamed_device 25.2 MiB 0.59 1261 11107 2450 7308 1349 64.0 MiB 0.10 0.00 8.13646 -161.778 -8.13646 8.13646 2.93 0.000303035 0.000248085 0.0173497 0.0143451 26 3804 44 6.55708e+06 337540 477104. 1650.88 9.56 0.437548 0.427134 21022 109990 -1 3218 21 1579 4615 281022 64145 7.1207 7.1207 -158.888 -7.1207 0 0 585099. 2024.56 0.64 0.31 0.30 -1 -1 0.64 0.0183211 0.0165306 188 186 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 16.59 vpr 63.49 MiB -1 -1 0.66 21432 12 0.62 -1 -1 36308 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65012 32 32 229 261 1 178 91 17 17 289 -1 unnamed_device 24.9 MiB 0.97 1200 9883 2726 6549 608 63.5 MiB 0.08 0.00 7.16941 -157.701 -7.16941 7.16941 2.56 0.000216681 0.000179978 0.0128806 0.0106838 28 3138 32 6.55708e+06 325485 500653. 1732.36 5.23 0.0539984 0.0460065 21310 115450 -1 2690 20 1031 2884 216722 59938 6.22984 6.22984 -151.345 -6.22984 0 0 612192. 2118.31 0.95 0.24 0.16 -1 -1 0.95 0.0151819 0.0137866 145 135 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 16.04 vpr 63.93 MiB -1 -1 0.53 21432 13 0.87 -1 -1 36516 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65464 32 32 263 295 1 201 90 17 17 289 -1 unnamed_device 25.2 MiB 1.70 1270 7326 1666 5130 530 63.9 MiB 0.20 0.00 7.85381 -158.457 -7.85381 7.85381 2.17 0.000317738 0.000277571 0.00849541 0.00711264 30 3165 23 6.55708e+06 313430 526063. 1820.29 3.27 0.113822 0.107585 21886 126133 -1 2770 17 1193 3505 177808 41085 6.6837 6.6837 -148.567 -6.6837 0 0 666494. 2306.21 0.99 0.21 0.35 -1 -1 0.99 0.199937 0.19853 169 169 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 20.90 vpr 64.28 MiB -1 -1 0.68 22040 13 1.19 -1 -1 36724 -1 -1 35 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65824 31 32 321 353 1 256 98 17 17 289 -1 unnamed_device 25.6 MiB 0.98 1567 6848 1250 5272 326 64.3 MiB 0.26 0.00 7.93997 -162.463 -7.93997 7.93997 2.85 0.000299121 0.000246874 0.0121347 0.0102921 38 3708 19 6.55708e+06 421925 638502. 2209.35 6.17 0.159794 0.148938 23326 155178 -1 3143 17 1425 4356 200675 47264 6.7993 6.7993 -151.68 -6.7993 0 0 851065. 2944.86 0.87 0.11 0.32 -1 -1 0.87 0.0627839 0.0612129 233 230 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 26.17 vpr 63.89 MiB -1 -1 0.56 21280 11 0.79 -1 -1 36508 -1 -1 31 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65428 30 32 287 319 1 212 93 17 17 289 -1 unnamed_device 25.1 MiB 1.06 1431 6393 1248 4502 643 63.9 MiB 0.18 0.00 6.69021 -132.692 -6.69021 6.69021 2.41 0.000247256 0.000203975 0.0195902 0.0179785 36 3521 32 6.55708e+06 373705 612192. 2118.31 14.36 0.497843 0.293119 22750 144809 -1 3125 16 1366 4654 263593 59413 5.61352 5.61352 -125.306 -5.61352 0 0 782063. 2706.10 0.94 0.24 0.16 -1 -1 0.94 0.0155604 0.0141295 199 199 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 17.22 vpr 64.23 MiB -1 -1 0.81 22040 15 1.49 -1 -1 36540 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65768 32 32 296 328 1 223 93 17 17 289 -1 unnamed_device 25.5 MiB 1.47 1449 8493 1841 5572 1080 64.2 MiB 0.10 0.00 8.92955 -182.976 -8.92955 8.92955 2.39 0.000266432 0.000222595 0.0137991 0.0114588 30 3750 34 6.55708e+06 349595 526063. 1820.29 4.98 0.270437 0.260407 21886 126133 -1 3091 17 1328 4240 214055 52083 7.85721 7.85721 -175.244 -7.85721 0 0 666494. 2306.21 0.87 0.16 0.35 -1 -1 0.87 0.0139463 0.0126962 202 202 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 42.74 vpr 64.14 MiB -1 -1 0.53 22192 13 1.32 -1 -1 36540 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65676 32 32 285 317 1 224 94 17 17 289 -1 unnamed_device 25.5 MiB 1.26 1371 7123 1421 5075 627 64.1 MiB 0.04 0.00 7.82963 -168.646 -7.82963 7.82963 2.74 0.000268144 0.000204209 0.0115893 0.00966903 28 3926 46 6.55708e+06 361650 500653. 1732.36 30.07 0.726607 0.709572 21310 115450 -1 3335 19 1629 4866 338502 84493 7.0789 7.0789 -166.74 -7.0789 0 0 612192. 2118.31 0.97 0.06 0.26 -1 -1 0.97 0.0137997 0.0124569 194 191 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 17.53 vpr 63.73 MiB -1 -1 0.62 21128 12 0.70 -1 -1 36568 -1 -1 29 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65264 29 32 239 271 1 189 90 17 17 289 -1 unnamed_device 25.0 MiB 1.76 1076 11145 2707 7146 1292 63.7 MiB 0.19 0.00 7.48815 -152.335 -7.48815 7.48815 2.54 0.000202076 0.000164647 0.0101914 0.00843458 28 3225 39 6.55708e+06 349595 500653. 1732.36 5.57 0.054545 0.0459509 21310 115450 -1 2808 31 1706 5012 357270 109600 6.8013 6.8013 -150.093 -6.8013 0 0 612192. 2118.31 0.83 0.30 0.24 -1 -1 0.83 0.0198867 0.0175138 157 154 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 15.74 vpr 63.53 MiB -1 -1 0.57 21280 11 0.50 -1 -1 36568 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65052 32 32 235 267 1 176 85 17 17 289 -1 unnamed_device 24.9 MiB 0.78 1137 9013 2200 5776 1037 63.5 MiB 0.12 0.00 6.83529 -140.07 -6.83529 6.83529 2.41 0.000261816 0.000189468 0.08647 0.0843987 28 3006 21 6.55708e+06 253155 500653. 1732.36 4.09 0.121576 0.114766 21310 115450 -1 2732 20 1198 3249 245239 65915 5.99344 5.99344 -138.61 -5.99344 0 0 612192. 2118.31 0.74 0.08 0.23 -1 -1 0.74 0.013749 0.0124021 145 141 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 18.46 vpr 64.16 MiB -1 -1 0.44 21432 13 1.10 -1 -1 36676 -1 -1 29 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 31 32 294 326 1 226 92 17 17 289 -1 unnamed_device 25.5 MiB 1.54 1445 8165 2047 5274 844 64.2 MiB 0.09 0.00 8.06583 -161.677 -8.06583 8.06583 2.45 0.000227039 0.000183839 0.0451328 0.0429252 30 3916 30 6.55708e+06 349595 526063. 1820.29 5.98 0.335156 0.326465 21886 126133 -1 3095 19 1441 4607 229808 53551 7.2409 7.2409 -157.975 -7.2409 0 0 666494. 2306.21 0.81 0.26 0.15 -1 -1 0.81 0.243106 0.241879 203 203 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 16.66 vpr 63.45 MiB -1 -1 0.47 21432 10 0.70 -1 -1 36428 -1 -1 24 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64976 29 32 219 251 1 164 85 17 17 289 -1 unnamed_device 24.9 MiB 0.95 1034 4921 1106 3348 467 63.5 MiB 0.06 0.00 5.68406 -116.659 -5.68406 5.68406 2.65 0.000194951 0.000154172 0.0072483 0.00599133 28 2904 50 6.55708e+06 289320 500653. 1732.36 5.17 0.13373 0.126419 21310 115450 -1 2328 20 1017 3150 214868 53978 4.85252 4.85252 -113.547 -4.85252 0 0 612192. 2118.31 0.83 0.14 0.27 -1 -1 0.83 0.00851497 0.00779482 137 134 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 33.77 vpr 63.58 MiB -1 -1 0.64 21584 14 0.58 -1 -1 36564 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65104 32 32 239 271 1 186 88 17 17 289 -1 unnamed_device 25.0 MiB 1.83 1088 10813 2512 6516 1785 63.6 MiB 0.12 0.00 7.85572 -162.036 -7.85572 7.85572 2.21 8.8625e-05 7.1171e-05 0.0760038 0.0737786 30 3060 27 6.55708e+06 289320 526063. 1820.29 20.47 0.576154 0.514953 21886 126133 -1 2356 20 1065 3088 150557 36243 6.94764 6.94764 -155.495 -6.94764 0 0 666494. 2306.21 1.11 0.12 0.33 -1 -1 1.11 0.223001 0.221609 146 145 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 16.90 vpr 63.97 MiB -1 -1 0.85 21736 13 0.73 -1 -1 36532 -1 -1 30 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65508 31 32 266 298 1 208 93 17 17 289 -1 unnamed_device 25.3 MiB 0.93 1286 9333 2274 5926 1133 64.0 MiB 0.02 0.00 7.57111 -160.828 -7.57111 7.57111 2.66 9.6321e-05 7.7635e-05 0.00577312 0.00477365 30 3224 26 6.55708e+06 361650 526063. 1820.29 4.20 0.0508096 0.0440078 21886 126133 -1 2739 31 1261 3631 322025 126066 6.78964 6.78964 -157.409 -6.78964 0 0 666494. 2306.21 0.95 0.20 0.24 -1 -1 0.95 0.0145648 0.0130551 180 175 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 16.05 vpr 63.50 MiB -1 -1 0.49 21432 12 0.42 -1 -1 36432 -1 -1 26 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65024 31 32 225 257 1 178 89 17 17 289 -1 unnamed_device 24.9 MiB 1.16 1206 10385 2702 6390 1293 63.5 MiB 0.14 0.00 6.65202 -141.569 -6.65202 6.65202 2.44 0.00017718 0.000142804 0.0906394 0.0108084 28 3085 18 6.55708e+06 313430 500653. 1732.36 4.95 0.126224 0.0414493 21310 115450 -1 2720 16 1096 2873 182715 40890 6.21052 6.21052 -143.237 -6.21052 0 0 612192. 2118.31 0.79 0.09 0.30 -1 -1 0.79 0.0127089 0.0115179 138 134 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 20.78 vpr 64.00 MiB -1 -1 0.68 21736 12 0.84 -1 -1 36880 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65536 32 32 288 320 1 216 90 17 17 289 -1 unnamed_device 25.5 MiB 1.06 1371 5718 1045 4451 222 64.0 MiB 0.15 0.00 7.06387 -150.59 -7.06387 7.06387 2.52 0.000114925 9.1852e-05 0.00983158 0.00812829 36 3301 40 6.55708e+06 313430 612192. 2118.31 8.58 0.435218 0.313633 22750 144809 -1 2800 18 1332 4379 231281 52794 6.35464 6.35464 -143.763 -6.35464 0 0 782063. 2706.10 0.86 0.25 0.38 -1 -1 0.86 0.0170465 0.0154706 195 194 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 19.26 vpr 64.26 MiB -1 -1 0.82 21888 13 1.01 -1 -1 36316 -1 -1 29 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65804 31 32 282 314 1 222 92 17 17 289 -1 unnamed_device 25.5 MiB 1.83 1352 12719 3295 7542 1882 64.3 MiB 0.09 0.00 7.66758 -155.332 -7.66758 7.66758 2.58 0.000246481 0.000200712 0.0189413 0.0156962 34 3530 22 6.55708e+06 349595 585099. 2024.56 6.54 0.243093 0.198409 22462 138074 -1 2931 15 1284 3916 202762 47937 6.6811 6.6811 -148.35 -6.6811 0 0 742403. 2568.87 0.89 0.07 0.46 -1 -1 0.89 0.0171879 0.0158956 193 191 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 24.33 vpr 63.64 MiB -1 -1 0.59 21280 11 0.56 -1 -1 36396 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65172 32 32 233 265 1 183 89 17 17 289 -1 unnamed_device 24.9 MiB 0.87 1082 7415 1581 5155 679 63.6 MiB 0.15 0.00 6.31359 -143.036 -6.31359 6.31359 2.53 9.2361e-05 7.3812e-05 0.0101807 0.00838108 28 3145 30 6.55708e+06 301375 500653. 1732.36 12.17 0.43249 0.417527 21310 115450 -1 2638 23 1177 3451 274589 87116 5.57232 5.57232 -137.488 -5.57232 0 0 612192. 2118.31 0.60 0.25 0.31 -1 -1 0.60 0.00998682 0.00912745 148 139 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 22.53 vpr 63.64 MiB -1 -1 0.40 21280 13 0.99 -1 -1 36544 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65172 32 32 254 286 1 196 88 17 17 289 -1 unnamed_device 25.0 MiB 1.25 1235 12763 3469 7332 1962 63.6 MiB 0.33 0.00 7.41461 -156.931 -7.41461 7.41461 2.43 0.000196035 0.000159111 0.0910167 0.0879632 28 4307 47 6.55708e+06 289320 500653. 1732.36 10.79 0.141304 0.131292 21310 115450 -1 3200 23 1577 4702 304620 67065 6.55124 6.55124 -157.29 -6.55124 0 0 612192. 2118.31 0.73 0.21 0.24 -1 -1 0.73 0.017403 0.0156288 164 160 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 20.85 vpr 64.09 MiB -1 -1 0.58 21584 13 1.01 -1 -1 36796 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65628 32 32 285 317 1 216 92 17 17 289 -1 unnamed_device 25.5 MiB 2.61 1388 6302 1254 4414 634 64.1 MiB 0.02 0.00 7.89081 -170.839 -7.89081 7.89081 2.57 0.000116871 9.4236e-05 0.00503171 0.00421225 36 3241 19 6.55708e+06 337540 612192. 2118.31 6.67 0.261633 0.251399 22750 144809 -1 2886 17 1230 3457 177414 41371 7.0397 7.0397 -161.129 -7.0397 0 0 782063. 2706.10 0.70 0.08 0.29 -1 -1 0.70 0.0170939 0.0156853 193 191 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 25.91 vpr 63.74 MiB -1 -1 0.91 21736 11 0.67 -1 -1 36216 -1 -1 27 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65268 29 32 243 275 1 185 88 17 17 289 -1 unnamed_device 25.0 MiB 0.75 1142 12958 3537 7390 2031 63.7 MiB 0.26 0.00 6.51109 -124.99 -6.51109 6.51109 2.45 0.000196599 0.000158198 0.00898598 0.00731972 28 3194 50 6.55708e+06 325485 500653. 1732.36 14.43 0.131746 0.115841 21310 115450 -1 2802 20 1392 4118 270857 60473 5.90278 5.90278 -123.786 -5.90278 0 0 612192. 2118.31 0.99 0.16 0.19 -1 -1 0.99 0.0954845 0.0938832 160 158 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 20.07 vpr 64.51 MiB -1 -1 0.79 22192 14 1.40 -1 -1 36796 -1 -1 35 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66056 32 32 318 350 1 251 99 17 17 289 -1 unnamed_device 25.8 MiB 1.40 1576 7851 1546 5933 372 64.5 MiB 0.06 0.00 8.33526 -182.394 -8.33526 8.33526 2.51 0.000252892 0.000201319 0.0122387 0.0103391 30 4450 35 6.55708e+06 421925 526063. 1820.29 6.61 0.169597 0.161469 21886 126133 -1 3611 19 2114 6505 329298 76485 7.4375 7.4375 -177.262 -7.4375 0 0 666494. 2306.21 0.93 0.20 0.19 -1 -1 0.93 0.0438397 0.0419185 224 224 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 17.39 vpr 63.51 MiB -1 -1 0.31 21280 12 0.56 -1 -1 36420 -1 -1 28 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65036 31 32 222 254 1 184 91 17 17 289 -1 unnamed_device 24.9 MiB 1.21 1099 11311 2604 7322 1385 63.5 MiB 0.10 0.00 6.85552 -147.848 -6.85552 6.85552 2.41 0.000253518 0.000215242 0.0129724 0.0106323 28 3319 44 6.55708e+06 337540 500653. 1732.36 6.22 0.128867 0.120467 21310 115450 -1 2599 16 1007 2535 159007 36657 5.94058 5.94058 -140.231 -5.94058 0 0 612192. 2118.31 0.62 0.14 0.23 -1 -1 0.62 0.0127789 0.0116796 138 131 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 21.00 vpr 64.04 MiB -1 -1 0.72 22040 13 1.05 -1 -1 36476 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65580 32 32 282 314 1 218 89 17 17 289 -1 unnamed_device 25.3 MiB 1.20 1274 9395 2232 5867 1296 64.0 MiB 0.09 0.00 7.81266 -155.924 -7.81266 7.81266 2.08 0.000241124 0.000189534 0.0142489 0.0116792 30 3950 46 6.55708e+06 301375 526063. 1820.29 7.59 0.149933 0.139424 21886 126133 -1 2874 18 1346 4256 223788 52651 6.7575 6.7575 -149.517 -6.7575 0 0 666494. 2306.21 1.02 0.11 0.18 -1 -1 1.02 0.0174635 0.0159086 189 188 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 16.17 vpr 63.66 MiB -1 -1 0.72 21736 13 0.54 -1 -1 36328 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65192 32 32 238 270 1 186 90 17 17 289 -1 unnamed_device 25.0 MiB 1.10 1170 8130 1915 5523 692 63.7 MiB 0.10 0.00 7.51063 -158.904 -7.51063 7.51063 2.53 0.000237302 0.0002003 0.0834198 0.0824587 28 3271 29 6.55708e+06 313430 500653. 1732.36 3.72 0.121986 0.115319 21310 115450 -1 2765 19 1149 3037 195902 43669 6.4015 6.4015 -153.619 -6.4015 0 0 612192. 2118.31 1.23 0.19 0.23 -1 -1 1.23 0.156756 0.15548 151 144 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 16.14 vpr 63.95 MiB -1 -1 0.60 21736 12 0.55 -1 -1 36644 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65480 32 32 269 301 1 199 90 17 17 289 -1 unnamed_device 25.2 MiB 1.12 1308 9336 2430 5918 988 63.9 MiB 0.23 0.00 6.8413 -150.87 -6.8413 6.8413 2.54 0.000238638 0.000196606 0.188629 0.186352 30 3266 30 6.55708e+06 313430 526063. 1820.29 4.79 0.366116 0.219908 21886 126133 -1 2614 15 969 2999 146300 33820 6.02098 6.02098 -141.15 -6.02098 0 0 666494. 2306.21 1.01 0.06 0.14 -1 -1 1.01 0.0396405 0.0384656 176 175 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 20.36 vpr 64.23 MiB -1 -1 0.79 22648 15 1.52 -1 -1 36972 -1 -1 36 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65776 32 32 350 382 1 272 100 17 17 289 -1 unnamed_device 25.9 MiB 0.96 1721 13324 3640 7906 1778 64.2 MiB 0.18 0.00 8.79929 -171.347 -8.79929 8.79929 2.10 0.000331813 0.000264381 0.0230625 0.0192312 42 4722 27 6.55708e+06 433980 701300. 2426.64 6.32 0.122376 0.105414 23902 167433 -1 3406 18 1713 5652 297793 70623 7.57196 7.57196 -160.34 -7.57196 0 0 896083. 3100.63 1.30 0.16 0.31 -1 -1 1.30 0.089046 0.0870372 256 256 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 14.53 vpr 62.89 MiB -1 -1 0.44 21280 10 0.40 -1 -1 36224 -1 -1 18 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64404 30 32 174 206 1 139 80 17 17 289 -1 unnamed_device 24.4 MiB 0.25 909 3864 801 2776 287 62.9 MiB 0.02 0.00 5.1986 -120.097 -5.1986 5.1986 2.07 0.00013744 0.000109457 0.00471201 0.00389925 26 2630 39 6.55708e+06 216990 477104. 1650.88 5.35 0.0397896 0.0289415 21022 109990 -1 2051 24 908 2419 229724 74560 4.57854 4.57854 -120.707 -4.57854 0 0 585099. 2024.56 0.51 0.24 0.25 -1 -1 0.51 0.0095774 0.00836982 92 86 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 14.34 vpr 63.56 MiB -1 -1 0.59 21432 13 0.72 -1 -1 36228 -1 -1 25 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65084 30 32 228 260 1 171 87 17 17 289 -1 unnamed_device 24.9 MiB 0.65 1036 7575 1525 5019 1031 63.6 MiB 0.14 0.00 7.36426 -147.717 -7.36426 7.36426 2.60 0.000200261 0.000162076 0.00680068 0.00561287 28 2807 17 6.55708e+06 301375 500653. 1732.36 3.28 0.30259 0.29688 21310 115450 -1 2630 19 1085 3009 178566 41937 6.89818 6.89818 -147.006 -6.89818 0 0 612192. 2118.31 0.70 0.13 0.22 -1 -1 0.70 0.048305 0.0470081 143 140 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 18.31 vpr 63.75 MiB -1 -1 0.57 21280 12 1.11 -1 -1 36552 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65284 32 32 264 296 1 204 88 17 17 289 -1 unnamed_device 25.0 MiB 0.80 1153 10813 3047 5880 1886 63.8 MiB 0.26 0.00 7.55494 -153.61 -7.55494 7.55494 2.35 0.000205015 0.000165666 0.217296 0.214747 34 3390 41 6.55708e+06 289320 585099. 2024.56 6.49 0.6696 0.657872 22462 138074 -1 2527 16 1208 3085 158441 40340 6.53698 6.53698 -151.4 -6.53698 0 0 742403. 2568.87 0.95 0.15 0.22 -1 -1 0.95 0.13273 0.13205 171 170 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 12.79 vpr 63.16 MiB -1 -1 0.68 21280 9 0.50 -1 -1 36052 -1 -1 22 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64672 25 32 183 215 1 140 79 17 17 289 -1 unnamed_device 24.6 MiB 0.45 830 9374 2202 6391 781 63.2 MiB 0.02 0.00 5.41683 -98.6163 -5.41683 5.41683 2.25 6.612e-05 5.28e-05 0.00476596 0.00388661 30 1933 18 6.55708e+06 265210 526063. 1820.29 2.56 0.0303849 0.0257861 21886 126133 -1 1678 18 701 2000 93548 22278 4.64166 4.64166 -93.7436 -4.64166 0 0 666494. 2306.21 0.91 0.05 0.23 -1 -1 0.91 0.0288552 0.027802 111 110 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 27.84 vpr 64.16 MiB -1 -1 0.65 21584 12 1.23 -1 -1 36696 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65696 32 32 300 332 1 233 97 17 17 289 -1 unnamed_device 25.5 MiB 1.08 1426 9865 2341 6996 528 64.2 MiB 0.21 0.00 7.21898 -157.037 -7.21898 7.21898 2.43 0.000272854 0.000224147 0.0711103 0.0685632 36 3904 23 6.55708e+06 397815 612192. 2118.31 14.40 0.240858 0.223214 22750 144809 -1 3229 18 1463 4336 244473 57297 6.2833 6.2833 -150.434 -6.2833 0 0 782063. 2706.10 0.96 0.29 0.41 -1 -1 0.96 0.148595 0.146946 212 206 -1 -1 -1 -1 +fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 19.32 vpr 64.16 MiB -1 -1 0.79 22192 13 1.16 -1 -1 36384 -1 -1 30 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 31 32 290 322 1 226 93 17 17 289 -1 unnamed_device 25.5 MiB 0.95 1441 7863 1836 5236 791 64.2 MiB 0.14 0.00 8.16309 -168.172 -8.16309 8.16309 2.01 0.000118535 9.5207e-05 0.00623797 0.00519187 36 3766 31 6.55708e+06 361650 612192. 2118.31 7.09 0.133697 0.121616 22750 144809 -1 3302 19 1605 5170 286230 64164 6.8385 6.8385 -155.599 -6.8385 0 0 782063. 2706.10 0.95 0.16 0.20 -1 -1 0.95 0.0595597 0.0578422 200 199 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 14.02 vpr 63.95 MiB -1 -1 0.28 21432 1 0.11 -1 -1 33732 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65484 32 32 354 285 1 202 96 17 17 289 -1 unnamed_device 25.4 MiB 1.10 1016 11703 2995 7482 1226 63.9 MiB 0.24 0.00 5.5261 -159.162 -5.5261 5.5261 2.69 0.000199142 0.000148429 0.0547026 0.0525283 32 2517 20 6.64007e+06 401856 554710. 1919.41 2.60 0.220658 0.213887 22834 132086 -1 2177 23 1613 2478 162394 38899 4.28389 4.28389 -145.187 -4.28389 0 0 701300. 2426.64 0.83 0.13 0.26 -1 -1 0.83 0.0141837 0.0126231 154 50 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 13.70 vpr 64.03 MiB -1 -1 0.42 21432 1 0.25 -1 -1 33892 -1 -1 25 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65564 30 32 363 293 1 196 87 17 17 289 -1 unnamed_device 25.5 MiB 0.63 1071 13527 3636 8473 1418 64.0 MiB 0.23 0.00 4.97921 -144.408 -4.97921 4.97921 2.22 0.000188257 0.000152253 0.0151584 0.0123761 32 2462 22 6.64007e+06 313950 554710. 1919.41 2.57 0.185138 0.0523855 22834 132086 -1 2136 20 1596 2436 165467 39561 4.22989 4.22989 -144.826 -4.22989 0 0 701300. 2426.64 0.85 0.21 0.58 -1 -1 0.85 0.0126255 0.0112347 141 63 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 12.34 vpr 63.71 MiB -1 -1 0.33 21280 1 0.07 -1 -1 33860 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65244 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 25.2 MiB 0.93 1074 15639 5003 8705 1931 63.7 MiB 0.23 0.00 4.31513 -125.567 -4.31513 4.31513 2.17 0.000153124 0.000121434 0.013837 0.0111992 32 2473 24 6.64007e+06 288834 554710. 1919.41 2.19 0.0332637 0.0276406 22834 132086 -1 2087 22 1207 1679 121084 27669 3.58462 3.58462 -122.891 -3.58462 0 0 701300. 2426.64 0.74 0.05 0.37 -1 -1 0.74 0.034181 0.0331543 126 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 13.00 vpr 63.84 MiB -1 -1 0.43 21280 1 0.02 -1 -1 33796 -1 -1 27 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65372 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 25.2 MiB 0.11 931 15103 4868 7954 2281 63.8 MiB 0.25 0.00 4.52953 -121.776 -4.52953 4.52953 2.18 0.000243489 0.000133266 0.0143754 0.0115775 32 2343 23 6.64007e+06 339066 554710. 1919.41 2.21 0.0304969 0.025206 22834 132086 -1 2027 20 1332 2473 182462 40684 3.68663 3.68663 -118.519 -3.68663 0 0 701300. 2426.64 1.06 0.27 0.20 -1 -1 1.06 0.0094445 0.00832293 126 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 13.64 vpr 64.02 MiB -1 -1 0.45 21280 1 0.03 -1 -1 33724 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65556 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 25.2 MiB 0.08 1007 10071 2662 6608 801 64.0 MiB 0.22 0.00 4.57112 -132.997 -4.57112 4.57112 2.57 0.000153706 0.000123216 0.0105035 0.00854908 32 2451 20 6.64007e+06 288834 554710. 1919.41 2.62 0.122666 0.116991 22834 132086 -1 2111 23 1634 3182 210560 47673 3.68143 3.68143 -129.344 -3.68143 0 0 701300. 2426.64 0.92 0.17 0.33 -1 -1 0.92 0.0626375 0.061067 130 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 14.18 vpr 64.16 MiB -1 -1 0.37 21584 1 0.04 -1 -1 33696 -1 -1 34 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 32 32 366 295 1 189 98 17 17 289 -1 unnamed_device 25.5 MiB 0.38 1015 12248 3024 8320 904 64.2 MiB 0.17 0.00 3.5011 -120.544 -3.5011 3.5011 2.18 0.000170627 0.000136834 0.0121241 0.00999843 28 2553 23 6.64007e+06 426972 500653. 1732.36 3.70 0.303422 0.296356 21970 115934 -1 2229 18 1361 2235 156699 36852 2.86697 2.86697 -117.824 -2.86697 0 0 612192. 2118.31 0.73 0.16 0.30 -1 -1 0.73 0.132936 0.131511 142 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 12.24 vpr 63.58 MiB -1 -1 0.32 21128 1 0.06 -1 -1 34172 -1 -1 19 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65108 27 32 259 221 1 130 78 17 17 289 -1 unnamed_device 24.9 MiB 0.33 708 9374 2595 5724 1055 63.6 MiB 0.25 0.00 4.05083 -102.502 -4.05083 4.05083 2.49 0.000158 0.000128677 0.00974979 0.00793241 32 1565 22 6.64007e+06 238602 554710. 1919.41 2.12 0.0308785 0.0260617 22834 132086 -1 1374 20 859 1459 94904 22873 2.69757 2.69757 -93.296 -2.69757 0 0 701300. 2426.64 1.00 0.03 0.21 -1 -1 1.00 0.00953158 0.00839753 93 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 13.66 vpr 63.84 MiB -1 -1 0.27 20976 1 0.15 -1 -1 33844 -1 -1 31 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65368 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 25.2 MiB 0.26 827 11383 2423 8400 560 63.8 MiB 0.32 0.00 3.48559 -101.391 -3.48559 3.48559 2.84 0.000150132 0.000117586 0.0119231 0.0100775 28 2232 37 6.64007e+06 389298 500653. 1732.36 3.02 0.150056 0.109857 21970 115934 -1 1893 16 957 1699 120491 27748 2.88597 2.88597 -100.419 -2.88597 0 0 612192. 2118.31 0.92 0.11 0.22 -1 -1 0.92 0.0086401 0.00769204 115 4 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 13.87 vpr 63.96 MiB -1 -1 0.35 21432 1 0.18 -1 -1 33900 -1 -1 20 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65496 31 32 317 271 1 167 83 17 17 289 -1 unnamed_device 25.4 MiB 0.76 888 14123 4595 7521 2007 64.0 MiB 0.23 0.00 3.62422 -120.034 -3.62422 3.62422 2.77 0.000136738 0.000107672 0.0138099 0.011095 32 1942 19 6.64007e+06 251160 554710. 1919.41 2.05 0.07235 0.06559 22834 132086 -1 1735 19 1183 1753 113197 25999 3.07917 3.07917 -116.763 -3.07917 0 0 701300. 2426.64 0.77 0.15 0.16 -1 -1 0.77 0.0101034 0.00888188 111 64 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 13.34 vpr 63.81 MiB -1 -1 0.39 21432 1 0.20 -1 -1 33556 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65344 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 25.1 MiB 0.48 874 11631 3631 6742 1258 63.8 MiB 0.16 0.00 3.92955 -127.77 -3.92955 3.92955 2.91 7.4847e-05 5.8313e-05 0.126775 0.125018 32 1924 20 6.64007e+06 213486 554710. 1919.41 2.27 0.147771 0.142884 22834 132086 -1 1758 17 1045 1692 112022 26273 2.88977 2.88977 -116.793 -2.88977 0 0 701300. 2426.64 0.97 0.08 0.48 -1 -1 0.97 0.0100006 0.00888423 112 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 13.04 vpr 63.79 MiB -1 -1 0.45 21280 1 0.11 -1 -1 33556 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65324 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 25.2 MiB 0.34 729 11571 3200 7477 894 63.8 MiB 0.24 0.00 4.09995 -111.19 -4.09995 4.09995 3.04 0.000149469 0.00011699 0.143407 0.140961 28 1721 20 6.64007e+06 213486 500653. 1732.36 2.12 0.200653 0.194605 21970 115934 -1 1497 19 809 1291 80635 19647 3.03316 3.03316 -103.694 -3.03316 0 0 612192. 2118.31 0.75 0.11 0.15 -1 -1 0.75 0.0102693 0.00910464 98 63 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 14.55 vpr 63.83 MiB -1 -1 0.41 21128 1 0.08 -1 -1 33744 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65364 32 32 276 237 1 166 82 17 17 289 -1 unnamed_device 25.1 MiB 0.68 793 12008 4624 6064 1320 63.8 MiB 0.17 0.00 3.82041 -120.561 -3.82041 3.82041 2.70 0.000174605 0.000118395 0.0116815 0.00940972 32 2319 19 6.64007e+06 226044 554710. 1919.41 2.54 0.0357017 0.0298223 22834 132086 -1 1793 18 1119 1519 109025 25250 3.04617 3.04617 -114.291 -3.04617 0 0 701300. 2426.64 0.94 0.02 0.43 -1 -1 0.94 0.00564448 0.00507263 109 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 14.74 vpr 64.17 MiB -1 -1 0.58 21128 1 0.12 -1 -1 33932 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65712 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 25.4 MiB 0.86 921 8863 1921 5548 1394 64.2 MiB 0.16 0.00 4.43584 -138.372 -4.43584 4.43584 3.06 0.000159144 0.000128163 0.00994967 0.00823373 32 2799 27 6.64007e+06 301392 554710. 1919.41 3.03 0.041536 0.0353973 22834 132086 -1 2064 19 1688 2550 183073 44808 3.29303 3.29303 -127.355 -3.29303 0 0 701300. 2426.64 1.03 0.06 0.18 -1 -1 1.03 0.011807 0.0105417 139 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 14.90 vpr 64.17 MiB -1 -1 0.44 21432 1 0.03 -1 -1 33696 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65712 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 25.4 MiB 0.52 885 17591 4750 10764 2077 64.2 MiB 0.36 0.00 4.98464 -139.028 -4.98464 4.98464 3.05 0.000235917 0.000196846 0.017372 0.01406 28 2431 24 6.64007e+06 389298 500653. 1732.36 3.28 0.165166 0.157571 21970 115934 -1 1980 21 1567 2474 160909 37169 3.86263 3.86263 -134.833 -3.86263 0 0 612192. 2118.31 0.63 0.16 0.13 -1 -1 0.63 0.0132013 0.011718 134 61 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 13.12 vpr 63.28 MiB -1 -1 0.42 21128 1 0.15 -1 -1 33608 -1 -1 21 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64800 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 24.8 MiB 0.32 748 11118 2695 7379 1044 63.3 MiB 0.28 0.00 3.28519 -94.0444 -3.28519 3.28519 2.73 0.000123249 9.761e-05 0.0104396 0.00848623 28 1638 21 6.64007e+06 263718 500653. 1732.36 2.22 0.0449587 0.0397738 21970 115934 -1 1444 20 818 1388 87790 20501 2.65757 2.65757 -90.3394 -2.65757 0 0 612192. 2118.31 0.73 0.13 0.21 -1 -1 0.73 0.111596 0.110375 98 27 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 13.19 vpr 64.18 MiB -1 -1 0.35 21280 1 0.14 -1 -1 33684 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65724 32 32 370 297 1 183 86 17 17 289 -1 unnamed_device 25.4 MiB 0.33 992 9914 2318 7193 403 64.2 MiB 0.18 0.08 4.02307 -125.622 -4.02307 4.02307 2.70 0.000173456 0.00013884 0.0117563 0.00960212 32 2532 20 6.64007e+06 276276 554710. 1919.41 2.64 0.0661736 0.0590957 22834 132086 -1 2139 19 1418 2476 173944 39189 3.03517 3.03517 -118.418 -3.03517 0 0 701300. 2426.64 0.81 0.10 0.44 -1 -1 0.81 0.0126859 0.011326 133 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 13.87 vpr 64.15 MiB -1 -1 0.48 21280 1 0.13 -1 -1 33716 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65688 32 32 338 269 1 196 87 17 17 289 -1 unnamed_device 25.4 MiB 0.61 1076 14679 4725 7906 2048 64.1 MiB 0.14 0.00 4.55604 -146.606 -4.55604 4.55604 2.38 0.000165186 0.00013192 0.0149448 0.0122204 28 2564 23 6.64007e+06 288834 500653. 1732.36 3.00 0.0442953 0.0376948 21970 115934 -1 2305 19 1419 1997 147886 33366 3.41523 3.41523 -130.731 -3.41523 0 0 612192. 2118.31 1.01 0.14 0.41 -1 -1 1.01 0.0121935 0.0106907 138 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 12.92 vpr 63.93 MiB -1 -1 0.35 21280 1 0.08 -1 -1 33688 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65464 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 25.2 MiB 0.23 793 7443 1484 5603 356 63.9 MiB 0.36 0.10 2.85064 -101.719 -2.85064 2.85064 2.51 0.0993528 0.0993126 0.106602 0.105223 30 1820 20 6.64007e+06 364182 526063. 1820.29 2.83 0.307575 0.30212 22546 126617 -1 1601 18 995 1636 84292 20439 2.03391 2.03391 -94.6392 -2.03391 0 0 666494. 2306.21 1.05 0.09 0.43 -1 -1 1.05 0.00959526 0.00847234 110 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 13.13 vpr 63.36 MiB -1 -1 0.46 21128 1 0.12 -1 -1 33544 -1 -1 15 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64880 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 24.6 MiB 0.05 601 7249 1675 5111 463 63.4 MiB 0.27 0.00 2.38033 -78.5571 -2.38033 2.38033 2.15 0.000120811 9.369e-05 0.149253 0.147855 28 1406 21 6.64007e+06 188370 500653. 1732.36 2.37 0.171004 0.16582 21970 115934 -1 1259 21 659 961 75275 17283 1.93811 1.93811 -80.7431 -1.93811 0 0 612192. 2118.31 0.81 0.12 0.28 -1 -1 0.81 0.00845134 0.00722996 81 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 13.43 vpr 63.89 MiB -1 -1 0.41 21432 1 0.10 -1 -1 33884 -1 -1 20 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65420 31 32 291 243 1 171 83 17 17 289 -1 unnamed_device 25.2 MiB 0.73 928 14123 4909 7107 2107 63.9 MiB 0.57 0.00 4.89847 -147.53 -4.89847 4.89847 2.66 0.000163803 0.000133719 0.0138283 0.0110452 30 2053 21 6.64007e+06 251160 526063. 1820.29 2.38 0.0398206 0.0332004 22546 126617 -1 1815 20 1083 1623 94455 22070 3.67843 3.67843 -136.048 -3.67843 0 0 666494. 2306.21 0.97 0.13 0.28 -1 -1 0.97 0.113405 0.112226 128 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 12.62 vpr 64.07 MiB -1 -1 0.44 21432 1 0.06 -1 -1 33968 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65612 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 25.5 MiB 0.11 927 7007 1409 5318 280 64.1 MiB 0.04 0.00 4.20815 -131.502 -4.20815 4.20815 2.64 0.000164372 0.000130898 0.00691655 0.00446861 30 2075 21 6.64007e+06 389298 526063. 1820.29 2.51 0.100907 0.0942374 22546 126617 -1 1916 21 1089 1828 106454 24448 3.50443 3.50443 -124.356 -3.50443 0 0 666494. 2306.21 0.60 0.05 0.25 -1 -1 0.60 0.0121851 0.010816 135 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 14.30 vpr 63.96 MiB -1 -1 0.49 21432 1 0.20 -1 -1 33964 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65500 32 32 372 300 1 204 89 17 17 289 -1 unnamed_device 25.4 MiB 0.97 1164 13949 4120 8434 1395 64.0 MiB 0.19 0.00 4.61182 -142.538 -4.61182 4.61182 2.45 0.000189308 0.000153941 0.0149428 0.0121387 32 2749 21 6.64007e+06 313950 554710. 1919.41 2.32 0.0420661 0.0350828 22834 132086 -1 2382 20 1492 2358 164989 37724 4.01422 4.01422 -134.266 -4.01422 0 0 701300. 2426.64 1.18 0.04 0.28 -1 -1 1.18 0.0128044 0.0114016 144 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 13.60 vpr 63.09 MiB -1 -1 0.40 21432 1 0.05 -1 -1 34280 -1 -1 18 26 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64600 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 24.6 MiB 0.31 372 9836 3040 4897 1899 63.1 MiB 0.08 0.00 2.3975 -64.6606 -2.3975 2.3975 2.15 9.7093e-05 7.3797e-05 0.00748263 0.00591588 32 1098 34 6.64007e+06 226044 554710. 1919.41 2.78 0.0264807 0.0215137 22834 132086 -1 833 22 664 959 61491 17296 1.91611 1.91611 -63.8808 -1.91611 0 0 701300. 2426.64 0.80 0.10 0.20 -1 -1 0.80 0.0079382 0.00691268 77 30 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 14.94 vpr 63.86 MiB -1 -1 0.44 21128 1 0.17 -1 -1 33420 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65392 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 25.1 MiB 0.21 962 9571 2597 6402 572 63.9 MiB 0.10 0.00 5.07715 -127.364 -5.07715 5.07715 3.16 0.000140406 0.000112436 0.00930876 0.00759333 26 2489 49 6.64007e+06 263718 477104. 1650.88 3.59 0.0472842 0.0393665 21682 110474 -1 2143 18 1204 2227 165254 36642 4.14842 4.14842 -134.356 -4.14842 0 0 585099. 2024.56 0.69 0.15 0.25 -1 -1 0.69 0.00904524 0.00800916 118 3 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 12.46 vpr 63.19 MiB -1 -1 0.35 20824 1 0.09 -1 -1 33540 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64708 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 24.5 MiB 0.10 489 9374 3820 5276 278 63.2 MiB 0.19 0.00 2.56853 -74.8406 -2.56853 2.56853 2.40 0.000104711 8.0703e-05 0.00697369 0.00546991 28 1211 17 6.64007e+06 175812 500653. 1732.36 2.44 0.0628252 0.059166 21970 115934 -1 1057 16 515 587 47034 11592 1.92111 1.92111 -73.3479 -1.92111 0 0 612192. 2118.31 0.97 0.18 0.42 -1 -1 0.97 0.0057727 0.00508576 79 3 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 12.81 vpr 63.91 MiB -1 -1 0.43 21128 1 0.04 -1 -1 33500 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65448 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 25.2 MiB 0.15 873 9040 2110 6495 435 63.9 MiB 0.11 0.00 4.62197 -125.344 -4.62197 4.62197 2.56 0.000159609 0.000126491 0.0653272 0.00673556 28 2023 22 6.64007e+06 376740 500653. 1732.36 2.46 0.249907 0.18766 21970 115934 -1 1797 21 990 1707 119519 26176 3.53223 3.53223 -116.512 -3.53223 0 0 612192. 2118.31 1.08 0.08 0.46 -1 -1 1.08 0.0584738 0.0572238 123 24 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 13.10 vpr 63.95 MiB -1 -1 0.32 21128 1 0.05 -1 -1 33848 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65484 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.2 MiB 0.28 1042 8735 1871 6045 819 63.9 MiB 0.12 0.00 3.82887 -111.523 -3.82887 3.82887 2.43 0.00014814 0.000119006 0.00802507 0.00654743 32 2314 22 6.64007e+06 389298 554710. 1919.41 2.73 0.294072 0.288443 22834 132086 -1 2058 21 1192 2083 149507 33934 2.77076 2.77076 -105.552 -2.77076 0 0 701300. 2426.64 1.26 0.19 0.21 -1 -1 1.26 0.011288 0.00989814 128 3 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 13.54 vpr 64.06 MiB -1 -1 0.55 21584 1 0.12 -1 -1 33820 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65596 32 32 338 277 1 179 91 17 17 289 -1 unnamed_device 25.4 MiB 0.40 1095 14371 4083 8488 1800 64.1 MiB 0.19 0.00 4.70658 -136.83 -4.70658 4.70658 2.76 0.000192621 0.000159106 0.0188175 0.0162845 32 2512 22 6.64007e+06 339066 554710. 1919.41 2.51 0.0485059 0.0415846 22834 132086 -1 2305 21 1361 2316 168554 36841 3.80283 3.80283 -132.416 -3.80283 0 0 701300. 2426.64 1.02 0.02 0.24 -1 -1 1.02 0.0188367 0.00516954 126 50 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 12.28 vpr 63.75 MiB -1 -1 0.50 21280 1 0.10 -1 -1 33668 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65276 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 25.1 MiB 0.24 785 11260 3393 5970 1897 63.7 MiB 0.13 0.00 3.03896 -100.907 -3.03896 3.03896 2.44 0.000148882 0.000117882 0.0110971 0.00895171 32 1733 18 6.64007e+06 200928 554710. 1919.41 2.74 0.280938 0.175077 22834 132086 -1 1542 17 742 1230 80790 18773 2.77597 2.77597 -101.487 -2.77597 0 0 701300. 2426.64 0.96 0.01 0.39 -1 -1 0.96 0.00575695 0.00510181 101 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 11.16 vpr 63.61 MiB -1 -1 0.47 21280 1 0.03 -1 -1 33756 -1 -1 23 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65132 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 24.9 MiB 0.08 760 10873 2730 7151 992 63.6 MiB 0.08 0.00 3.24119 -98.8846 -3.24119 3.24119 2.52 0.000156114 0.000123869 0.010338 0.0082996 32 1673 19 6.64007e+06 288834 554710. 1919.41 1.91 0.0351917 0.0293014 22834 132086 -1 1523 15 747 1145 76032 17223 2.68277 2.68277 -95.1674 -2.68277 0 0 701300. 2426.64 1.00 0.20 0.38 -1 -1 1.00 0.00495117 0.00445152 97 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 12.25 vpr 63.55 MiB -1 -1 0.62 20824 1 0.11 -1 -1 33436 -1 -1 23 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65080 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 24.9 MiB 0.04 598 14123 3741 8412 1970 63.6 MiB 0.27 0.00 3.43604 -92.6832 -3.43604 3.43604 2.27 0.000144052 0.000113818 0.0125319 0.00997179 28 1736 22 6.64007e+06 288834 500653. 1732.36 2.63 0.150119 0.144433 21970 115934 -1 1466 17 864 1511 96966 23302 3.08217 3.08217 -97.7481 -3.08217 0 0 612192. 2118.31 0.68 0.01 0.26 -1 -1 0.68 0.00410301 0.00363012 98 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 12.95 vpr 63.68 MiB -1 -1 0.54 21128 1 0.20 -1 -1 33728 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65204 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 24.9 MiB 0.12 729 8183 1849 5637 697 63.7 MiB 0.08 0.00 3.94895 -114.681 -3.94895 3.94895 2.82 0.000143534 0.000114161 0.00825563 0.00671299 28 2003 19 6.64007e+06 238602 500653. 1732.36 2.84 0.272777 0.267656 21970 115934 -1 1799 21 1146 1871 122649 29376 2.89097 2.89097 -111.719 -2.89097 0 0 612192. 2118.31 0.85 0.08 0.35 -1 -1 0.85 0.00955595 0.00837989 110 3 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 12.25 vpr 63.57 MiB -1 -1 0.39 21128 1 0.14 -1 -1 33464 -1 -1 27 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65092 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 24.9 MiB 0.18 868 7527 1581 5352 594 63.6 MiB 0.31 0.00 3.56847 -107.495 -3.56847 3.56847 2.28 6.6268e-05 5.1978e-05 0.0079333 0.00654043 30 1788 18 6.64007e+06 339066 526063. 1820.29 2.26 0.0331832 0.0281346 22546 126617 -1 1653 21 736 1288 67223 15752 2.91597 2.91597 -101.045 -2.91597 0 0 666494. 2306.21 0.78 0.11 0.33 -1 -1 0.78 0.0100359 0.00883265 103 30 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 13.91 vpr 63.70 MiB -1 -1 0.81 21280 1 0.20 -1 -1 33804 -1 -1 26 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65228 29 32 291 250 1 153 87 17 17 289 -1 unnamed_device 25.1 MiB 0.26 739 9495 2355 6085 1055 63.7 MiB 0.33 0.00 3.3589 -103.891 -3.3589 3.3589 2.31 0.000149647 0.000119008 0.00884932 0.00713222 28 1746 18 6.64007e+06 326508 500653. 1732.36 2.21 0.033907 0.0283431 21970 115934 -1 1647 16 885 1292 83362 20140 2.38151 2.38151 -96.3639 -2.38151 0 0 612192. 2118.31 0.70 0.01 0.25 -1 -1 0.70 0.00526488 0.00476692 105 54 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 17.31 vpr 64.29 MiB -1 -1 0.55 21280 1 0.13 -1 -1 34168 -1 -1 38 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65828 32 32 367 282 1 201 102 17 17 289 -1 unnamed_device 25.5 MiB 0.64 1107 10336 2265 7034 1037 64.3 MiB 0.21 0.00 4.35696 -123.755 -4.35696 4.35696 2.04 0.000217399 0.000181351 0.0105331 0.00880557 26 3217 50 6.64007e+06 477204 477104. 1650.88 5.70 0.243549 0.236092 21682 110474 -1 2430 20 1394 2554 184895 41388 3.94202 3.94202 -125.549 -3.94202 0 0 585099. 2024.56 0.68 0.22 0.30 -1 -1 0.68 0.097148 0.0115271 151 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 12.70 vpr 64.03 MiB -1 -1 0.57 21584 1 0.05 -1 -1 33556 -1 -1 37 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65564 32 32 391 311 1 192 101 17 17 289 -1 unnamed_device 25.3 MiB 0.42 971 9971 2311 7104 556 64.0 MiB 0.08 0.00 3.87558 -129.13 -3.87558 3.87558 1.60 0.000191473 0.000155165 0.0337501 0.0211453 26 2546 26 6.64007e+06 464646 477104. 1650.88 1.84 0.1875 0.16984 21682 110474 -1 2085 21 1581 2420 157485 36073 3.08017 3.08017 -124.613 -3.08017 0 0 585099. 2024.56 0.51 0.20 0.22 -1 -1 0.51 0.0145844 0.0129331 147 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 14.11 vpr 63.68 MiB -1 -1 0.75 21128 1 0.10 -1 -1 33716 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65212 31 32 279 237 1 161 82 17 17 289 -1 unnamed_device 25.1 MiB 1.10 966 12186 3700 6821 1665 63.7 MiB 0.07 0.00 4.35701 -128.732 -4.35701 4.35701 1.75 0.000138399 0.000109051 0.0111862 0.00894908 32 2082 19 6.64007e+06 238602 554710. 1919.41 1.36 0.035185 0.0293146 22834 132086 -1 1879 19 1113 1632 117971 27140 3.12563 3.12563 -115.654 -3.12563 0 0 701300. 2426.64 0.66 0.14 0.31 -1 -1 0.66 0.07853 0.0774681 112 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 15.59 vpr 64.20 MiB -1 -1 0.44 21280 1 0.20 -1 -1 34036 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65740 31 32 370 297 1 186 88 17 17 289 -1 unnamed_device 25.5 MiB 0.26 1053 13933 4032 7624 2277 64.2 MiB 0.34 0.00 4.30797 -133.935 -4.30797 4.30797 3.00 0.000222897 0.000182784 0.112058 0.109189 30 2300 22 6.64007e+06 313950 526063. 1820.29 2.26 0.145191 0.137476 22546 126617 -1 1981 18 1358 2350 138922 31524 2.76797 2.76797 -112.105 -2.76797 0 0 666494. 2306.21 0.70 0.14 0.30 -1 -1 0.70 0.0127393 0.0113077 138 61 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 15.72 vpr 63.82 MiB -1 -1 0.34 21584 1 0.08 -1 -1 33836 -1 -1 29 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65356 31 32 377 302 1 233 92 17 17 289 -1 unnamed_device 25.7 MiB 2.00 1119 14375 4168 8092 2115 63.8 MiB 0.18 0.00 5.89333 -172.903 -5.89333 5.89333 2.95 0.000174271 0.000139019 0.0155371 0.0127587 32 3473 30 6.64007e+06 364182 554710. 1919.41 1.79 0.0792757 0.0711823 22834 132086 -1 2375 21 2390 3588 239228 57632 4.68934 4.68934 -158.968 -4.68934 0 0 701300. 2426.64 0.85 0.17 0.21 -1 -1 0.85 0.0137103 0.0122178 172 64 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 15.41 vpr 64.33 MiB -1 -1 0.56 21584 1 0.03 -1 -1 33788 -1 -1 27 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65872 31 32 383 305 1 209 90 17 17 289 -1 unnamed_device 25.5 MiB 1.51 1191 15768 5423 8594 1751 64.3 MiB 0.20 0.00 5.08361 -153.384 -5.08361 5.08361 2.65 0.000201829 0.00016399 0.0172026 0.0140998 32 2811 23 6.64007e+06 339066 554710. 1919.41 1.06 0.112891 0.104888 22834 132086 -1 2395 21 1809 2783 211924 45230 4.56048 4.56048 -153.028 -4.56048 0 0 701300. 2426.64 1.02 0.22 0.34 -1 -1 1.02 0.0144434 0.0129432 164 64 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 15.13 vpr 64.00 MiB -1 -1 0.38 21280 1 0.11 -1 -1 33504 -1 -1 31 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65540 31 32 352 285 1 184 94 17 17 289 -1 unnamed_device 25.2 MiB 0.92 1006 12661 3249 8412 1000 64.0 MiB 0.28 0.08 4.68524 -135.636 -4.68524 4.68524 3.06 0.000193995 0.000158496 0.0133197 0.0110247 32 2363 21 6.64007e+06 389298 554710. 1919.41 1.91 0.0461921 0.0391972 22834 132086 -1 2116 17 995 1684 110019 25787 3.33983 3.33983 -121.897 -3.33983 0 0 701300. 2426.64 0.84 0.03 0.52 -1 -1 0.84 0.00968633 0.00858817 135 55 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 16.22 vpr 63.91 MiB -1 -1 0.45 21280 1 0.15 -1 -1 33884 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65448 32 32 291 242 1 179 87 17 17 289 -1 unnamed_device 25.2 MiB 0.85 1070 14679 4337 8393 1949 63.9 MiB 0.33 0.00 4.36796 -120.329 -4.36796 4.36796 2.79 0.000159796 0.00012668 0.11478 0.0118549 32 2358 21 6.64007e+06 288834 554710. 1919.41 2.97 0.213476 0.0337542 22834 132086 -1 2004 21 1171 1731 118584 26899 3.84083 3.84083 -121.035 -3.84083 0 0 701300. 2426.64 1.00 0.21 0.30 -1 -1 1.00 0.0116537 0.0104155 119 27 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 15.83 vpr 64.25 MiB -1 -1 0.70 21584 1 0.10 -1 -1 33796 -1 -1 40 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65796 32 32 457 356 1 223 104 17 17 289 -1 unnamed_device 26.0 MiB 0.76 1249 19868 5433 11877 2558 64.3 MiB 0.60 0.28 5.1415 -166.814 -5.1415 5.1415 2.63 0.000248789 0.00020764 0.021394 0.0174957 32 2903 23 6.64007e+06 502320 554710. 1919.41 1.66 0.0654387 0.051033 22834 132086 -1 2475 21 1616 2412 153796 35137 3.92729 3.92729 -147.478 -3.92729 0 0 701300. 2426.64 0.85 0.17 0.37 -1 -1 0.85 0.0158723 0.014163 174 87 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 14.95 vpr 63.68 MiB -1 -1 0.31 21128 1 0.03 -1 -1 33912 -1 -1 21 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65208 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 24.9 MiB 0.18 803 5025 1005 3649 371 63.7 MiB 0.15 0.00 3.83987 -104.767 -3.83987 3.83987 3.06 0.000136515 0.000107519 0.00602699 0.00497481 30 1771 17 6.64007e+06 263718 526063. 1820.29 2.98 0.0300062 0.0252814 22546 126617 -1 1613 18 779 1393 81598 18704 2.73857 2.73857 -99.0848 -2.73857 0 0 666494. 2306.21 0.85 0.03 0.26 -1 -1 0.85 0.00875297 0.00774801 101 28 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 17.37 vpr 64.16 MiB -1 -1 0.61 21432 1 0.03 -1 -1 33628 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65696 31 32 337 267 1 205 88 17 17 289 -1 unnamed_device 25.4 MiB 0.57 1162 10228 2647 6642 939 64.2 MiB 0.25 0.00 5.14752 -155.108 -5.14752 5.14752 2.87 0.000191031 0.000134154 0.0114316 0.00940382 26 2968 23 6.64007e+06 313950 477104. 1650.88 4.73 0.400076 0.134395 21682 110474 -1 2490 20 1430 2050 143308 32562 4.33708 4.33708 -147.453 -4.33708 0 0 585099. 2024.56 0.73 0.10 0.35 -1 -1 0.73 0.0675381 0.0661694 144 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 16.99 vpr 64.15 MiB -1 -1 0.68 21128 1 0.14 -1 -1 33876 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65688 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 25.4 MiB 0.51 1031 9643 2047 7145 451 64.1 MiB 0.48 0.00 4.0171 -121.518 -4.0171 4.0171 2.66 0.000161868 0.000130124 0.422908 0.301811 28 2635 19 6.64007e+06 414414 500653. 1732.36 3.82 0.453902 0.328294 21970 115934 -1 2235 20 1444 2574 170168 39668 3.08817 3.08817 -113.812 -3.08817 0 0 612192. 2118.31 0.87 0.12 0.09 -1 -1 0.87 0.0123934 0.0108191 131 53 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 15.58 vpr 63.88 MiB -1 -1 0.52 21432 1 0.04 -1 -1 33736 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65416 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 25.2 MiB 0.06 912 5938 1237 4353 348 63.9 MiB 0.13 0.00 4.13756 -120.743 -4.13756 4.13756 2.45 0.000185711 0.000130447 0.00636428 0.00525361 32 2155 20 6.64007e+06 301392 554710. 1919.41 3.16 0.131566 0.0293915 22834 132086 -1 1880 19 1203 2210 129597 30645 3.47223 3.47223 -118.931 -3.47223 0 0 701300. 2426.64 1.18 0.14 0.33 -1 -1 1.18 0.0114014 0.0101009 123 3 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 17.29 vpr 64.19 MiB -1 -1 1.00 21584 1 0.30 -1 -1 33776 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65732 32 32 353 287 1 198 88 17 17 289 -1 unnamed_device 25.4 MiB 0.63 1105 14713 4479 8365 1869 64.2 MiB 0.21 0.00 4.89735 -144.949 -4.89735 4.89735 2.90 0.000168871 0.000134126 0.0156264 0.0127157 32 2397 20 6.64007e+06 301392 554710. 1919.41 2.78 0.131745 0.124274 22834 132086 -1 2149 17 1067 1469 112692 24874 3.50943 3.50943 -128.789 -3.50943 0 0 701300. 2426.64 1.22 0.03 0.36 -1 -1 1.22 0.0114101 0.0103052 138 55 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 15.48 vpr 64.20 MiB -1 -1 1.30 21432 1 0.12 -1 -1 33544 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65736 32 32 361 291 1 185 96 17 17 289 -1 unnamed_device 25.4 MiB 0.26 1031 9513 2066 6737 710 64.2 MiB 0.31 0.00 3.7565 -124.965 -3.7565 3.7565 2.77 0.000183549 0.000150674 0.0100477 0.00825976 32 2453 19 6.64007e+06 401856 554710. 1919.41 2.91 0.151794 0.0352663 22834 132086 -1 2103 18 1153 1864 122502 28274 2.98197 2.98197 -117.268 -2.98197 0 0 701300. 2426.64 1.07 0.20 0.45 -1 -1 1.07 0.0125097 0.0112065 133 55 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 16.27 vpr 64.25 MiB -1 -1 0.59 21432 1 0.18 -1 -1 33732 -1 -1 37 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65796 32 32 382 305 1 192 101 17 17 289 -1 unnamed_device 25.5 MiB 1.36 1092 11616 2944 7805 867 64.3 MiB 0.26 0.00 4.776 -145.641 -4.776 4.776 2.43 0.000189539 0.000154761 0.0108773 0.00891344 30 2383 19 6.64007e+06 464646 526063. 1820.29 2.78 0.266334 0.259319 22546 126617 -1 2037 16 956 1502 76540 18706 3.47103 3.47103 -128.78 -3.47103 0 0 666494. 2306.21 1.37 0.03 0.48 -1 -1 1.37 0.0116124 0.0104606 145 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 20.02 vpr 63.93 MiB -1 -1 0.40 21432 1 0.14 -1 -1 34120 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65468 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 25.1 MiB 0.08 830 7023 1481 5311 231 63.9 MiB 0.24 0.00 4.19967 -120.859 -4.19967 4.19967 2.78 0.000157482 0.0001245 0.0069072 0.00562662 30 2090 21 6.64007e+06 364182 526063. 1820.29 3.23 0.0359906 0.0304569 22546 126617 -1 1637 18 960 1653 89780 21310 3.90303 3.90303 -116.463 -3.90303 0 0 666494. 2306.21 0.96 0.03 0.39 -1 -1 0.96 0.0135745 0.0124929 122 24 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 14.87 vpr 64.04 MiB -1 -1 0.78 21280 1 0.17 -1 -1 33648 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65572 32 32 319 257 1 198 88 17 17 289 -1 unnamed_device 25.4 MiB 0.67 1049 7498 1593 5357 548 64.0 MiB 0.35 0.17 5.183 -143.62 -5.183 5.183 2.42 0.000161802 0.000128753 0.00815827 0.00675629 28 2436 22 6.64007e+06 301392 500653. 1732.36 1.84 0.0955921 0.0900453 21970 115934 -1 2277 17 1337 1977 137691 32123 3.84302 3.84302 -134.69 -3.84302 0 0 612192. 2118.31 0.92 0.15 0.34 -1 -1 0.92 0.011473 0.0100386 133 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 15.98 vpr 64.27 MiB -1 -1 0.57 21432 1 0.03 -1 -1 33808 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65812 31 32 373 299 1 202 88 17 17 289 -1 unnamed_device 25.5 MiB 1.16 1063 10423 2679 6644 1100 64.3 MiB 0.12 0.00 5.14867 -149.951 -5.14867 5.14867 2.82 0.000187399 0.000152424 0.0114909 0.00944423 32 2827 22 6.64007e+06 313950 554710. 1919.41 2.18 0.0440822 0.0373757 22834 132086 -1 2429 19 1531 2436 167558 39145 4.38209 4.38209 -145.471 -4.38209 0 0 701300. 2426.64 0.92 0.11 0.55 -1 -1 0.92 0.0123503 0.0110317 148 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 15.48 vpr 64.17 MiB -1 -1 0.98 21736 1 0.12 -1 -1 33644 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65708 32 32 387 315 1 189 86 17 17 289 -1 unnamed_device 25.4 MiB 0.82 999 9347 2537 5990 820 64.2 MiB 0.34 0.00 4.30607 -131.887 -4.30607 4.30607 2.70 0.000191115 0.000154774 0.0115097 0.00955572 32 2621 18 6.64007e+06 276276 554710. 1919.41 2.05 0.0600607 0.0369295 22834 132086 -1 2135 21 1630 2937 190918 44267 3.45022 3.45022 -127.121 -3.45022 0 0 701300. 2426.64 0.96 0.09 0.46 -1 -1 0.96 0.0134535 0.0119462 136 77 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 14.97 vpr 63.26 MiB -1 -1 0.49 21280 1 0.13 -1 -1 33688 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64780 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 24.8 MiB 0.30 707 15103 5445 7308 2350 63.3 MiB 0.32 0.00 3.43127 -100.64 -3.43127 3.43127 2.78 0.000145001 0.000111646 0.0123216 0.00982106 30 1671 23 6.64007e+06 301392 526063. 1820.29 2.26 0.0642437 0.0579831 22546 126617 -1 1434 19 724 1170 74656 16740 2.77677 2.77677 -95.239 -2.77677 0 0 666494. 2306.21 1.13 0.02 0.19 -1 -1 1.13 0.00849099 0.00751566 97 23 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 15.24 vpr 64.27 MiB -1 -1 0.49 21128 1 0.09 -1 -1 33948 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65812 32 32 341 285 1 187 86 17 17 289 -1 unnamed_device 25.5 MiB 0.78 879 16907 6072 8286 2549 64.3 MiB 0.33 0.00 4.05536 -136.666 -4.05536 4.05536 2.54 0.000169209 0.000133494 0.117933 0.114598 30 2336 24 6.64007e+06 276276 526063. 1820.29 3.01 0.148276 0.140395 22546 126617 -1 1899 27 1588 2334 172460 38388 3.44717 3.44717 -125.656 -3.44717 0 0 666494. 2306.21 0.85 0.22 0.19 -1 -1 0.85 0.165319 0.163663 127 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 18.30 vpr 64.05 MiB -1 -1 0.49 21432 1 0.07 -1 -1 33680 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65584 32 32 387 293 1 234 93 17 17 289 -1 unnamed_device 25.8 MiB 0.95 1391 17943 5776 10036 2131 64.0 MiB 0.57 0.10 5.4603 -163.746 -5.4603 5.4603 2.99 0.000200748 0.000161365 0.0197282 0.0159715 26 3829 37 6.64007e+06 364182 477104. 1650.88 5.10 0.12643 0.116478 21682 110474 -1 2903 21 2212 3431 279508 61047 4.77288 4.77288 -159.713 -4.77288 0 0 585099. 2024.56 0.78 0.21 0.26 -1 -1 0.78 0.0152005 0.0136309 169 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 13.80 vpr 63.93 MiB -1 -1 0.40 21584 1 0.10 -1 -1 33988 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65464 32 32 340 270 1 181 96 17 17 289 -1 unnamed_device 25.2 MiB 0.66 899 8418 1747 6220 451 63.9 MiB 0.12 0.00 4.50246 -133.681 -4.50246 4.50246 2.39 0.00020338 0.000166538 0.0715383 0.069946 30 2214 19 6.64007e+06 401856 526063. 1820.29 2.72 0.123628 0.117608 22546 126617 -1 1757 20 950 1554 85616 20273 3.01637 3.01637 -112.762 -3.01637 0 0 666494. 2306.21 0.95 0.13 0.18 -1 -1 0.95 0.0128968 0.0115379 133 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 14.73 vpr 63.76 MiB -1 -1 0.44 21128 1 0.17 -1 -1 33760 -1 -1 26 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65288 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 25.1 MiB 0.14 644 6718 1441 4611 666 63.8 MiB 0.13 0.00 3.45804 -101.577 -3.45804 3.45804 3.27 0.000146923 0.000116831 0.0066095 0.00537478 32 1668 19 6.64007e+06 326508 554710. 1919.41 2.63 0.134918 0.129651 22834 132086 -1 1494 17 978 1572 96866 23828 2.87177 2.87177 -100.543 -2.87177 0 0 701300. 2426.64 0.55 0.06 0.26 -1 -1 0.55 0.00896691 0.00797922 104 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 17.93 vpr 63.96 MiB -1 -1 0.53 21280 1 0.13 -1 -1 33744 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65492 32 32 431 332 1 235 91 17 17 289 -1 unnamed_device 25.8 MiB 1.27 1258 17023 5454 8906 2663 64.0 MiB 0.48 0.00 6.52766 -186.909 -6.52766 6.52766 2.44 0.00021071 0.000171224 0.0211344 0.0174472 32 3197 27 6.64007e+06 339066 554710. 1919.41 4.23 0.141289 0.0515818 22834 132086 -1 2657 22 2151 3021 219961 49163 5.34414 5.34414 -174.228 -5.34414 0 0 701300. 2426.64 0.73 0.22 0.44 -1 -1 0.73 0.0167397 0.0148986 170 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 15.80 vpr 64.05 MiB -1 -1 0.48 21280 1 0.10 -1 -1 33616 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65592 32 32 336 268 1 174 97 17 17 289 -1 unnamed_device 25.3 MiB 0.41 911 6091 1101 4753 237 64.1 MiB 0.16 0.00 4.60401 -138.195 -4.60401 4.60401 2.97 0.000169471 0.000135364 0.00679144 0.00568019 26 2378 47 6.64007e+06 414414 477104. 1650.88 4.03 0.145776 0.138443 21682 110474 -1 2062 21 1448 2243 152231 34470 3.70502 3.70502 -129.318 -3.70502 0 0 585099. 2024.56 0.51 0.13 0.18 -1 -1 0.51 0.0128087 0.0114353 130 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 16.23 vpr 63.68 MiB -1 -1 0.49 20672 1 0.13 -1 -1 33648 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65204 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 24.9 MiB 0.23 820 12375 3515 6889 1971 63.7 MiB 0.11 0.00 3.58247 -102.931 -3.58247 3.58247 3.69 0.000132157 0.000104545 0.0101192 0.00806529 26 1931 26 6.64007e+06 288834 477104. 1650.88 3.88 0.144927 0.139074 21682 110474 -1 1824 20 946 1569 120750 26711 2.80577 2.80577 -101.898 -2.80577 0 0 585099. 2024.56 0.98 0.13 0.19 -1 -1 0.98 0.00900647 0.00783961 100 3 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 22.26 vpr 63.98 MiB -1 -1 0.51 21432 1 0.07 -1 -1 33448 -1 -1 34 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65516 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 25.4 MiB 0.25 1059 11573 2749 8139 685 64.0 MiB 0.20 0.00 5.58461 -136.884 -5.58461 5.58461 3.76 0.000259232 0.000217727 0.0117972 0.00968879 26 3084 26 6.64007e+06 426972 477104. 1650.88 9.48 0.0468167 0.0394879 21682 110474 -1 2444 21 1450 2812 206053 44907 4.99708 4.99708 -139.387 -4.99708 0 0 585099. 2024.56 1.14 0.33 0.27 -1 -1 1.14 0.0209779 0.015682 139 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 15.61 vpr 63.59 MiB -1 -1 0.40 21128 1 0.04 -1 -1 33856 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65112 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 24.9 MiB 0.12 692 7404 1537 5210 657 63.6 MiB 0.14 0.00 3.45624 -104.571 -3.45624 3.45624 3.37 0.000134082 0.000105468 0.00729556 0.00588096 28 1972 25 6.64007e+06 251160 500653. 1732.36 3.29 0.0323933 0.0271628 21970 115934 -1 1674 19 1142 1970 132697 34368 2.78177 2.78177 -106.065 -2.78177 0 0 612192. 2118.31 0.74 0.12 0.28 -1 -1 0.74 0.0141877 0.0131792 104 3 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 15.62 vpr 63.77 MiB -1 -1 0.70 21432 1 0.18 -1 -1 33912 -1 -1 33 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65296 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 25.1 MiB 0.19 661 13271 3491 8020 1760 63.8 MiB 0.36 0.00 4.08278 -107.388 -4.08278 4.08278 3.25 0.000154168 0.000122978 0.123273 0.121177 26 1782 23 6.64007e+06 414414 477104. 1650.88 3.28 0.2287 0.222436 21682 110474 -1 1560 18 844 1535 98500 23978 2.80376 2.80376 -99.1998 -2.80376 0 0 585099. 2024.56 0.92 0.03 0.43 -1 -1 0.92 0.0093052 0.00828504 105 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 16.32 vpr 64.20 MiB -1 -1 0.85 21432 1 0.11 -1 -1 33872 -1 -1 26 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65740 29 32 355 287 1 198 87 17 17 289 -1 unnamed_device 25.5 MiB 1.20 1051 14871 4721 7474 2676 64.2 MiB 0.26 0.00 4.65946 -136.547 -4.65946 4.65946 2.53 0.000172473 0.000137511 0.0152604 0.0124275 32 2635 26 6.64007e+06 326508 554710. 1919.41 3.76 0.466617 0.138305 22834 132086 -1 2198 18 1449 2156 149608 34012 3.61362 3.61362 -122.49 -3.61362 0 0 701300. 2426.64 1.02 0.21 0.31 -1 -1 1.02 0.0131463 0.0119163 139 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 14.33 vpr 64.03 MiB -1 -1 0.54 21432 1 0.17 -1 -1 33816 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65568 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 25.3 MiB 0.35 788 7108 1431 5495 182 64.0 MiB 0.15 0.00 4.47545 -132.712 -4.47545 4.47545 2.71 0.000178399 0.000143622 0.00841994 0.00695599 32 2149 22 6.64007e+06 301392 554710. 1919.41 2.20 0.0423484 0.0360955 22834 132086 -1 1836 22 1619 2505 199669 44463 3.78683 3.78683 -129.199 -3.78683 0 0 701300. 2426.64 1.41 0.32 0.28 -1 -1 1.41 0.013947 0.0124082 130 54 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 15.22 vpr 64.06 MiB -1 -1 0.50 21280 1 0.07 -1 -1 33692 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65596 32 32 353 285 1 181 92 17 17 289 -1 unnamed_device 25.5 MiB 0.51 1016 16652 4669 9876 2107 64.1 MiB 0.23 0.00 4.72138 -141.559 -4.72138 4.72138 2.57 0.000174713 0.000139391 0.126072 0.122738 32 2235 17 6.64007e+06 351624 554710. 1919.41 2.56 0.155042 0.147507 22834 132086 -1 2079 18 1060 1825 121513 27657 3.44402 3.44402 -125.897 -3.44402 0 0 701300. 2426.64 0.85 0.13 0.43 -1 -1 0.85 0.0115813 0.0104165 133 51 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 15.93 vpr 63.59 MiB -1 -1 0.42 21432 1 0.18 -1 -1 33872 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65116 32 32 276 237 1 159 81 17 17 289 -1 unnamed_device 25.1 MiB 0.59 739 10406 2871 6114 1421 63.6 MiB 0.26 0.00 4.77715 -129.788 -4.77715 4.77715 2.54 0.000130957 0.000103633 0.0100465 0.00812432 26 2464 34 6.64007e+06 213486 477104. 1650.88 4.10 0.195544 0.188982 21682 110474 -1 1874 21 1194 1673 136353 31626 3.37823 3.37823 -117.547 -3.37823 0 0 585099. 2024.56 0.76 0.19 0.22 -1 -1 0.76 0.0104379 0.0092344 105 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 15.74 vpr 63.82 MiB -1 -1 0.85 21280 1 0.03 -1 -1 33640 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65348 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 25.1 MiB 0.87 900 13966 4576 7232 2158 63.8 MiB 0.36 0.00 3.96736 -126.928 -3.96736 3.96736 3.04 0.000155506 0.000122347 0.0146695 0.0118338 32 2032 19 6.64007e+06 238602 554710. 1919.41 2.39 0.043114 0.0360288 22834 132086 -1 1796 19 1186 1711 121103 26352 3.03063 3.03063 -115.915 -3.03063 0 0 701300. 2426.64 1.01 0.07 0.24 -1 -1 1.01 0.0114055 0.0101854 113 64 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 15.57 vpr 64.00 MiB -1 -1 0.35 21584 1 0.07 -1 -1 33764 -1 -1 33 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65540 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 25.2 MiB 0.63 869 11759 2827 8114 818 64.0 MiB 0.19 0.00 3.56047 -98.9603 -3.56047 3.56047 3.06 0.000162187 0.000128606 0.0112268 0.00915129 26 2451 35 6.64007e+06 414414 477104. 1650.88 4.28 0.124398 0.117208 21682 110474 -1 1883 19 1046 1820 121515 28037 3.00517 3.00517 -101.278 -3.00517 0 0 585099. 2024.56 1.02 0.16 0.16 -1 -1 1.02 0.132944 0.131712 123 57 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 16.11 vpr 63.82 MiB -1 -1 0.59 21128 1 0.08 -1 -1 33768 -1 -1 35 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65352 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 25.2 MiB 0.64 923 12623 3556 7108 1959 63.8 MiB 0.29 0.00 4.42192 -107.107 -4.42192 4.42192 2.88 0.000154515 0.000123667 0.011005 0.00887745 26 2207 22 6.64007e+06 439530 477104. 1650.88 3.42 0.038703 0.0324006 21682 110474 -1 1846 21 1076 1977 144972 32111 3.60762 3.60762 -103.379 -3.60762 0 0 585099. 2024.56 0.71 0.04 0.18 -1 -1 0.71 0.0102956 0.00885177 115 27 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 16.31 vpr 63.78 MiB -1 -1 0.64 21584 1 0.14 -1 -1 33868 -1 -1 18 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65312 30 32 317 269 1 152 80 17 17 289 -1 unnamed_device 25.2 MiB 1.12 868 13152 3960 7274 1918 63.8 MiB 0.52 0.00 4.18997 -120.71 -4.18997 4.18997 3.07 0.000159962 0.000127892 0.458529 0.11426 30 1859 20 6.64007e+06 226044 526063. 1820.29 2.79 0.485888 0.137589 22546 126617 -1 1604 16 950 1642 89587 20645 2.88777 2.88777 -111.889 -2.88777 0 0 666494. 2306.21 0.74 0.13 0.49 -1 -1 0.74 0.107574 0.106506 108 63 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 17.94 vpr 63.89 MiB -1 -1 0.51 21128 1 0.03 -1 -1 33752 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65428 32 32 335 282 1 184 85 17 17 289 -1 unnamed_device 25.2 MiB 0.66 936 13105 4260 6168 2677 63.9 MiB 0.29 0.00 3.98936 -131.555 -3.98936 3.98936 3.43 0.000156337 0.000123429 0.0135869 0.0110377 32 2306 23 6.64007e+06 263718 554710. 1919.41 3.05 0.0426464 0.0355717 22834 132086 -1 1937 17 1188 1717 111112 25766 3.11317 3.11317 -120.016 -3.11317 0 0 701300. 2426.64 1.04 0.07 0.24 -1 -1 1.04 0.0119899 0.0109284 121 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 15.77 vpr 63.78 MiB -1 -1 0.52 21128 1 0.12 -1 -1 33872 -1 -1 32 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65308 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 25.1 MiB 0.21 1072 16295 4636 9641 2018 63.8 MiB 0.32 0.00 4.60183 -132.105 -4.60183 4.60183 2.76 0.000157977 0.000125883 0.0136171 0.0110441 32 2361 23 6.64007e+06 401856 554710. 1919.41 3.08 0.0425043 0.0357157 22834 132086 -1 2070 21 1298 2300 158131 35716 3.58143 3.58143 -121.596 -3.58143 0 0 701300. 2426.64 0.98 0.17 0.34 -1 -1 0.98 0.0120079 0.0107192 127 4 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 16.54 vpr 63.99 MiB -1 -1 0.47 21280 1 0.11 -1 -1 33628 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65528 32 32 350 275 1 209 88 17 17 289 -1 unnamed_device 25.4 MiB 1.12 1141 10618 2698 7154 766 64.0 MiB 0.21 0.00 5.38066 -169.108 -5.38066 5.38066 2.36 0.000187965 0.000153004 0.0789252 0.0768864 32 2828 24 6.64007e+06 301392 554710. 1919.41 3.28 0.138799 0.133123 22834 132086 -1 2471 20 1618 2374 162388 37377 4.22469 4.22469 -153.669 -4.22469 0 0 701300. 2426.64 1.02 0.12 0.34 -1 -1 1.02 0.0126606 0.0112805 146 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 15.80 vpr 64.25 MiB -1 -1 0.42 21584 1 0.10 -1 -1 33844 -1 -1 34 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65796 32 32 385 308 1 185 98 17 17 289 -1 unnamed_device 25.5 MiB 0.48 1093 17423 4766 10394 2263 64.3 MiB 0.32 0.00 5.20872 -147.682 -5.20872 5.20872 2.77 0.000211969 0.000174725 0.120411 0.117243 32 2487 20 6.64007e+06 426972 554710. 1919.41 2.98 0.351042 0.342995 22834 132086 -1 2176 22 1357 2464 161896 37754 4.13968 4.13968 -138.72 -4.13968 0 0 701300. 2426.64 1.14 0.33 0.33 -1 -1 1.14 0.0139973 0.0123757 144 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 20.38 vpr 64.29 MiB -1 -1 0.38 21280 1 0.21 -1 -1 33796 -1 -1 37 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65828 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 25.5 MiB 0.28 1020 5976 1067 4592 317 64.3 MiB 0.23 0.00 4.48481 -139.253 -4.48481 4.48481 2.65 0.000271766 0.000232292 0.00762352 0.00621737 26 3037 34 6.64007e+06 464646 477104. 1650.88 9.27 0.278558 0.271695 21682 110474 -1 2532 19 1528 2617 199141 44832 4.02223 4.02223 -142.177 -4.02223 0 0 585099. 2024.56 0.63 0.09 0.23 -1 -1 0.63 0.0128737 0.0114939 140 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 19.84 vpr 63.53 MiB -1 -1 0.49 21128 1 0.34 -1 -1 34048 -1 -1 19 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65056 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 24.9 MiB 0.97 720 9706 2873 5961 872 63.5 MiB 0.10 0.00 3.87875 -113.748 -3.87875 3.87875 3.20 0.000142299 0.000113822 0.0097933 0.00797525 26 2012 21 6.64007e+06 238602 477104. 1650.88 4.02 0.201287 0.195543 21682 110474 -1 1680 20 1072 1796 126030 29211 2.77977 2.77977 -103.879 -2.77977 0 0 585099. 2024.56 0.92 0.04 0.22 -1 -1 0.92 0.0099439 0.00876209 104 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 20.88 vpr 64.00 MiB -1 -1 0.36 21432 1 0.05 -1 -1 33908 -1 -1 23 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65540 30 32 375 299 1 187 85 17 17 289 -1 unnamed_device 25.5 MiB 0.44 943 11431 3023 6401 2007 64.0 MiB 0.16 0.00 4.78844 -139.402 -4.78844 4.78844 3.11 0.000186671 0.000151959 0.0145199 0.0119405 32 2200 19 6.64007e+06 288834 554710. 1919.41 3.61 0.047531 0.040402 22834 132086 -1 1954 22 1661 2537 190798 42661 3.78083 3.78083 -134.259 -3.78083 0 0 701300. 2426.64 0.98 0.10 0.26 -1 -1 0.98 0.0132331 0.0116885 138 63 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 21.02 vpr 63.92 MiB -1 -1 0.71 20976 1 0.05 -1 -1 33728 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65452 32 32 340 270 1 200 90 17 17 289 -1 unnamed_device 25.3 MiB 0.60 1173 16170 4393 9836 1941 63.9 MiB 0.38 0.00 5.44166 -158.46 -5.44166 5.44166 2.91 0.000235363 0.000199151 0.0169446 0.0138694 26 3066 44 6.64007e+06 326508 477104. 1650.88 7.70 0.163087 0.154107 21682 110474 -1 2530 20 1510 2361 214573 45177 4.20469 4.20469 -146.692 -4.20469 0 0 585099. 2024.56 0.78 0.06 0.10 -1 -1 0.78 0.0454405 0.0440837 140 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 17.06 vpr 64.02 MiB -1 -1 1.00 21584 1 0.03 -1 -1 33772 -1 -1 30 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65560 31 32 340 275 1 195 93 17 17 289 -1 unnamed_device 25.4 MiB 1.10 1218 15003 4568 8445 1990 64.0 MiB 0.48 0.00 5.37715 -156.36 -5.37715 5.37715 3.05 0.000182094 0.000146667 0.0149055 0.0122418 30 2584 21 6.64007e+06 376740 526063. 1820.29 3.43 0.0994961 0.0925531 22546 126617 -1 2097 19 945 1496 90295 19909 4.17788 4.17788 -140.711 -4.17788 0 0 666494. 2306.21 0.84 0.06 0.35 -1 -1 0.84 0.0118243 0.0106109 148 47 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 16.42 vpr 64.22 MiB -1 -1 0.91 21280 1 0.19 -1 -1 33648 -1 -1 33 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65764 30 32 377 310 1 177 95 17 17 289 -1 unnamed_device 25.5 MiB 0.67 896 9167 2079 5963 1125 64.2 MiB 0.24 0.00 4.45681 -129.866 -4.45681 4.45681 2.76 0.000180568 0.000142043 0.00790361 0.00646221 32 2155 17 6.64007e+06 414414 554710. 1919.41 2.83 0.0407063 0.0347473 22834 132086 -1 1914 20 1197 2020 120534 29155 3.30083 3.30083 -120.042 -3.30083 0 0 701300. 2426.64 1.57 0.18 0.40 -1 -1 1.57 0.0136899 0.012031 135 83 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 15.40 vpr 63.93 MiB -1 -1 0.44 21432 1 0.18 -1 -1 33708 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65468 32 32 365 294 1 185 85 17 17 289 -1 unnamed_device 25.2 MiB 0.34 1055 11059 3307 6879 873 63.9 MiB 0.12 0.00 5.0056 -144.674 -5.0056 5.0056 2.88 0.000173519 0.000140153 0.0150455 0.0128531 32 2576 22 6.64007e+06 263718 554710. 1919.41 2.57 0.127457 0.120909 22834 132086 -1 2335 18 1326 2304 163091 36087 3.94982 3.94982 -137.537 -3.94982 0 0 701300. 2426.64 1.32 0.44 0.24 -1 -1 1.32 0.0118253 0.0105433 134 57 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 16.24 vpr 64.13 MiB -1 -1 0.48 21736 1 0.27 -1 -1 33816 -1 -1 31 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65668 29 32 378 310 1 177 92 17 17 289 -1 unnamed_device 25.5 MiB 0.62 991 14168 3722 8736 1710 64.1 MiB 0.30 0.00 4.90164 -138.394 -4.90164 4.90164 2.61 0.000168324 0.000134752 0.189668 0.187041 26 2502 24 6.64007e+06 389298 477104. 1650.88 2.33 0.222331 0.214911 21682 110474 -1 2063 15 1013 1655 107542 24963 3.75663 3.75663 -132.162 -3.75663 0 0 585099. 2024.56 1.15 0.11 0.27 -1 -1 1.15 0.0116906 0.0105427 132 85 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 13.28 vpr 63.55 MiB -1 -1 0.60 20976 1 0.19 -1 -1 33912 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65072 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 24.9 MiB 0.22 698 12416 3955 6725 1736 63.5 MiB 0.17 0.00 3.88758 -112.897 -3.88758 3.88758 2.64 0.000133485 0.00010512 0.0114222 0.00915776 28 1787 17 6.64007e+06 188370 500653. 1732.36 3.09 0.0347648 0.0292322 21970 115934 -1 1611 20 959 1457 110874 25319 2.99497 2.99497 -107.803 -2.99497 0 0 612192. 2118.31 0.68 0.14 0.13 -1 -1 0.68 0.00911602 0.00808967 96 3 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 16.52 vpr 64.19 MiB -1 -1 0.48 21432 1 0.09 -1 -1 33704 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65728 32 32 373 302 1 176 96 17 17 289 -1 unnamed_device 25.4 MiB 0.67 964 13455 3168 8058 2229 64.2 MiB 0.27 0.00 4.65236 -138.008 -4.65236 4.65236 2.98 0.00016497 0.000131649 0.0127342 0.010377 32 2137 22 6.64007e+06 401856 554710. 1919.41 2.40 0.0733045 0.0661312 22834 132086 -1 1819 19 1275 2138 130681 30237 3.85002 3.85002 -129.858 -3.85002 0 0 701300. 2426.64 1.02 0.22 0.24 -1 -1 1.02 0.0114272 0.0101271 132 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 15.90 vpr 64.29 MiB -1 -1 0.59 21736 1 0.18 -1 -1 33792 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65832 32 32 397 314 1 196 86 17 17 289 -1 unnamed_device 25.5 MiB 0.80 1060 10103 2308 6560 1235 64.3 MiB 0.34 0.00 4.84241 -152.382 -4.84241 4.84241 2.76 0.000180796 0.000145089 0.0122441 0.0100669 32 2600 21 6.64007e+06 276276 554710. 1919.41 3.19 0.207791 0.20069 22834 132086 -1 2330 23 1974 3186 228432 52206 3.99923 3.99923 -143.607 -3.99923 0 0 701300. 2426.64 1.15 0.22 0.26 -1 -1 1.15 0.0148665 0.013219 148 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 15.54 vpr 63.82 MiB -1 -1 0.41 21280 1 0.10 -1 -1 33720 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65356 32 32 269 231 1 170 84 17 17 289 -1 unnamed_device 25.1 MiB 0.63 948 13992 4317 7911 1764 63.8 MiB 0.24 0.00 4.31784 -124.811 -4.31784 4.31784 2.96 0.000138163 0.000110108 0.0297505 0.0271951 32 2139 22 6.64007e+06 251160 554710. 1919.41 3.01 0.055222 0.0489866 22834 132086 -1 1864 17 941 1225 86209 19856 3.21283 3.21283 -114.944 -3.21283 0 0 701300. 2426.64 1.21 0.11 0.28 -1 -1 1.21 0.00974595 0.00874286 109 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 15.95 vpr 63.65 MiB -1 -1 0.44 21128 1 0.14 -1 -1 33644 -1 -1 21 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65180 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 24.9 MiB 0.14 781 9417 2297 6451 669 63.7 MiB 0.15 0.00 3.81035 -110.01 -3.81035 3.81035 3.05 0.000126892 0.000100235 0.108806 0.107235 32 1781 20 6.64007e+06 263718 554710. 1919.41 2.74 0.239682 0.234428 22834 132086 -1 1576 20 946 1624 103938 23927 2.61437 2.61437 -99.4048 -2.61437 0 0 701300. 2426.64 0.83 0.21 0.52 -1 -1 0.83 0.00918862 0.00810276 106 4 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 19.82 vpr 64.23 MiB -1 -1 0.44 21584 1 0.24 -1 -1 33980 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65768 32 32 348 274 1 211 90 17 17 289 -1 unnamed_device 25.7 MiB 1.01 895 10542 2890 7065 587 64.2 MiB 0.18 0.00 5.11544 -156.548 -5.11544 5.11544 2.76 0.00018834 0.000155804 0.0250306 0.0230352 26 3084 38 6.64007e+06 326508 477104. 1650.88 6.97 0.100407 0.0925314 21682 110474 -1 2430 24 1928 2531 182863 44364 4.40329 4.40329 -157.453 -4.40329 0 0 585099. 2024.56 1.00 0.15 0.28 -1 -1 1.00 0.0142369 0.0126004 144 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 16.84 vpr 64.21 MiB -1 -1 0.45 21432 1 0.20 -1 -1 33696 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65756 32 32 356 289 1 202 93 17 17 289 -1 unnamed_device 25.5 MiB 0.63 1180 8283 2004 5658 621 64.2 MiB 0.46 0.00 4.91139 -150.395 -4.91139 4.91139 2.94 0.000164407 0.00013181 0.00923235 0.00764545 26 3166 31 6.64007e+06 364182 477104. 1650.88 3.53 0.0641874 0.0573494 21682 110474 -1 2596 21 1653 2552 195854 42702 4.72769 4.72769 -160.09 -4.72769 0 0 585099. 2024.56 0.90 0.16 0.44 -1 -1 0.90 0.0118917 0.0105273 155 56 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 16.15 vpr 64.20 MiB -1 -1 0.72 21432 1 0.17 -1 -1 33824 -1 -1 36 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65744 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 25.5 MiB 0.37 1180 11932 3220 7881 831 64.2 MiB 0.41 0.00 5.49089 -148.419 -5.49089 5.49089 2.66 8.2603e-05 6.6418e-05 0.0107358 0.00881626 26 3069 24 6.64007e+06 452088 477104. 1650.88 4.66 0.0456321 0.0388008 21682 110474 -1 2506 19 1528 2735 230515 47745 4.29109 4.29109 -144.084 -4.29109 0 0 585099. 2024.56 0.89 0.15 0.20 -1 -1 0.89 0.10888 0.107563 153 3 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 15.13 vpr 63.76 MiB -1 -1 0.51 21432 1 0.13 -1 -1 33872 -1 -1 32 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65292 30 32 316 264 1 162 94 17 17 289 -1 unnamed_device 25.2 MiB 0.46 874 9892 2506 6506 880 63.8 MiB 0.17 0.00 3.51924 -104.27 -3.51924 3.51924 3.24 0.000150198 0.000118987 0.00985718 0.008063 30 1829 20 6.64007e+06 401856 526063. 1820.29 2.48 0.0541673 0.0483061 22546 126617 -1 1618 20 1032 1862 89124 21789 2.85117 2.85117 -98.5541 -2.85117 0 0 666494. 2306.21 0.96 0.18 0.23 -1 -1 0.96 0.0106496 0.00932537 121 52 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 15.57 vpr 63.57 MiB -1 -1 0.49 21128 1 0.15 -1 -1 33992 -1 -1 21 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65092 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 24.9 MiB 0.11 565 12120 3265 7503 1352 63.6 MiB 0.26 0.01 3.49724 -93.0073 -3.49724 3.49724 3.21 0.000207145 0.000119599 0.140462 0.0104618 28 1515 21 6.64007e+06 263718 500653. 1732.36 3.35 0.163059 0.0294724 21970 115934 -1 1345 20 1021 1500 104776 24885 2.81977 2.81977 -92.0925 -2.81977 0 0 612192. 2118.31 0.87 0.11 0.29 -1 -1 0.87 0.00902791 0.0079083 97 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 16.69 vpr 64.15 MiB -1 -1 0.51 21432 1 0.04 -1 -1 33832 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65688 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 26.0 MiB 0.80 1325 16572 5453 8679 2440 64.1 MiB 0.65 0.00 4.38715 -140.393 -4.38715 4.38715 3.08 0.000213999 0.000174654 0.0200508 0.0165729 30 3034 21 6.64007e+06 326508 526063. 1820.29 4.10 0.0571257 0.0483967 22546 126617 -1 2563 21 1577 2693 157209 34685 3.79562 3.79562 -131.292 -3.79562 0 0 666494. 2306.21 0.81 0.09 0.37 -1 -1 0.81 0.0150537 0.0134463 170 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 16.09 vpr 64.05 MiB -1 -1 0.54 21432 1 0.10 -1 -1 33820 -1 -1 23 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65584 31 32 365 296 1 193 86 17 17 289 -1 unnamed_device 25.4 MiB 1.09 1050 12371 3182 7852 1337 64.0 MiB 0.37 0.00 5.43386 -156.366 -5.43386 5.43386 2.63 0.000189602 0.000151836 0.0145547 0.0119459 32 2552 19 6.64007e+06 288834 554710. 1919.41 3.96 0.0833293 0.0760052 22834 132086 -1 2272 19 1328 2229 177284 38269 4.53868 4.53868 -149.07 -4.53868 0 0 701300. 2426.64 0.92 0.33 0.22 -1 -1 0.92 0.01275 0.0112861 152 64 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 15.00 vpr 63.86 MiB -1 -1 0.81 21280 1 0.09 -1 -1 33900 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65392 32 32 331 280 1 174 83 17 17 289 -1 unnamed_device 25.2 MiB 0.81 933 12503 3459 6938 2106 63.9 MiB 0.31 0.11 4.6127 -134.066 -4.6127 4.6127 2.69 0.000156579 0.000123722 0.0140047 0.011438 32 2147 19 6.64007e+06 238602 554710. 1919.41 2.51 0.0444918 0.037324 22834 132086 -1 1840 19 1044 1556 100816 23869 3.64062 3.64062 -129.026 -3.64062 0 0 701300. 2426.64 1.20 0.07 0.60 -1 -1 1.20 0.0114341 0.0102186 128 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 16.85 vpr 63.99 MiB -1 -1 1.27 21432 1 0.32 -1 -1 33896 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65524 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 25.4 MiB 0.14 1025 10744 3084 7154 506 64.0 MiB 0.53 0.00 5.21217 -134.409 -5.21217 5.21217 2.61 0.000187256 0.000150924 0.0104094 0.00850576 30 2159 18 6.64007e+06 376740 526063. 1820.29 2.98 0.330271 0.324297 22546 126617 -1 1910 16 853 1431 84040 19166 3.71062 3.71062 -116.778 -3.71062 0 0 666494. 2306.21 1.08 0.18 0.21 -1 -1 1.08 0.0102292 0.00920341 126 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 15.29 vpr 63.95 MiB -1 -1 0.75 21736 1 0.31 -1 -1 33644 -1 -1 34 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65488 31 32 373 294 1 196 97 17 17 289 -1 unnamed_device 25.4 MiB 0.70 1033 6757 1363 4872 522 64.0 MiB 0.17 0.01 5.06104 -138.95 -5.06104 5.06104 2.42 0.0002631 0.000224286 0.00784096 0.00647057 26 2561 28 6.64007e+06 426972 477104. 1650.88 3.10 0.106525 0.100029 21682 110474 -1 2314 22 1657 2659 173769 40695 3.98123 3.98123 -132.364 -3.98123 0 0 585099. 2024.56 1.12 0.28 0.10 -1 -1 1.12 0.0156275 0.0138381 145 50 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 14.55 vpr 64.01 MiB -1 -1 0.53 21280 1 0.22 -1 -1 33848 -1 -1 31 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65548 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 25.2 MiB 0.38 1000 10383 2504 6736 1143 64.0 MiB 0.20 0.00 3.67989 -112.635 -3.67989 3.67989 2.51 0.000150293 0.000120629 0.0748244 0.0730702 32 2161 21 6.64007e+06 389298 554710. 1919.41 2.77 0.105066 0.0990775 22834 132086 -1 1932 19 1040 1868 122635 27445 2.80577 2.80577 -103.61 -2.80577 0 0 701300. 2426.64 0.95 0.06 0.21 -1 -1 0.95 0.0112735 0.0100274 124 51 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 16.65 vpr 64.26 MiB -1 -1 0.55 21128 1 0.11 -1 -1 33572 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65800 32 32 350 275 1 214 89 17 17 289 -1 unnamed_device 25.4 MiB 0.94 1181 8207 1889 5862 456 64.3 MiB 0.45 0.00 5.21333 -162.921 -5.21333 5.21333 2.80 0.000172101 0.000137943 0.0097321 0.00814023 32 2904 26 6.64007e+06 313950 554710. 1919.41 2.70 0.0427823 0.0363362 22834 132086 -1 2532 20 1642 2507 198128 48981 4.24869 4.24869 -149.377 -4.24869 0 0 701300. 2426.64 1.25 0.29 0.70 -1 -1 1.25 0.0382263 0.0117094 148 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 16.37 vpr 64.29 MiB -1 -1 0.94 21280 1 0.16 -1 -1 33556 -1 -1 36 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65836 32 32 386 307 1 195 100 17 17 289 -1 unnamed_device 25.5 MiB 0.47 1091 17268 5141 9536 2591 64.3 MiB 0.26 0.00 4.75546 -148.32 -4.75546 4.75546 2.55 0.000185292 0.000148593 0.101831 0.0989298 26 2731 18 6.64007e+06 452088 477104. 1650.88 3.44 0.353629 0.345733 21682 110474 -1 2313 20 1346 2148 152692 34194 3.49323 3.49323 -133.83 -3.49323 0 0 585099. 2024.56 0.72 0.30 0.29 -1 -1 0.72 0.265859 0.264415 144 62 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 14.11 vpr 63.61 MiB -1 -1 0.50 21280 1 0.05 -1 -1 33980 -1 -1 17 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65132 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 25.1 MiB 0.36 588 12030 3358 7039 1633 63.6 MiB 0.17 0.00 3.76255 -108.245 -3.76255 3.76255 2.45 0.000137363 0.00010971 0.0112015 0.00903923 30 1298 16 6.64007e+06 213486 526063. 1820.29 3.23 0.115989 0.110395 22546 126617 -1 1213 18 814 1184 79092 17906 2.64337 2.64337 -97.4669 -2.64337 0 0 666494. 2306.21 0.67 0.08 0.23 -1 -1 0.67 0.0087734 0.00776095 91 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 15.77 vpr 63.81 MiB -1 -1 0.44 21584 1 0.15 -1 -1 33936 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65340 32 32 310 266 1 175 85 17 17 289 -1 unnamed_device 25.1 MiB 0.69 949 13477 3807 7672 1998 63.8 MiB 0.39 0.00 4.03956 -129.699 -4.03956 4.03956 3.13 0.000178409 0.000146207 0.0128127 0.0103408 32 2133 21 6.64007e+06 263718 554710. 1919.41 3.09 0.0407616 0.0340457 22834 132086 -1 1934 20 1418 1884 150949 33366 3.26203 3.26203 -124.39 -3.26203 0 0 701300. 2426.64 0.99 0.08 0.23 -1 -1 0.99 0.0108118 0.00962557 117 58 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 14.60 vpr 64.04 MiB -1 -1 0.42 21584 1 0.08 -1 -1 34012 -1 -1 37 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65580 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 25.4 MiB 0.14 995 9844 2080 6870 894 64.0 MiB 0.24 0.00 4.75944 -128.498 -4.75944 4.75944 2.95 0.000175967 0.000144685 0.0967692 0.0950938 26 2592 22 6.64007e+06 464646 477104. 1650.88 3.44 0.160522 0.121809 21682 110474 -1 2114 23 1575 2842 209005 45595 4.04523 4.04523 -131.074 -4.04523 0 0 585099. 2024.56 0.62 0.46 0.16 -1 -1 0.62 0.414673 0.41311 129 33 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 15.65 vpr 63.80 MiB -1 -1 0.37 21280 1 0.11 -1 -1 33852 -1 -1 22 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65332 29 32 262 224 1 168 83 17 17 289 -1 unnamed_device 25.1 MiB 0.82 751 14303 4644 7433 2226 63.8 MiB 0.16 0.00 4.32884 -115.621 -4.32884 4.32884 2.49 0.000132445 0.000104318 0.0129675 0.0104452 32 1888 19 6.64007e+06 276276 554710. 1919.41 3.29 0.0686792 0.0626623 22834 132086 -1 1653 16 948 1246 90708 21403 3.22283 3.22283 -105.877 -3.22283 0 0 701300. 2426.64 0.86 0.14 0.45 -1 -1 0.86 0.00847928 0.00758461 109 31 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 16.18 vpr 63.72 MiB -1 -1 0.35 21432 1 0.04 -1 -1 33668 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65252 32 32 278 238 1 149 81 17 17 289 -1 unnamed_device 25.1 MiB 0.83 868 10406 2691 6847 868 63.7 MiB 0.17 0.00 3.9428 -121.707 -3.9428 3.9428 2.93 0.000150632 0.000117836 0.0102124 0.00821785 32 1928 20 6.64007e+06 213486 554710. 1919.41 3.81 0.133181 0.0305639 22834 132086 -1 1672 22 1231 2092 145429 32765 2.92497 2.92497 -111.874 -2.92497 0 0 701300. 2426.64 0.63 0.10 0.41 -1 -1 0.63 0.0105003 0.00928887 108 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 15.97 vpr 64.21 MiB -1 -1 0.31 21280 1 0.20 -1 -1 33684 -1 -1 36 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65752 31 32 373 300 1 181 99 17 17 289 -1 unnamed_device 25.5 MiB 0.52 875 8079 1601 6137 341 64.2 MiB 0.09 0.00 4.17918 -122.781 -4.17918 4.17918 2.99 0.000183268 0.000147059 0.0401663 0.0386513 28 2428 45 6.64007e+06 452088 500653. 1732.36 3.79 0.181188 0.173418 21970 115934 -1 1818 21 1389 2252 139313 34864 3.21357 3.21357 -119.357 -3.21357 0 0 612192. 2118.31 0.78 0.10 0.50 -1 -1 0.78 0.0126824 0.0111577 136 64 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 14.58 vpr 63.63 MiB -1 -1 0.55 21432 1 0.11 -1 -1 33768 -1 -1 20 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65160 31 32 265 230 1 162 83 17 17 289 -1 unnamed_device 24.9 MiB 0.55 883 11423 3367 6862 1194 63.6 MiB 0.29 0.00 4.01573 -121.888 -4.01573 4.01573 2.96 0.0001487 0.000120504 0.0105262 0.00848528 26 2105 22 6.64007e+06 251160 477104. 1650.88 2.29 0.0349779 0.0291737 21682 110474 -1 1781 20 1084 1550 105200 24764 3.38823 3.38823 -119.287 -3.38823 0 0 585099. 2024.56 0.89 0.17 0.26 -1 -1 0.89 0.00999951 0.00880361 107 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 16.48 vpr 64.08 MiB -1 -1 0.42 21584 1 0.07 -1 -1 33512 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65616 32 32 349 286 1 171 96 17 17 289 -1 unnamed_device 25.4 MiB 0.52 851 8418 1678 5980 760 64.1 MiB 0.74 0.00 3.82753 -117.666 -3.82753 3.82753 2.48 0.000178865 0.0001431 0.0407285 0.0391382 28 2323 24 6.64007e+06 401856 500653. 1732.36 3.96 0.264858 0.258516 21970 115934 -1 1878 17 1091 1908 119655 28509 2.81677 2.81677 -108.693 -2.81677 0 0 612192. 2118.31 0.86 0.08 0.17 -1 -1 0.86 0.0507462 0.0495496 127 57 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 15.90 vpr 64.11 MiB -1 -1 0.39 21432 1 0.17 -1 -1 33688 -1 -1 32 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65648 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 25.5 MiB 0.61 892 11111 2659 7794 658 64.1 MiB 0.17 0.00 4.34696 -134.35 -4.34696 4.34696 3.12 0.000197662 0.000162007 0.110856 0.108595 30 2086 20 6.64007e+06 401856 526063. 1820.29 3.06 0.144481 0.137365 22546 126617 -1 1791 17 945 1349 73917 18038 3.11862 3.11862 -122.994 -3.11862 0 0 666494. 2306.21 0.97 0.04 0.25 -1 -1 0.97 0.0151819 0.0139036 138 91 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 16.20 vpr 63.65 MiB -1 -1 0.43 21280 1 0.04 -1 -1 33620 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65180 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 25.1 MiB 0.47 616 7781 1621 5271 889 63.7 MiB 0.27 0.00 3.3851 -100.212 -3.3851 3.3851 3.15 0.000151759 0.000119344 0.00912829 0.00749073 30 1724 20 6.64007e+06 213486 526063. 1820.29 2.90 0.304152 0.298238 22546 126617 -1 1320 19 756 1168 61265 15612 2.61977 2.61977 -93.8432 -2.61977 0 0 666494. 2306.21 1.11 0.11 0.31 -1 -1 1.11 0.0293754 0.00905729 104 57 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 16.57 vpr 63.68 MiB -1 -1 0.31 21280 1 0.03 -1 -1 33392 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65212 32 32 290 244 1 175 85 17 17 289 -1 unnamed_device 25.1 MiB 0.63 936 9013 2313 6000 700 63.7 MiB 0.41 0.00 4.41384 -137.504 -4.41384 4.41384 2.87 0.000190214 0.000144917 0.00915207 0.00745215 30 2092 23 6.64007e+06 263718 526063. 1820.29 3.31 0.0365093 0.03072 22546 126617 -1 1867 19 993 1485 95205 21004 3.29183 3.29183 -120.954 -3.29183 0 0 666494. 2306.21 1.56 0.18 0.35 -1 -1 1.56 0.0103605 0.00924106 117 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 14.70 vpr 64.02 MiB -1 -1 0.70 21280 1 0.20 -1 -1 33808 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65552 32 32 318 257 1 194 87 17 17 289 -1 unnamed_device 25.4 MiB 0.47 1056 7959 1858 5419 682 64.0 MiB 0.18 0.00 4.69663 -140.702 -4.69663 4.69663 2.31 0.000153511 0.000123346 0.00851277 0.00700839 28 2595 21 6.64007e+06 288834 500653. 1732.36 2.73 0.0367207 0.0312071 21970 115934 -1 2216 20 1459 2035 137683 32875 3.69682 3.69682 -132.71 -3.69682 0 0 612192. 2118.31 0.79 0.36 0.21 -1 -1 0.79 0.0111409 0.00992577 130 30 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 16.24 vpr 63.99 MiB -1 -1 0.53 21584 1 0.20 -1 -1 33736 -1 -1 29 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65528 29 32 324 268 1 168 90 17 17 289 -1 unnamed_device 25.2 MiB 0.80 961 12753 3692 7785 1276 64.0 MiB 0.28 0.00 4.75755 -125.045 -4.75755 4.75755 2.71 0.000156979 0.000123656 0.01285 0.0105713 32 1977 13 6.64007e+06 364182 554710. 1919.41 2.23 0.150423 0.144611 22834 132086 -1 1820 14 789 1389 83069 19772 3.08843 3.08843 -107.515 -3.08843 0 0 701300. 2426.64 1.40 0.03 0.19 -1 -1 1.40 0.00943411 0.00856831 122 55 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 20.80 vpr 64.22 MiB -1 -1 0.79 21432 1 0.10 -1 -1 33788 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65764 32 32 393 312 1 213 88 17 17 289 -1 unnamed_device 25.6 MiB 1.25 849 9058 1982 6341 735 64.2 MiB 0.23 0.00 5.51792 -167.558 -5.51792 5.51792 2.51 0.000208254 0.000166167 0.0700767 0.0681008 34 2671 46 6.64007e+06 301392 585099. 2024.56 6.11 0.137989 0.125942 23122 138558 -1 1962 23 1487 2165 142883 37471 4.39509 4.39509 -151.818 -4.39509 0 0 742403. 2568.87 1.30 0.12 0.52 -1 -1 1.30 0.0150196 0.0134157 154 65 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 15.99 vpr 63.51 MiB -1 -1 0.47 20976 1 0.11 -1 -1 33632 -1 -1 18 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65036 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 24.8 MiB 0.05 584 8131 1674 5502 955 63.5 MiB 0.03 0.00 3.68846 -96.2539 -3.68846 3.68846 3.24 0.000127466 9.9072e-05 0.00725995 0.00590741 32 1613 22 6.64007e+06 226044 554710. 1919.41 2.46 0.178637 0.173886 22834 132086 -1 1240 15 632 986 57380 15840 2.66977 2.66977 -91.5146 -2.66977 0 0 701300. 2426.64 1.34 0.07 0.29 -1 -1 1.34 0.0075319 0.00680643 96 4 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 16.90 vpr 64.20 MiB -1 -1 0.54 21584 1 0.15 -1 -1 33824 -1 -1 34 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65736 32 32 412 334 1 190 98 17 17 289 -1 unnamed_device 25.5 MiB 0.45 954 8873 1826 6622 425 64.2 MiB 0.17 0.00 4.24713 -140.193 -4.24713 4.24713 3.40 0.00022127 0.000181625 0.0104051 0.0086204 28 2512 22 6.64007e+06 426972 500653. 1732.36 3.22 0.128206 0.12116 21970 115934 -1 2185 21 1637 2506 175068 40804 4.00223 4.00223 -143.716 -4.00223 0 0 612192. 2118.31 0.73 0.20 0.35 -1 -1 0.73 0.0130283 0.0114867 145 90 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 16.35 vpr 64.05 MiB -1 -1 0.99 21280 1 0.17 -1 -1 33576 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65588 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 25.2 MiB 0.59 874 12856 4533 6666 1657 64.1 MiB 0.30 0.00 3.54047 -123.335 -3.54047 3.54047 2.60 0.000161236 0.000127686 0.132267 0.0117055 32 1862 20 6.64007e+06 213486 554710. 1919.41 2.31 0.162114 0.0371195 22834 132086 -1 1645 20 1353 1998 119332 28553 3.00817 3.00817 -121.982 -3.00817 0 0 701300. 2426.64 1.06 0.18 0.30 -1 -1 1.06 0.0113663 0.010009 114 96 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 15.09 vpr 64.07 MiB -1 -1 0.36 20976 1 0.03 -1 -1 33420 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65604 32 32 360 293 1 179 96 17 17 289 -1 unnamed_device 25.5 MiB 0.53 1033 11265 2868 7471 926 64.1 MiB 0.19 0.00 4.43584 -135.56 -4.43584 4.43584 2.91 0.000186085 0.000147101 0.0116245 0.00946355 28 2195 15 6.64007e+06 401856 500653. 1732.36 3.22 0.0421695 0.0355507 21970 115934 -1 2035 16 913 1446 97781 22288 3.21363 3.21363 -116.553 -3.21363 0 0 612192. 2118.31 0.84 0.10 0.28 -1 -1 0.84 0.0106319 0.00953271 131 60 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 16.76 vpr 63.82 MiB -1 -1 0.86 21432 1 0.13 -1 -1 33640 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65356 32 32 396 299 1 236 91 17 17 289 -1 unnamed_device 25.7 MiB 0.99 1320 13963 4550 7177 2236 63.8 MiB 0.24 0.00 6.49387 -193.286 -6.49387 6.49387 2.87 0.000194217 0.000156387 0.01635 0.0134433 30 3485 22 6.64007e+06 339066 526063. 1820.29 3.93 0.189669 0.181758 22546 126617 -1 2715 20 1534 2298 173997 36446 4.99934 4.99934 -169.413 -4.99934 0 0 666494. 2306.21 0.87 0.16 0.17 -1 -1 0.87 0.0665781 0.0651215 170 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 16.90 vpr 63.50 MiB -1 -1 0.40 20976 1 0.14 -1 -1 33400 -1 -1 18 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65024 30 32 224 207 1 137 80 17 17 289 -1 unnamed_device 24.8 MiB 0.68 724 10744 2773 6965 1006 63.5 MiB 0.23 0.00 3.31307 -103.25 -3.31307 3.31307 3.23 0.000123634 9.7195e-05 0.0830098 0.00696291 26 1680 19 6.64007e+06 226044 477104. 1650.88 3.36 0.104242 0.0247589 21682 110474 -1 1567 18 773 964 80532 17725 2.39717 2.39717 -95.4318 -2.39717 0 0 585099. 2024.56 0.73 0.15 0.18 -1 -1 0.73 0.0187949 0.0179225 87 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 15.28 vpr 63.73 MiB -1 -1 0.36 21432 1 0.14 -1 -1 33488 -1 -1 16 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65264 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 25.1 MiB 0.27 685 8544 2127 5949 468 63.7 MiB 0.24 0.00 4.40355 -125.154 -4.40355 4.40355 2.80 0.000164 0.000134532 0.0849194 0.083076 30 1556 19 6.64007e+06 200928 526063. 1820.29 3.10 0.109862 0.1043 22546 126617 -1 1335 19 701 1179 78223 17393 3.07117 3.07117 -110.206 -3.07117 0 0 666494. 2306.21 1.39 0.18 0.36 -1 -1 1.39 0.010628 0.00955447 92 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 15.61 vpr 63.82 MiB -1 -1 0.32 21584 1 0.06 -1 -1 33980 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65348 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 25.1 MiB 0.28 882 10687 2740 7287 660 63.8 MiB 0.17 0.00 3.50309 -113.66 -3.50309 3.50309 2.47 0.000147526 0.000116382 0.0111376 0.00906021 32 2027 19 6.64007e+06 263718 554710. 1919.41 3.42 0.0369167 0.0310546 22834 132086 -1 1870 19 1088 2046 147915 32093 2.80877 2.80877 -108.544 -2.80877 0 0 701300. 2426.64 1.01 0.07 0.19 -1 -1 1.01 0.00994882 0.00874326 115 34 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 16.61 vpr 63.43 MiB -1 -1 0.32 20976 1 0.03 -1 -1 33852 -1 -1 27 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64956 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 24.8 MiB 0.19 444 9966 3251 4492 2223 63.4 MiB 0.03 0.00 3.40927 -77.6354 -3.40927 3.40927 2.92 0.00010652 8.1951e-05 0.00771971 0.0060583 28 1404 24 6.64007e+06 339066 500653. 1732.36 3.88 0.0717446 0.0238516 21970 115934 -1 1178 23 799 1357 104165 31238 3.06137 3.06137 -79.3158 -3.06137 0 0 612192. 2118.31 0.83 0.03 0.17 -1 -1 0.83 0.00863211 0.00749013 89 29 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 16.13 vpr 63.93 MiB -1 -1 0.43 21432 1 0.09 -1 -1 33688 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65464 32 32 376 307 1 185 85 17 17 289 -1 unnamed_device 25.2 MiB 0.71 946 11431 2748 6950 1733 63.9 MiB 0.16 0.00 4.33313 -131.181 -4.33313 4.33313 2.57 0.000157662 0.000126492 0.0132089 0.010899 32 2425 18 6.64007e+06 263718 554710. 1919.41 3.09 0.0452021 0.0382412 22834 132086 -1 2140 19 1325 2354 148542 35047 3.66863 3.66863 -128.103 -3.66863 0 0 701300. 2426.64 1.52 0.09 0.51 -1 -1 1.52 0.0139287 0.0124668 136 72 -1 -1 -1 -1 +fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 16.22 vpr 64.34 MiB -1 -1 0.49 21432 1 0.17 -1 -1 33896 -1 -1 35 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65888 31 32 409 331 1 191 98 17 17 289 -1 unnamed_device 25.7 MiB 0.54 940 9773 2306 6923 544 64.3 MiB 0.31 0.00 4.49598 -141.547 -4.49598 4.49598 2.58 0.000191051 0.000155014 0.087405 0.00863012 30 2139 21 6.64007e+06 439530 526063. 1820.29 2.85 0.123488 0.0395336 22546 126617 -1 1891 19 1291 1959 105840 25694 3.20583 3.20583 -124.668 -3.20583 0 0 666494. 2306.21 1.41 0.21 0.37 -1 -1 1.41 0.194145 0.192668 143 90 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 17.19 vpr 63.97 MiB -1 -1 0.70 21584 1 0.08 -1 -1 33496 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65508 32 32 354 285 1 202 94 17 17 289 -1 unnamed_device 25.2 MiB 0.90 1148 17347 5352 9497 2498 64.0 MiB 0.79 0.00 5.20258 -155.665 -5.20258 5.20258 2.86 0.000179561 0.000145027 0.100205 0.0968258 28 2697 22 6.65987e+06 380340 500653. 1732.36 2.92 0.13314 0.125092 21970 115934 -1 2246 23 1620 2604 184581 41380 4.01751 4.01751 -143.08 -4.01751 0 0 612192. 2118.31 0.69 0.26 0.43 -1 -1 0.69 0.0149371 0.0131213 152 50 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 17.44 vpr 63.81 MiB -1 -1 0.85 21432 1 0.07 -1 -1 33596 -1 -1 24 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65340 30 32 363 293 1 196 86 17 17 289 -1 unnamed_device 25.2 MiB 0.92 865 5945 1132 3979 834 63.8 MiB 0.24 0.00 5.09836 -146.088 -5.09836 5.09836 3.04 0.000203994 0.000165875 0.00854599 0.00715284 30 2282 23 6.65987e+06 304272 526063. 1820.29 3.06 0.217249 0.211132 22546 126617 -1 1733 18 1185 1767 92489 24279 4.35403 4.35403 -145.141 -4.35403 0 0 666494. 2306.21 0.86 0.12 0.33 -1 -1 0.86 0.0124048 0.0111966 140 63 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 15.43 vpr 63.71 MiB -1 -1 0.55 21584 1 0.07 -1 -1 33696 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65236 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 25.1 MiB 0.55 1073 15639 4872 8576 2191 63.7 MiB 0.15 0.00 4.07261 -120.811 -4.07261 4.07261 2.27 0.000150452 0.000119432 0.0148377 0.012055 32 2319 23 6.65987e+06 291594 554710. 1919.41 2.83 0.343985 0.212468 22834 132086 -1 2108 20 1368 1925 135511 32120 3.46811 3.46811 -117.404 -3.46811 0 0 701300. 2426.64 1.51 0.13 0.44 -1 -1 1.51 0.0115277 0.0103075 126 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 15.48 vpr 63.94 MiB -1 -1 0.58 20976 1 0.13 -1 -1 33576 -1 -1 27 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65472 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 25.2 MiB 0.20 937 15298 4951 7764 2583 63.9 MiB 0.20 0.00 4.29337 -115.569 -4.29337 4.29337 1.89 0.000148416 0.000117747 0.0141417 0.0113086 32 2433 26 6.65987e+06 342306 554710. 1919.41 2.81 0.0893313 0.0822416 22834 132086 -1 2062 24 1536 2855 239531 54215 3.41691 3.41691 -112.046 -3.41691 0 0 701300. 2426.64 1.41 0.16 0.31 -1 -1 1.41 0.0133226 0.011774 126 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 15.75 vpr 63.88 MiB -1 -1 0.54 21280 1 0.19 -1 -1 33704 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65416 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 25.2 MiB 0.48 1058 13911 3755 8078 2078 63.9 MiB 0.17 0.00 4.32255 -126.417 -4.32255 4.32255 2.48 0.000159411 0.000127659 0.0691167 0.0663448 32 2597 23 6.65987e+06 291594 554710. 1919.41 2.73 0.101975 0.0946679 22834 132086 -1 2295 23 1608 3109 256524 56322 3.66831 3.66831 -122.767 -3.66831 0 0 701300. 2426.64 0.96 0.13 0.32 -1 -1 0.96 0.336612 0.335078 130 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 15.20 vpr 64.04 MiB -1 -1 0.76 21280 1 0.05 -1 -1 33528 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65572 32 32 366 295 1 189 97 17 17 289 -1 unnamed_device 25.4 MiB 0.34 958 9421 2191 6624 606 64.0 MiB 0.30 0.00 3.34181 -114.642 -3.34181 3.34181 2.49 0.000179488 0.000143496 0.0103435 0.00850247 32 2455 20 6.65987e+06 418374 554710. 1919.41 2.26 0.0423713 0.0352516 22834 132086 -1 2113 22 1382 2191 144878 35192 2.81871 2.81871 -111.688 -2.81871 0 0 701300. 2426.64 0.82 0.08 0.50 -1 -1 0.82 0.0471964 0.0454126 141 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 14.66 vpr 63.43 MiB -1 -1 0.44 21128 1 0.17 -1 -1 34156 -1 -1 18 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64952 27 32 259 221 1 130 77 17 17 289 -1 unnamed_device 24.8 MiB 0.46 714 11813 3623 6674 1516 63.4 MiB 0.29 0.00 3.92432 -101.72 -3.92432 3.92432 2.69 0.000126252 9.8073e-05 0.185001 0.182709 32 1461 21 6.65987e+06 228204 554710. 1919.41 2.19 0.208822 0.202992 22834 132086 -1 1355 22 821 1483 100332 23866 2.83591 2.83591 -93.514 -2.83591 0 0 701300. 2426.64 0.74 0.03 0.29 -1 -1 0.74 0.0104085 0.00929258 94 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 13.72 vpr 63.70 MiB -1 -1 0.42 20976 1 0.05 -1 -1 33524 -1 -1 31 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65224 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 25.1 MiB 0.08 810 8614 1793 6441 380 63.7 MiB 0.14 0.00 3.36433 -97.1483 -3.36433 3.36433 2.16 0.000146838 0.000116241 0.00782936 0.00635955 32 2074 22 6.65987e+06 393018 554710. 1919.41 2.99 0.146469 0.140952 22834 132086 -1 1804 17 894 1488 103345 23925 2.68271 2.68271 -92.3065 -2.68271 0 0 701300. 2426.64 1.35 0.07 0.21 -1 -1 1.35 0.00988687 0.0088434 115 4 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 15.33 vpr 63.82 MiB -1 -1 0.60 21736 1 0.10 -1 -1 33932 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65348 31 32 317 271 1 168 82 17 17 289 -1 unnamed_device 25.1 MiB 0.38 912 8092 2097 5494 501 63.8 MiB 0.10 0.06 3.4209 -115.906 -3.4209 3.4209 3.45 0.0549979 0.00011767 0.0633623 0.00697732 32 2102 20 6.65987e+06 240882 554710. 1919.41 2.58 0.089974 0.0296975 22834 132086 -1 1877 18 1142 1655 118718 27709 3.09771 3.09771 -123.044 -3.09771 0 0 701300. 2426.64 0.56 0.04 0.24 -1 -1 0.56 0.0203442 0.0191812 111 64 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 15.77 vpr 63.56 MiB -1 -1 0.65 21432 1 0.03 -1 -1 33680 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65084 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 25.1 MiB 0.79 719 10056 2390 7132 534 63.6 MiB 0.27 0.00 3.74029 -120.95 -3.74029 3.74029 3.30 0.000180479 0.000146681 0.0106483 0.00863791 28 2131 26 6.65987e+06 215526 500653. 1732.36 2.64 0.104691 0.0984446 21970 115934 -1 1778 21 1157 1754 122038 31706 2.74131 2.74131 -114.198 -2.74131 0 0 612192. 2118.31 0.43 0.05 0.19 -1 -1 0.43 0.01185 0.0104511 113 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 17.37 vpr 63.61 MiB -1 -1 0.33 21280 1 0.07 -1 -1 34000 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65140 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 24.9 MiB 0.96 580 9374 2318 6165 891 63.6 MiB 0.16 0.00 4.00989 -106.262 -4.00989 4.00989 3.01 6.3126e-05 4.7988e-05 0.00448149 0.00359068 32 1547 21 6.65987e+06 215526 554710. 1919.41 2.94 0.0303268 0.0255406 22834 132086 -1 1369 20 840 1257 79227 20487 2.82751 2.82751 -100.698 -2.82751 0 0 701300. 2426.64 1.11 0.05 0.22 -1 -1 1.11 0.0101538 0.00899845 98 63 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 14.95 vpr 63.68 MiB -1 -1 0.34 21432 1 0.03 -1 -1 33548 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65212 32 32 276 237 1 166 81 17 17 289 -1 unnamed_device 24.9 MiB 0.78 786 7256 1513 5550 193 63.7 MiB 0.27 0.00 3.75729 -118.293 -3.75729 3.75729 2.60 0.000163088 0.000133613 0.237591 0.236114 30 2178 26 6.65987e+06 215526 526063. 1820.29 2.80 0.415113 0.409558 22546 126617 -1 1724 20 995 1357 96858 22257 2.67471 2.67471 -103.361 -2.67471 0 0 666494. 2306.21 0.90 0.03 0.19 -1 -1 0.90 0.010287 0.00914634 106 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 17.10 vpr 64.03 MiB -1 -1 0.55 21280 1 0.36 -1 -1 33804 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65564 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 25.3 MiB 1.11 1144 13933 4321 8243 1369 64.0 MiB 0.30 0.00 4.40775 -144.129 -4.40775 4.40775 2.52 0.000181503 0.000149033 0.0146608 0.0119061 32 2600 23 6.65987e+06 304272 554710. 1919.41 3.28 0.0472459 0.0398395 22834 132086 -1 2311 20 1654 2470 190348 42509 3.33991 3.33991 -131.441 -3.33991 0 0 701300. 2426.64 0.46 0.05 0.24 -1 -1 0.46 0.0138104 0.0124334 139 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 17.19 vpr 63.78 MiB -1 -1 0.56 21280 1 0.20 -1 -1 33688 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65312 32 32 363 295 1 181 94 17 17 289 -1 unnamed_device 25.2 MiB 1.03 952 10957 2661 7671 625 63.8 MiB 0.32 0.00 4.65212 -132.497 -4.65212 4.65212 2.71 0.000220395 0.000148425 0.0117306 0.00939977 32 2382 23 6.65987e+06 380340 554710. 1919.41 3.29 0.0437524 0.0367922 22834 132086 -1 2069 23 1569 2538 191574 42780 3.49885 3.49885 -122.405 -3.49885 0 0 701300. 2426.64 0.41 0.11 0.21 -1 -1 0.41 0.0137863 0.0121765 133 61 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 15.73 vpr 63.41 MiB -1 -1 0.44 21280 1 0.13 -1 -1 33832 -1 -1 21 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64936 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 24.8 MiB 0.69 775 11118 2644 7385 1089 63.4 MiB 0.29 0.00 3.16393 -92.0469 -3.16393 3.16393 3.08 0.000123623 9.7143e-05 0.0104494 0.00844665 26 1825 24 6.65987e+06 266238 477104. 1650.88 3.12 0.0346417 0.0289449 21682 110474 -1 1612 20 961 1592 109887 26838 2.94405 2.94405 -95.715 -2.94405 0 0 585099. 2024.56 1.22 0.05 0.16 -1 -1 1.22 0.0096239 0.00836059 98 27 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 16.58 vpr 64.00 MiB -1 -1 0.44 21128 1 0.10 -1 -1 33976 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65532 32 32 370 297 1 183 85 17 17 289 -1 unnamed_device 25.4 MiB 1.44 1047 12733 3877 6886 1970 64.0 MiB 0.43 0.00 4.00819 -125.465 -4.00819 4.00819 2.60 0.000203841 0.00016432 0.052706 0.0499749 32 2583 22 6.65987e+06 266238 554710. 1919.41 2.86 0.227889 0.220489 22834 132086 -1 2258 21 1362 2537 186342 42439 3.30657 3.30657 -123.045 -3.30657 0 0 701300. 2426.64 1.15 0.24 0.50 -1 -1 1.15 0.126101 0.124562 132 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 15.94 vpr 63.76 MiB -1 -1 0.68 21432 1 0.04 -1 -1 33744 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65292 32 32 338 269 1 196 85 17 17 289 -1 unnamed_device 25.1 MiB 1.02 1072 15523 5016 8339 2168 63.8 MiB 0.32 0.00 4.31458 -139.763 -4.31458 4.31458 3.02 0.000202544 0.000168522 0.0181919 0.0150054 28 2776 23 6.65987e+06 266238 500653. 1732.36 3.37 0.197025 0.188835 21970 115934 -1 2298 21 1497 2160 165322 36545 3.24677 3.24677 -123.398 -3.24677 0 0 612192. 2118.31 0.89 0.08 0.20 -1 -1 0.89 0.0137994 0.0123673 137 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 14.77 vpr 63.79 MiB -1 -1 0.69 21128 1 0.15 -1 -1 33524 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65320 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 25.1 MiB 0.55 861 11433 2956 7633 844 63.8 MiB 0.35 0.00 2.85064 -102.994 -2.85064 2.85064 2.43 0.000149129 0.000118199 0.119171 0.117279 26 2084 22 6.65987e+06 367662 477104. 1650.88 2.54 0.222516 0.216352 21682 110474 -1 1813 18 1053 1731 134640 30044 2.15051 2.15051 -97.3318 -2.15051 0 0 585099. 2024.56 0.86 0.25 0.24 -1 -1 0.86 0.0103386 0.00911987 110 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 12.84 vpr 63.21 MiB -1 -1 0.51 20672 1 0.17 -1 -1 33544 -1 -1 15 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64724 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 24.8 MiB 0.47 624 5782 1334 4080 368 63.2 MiB 0.03 0.00 2.24807 -77.3192 -2.24807 2.24807 2.53 0.00010859 8.4963e-05 0.00521969 0.00421554 32 1391 22 6.65987e+06 190170 554710. 1919.41 2.21 0.169813 0.16544 22834 132086 -1 1272 19 694 987 78395 18403 1.77965 1.77965 -78.0343 -1.77965 0 0 701300. 2426.64 1.18 0.03 0.24 -1 -1 1.18 0.00793792 0.00697421 81 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 15.36 vpr 63.67 MiB -1 -1 0.50 21584 1 0.09 -1 -1 33880 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65200 31 32 291 243 1 171 82 17 17 289 -1 unnamed_device 25.1 MiB 0.91 827 15568 4612 9306 1650 63.7 MiB 0.22 0.00 4.77154 -139.927 -4.77154 4.77154 2.22 0.000169241 0.000138734 0.0876222 0.084674 28 2046 22 6.65987e+06 240882 500653. 1732.36 2.44 0.202408 0.195495 21970 115934 -1 1800 23 1198 1705 117969 27981 3.57911 3.57911 -129.346 -3.57911 0 0 612192. 2118.31 1.07 0.22 0.77 -1 -1 1.07 0.0807392 0.0793742 127 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 14.37 vpr 63.97 MiB -1 -1 0.43 21280 1 0.29 -1 -1 34104 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65504 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 25.4 MiB 0.12 945 6791 1317 5158 316 64.0 MiB 0.11 0.00 4.14893 -130.493 -4.14893 4.14893 2.95 0.00019616 0.000160055 0.00768591 0.00638099 30 2176 21 6.65987e+06 393018 526063. 1820.29 2.50 0.144408 0.138757 22546 126617 -1 1849 20 1024 1651 101279 23570 3.40723 3.40723 -121.542 -3.40723 0 0 666494. 2306.21 0.96 0.18 0.27 -1 -1 0.96 0.012827 0.0114798 135 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 25.23 vpr 64.14 MiB -1 -1 0.29 21432 1 0.22 -1 -1 33812 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65684 32 32 372 300 1 204 87 17 17 289 -1 unnamed_device 25.5 MiB 0.71 1176 13911 3816 8065 2030 64.1 MiB 0.30 0.00 4.32644 -135.935 -4.32644 4.32644 2.76 0.000189356 0.000155146 0.0151601 0.0124325 30 2785 22 6.65987e+06 291594 526063. 1820.29 13.66 0.309981 0.296455 22546 126617 -1 2302 18 1286 2013 127835 28465 3.48051 3.48051 -127.974 -3.48051 0 0 666494. 2306.21 0.88 0.26 0.32 -1 -1 0.88 0.0849454 0.0836129 142 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 15.12 vpr 62.93 MiB -1 -1 0.53 21128 1 0.02 -1 -1 34128 -1 -1 18 26 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64444 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 24.3 MiB 0.50 372 9836 3070 4693 2073 62.9 MiB 0.09 0.00 2.3895 -62.9737 -2.3895 2.3895 3.31 0.000117408 9.1811e-05 0.0076159 0.00601791 32 1122 48 6.65987e+06 228204 554710. 1919.41 3.42 0.0318307 0.0258784 22834 132086 -1 717 22 632 914 52787 14932 1.86985 1.86985 -59.8055 -1.86985 0 0 701300. 2426.64 0.69 0.11 0.27 -1 -1 0.69 0.00716709 0.00619496 77 30 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 13.79 vpr 63.70 MiB -1 -1 0.35 21280 1 0.04 -1 -1 33572 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65228 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 25.0 MiB 0.25 967 10501 2769 7029 703 63.7 MiB 0.19 0.00 4.91554 -122.72 -4.91554 4.91554 2.94 0.000159693 0.000129406 0.0106492 0.00864967 28 2269 24 6.65987e+06 266238 500653. 1732.36 2.85 0.0394979 0.0331405 21970 115934 -1 1962 20 924 1747 113203 26886 4.10317 4.10317 -126.625 -4.10317 0 0 612192. 2118.31 0.90 0.06 0.19 -1 -1 0.90 0.0111867 0.00997244 118 3 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 13.34 vpr 62.88 MiB -1 -1 0.34 20976 1 0.03 -1 -1 33612 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64392 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 24.3 MiB 0.03 415 10370 2763 4961 2646 62.9 MiB 0.03 0.00 2.50649 -71.6469 -2.50649 2.50649 2.89 9.8516e-05 7.5074e-05 0.00756378 0.00592364 30 1132 25 6.65987e+06 177492 526063. 1820.29 2.60 0.0236321 0.0194845 22546 126617 -1 827 15 404 449 30442 8479 1.90085 1.90085 -68.0593 -1.90085 0 0 666494. 2306.21 1.05 0.18 0.56 -1 -1 1.05 0.00589214 0.00525725 79 3 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 14.46 vpr 63.78 MiB -1 -1 0.49 21128 1 0.10 -1 -1 33684 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65308 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 25.1 MiB 0.26 867 9679 2178 7022 479 63.8 MiB 0.08 0.00 4.41865 -121.392 -4.41865 4.41865 2.74 0.000152688 0.000122798 0.00888374 0.00729756 28 2060 20 6.65987e+06 380340 500653. 1732.36 2.93 0.0376907 0.0319851 21970 115934 -1 1775 21 1090 1814 122823 28767 3.22685 3.22685 -110.815 -3.22685 0 0 612192. 2118.31 0.74 0.14 0.27 -1 -1 0.74 0.0115812 0.0102113 123 24 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 15.15 vpr 63.63 MiB -1 -1 0.40 21128 1 0.18 -1 -1 34080 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65160 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.1 MiB 0.37 1033 7655 1739 5270 646 63.6 MiB 0.07 0.00 3.58635 -107.341 -3.58635 3.58635 2.75 0.000184851 0.000152297 0.00758539 0.00621376 32 2402 25 6.65987e+06 393018 554710. 1919.41 3.68 0.0386437 0.0327177 22834 132086 -1 2155 22 1263 2296 199474 43488 3.00117 3.00117 -107.204 -3.00117 0 0 701300. 2426.64 0.76 0.59 0.28 -1 -1 0.76 0.0127388 0.0114327 128 3 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 13.47 vpr 63.96 MiB -1 -1 0.47 21432 1 0.24 -1 -1 33540 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65496 32 32 338 277 1 179 90 17 17 289 -1 unnamed_device 25.2 MiB 0.37 935 10542 2716 6648 1178 64.0 MiB 0.42 0.00 4.36243 -125.161 -4.36243 4.36243 2.90 0.000186999 0.000152595 0.0111785 0.00917024 28 2362 26 6.65987e+06 329628 500653. 1732.36 2.80 0.207602 0.200837 21970 115934 -1 2111 20 1333 2375 164568 39080 3.50419 3.50419 -120.066 -3.50419 0 0 612192. 2118.31 0.84 0.06 0.16 -1 -1 0.84 0.0121208 0.0106313 125 50 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 14.44 vpr 63.45 MiB -1 -1 0.32 21128 1 0.19 -1 -1 33688 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64976 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 24.9 MiB 0.19 787 11260 3658 5658 1944 63.5 MiB 0.15 0.00 2.93487 -99.6571 -2.93487 2.93487 2.67 0.000165954 0.000135375 0.0112483 0.0090505 32 1794 20 6.65987e+06 202848 554710. 1919.41 2.68 0.0851283 0.0792685 22834 132086 -1 1628 20 958 1510 115265 27294 2.69465 2.69465 -100.105 -2.69465 0 0 701300. 2426.64 0.83 0.14 0.48 -1 -1 0.83 0.0107991 0.00957092 101 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 13.23 vpr 63.67 MiB -1 -1 0.55 21280 1 0.04 -1 -1 33640 -1 -1 23 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65196 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 24.8 MiB 0.20 776 12175 3219 7698 1258 63.7 MiB 0.24 0.00 3.0379 -97.3625 -3.0379 3.0379 3.07 0.000135761 0.000104953 0.0110177 0.00878743 28 1731 21 6.65987e+06 291594 500653. 1732.36 3.09 0.136922 0.130941 21970 115934 -1 1604 18 795 1223 81805 19071 2.88285 2.88285 -98.5106 -2.88285 0 0 612192. 2118.31 1.04 0.03 0.18 -1 -1 1.04 0.00923751 0.0082151 97 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 14.93 vpr 63.53 MiB -1 -1 0.47 21128 1 0.02 -1 -1 33724 -1 -1 23 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65056 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 24.8 MiB 0.11 626 11963 3042 7355 1566 63.5 MiB 0.38 0.00 3.31478 -92.0347 -3.31478 3.31478 2.58 0.00013723 0.000108782 0.0559424 0.0538238 28 1757 31 6.65987e+06 291594 500653. 1732.36 4.27 0.0829624 0.076471 21970 115934 -1 1589 21 988 1711 135362 30962 2.61965 2.61965 -90.1158 -2.61965 0 0 612192. 2118.31 0.84 0.08 0.28 -1 -1 0.84 0.00980462 0.00867402 98 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 12.33 vpr 63.38 MiB -1 -1 0.38 20976 1 0.10 -1 -1 33580 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64904 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 24.9 MiB 0.29 729 7103 1637 4961 505 63.4 MiB 0.11 0.00 3.70643 -110.184 -3.70643 3.70643 2.26 0.000141823 0.000112466 0.00715445 0.00586376 30 1871 23 6.65987e+06 240882 526063. 1820.29 2.26 0.0326046 0.0276209 22546 126617 -1 1613 21 993 1651 87012 21207 2.64151 2.64151 -103.145 -2.64151 0 0 666494. 2306.21 1.20 0.14 0.22 -1 -1 1.20 0.0102392 0.00911968 110 3 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 13.86 vpr 63.58 MiB -1 -1 0.33 20976 1 0.13 -1 -1 33744 -1 -1 27 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65108 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 24.9 MiB 0.23 614 5517 1006 3965 546 63.6 MiB 0.03 0.00 3.32595 -96.9255 -3.32595 3.32595 2.14 0.000154739 0.000121759 0.00563213 0.00457195 28 1836 30 6.65987e+06 342306 500653. 1732.36 3.84 0.0324284 0.0272978 21970 115934 -1 1466 20 981 1631 107215 27748 2.94891 2.94891 -103.005 -2.94891 0 0 612192. 2118.31 0.98 0.14 0.21 -1 -1 0.98 0.00998875 0.00886027 103 30 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 13.52 vpr 63.45 MiB -1 -1 0.60 21584 1 0.07 -1 -1 33776 -1 -1 25 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64968 29 32 291 250 1 153 86 17 17 289 -1 unnamed_device 24.9 MiB 0.84 863 12938 3773 7467 1698 63.4 MiB 0.10 0.00 3.16555 -101.958 -3.16555 3.16555 2.32 0.000136808 0.000108074 0.00584544 0.00465234 28 1851 22 6.65987e+06 316950 500653. 1732.36 2.69 0.0659643 0.0280146 21970 115934 -1 1592 20 917 1356 89468 20919 2.31685 2.31685 -94.6108 -2.31685 0 0 612192. 2118.31 1.41 0.04 0.28 -1 -1 1.41 0.0217703 0.0203851 105 54 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 13.49 vpr 64.15 MiB -1 -1 0.49 21432 1 0.12 -1 -1 34016 -1 -1 37 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65692 32 32 367 282 1 201 101 17 17 289 -1 unnamed_device 25.5 MiB 0.79 1216 15846 3922 9942 1982 64.2 MiB 0.53 0.00 3.87192 -115.022 -3.87192 3.87192 2.48 8.6659e-05 6.8732e-05 0.0134507 0.011103 32 2924 23 6.65987e+06 469086 554710. 1919.41 2.27 0.186677 0.180493 22834 132086 -1 2469 21 1434 2524 186653 41350 3.44899 3.44899 -114.802 -3.44899 0 0 701300. 2426.64 0.86 0.24 0.21 -1 -1 0.86 0.158118 0.156612 150 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 15.32 vpr 63.96 MiB -1 -1 0.48 21736 1 0.19 -1 -1 33576 -1 -1 36 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65496 32 32 391 311 1 192 100 17 17 289 -1 unnamed_device 25.5 MiB 0.98 918 16804 4626 9521 2657 64.0 MiB 0.24 0.00 3.76954 -123.355 -3.76954 3.76954 2.40 7.9776e-05 6.3321e-05 0.0672828 0.0123736 26 2694 44 6.65987e+06 456408 477104. 1650.88 4.61 0.28569 0.224111 21682 110474 -1 2210 21 1913 2840 206323 49013 3.03737 3.03737 -121.107 -3.03737 0 0 585099. 2024.56 0.67 0.34 0.32 -1 -1 0.67 0.0152219 0.0133563 146 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 13.70 vpr 63.53 MiB -1 -1 0.54 21736 1 0.02 -1 -1 33736 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65056 31 32 279 237 1 161 80 17 17 289 -1 unnamed_device 24.9 MiB 0.99 795 7304 1575 5379 350 63.5 MiB 0.13 0.00 4.09732 -119.878 -4.09732 4.09732 2.36 0.000140843 0.00011156 0.0079465 0.00650795 28 2284 28 6.65987e+06 215526 500653. 1732.36 2.96 0.0696371 0.0639517 21970 115934 -1 1853 23 1163 1584 136433 31115 2.98331 2.98331 -112.101 -2.98331 0 0 612192. 2118.31 0.78 0.19 0.24 -1 -1 0.78 0.0110742 0.00979089 109 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 14.30 vpr 63.86 MiB -1 -1 0.36 21432 1 0.07 -1 -1 33896 -1 -1 24 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65396 31 32 370 297 1 186 87 17 17 289 -1 unnamed_device 25.4 MiB 0.71 960 9687 2384 6351 952 63.9 MiB 0.22 0.00 4.18671 -127.263 -4.18671 4.18671 2.52 0.000182253 0.000144715 0.00706702 0.00575115 32 2417 21 6.65987e+06 304272 554710. 1919.41 2.35 0.0975316 0.0919152 22834 132086 -1 2101 21 1488 2613 194175 44081 2.97097 2.97097 -112.473 -2.97097 0 0 701300. 2426.64 1.00 0.16 0.20 -1 -1 1.00 0.0117897 0.0103715 137 61 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 13.54 vpr 63.70 MiB -1 -1 0.61 21584 1 0.18 -1 -1 33872 -1 -1 27 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65228 31 32 377 302 1 233 90 17 17 289 -1 unnamed_device 25.5 MiB 1.10 1273 15165 4552 8275 2338 63.7 MiB 0.51 0.00 5.69001 -171.445 -5.69001 5.69001 2.48 0.000199303 0.000135675 0.0170398 0.0139331 32 3130 25 6.65987e+06 342306 554710. 1919.41 2.36 0.0510807 0.0428848 22834 132086 -1 2610 20 2120 3180 234125 53075 4.91423 4.91423 -162.467 -4.91423 0 0 701300. 2426.64 0.81 0.10 0.13 -1 -1 0.81 0.0146825 0.013251 170 64 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 16.40 vpr 64.08 MiB -1 -1 0.48 21736 1 0.06 -1 -1 33916 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65616 31 32 383 305 1 210 88 17 17 289 -1 unnamed_device 25.4 MiB 3.64 1090 15493 4707 8769 2017 64.1 MiB 0.20 0.00 4.85933 -146.856 -4.85933 4.85933 2.13 9.0883e-05 7.2769e-05 0.0129288 0.0106553 32 2614 24 6.65987e+06 316950 554710. 1919.41 2.54 0.104064 0.0974697 22834 132086 -1 2217 21 1679 2545 171031 40167 4.18782 4.18782 -145.076 -4.18782 0 0 701300. 2426.64 0.95 0.04 0.26 -1 -1 0.95 0.0135973 0.012176 162 64 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 13.02 vpr 64.01 MiB -1 -1 0.46 21280 1 0.03 -1 -1 33868 -1 -1 29 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65548 31 32 352 285 1 184 92 17 17 289 -1 unnamed_device 25.4 MiB 0.87 1049 11063 3132 7137 794 64.0 MiB 0.31 0.00 4.34966 -129.73 -4.34966 4.34966 2.63 0.00019874 0.000165017 0.172305 0.170247 28 2570 22 6.65987e+06 367662 500653. 1732.36 2.23 0.211767 0.205484 21970 115934 -1 2177 19 1173 1877 132044 30578 3.08745 3.08745 -117.736 -3.08745 0 0 612192. 2118.31 0.84 0.11 0.31 -1 -1 0.84 0.0111952 0.00988884 133 55 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 12.91 vpr 63.78 MiB -1 -1 0.49 21280 1 0.10 -1 -1 33628 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65308 32 32 291 242 1 179 86 17 17 289 -1 unnamed_device 25.1 MiB 0.48 919 8591 2074 6189 328 63.8 MiB 0.14 0.00 4.09946 -111.918 -4.09946 4.09946 2.32 7.575e-05 5.9687e-05 0.115528 0.114721 28 2411 28 6.65987e+06 278916 500653. 1732.36 2.47 0.186264 0.181335 21970 115934 -1 2081 20 1299 1915 141997 33631 3.64645 3.64645 -113.314 -3.64645 0 0 612192. 2118.31 0.88 0.11 0.19 -1 -1 0.88 0.113733 0.112557 118 27 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 13.33 vpr 63.94 MiB -1 -1 0.64 21432 1 0.11 -1 -1 33604 -1 -1 38 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65476 32 32 457 356 1 223 102 17 17 289 -1 unnamed_device 25.6 MiB 0.92 1261 14144 3520 9065 1559 63.9 MiB 0.30 0.00 4.86514 -159.483 -4.86514 4.86514 2.16 0.000224362 0.000185072 0.0167308 0.0138784 28 3016 22 6.65987e+06 481764 500653. 1732.36 3.19 0.156164 0.147907 21970 115934 -1 2644 20 1648 2541 201927 44131 3.89311 3.89311 -148.561 -3.89311 0 0 612192. 2118.31 0.74 0.16 0.11 -1 -1 0.74 0.141984 0.141091 172 87 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 13.49 vpr 63.33 MiB -1 -1 0.30 21280 1 0.03 -1 -1 34004 -1 -1 21 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64848 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 24.7 MiB 0.25 792 5391 1113 3866 412 63.3 MiB 0.18 0.00 3.45892 -99.4367 -3.45892 3.45892 2.78 0.000144451 0.000113627 0.15311 0.00456613 30 1714 21 6.65987e+06 266238 526063. 1820.29 2.50 0.298002 0.145893 22546 126617 -1 1569 16 794 1300 77888 17751 2.66565 2.66565 -95.9742 -2.66565 0 0 666494. 2306.21 0.82 0.09 0.34 -1 -1 0.82 0.00663432 0.00588571 101 28 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 13.64 vpr 63.91 MiB -1 -1 0.40 21280 1 0.11 -1 -1 33952 -1 -1 23 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65448 31 32 337 267 1 205 86 17 17 289 -1 unnamed_device 25.2 MiB 0.70 1174 9914 2716 6202 996 63.9 MiB 0.17 0.00 4.85749 -145.079 -4.85749 4.85749 2.51 0.000163223 0.000130909 0.0110224 0.00914394 30 2913 23 6.65987e+06 291594 526063. 1820.29 3.11 0.0391954 0.0334823 22546 126617 -1 2375 19 1093 1611 112346 24086 3.96531 3.96531 -132.462 -3.96531 0 0 666494. 2306.21 0.67 0.16 0.37 -1 -1 0.67 0.138033 0.00858171 142 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 15.65 vpr 63.39 MiB -1 -1 0.45 21432 1 0.08 -1 -1 33692 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64912 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 24.6 MiB 0.51 872 7423 1554 5596 273 63.4 MiB 0.16 0.00 3.91407 -115.681 -3.91407 3.91407 2.61 0.000172847 0.000138812 0.00800516 0.00666131 28 3018 33 6.65987e+06 418374 500653. 1732.36 5.49 0.0442897 0.0375343 21970 115934 -1 2118 22 1293 2233 170096 39537 3.03411 3.03411 -114.961 -3.03411 0 0 612192. 2118.31 0.78 0.12 0.18 -1 -1 0.78 0.013357 0.0118758 131 53 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 20.58 vpr 63.30 MiB -1 -1 0.45 21280 1 0.10 -1 -1 33464 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64820 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 24.6 MiB 0.28 855 6523 1368 4938 217 63.3 MiB 0.02 0.00 3.97641 -117.731 -3.97641 3.97641 3.51 7.4019e-05 5.9109e-05 0.00342594 0.00283336 28 2339 22 6.65987e+06 304272 500653. 1732.36 8.79 0.3109 0.297662 21970 115934 -1 2041 20 1400 2592 194446 45064 3.42425 3.42425 -119.8 -3.42425 0 0 612192. 2118.31 0.88 0.09 0.28 -1 -1 0.88 0.0112814 0.00992933 123 3 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 14.67 vpr 64.05 MiB -1 -1 0.53 21280 1 0.02 -1 -1 33508 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65592 32 32 353 287 1 198 86 17 17 289 -1 unnamed_device 25.4 MiB 1.04 1095 6890 1534 4949 407 64.1 MiB 0.27 0.00 4.41337 -130.677 -4.41337 4.41337 2.81 0.000173112 0.000139915 0.192159 0.19062 30 2410 22 6.65987e+06 278916 526063. 1820.29 2.77 0.22624 0.220082 22546 126617 -1 2078 18 950 1311 82288 18997 3.16671 3.16671 -118.385 -3.16671 0 0 666494. 2306.21 0.85 0.04 0.24 -1 -1 0.85 0.00999761 0.00890254 136 55 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 16.38 vpr 63.82 MiB -1 -1 0.44 21432 1 0.10 -1 -1 33692 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65348 32 32 361 291 1 185 95 17 17 289 -1 unnamed_device 25.2 MiB 1.31 1015 8519 1947 6079 493 63.8 MiB 0.24 0.00 3.70469 -120.936 -3.70469 3.70469 2.04 0.000183899 0.000150032 0.192242 0.19054 26 2629 44 6.65987e+06 393018 477104. 1650.88 3.76 0.26209 0.226057 21682 110474 -1 2300 19 1277 2162 203888 55415 3.09317 3.09317 -119.703 -3.09317 0 0 585099. 2024.56 0.90 0.15 0.20 -1 -1 0.90 0.11414 0.11291 132 55 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 15.41 vpr 63.98 MiB -1 -1 0.35 21584 1 0.15 -1 -1 33684 -1 -1 36 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65516 32 32 382 305 1 192 100 17 17 289 -1 unnamed_device 25.4 MiB 1.37 1095 17268 5091 9567 2610 64.0 MiB 0.39 0.00 4.49052 -137.752 -4.49052 4.49052 2.64 0.000179162 0.000142111 0.0168161 0.0137415 32 2622 20 6.65987e+06 456408 554710. 1919.41 2.67 0.0476195 0.0397119 22834 132086 -1 2238 21 1227 1882 151184 33669 3.11931 3.11931 -120.994 -3.11931 0 0 701300. 2426.64 0.92 0.25 0.29 -1 -1 0.92 0.0140044 0.0124851 144 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 14.25 vpr 63.79 MiB -1 -1 0.49 21432 1 0.20 -1 -1 33840 -1 -1 29 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65324 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 25.2 MiB 0.39 831 8703 1904 6510 289 63.8 MiB 0.14 0.00 3.98836 -116.458 -3.98836 3.98836 2.36 0.000153415 0.000122132 0.00915912 0.0076147 28 2509 34 6.65987e+06 367662 500653. 1732.36 3.49 0.191909 0.185407 21970 115934 -1 1950 22 1402 2368 181235 42277 3.31885 3.31885 -113.136 -3.31885 0 0 612192. 2118.31 0.80 0.09 0.36 -1 -1 0.80 0.0105699 0.00934149 122 24 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 12.90 vpr 63.45 MiB -1 -1 0.49 21584 1 0.20 -1 -1 33976 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64968 32 32 319 257 1 198 87 17 17 289 -1 unnamed_device 25.1 MiB 0.22 1096 5847 1077 4508 262 63.4 MiB 0.16 0.00 4.87249 -140.277 -4.87249 4.87249 2.45 7.4025e-05 5.8663e-05 0.00691967 0.00585821 32 2457 23 6.65987e+06 291594 554710. 1919.41 2.31 0.0358647 0.0306625 22834 132086 -1 2307 21 1758 2571 205261 48211 3.54631 3.54631 -128.876 -3.54631 0 0 701300. 2426.64 0.74 0.08 0.21 -1 -1 0.74 0.0128434 0.0115048 133 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 14.11 vpr 64.13 MiB -1 -1 0.62 21736 1 0.04 -1 -1 33536 -1 -1 23 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65672 31 32 373 299 1 202 86 17 17 289 -1 unnamed_device 25.5 MiB 0.75 1053 14450 4494 7381 2575 64.1 MiB 0.39 0.00 4.75055 -139.155 -4.75055 4.75055 2.64 0.000230927 0.000195819 0.0164111 0.0134797 32 2991 39 6.65987e+06 291594 554710. 1919.41 2.69 0.249189 0.238214 22834 132086 -1 2363 22 1536 2448 216971 46501 3.78511 3.78511 -128.69 -3.78511 0 0 701300. 2426.64 0.89 0.15 0.50 -1 -1 0.89 0.0139633 0.0123829 146 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 11.94 vpr 63.97 MiB -1 -1 0.46 21736 1 0.13 -1 -1 33644 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65508 32 32 387 315 1 189 85 17 17 289 -1 unnamed_device 25.4 MiB 0.34 892 8269 1866 5970 433 64.0 MiB 0.11 0.00 3.94229 -122.797 -3.94229 3.94229 2.35 0.000190103 0.000154106 0.0103669 0.00855183 32 2886 33 6.65987e+06 266238 554710. 1919.41 2.54 0.156958 0.149786 22834 132086 -1 2173 20 1592 2780 186378 45374 3.32485 3.32485 -123.339 -3.32485 0 0 701300. 2426.64 0.95 0.08 0.22 -1 -1 0.95 0.0142534 0.0128195 135 77 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 11.46 vpr 63.16 MiB -1 -1 0.39 21432 1 0.03 -1 -1 33560 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64676 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 24.9 MiB 0.29 757 15103 5160 7628 2315 63.2 MiB 0.28 0.00 3.22598 -97.9932 -3.22598 3.22598 2.50 0.000134302 0.000106416 0.0115374 0.00908968 30 1751 19 6.65987e+06 304272 526063. 1820.29 2.24 0.0347544 0.0288528 22546 126617 -1 1512 16 721 1176 73018 17086 2.41611 2.41611 -90.1114 -2.41611 0 0 666494. 2306.21 0.81 0.09 0.19 -1 -1 0.81 0.0739414 0.0731145 97 23 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 12.25 vpr 63.98 MiB -1 -1 0.36 21432 1 0.16 -1 -1 33740 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65516 32 32 341 285 1 187 84 17 17 289 -1 unnamed_device 25.1 MiB 0.29 854 11979 3325 7498 1156 64.0 MiB 0.14 0.00 4.00764 -134.08 -4.00764 4.00764 2.39 0.000166096 0.000132926 0.0130162 0.0105485 30 2116 21 6.65987e+06 253560 526063. 1820.29 2.57 0.132303 0.12564 22546 126617 -1 1874 15 1015 1416 75233 18300 3.45817 3.45817 -132.236 -3.45817 0 0 666494. 2306.21 0.98 0.14 0.14 -1 -1 0.98 0.0233491 0.0223815 125 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 15.15 vpr 63.70 MiB -1 -1 0.48 21432 1 0.03 -1 -1 33988 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65224 32 32 387 293 1 234 92 17 17 289 -1 unnamed_device 25.7 MiB 0.51 1274 10235 2662 6652 921 63.7 MiB 0.14 0.00 5.13258 -155.287 -5.13258 5.13258 2.61 9.2275e-05 7.3961e-05 0.00687844 0.00562595 32 3369 23 6.65987e+06 354984 554710. 1919.41 3.58 0.0906311 0.0846579 22834 132086 -1 2783 23 2186 3460 290827 63429 4.48925 4.48925 -150.111 -4.48925 0 0 701300. 2426.64 1.05 0.28 0.18 -1 -1 1.05 0.107691 0.10602 168 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 13.69 vpr 63.83 MiB -1 -1 0.63 21280 1 0.02 -1 -1 33972 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65360 32 32 340 270 1 181 95 17 17 289 -1 unnamed_device 25.1 MiB 0.60 923 6359 1257 4842 260 63.8 MiB 0.11 0.00 4.41432 -131.558 -4.41432 4.41432 2.44 0.000177047 0.000141783 0.00796312 0.00660099 28 2340 18 6.65987e+06 393018 500653. 1732.36 3.21 0.0388558 0.0335021 21970 115934 -1 2127 18 1059 1684 138744 33781 2.95411 2.95411 -115.043 -2.95411 0 0 612192. 2118.31 0.85 0.07 0.25 -1 -1 0.85 0.0122403 0.0110018 133 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 13.01 vpr 63.63 MiB -1 -1 0.42 20976 1 0.06 -1 -1 33908 -1 -1 26 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65160 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 24.8 MiB 0.15 662 8473 1902 5447 1124 63.6 MiB 0.04 0.00 3.33678 -100.036 -3.33678 3.33678 2.44 0.000147231 0.000116999 0.00780375 0.00638254 28 1883 24 6.65987e+06 329628 500653. 1732.36 2.41 0.120232 0.115061 21970 115934 -1 1588 23 1125 1833 133224 32129 2.83791 2.83791 -101.81 -2.83791 0 0 612192. 2118.31 0.93 0.26 0.28 -1 -1 0.93 0.0108435 0.00951964 104 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 15.30 vpr 64.06 MiB -1 -1 0.64 21888 1 0.18 -1 -1 33752 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65600 32 32 431 332 1 235 89 17 17 289 -1 unnamed_device 25.8 MiB 1.10 1210 14939 4355 8106 2478 64.1 MiB 0.22 0.00 6.10992 -175.279 -6.10992 6.10992 2.35 0.000208534 0.000169163 0.0191368 0.0159276 32 3495 33 6.65987e+06 316950 554710. 1919.41 2.75 0.0522444 0.0444883 22834 132086 -1 2638 21 2045 2939 210732 49019 4.76877 4.76877 -161.395 -4.76877 0 0 701300. 2426.64 1.03 0.15 0.22 -1 -1 1.03 0.0162987 0.0146932 168 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 13.10 vpr 63.95 MiB -1 -1 0.30 21280 1 0.34 -1 -1 33956 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65480 32 32 336 268 1 174 96 17 17 289 -1 unnamed_device 25.2 MiB 0.97 904 10389 2712 7035 642 63.9 MiB 0.20 0.03 4.42592 -132.293 -4.42592 4.42592 2.35 0.000161799 0.000128834 0.0106276 0.00856263 32 2174 23 6.65987e+06 405696 554710. 1919.41 2.62 0.0347434 0.0292961 22834 132086 -1 1862 21 1345 1981 126109 31018 3.49685 3.49685 -125.797 -3.49685 0 0 701300. 2426.64 0.91 0.08 0.22 -1 -1 0.91 0.0123833 0.010951 130 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 20.12 vpr 63.38 MiB -1 -1 0.41 21128 1 0.13 -1 -1 33636 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64900 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 24.8 MiB 0.03 663 8535 1816 6050 669 63.4 MiB 0.13 0.00 3.21869 -93.2403 -3.21869 3.21869 2.45 0.000121456 9.5237e-05 0.0068439 0.00553974 30 1794 24 6.65987e+06 291594 526063. 1820.29 10.47 0.0864911 0.0773777 22546 126617 -1 1375 17 756 1268 78704 19634 2.42405 2.42405 -87.4777 -2.42405 0 0 666494. 2306.21 0.95 0.11 0.28 -1 -1 0.95 0.00836202 0.00752134 100 3 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 15.68 vpr 64.06 MiB -1 -1 0.51 21432 1 0.20 -1 -1 33772 -1 -1 34 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65596 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 25.3 MiB 0.29 1094 11573 2846 8002 725 64.1 MiB 0.34 0.00 5.047 -126.982 -5.047 5.047 2.30 0.000175859 0.00014189 0.0503598 0.0483346 36 2322 21 6.65987e+06 431052 612192. 2118.31 5.10 0.297468 0.288372 23410 145293 -1 2134 22 1241 2482 160165 36292 3.91299 3.91299 -119.534 -3.91299 0 0 782063. 2706.10 1.08 0.22 0.34 -1 -1 1.08 0.0128943 0.0114938 139 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 12.93 vpr 63.50 MiB -1 -1 0.48 20976 1 0.33 -1 -1 33840 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65020 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 24.8 MiB 0.29 658 7038 1455 4830 753 63.5 MiB 0.03 0.00 3.29684 -99.2989 -3.29684 3.29684 2.24 0.000131378 0.000102416 0.00684499 0.00550857 28 1982 22 6.65987e+06 253560 500653. 1732.36 2.88 0.165407 0.160419 21970 115934 -1 1775 20 1165 1930 131320 32832 2.75245 2.75245 -106.269 -2.75245 0 0 612192. 2118.31 0.92 0.41 0.30 -1 -1 0.92 0.245432 0.244371 104 3 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 13.68 vpr 63.48 MiB -1 -1 0.49 20976 1 0.13 -1 -1 33948 -1 -1 33 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65004 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 24.9 MiB 0.57 652 14999 3898 8981 2120 63.5 MiB 0.34 0.00 3.84026 -101.059 -3.84026 3.84026 2.27 0.000131525 0.000102477 0.00917954 0.00725515 26 1964 30 6.65987e+06 418374 477104. 1650.88 2.91 0.0368554 0.0302919 21682 110474 -1 1645 21 1213 2151 149760 36190 2.71645 2.71645 -97.4677 -2.71645 0 0 585099. 2024.56 0.77 0.13 0.23 -1 -1 0.77 0.0104856 0.00927402 105 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 14.11 vpr 63.81 MiB -1 -1 0.56 21432 1 0.05 -1 -1 33816 -1 -1 24 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65340 29 32 355 287 1 198 85 17 17 289 -1 unnamed_device 25.4 MiB 0.89 1012 15523 4926 8107 2490 63.8 MiB 0.20 0.00 4.24664 -124.218 -4.24664 4.24664 2.19 0.000176174 0.00014147 0.0172995 0.0140543 32 2438 21 6.65987e+06 304272 554710. 1919.41 2.73 0.131585 0.125131 22834 132086 -1 2076 22 1471 2243 161387 37796 3.14991 3.14991 -109.854 -3.14991 0 0 701300. 2426.64 0.63 0.24 0.12 -1 -1 0.63 0.0141914 0.0126457 138 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 13.52 vpr 63.84 MiB -1 -1 0.61 21432 1 0.24 -1 -1 33852 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65368 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 25.1 MiB 0.45 997 13738 3661 8770 1307 63.8 MiB 0.23 0.00 4.3549 -132.453 -4.3549 4.3549 2.16 0.000188026 0.000151987 0.0166228 0.0129496 32 2264 21 6.65987e+06 304272 554710. 1919.41 2.08 0.0483022 0.0399724 22834 132086 -1 2017 21 1451 2287 177683 38721 3.59857 3.59857 -129.696 -3.59857 0 0 701300. 2426.64 0.79 0.14 0.38 -1 -1 0.79 0.0127704 0.0113522 130 54 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 13.57 vpr 64.01 MiB -1 -1 0.43 21584 1 0.10 -1 -1 33516 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65548 32 32 353 285 1 181 91 17 17 289 -1 unnamed_device 25.4 MiB 0.60 1038 14575 3612 9348 1615 64.0 MiB 0.21 0.00 4.46409 -135.318 -4.46409 4.46409 2.76 0.000167875 0.000134136 0.0153971 0.0126236 32 2489 24 6.65987e+06 342306 554710. 1919.41 2.24 0.203521 0.196379 22834 132086 -1 2235 18 1297 2209 162487 36695 3.47311 3.47311 -128.078 -3.47311 0 0 701300. 2426.64 0.81 0.10 0.17 -1 -1 0.81 0.0713858 0.070192 132 51 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 13.24 vpr 63.66 MiB -1 -1 0.38 21280 1 0.06 -1 -1 33816 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65192 32 32 276 237 1 159 80 17 17 289 -1 unnamed_device 24.9 MiB 0.80 846 7820 1845 5552 423 63.7 MiB 0.13 0.00 4.62977 -131.597 -4.62977 4.62977 2.86 0.000136232 0.000108364 0.00802058 0.00652091 30 1909 22 6.65987e+06 202848 526063. 1820.29 2.26 0.0605804 0.0554761 22546 126617 -1 1687 17 707 934 60758 14052 3.02351 3.02351 -112.559 -3.02351 0 0 666494. 2306.21 0.91 0.02 0.29 -1 -1 0.91 0.00962744 0.00868038 103 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 14.37 vpr 63.82 MiB -1 -1 0.57 21280 1 0.20 -1 -1 33740 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65352 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 25.1 MiB 0.79 962 14678 4724 7836 2118 63.8 MiB 0.27 0.00 3.69598 -121.08 -3.69598 3.69598 2.46 0.000189554 0.000154415 0.0155726 0.0126779 32 2230 19 6.65987e+06 240882 554710. 1919.41 2.24 0.0435526 0.0358624 22834 132086 -1 1907 19 1232 1854 137979 31545 3.00145 3.00145 -115.533 -3.00145 0 0 701300. 2426.64 0.94 0.09 0.41 -1 -1 0.94 0.0114774 0.0102167 111 64 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 15.14 vpr 63.86 MiB -1 -1 0.73 21584 1 0.16 -1 -1 33728 -1 -1 33 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65388 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 25.2 MiB 1.04 861 11975 2929 8226 820 63.9 MiB 0.19 0.00 3.34001 -95.8068 -3.34001 3.34001 2.67 0.000152813 0.000121528 0.0412169 0.0392008 28 2224 44 6.65987e+06 418374 500653. 1732.36 3.54 0.0763353 0.0687579 21970 115934 -1 1831 20 1251 2268 159057 37940 2.43405 2.43405 -93.0128 -2.43405 0 0 612192. 2118.31 0.64 0.27 0.12 -1 -1 0.64 0.011714 0.0103641 123 57 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 13.59 vpr 63.42 MiB -1 -1 0.43 21432 1 0.32 -1 -1 33732 -1 -1 35 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64940 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 24.9 MiB 0.38 923 12623 3487 7239 1897 63.4 MiB 0.19 0.00 4.05815 -100.085 -4.05815 4.05815 2.81 0.000137399 0.000109196 0.00982862 0.0079749 26 2148 42 6.65987e+06 443730 477104. 1650.88 2.97 0.0421143 0.0351627 21682 110474 -1 1872 23 1220 2281 180106 39360 3.35599 3.35599 -99.94 -3.35599 0 0 585099. 2024.56 0.86 0.14 0.28 -1 -1 0.86 0.0105986 0.00930434 115 27 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 14.11 vpr 63.45 MiB -1 -1 0.42 21128 1 0.17 -1 -1 33852 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64976 30 32 317 269 1 152 79 17 17 289 -1 unnamed_device 24.9 MiB 0.65 815 13937 5246 6335 2356 63.5 MiB 0.19 0.00 4.07397 -115.987 -4.07397 4.07397 2.78 0.000150362 0.000119532 0.0144295 0.0117043 26 2246 33 6.65987e+06 215526 477104. 1650.88 4.08 0.118012 0.110449 21682 110474 -1 1978 21 1324 2287 197957 43663 3.12231 3.12231 -114.295 -3.12231 0 0 585099. 2024.56 0.80 0.13 0.21 -1 -1 0.80 0.0953608 0.0940522 108 63 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 13.97 vpr 63.89 MiB -1 -1 0.80 21432 1 0.19 -1 -1 33432 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65420 32 32 335 282 1 184 84 17 17 289 -1 unnamed_device 25.2 MiB 0.73 969 14724 4160 8401 2163 63.9 MiB 0.43 0.00 3.82038 -130.284 -3.82038 3.82038 2.22 0.000181972 0.000148061 0.0152471 0.0123553 32 2416 22 6.65987e+06 253560 554710. 1919.41 2.21 0.0367014 0.0306724 22834 132086 -1 2034 18 1179 1689 134701 30772 3.10351 3.10351 -123.82 -3.10351 0 0 701300. 2426.64 1.11 0.05 0.23 -1 -1 1.11 0.0232836 0.0103366 120 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 15.42 vpr 63.79 MiB -1 -1 0.63 20976 1 0.05 -1 -1 33760 -1 -1 32 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65316 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 25.1 MiB 0.36 1068 16295 4538 9804 1953 63.8 MiB 0.21 0.00 4.27726 -124.118 -4.27726 4.27726 2.79 0.000169881 0.000124943 0.0138367 0.0112482 32 2503 22 6.65987e+06 405696 554710. 1919.41 3.20 0.136345 0.0341435 22834 132086 -1 2162 23 1409 2614 199248 44792 3.56625 3.56625 -116.588 -3.56625 0 0 701300. 2426.64 1.45 0.17 0.24 -1 -1 1.45 0.0576341 0.0562419 127 4 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 14.38 vpr 64.09 MiB -1 -1 0.50 21584 1 0.18 -1 -1 33824 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65624 32 32 350 275 1 209 86 17 17 289 -1 unnamed_device 25.5 MiB 0.72 1153 8402 1956 5598 848 64.1 MiB 0.47 0.00 5.15532 -161.668 -5.15532 5.15532 2.75 0.000181367 0.000145166 0.01102 0.00883895 32 3047 21 6.65987e+06 278916 554710. 1919.41 2.06 0.0429549 0.0356322 22834 132086 -1 2490 22 1763 2625 198538 46171 4.22151 4.22151 -150.599 -4.22151 0 0 701300. 2426.64 1.16 0.12 0.18 -1 -1 1.16 0.0943274 0.0931895 144 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 17.62 vpr 64.10 MiB -1 -1 0.41 21280 1 0.18 -1 -1 33404 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65640 32 32 385 308 1 185 96 17 17 289 -1 unnamed_device 25.5 MiB 0.68 1091 17397 4924 9824 2649 64.1 MiB 0.32 0.00 5.003 -142.071 -5.003 5.003 2.28 8.3064e-05 6.5811e-05 0.264952 0.262609 26 2869 27 6.65987e+06 405696 477104. 1650.88 5.54 0.318255 0.310812 21682 110474 -1 2401 24 1464 2631 218718 54156 4.38703 4.38703 -140.693 -4.38703 0 0 585099. 2024.56 0.74 0.17 0.20 -1 -1 0.74 0.0147885 0.0131075 142 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 19.45 vpr 64.14 MiB -1 -1 0.64 21432 1 0.16 -1 -1 33748 -1 -1 37 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65680 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 25.5 MiB 0.68 1090 6446 1272 4893 281 64.1 MiB 0.11 0.00 4.26912 -136.624 -4.26912 4.26912 2.71 0.000202363 0.000164588 0.00735051 0.00598336 26 3522 48 6.65987e+06 469086 477104. 1650.88 7.25 0.132344 0.123993 21682 110474 -1 2589 23 1584 2665 277874 61981 3.61031 3.61031 -137.059 -3.61031 0 0 585099. 2024.56 0.87 0.29 0.27 -1 -1 0.87 0.0843316 0.082049 140 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 13.71 vpr 63.71 MiB -1 -1 0.20 21128 1 0.02 -1 -1 33896 -1 -1 19 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65244 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 25.1 MiB 0.78 858 11106 2842 6749 1515 63.7 MiB 0.38 0.00 3.61906 -110.793 -3.61906 3.61906 2.59 0.000132116 0.000104254 0.0116341 0.0093416 30 1861 20 6.65987e+06 240882 526063. 1820.29 2.26 0.124363 0.11832 22546 126617 -1 1581 20 799 1273 70669 16439 2.45585 2.45585 -94.8069 -2.45585 0 0 666494. 2306.21 0.93 0.03 0.24 -1 -1 0.93 0.0104883 0.00938779 105 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 13.70 vpr 64.07 MiB -1 -1 0.28 21432 1 0.12 -1 -1 34108 -1 -1 21 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65608 30 32 375 299 1 187 83 17 17 289 -1 unnamed_device 25.4 MiB 0.56 983 13583 4344 7194 2045 64.1 MiB 0.28 0.00 4.78844 -139.067 -4.78844 4.78844 2.96 0.000172451 0.000136865 0.0166578 0.0136642 32 2247 22 6.65987e+06 266238 554710. 1919.41 2.13 0.0437133 0.0373687 22834 132086 -1 1997 21 1498 2374 164500 38258 3.52637 3.52637 -125.429 -3.52637 0 0 701300. 2426.64 0.97 0.11 0.33 -1 -1 0.97 0.0755178 0.0740837 137 63 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 17.09 vpr 64.03 MiB -1 -1 0.42 21584 1 0.04 -1 -1 33684 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65568 32 32 340 270 1 200 88 17 17 289 -1 unnamed_device 25.2 MiB 0.68 1116 12568 3071 7899 1598 64.0 MiB 0.19 0.00 5.00533 -148.1 -5.00533 5.00533 2.60 0.000165582 0.000131416 0.0131479 0.0107622 26 2981 27 6.65987e+06 304272 477104. 1650.88 5.86 0.189793 0.0382439 21682 110474 -1 2617 22 1808 2814 240240 50868 4.29371 4.29371 -140.82 -4.29371 0 0 585099. 2024.56 0.99 0.20 0.14 -1 -1 0.99 0.0131946 0.0117796 138 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 13.65 vpr 64.02 MiB -1 -1 0.45 21432 1 0.07 -1 -1 33924 -1 -1 28 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65556 31 32 340 275 1 195 91 17 17 289 -1 unnamed_device 25.4 MiB 1.11 1080 15187 4594 8064 2529 64.0 MiB 0.38 0.00 5.08067 -147.956 -5.08067 5.08067 2.26 0.000162498 0.00012834 0.0152056 0.0124501 32 2661 24 6.65987e+06 354984 554710. 1919.41 2.34 0.0431303 0.0366274 22834 132086 -1 2177 20 1429 2197 160708 36263 4.39417 4.39417 -144.217 -4.39417 0 0 701300. 2426.64 0.75 0.10 0.16 -1 -1 0.75 0.0689175 0.0676375 146 47 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 17.09 vpr 63.86 MiB -1 -1 0.40 21432 1 0.03 -1 -1 33832 -1 -1 31 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65396 30 32 377 310 1 177 93 17 17 289 -1 unnamed_device 25.4 MiB 3.74 989 11223 2996 7351 876 63.9 MiB 0.35 0.00 4.29269 -128.576 -4.29269 4.29269 2.35 0.000165075 0.000131308 0.0119329 0.00975812 32 2241 22 6.65987e+06 393018 554710. 1919.41 2.23 0.040926 0.0347302 22834 132086 -1 1978 20 1350 2182 152265 35695 2.90431 2.90431 -112.586 -2.90431 0 0 701300. 2426.64 1.23 0.33 0.27 -1 -1 1.23 0.0136675 0.0122227 133 83 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 14.60 vpr 64.02 MiB -1 -1 0.56 21432 1 0.35 -1 -1 33832 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65560 32 32 365 294 1 185 84 17 17 289 -1 unnamed_device 25.4 MiB 0.46 1059 15273 4721 8591 1961 64.0 MiB 0.28 0.00 4.76549 -137.908 -4.76549 4.76549 2.87 0.000173412 0.000139975 0.01927 0.0143363 32 2636 19 6.65987e+06 253560 554710. 1919.41 2.57 0.156738 0.147725 22834 132086 -1 2263 20 1357 2383 173914 39226 3.69631 3.69631 -131.863 -3.69631 0 0 701300. 2426.64 1.11 0.25 0.23 -1 -1 1.11 0.0155199 0.014364 133 57 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 14.12 vpr 64.05 MiB -1 -1 0.60 21432 1 0.09 -1 -1 33568 -1 -1 29 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65592 29 32 378 310 1 177 90 17 17 289 -1 unnamed_device 25.4 MiB 1.24 957 9336 2567 5788 981 64.1 MiB 0.15 0.00 4.45269 -125.553 -4.45269 4.45269 2.27 0.000190135 0.000157653 0.106214 0.104439 32 2212 21 6.65987e+06 367662 554710. 1919.41 2.33 0.357884 0.352346 22834 132086 -1 1885 20 1221 1963 136867 32931 3.04431 3.04431 -109.753 -3.04431 0 0 701300. 2426.64 1.18 0.19 0.21 -1 -1 1.18 0.122435 0.120953 131 85 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 12.68 vpr 63.40 MiB -1 -1 0.33 20976 1 0.11 -1 -1 33788 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64920 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 24.8 MiB 0.26 684 12416 3704 6939 1773 63.4 MiB 0.24 0.00 3.74649 -110.459 -3.74649 3.74649 2.51 0.000177207 0.000146202 0.0117666 0.00956856 32 1636 29 6.65987e+06 190170 554710. 1919.41 2.05 0.0640722 0.0594987 22834 132086 -1 1448 19 845 1255 94066 22494 2.92385 2.92385 -104.507 -2.92385 0 0 701300. 2426.64 0.99 0.29 0.29 -1 -1 0.99 0.216065 0.214845 96 3 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 15.33 vpr 64.04 MiB -1 -1 0.49 21280 1 0.03 -1 -1 33728 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65576 32 32 373 302 1 176 94 17 17 289 -1 unnamed_device 25.4 MiB 0.65 960 15004 4235 7978 2791 64.0 MiB 0.49 0.00 4.36949 -132.189 -4.36949 4.36949 2.82 0.000185384 0.000148506 0.19324 0.190425 32 2302 19 6.65987e+06 380340 554710. 1919.41 2.67 0.32009 0.314378 22834 132086 -1 2000 19 1289 2064 160145 35763 3.75771 3.75771 -128.564 -3.75771 0 0 701300. 2426.64 0.81 0.09 0.23 -1 -1 0.81 0.0128487 0.0115582 130 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 14.45 vpr 64.15 MiB -1 -1 0.77 21432 1 0.07 -1 -1 33804 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65692 32 32 397 314 1 196 84 17 17 289 -1 unnamed_device 25.5 MiB 0.76 1050 14907 5385 7856 1666 64.2 MiB 0.25 0.00 4.72838 -146.592 -4.72838 4.72838 2.62 0.000189865 0.000153789 0.0171167 0.0140155 32 2630 21 6.65987e+06 253560 554710. 1919.41 2.37 0.0689549 0.0614925 22834 132086 -1 2230 22 1840 2990 221471 50918 4.14117 4.14117 -142.698 -4.14117 0 0 701300. 2426.64 1.08 0.20 0.11 -1 -1 1.08 0.0135297 0.0120474 147 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 13.32 vpr 63.47 MiB -1 -1 0.65 21280 1 0.17 -1 -1 33416 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64996 32 32 269 231 1 170 83 17 17 289 -1 unnamed_device 24.9 MiB 0.74 894 13403 4479 6698 2226 63.5 MiB 0.11 0.00 4.19577 -118.859 -4.19577 4.19577 2.31 0.000147502 0.000109262 0.0126762 0.0101537 28 2196 21 6.65987e+06 240882 500653. 1732.36 2.77 0.0380579 0.031826 21970 115934 -1 1851 20 1036 1383 108668 24955 3.26225 3.26225 -110.746 -3.26225 0 0 612192. 2118.31 1.38 0.29 0.28 -1 -1 1.38 0.00974122 0.00864199 111 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 13.27 vpr 63.50 MiB -1 -1 0.59 20976 1 0.02 -1 -1 33780 -1 -1 21 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65024 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 24.9 MiB 0.34 776 8868 2239 6065 564 63.5 MiB 0.13 0.00 3.80235 -109.947 -3.80235 3.80235 2.61 6.3708e-05 4.9993e-05 0.00559361 0.00455718 26 1970 25 6.65987e+06 266238 477104. 1650.88 2.90 0.241068 0.236242 21682 110474 -1 1723 23 1176 1960 153298 34555 2.80565 2.80565 -104.334 -2.80565 0 0 585099. 2024.56 0.81 0.12 0.41 -1 -1 0.81 0.0100552 0.0088737 106 4 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 15.94 vpr 64.10 MiB -1 -1 0.38 21280 1 0.10 -1 -1 33952 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65640 32 32 348 274 1 211 89 17 17 289 -1 unnamed_device 25.4 MiB 0.49 1094 12167 3085 7463 1619 64.1 MiB 0.09 0.00 4.97701 -158.279 -4.97701 4.97701 2.53 0.000161312 0.000129816 0.0121909 0.00999423 26 3090 32 6.65987e+06 316950 477104. 1650.88 4.69 0.0534652 0.0428262 21682 110474 -1 2508 23 1898 2512 210561 46734 4.30183 4.30183 -155.617 -4.30183 0 0 585099. 2024.56 0.75 0.24 0.33 -1 -1 0.75 0.013143 0.0117014 144 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 17.61 vpr 63.81 MiB -1 -1 0.57 20976 1 0.21 -1 -1 33548 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65340 32 32 356 289 1 202 92 17 17 289 -1 unnamed_device 25.1 MiB 1.18 1053 6923 1467 5091 365 63.8 MiB 0.07 0.00 5.03847 -147.541 -5.03847 5.03847 2.98 0.000194142 0.000160188 0.00813949 0.00673389 28 2980 22 6.65987e+06 354984 500653. 1732.36 5.44 0.143717 0.137558 21970 115934 -1 2306 21 1618 2412 176317 40884 4.33597 4.33597 -146.027 -4.33597 0 0 612192. 2118.31 0.86 0.22 0.48 -1 -1 0.86 0.0128865 0.0114883 151 56 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 15.63 vpr 63.96 MiB -1 -1 0.50 21432 1 0.05 -1 -1 33788 -1 -1 36 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65496 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 25.4 MiB 0.30 1239 17268 4300 11396 1572 64.0 MiB 0.53 0.11 5.24834 -143.442 -5.24834 5.24834 2.60 0.00018549 0.000140778 0.0169858 0.0139239 30 2758 25 6.65987e+06 456408 526063. 1820.29 3.65 0.119954 0.112157 22546 126617 -1 2371 21 1238 2402 146964 32150 4.16083 4.16083 -133.389 -4.16083 0 0 666494. 2306.21 0.76 0.05 0.25 -1 -1 0.76 0.010236 0.00895383 153 3 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 13.14 vpr 63.72 MiB -1 -1 0.50 20976 1 0.04 -1 -1 33712 -1 -1 31 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65252 30 32 316 264 1 162 93 17 17 289 -1 unnamed_device 25.1 MiB 1.08 853 11223 2920 7020 1283 63.7 MiB 0.10 0.00 3.39798 -101.422 -3.39798 3.39798 2.36 0.000175899 0.000143298 0.0110945 0.0090861 26 2061 23 6.65987e+06 393018 477104. 1650.88 3.20 0.0357329 0.030183 21682 110474 -1 1868 18 1213 2055 145704 34724 2.80371 2.80371 -101.985 -2.80371 0 0 585099. 2024.56 0.65 0.18 0.27 -1 -1 0.65 0.0106915 0.00950961 120 52 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 12.02 vpr 63.34 MiB -1 -1 0.40 21128 1 0.11 -1 -1 34156 -1 -1 21 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64860 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 24.8 MiB 0.06 562 12120 3232 7522 1366 63.3 MiB 0.15 0.00 3.49724 -92.7291 -3.49724 3.49724 2.76 0.000160291 0.000127029 0.012295 0.0098888 28 1503 22 6.65987e+06 266238 500653. 1732.36 2.26 0.0362898 0.0302729 21970 115934 -1 1367 23 1081 1581 120522 28570 2.71577 2.71577 -91.1214 -2.71577 0 0 612192. 2118.31 0.77 0.08 0.34 -1 -1 0.77 0.0582219 0.0570086 97 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 16.31 vpr 64.01 MiB -1 -1 0.58 21736 1 0.09 -1 -1 33832 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65544 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 25.6 MiB 0.45 1323 15969 4617 8792 2560 64.0 MiB 0.25 0.00 4.09377 -133.735 -4.09377 4.09377 2.48 0.000216131 0.000176539 0.019158 0.0157759 28 3675 45 6.65987e+06 329628 500653. 1732.36 4.88 0.204784 0.194352 21970 115934 -1 2897 19 1932 3279 249002 53716 3.62139 3.62139 -131.023 -3.62139 0 0 612192. 2118.31 0.78 0.16 0.34 -1 -1 0.78 0.119617 0.0131375 170 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 16.38 vpr 64.02 MiB -1 -1 0.79 21736 1 0.06 -1 -1 33868 -1 -1 21 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65552 31 32 365 296 1 193 84 17 17 289 -1 unnamed_device 25.2 MiB 3.09 1078 12528 4327 6387 1814 64.0 MiB 0.31 0.23 5.17417 -151.407 -5.17417 5.17417 2.54 0.000181277 0.000144373 0.0149598 0.0122692 32 2753 22 6.65987e+06 266238 554710. 1919.41 2.38 0.246568 0.239404 22834 132086 -1 2282 21 1708 2595 216768 47454 4.38517 4.38517 -148.257 -4.38517 0 0 701300. 2426.64 0.89 0.32 0.34 -1 -1 0.89 0.0117034 0.010493 150 64 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 18.03 vpr 63.62 MiB -1 -1 0.61 21584 1 0.15 -1 -1 33904 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65144 32 32 331 280 1 175 82 17 17 289 -1 unnamed_device 25.1 MiB 3.05 964 14856 4683 7908 2265 63.6 MiB 0.34 0.00 4.1377 -130.564 -4.1377 4.1377 3.24 0.000147766 0.000117085 0.143536 0.140585 32 2171 20 6.65987e+06 228204 554710. 1919.41 2.71 0.199996 0.194208 22834 132086 -1 1934 19 1317 1944 141571 32307 3.53756 3.53756 -126.092 -3.53756 0 0 701300. 2426.64 1.03 0.02 0.42 -1 -1 1.03 0.00690963 0.00629059 126 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 13.48 vpr 63.91 MiB -1 -1 0.51 21432 1 0.02 -1 -1 33836 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65448 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 25.2 MiB 0.15 1012 18199 5227 11404 1568 63.9 MiB 0.22 0.00 4.85416 -126.382 -4.85416 4.85416 2.62 0.000163132 0.000130477 0.0166744 0.0133698 26 2667 26 6.65987e+06 380340 477104. 1650.88 3.39 0.309564 0.188835 21682 110474 -1 2147 22 1218 1993 155083 36118 3.76645 3.76645 -124.796 -3.76645 0 0 585099. 2024.56 1.03 0.25 0.21 -1 -1 1.03 0.0116192 0.010274 126 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 13.31 vpr 64.13 MiB -1 -1 0.43 21432 1 0.02 -1 -1 33796 -1 -1 33 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65672 31 32 373 294 1 196 96 17 17 289 -1 unnamed_device 25.4 MiB 0.72 1069 18054 5191 10505 2358 64.1 MiB 0.27 0.00 4.75754 -136.396 -4.75754 4.75754 2.50 0.000171813 0.000139055 0.0712609 0.0682239 32 2485 22 6.65987e+06 418374 554710. 1919.41 2.35 0.154525 0.14255 22834 132086 -1 2209 20 1432 2333 172652 38504 3.37811 3.37811 -123.135 -3.37811 0 0 701300. 2426.64 0.95 0.15 0.35 -1 -1 0.95 0.112079 0.110645 144 50 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 13.55 vpr 63.86 MiB -1 -1 0.63 21280 1 0.15 -1 -1 33924 -1 -1 31 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65396 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 25.2 MiB 0.31 999 9333 2113 6300 920 63.9 MiB 0.22 0.00 3.66846 -111.209 -3.66846 3.66846 2.73 0.000154665 0.000123545 0.0090687 0.00746416 32 2352 21 6.65987e+06 393018 554710. 1919.41 2.89 0.0321948 0.0270197 22834 132086 -1 2074 22 1327 2197 168002 37989 2.89191 2.89191 -104.742 -2.89191 0 0 701300. 2426.64 0.83 0.10 0.18 -1 -1 0.83 0.0500403 0.0486382 124 51 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 13.19 vpr 64.14 MiB -1 -1 0.44 21280 1 0.03 -1 -1 33696 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65684 32 32 350 275 1 214 88 17 17 289 -1 unnamed_device 25.5 MiB 0.33 1084 14713 4574 7744 2395 64.1 MiB 0.23 0.00 4.85897 -149.918 -4.85897 4.85897 2.86 0.000169494 0.000136511 0.140208 0.137434 32 3081 26 6.65987e+06 304272 554710. 1919.41 2.17 0.219811 0.212353 22834 132086 -1 2484 20 1987 3003 234271 54312 4.08025 4.08025 -143.874 -4.08025 0 0 701300. 2426.64 0.88 0.17 0.31 -1 -1 0.88 0.153278 0.151901 147 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 12.71 vpr 64.15 MiB -1 -1 0.43 21888 1 0.17 -1 -1 33712 -1 -1 34 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65688 32 32 386 307 1 195 98 17 17 289 -1 unnamed_device 25.5 MiB 0.83 1057 18773 5614 10501 2658 64.1 MiB 0.40 0.00 4.57498 -141.369 -4.57498 4.57498 2.63 0.000232753 0.000141082 0.139659 0.136217 28 2488 21 6.65987e+06 431052 500653. 1732.36 2.66 0.245547 0.238225 21970 115934 -1 2269 18 1269 1970 143696 32000 3.37091 3.37091 -127.74 -3.37091 0 0 612192. 2118.31 0.53 0.12 0.24 -1 -1 0.53 0.0135305 0.0121466 143 62 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 12.43 vpr 63.52 MiB -1 -1 0.29 20824 1 0.17 -1 -1 33688 -1 -1 17 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65040 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 24.8 MiB 0.61 520 12528 3273 8240 1015 63.5 MiB 0.10 0.00 3.78218 -105.823 -3.78218 3.78218 2.33 0.000183934 0.000154958 0.064558 0.00949455 32 1509 20 6.65987e+06 215526 554710. 1919.41 2.69 0.13071 0.0719715 22834 132086 -1 1340 20 951 1328 112971 27296 2.74517 2.74517 -94.6149 -2.74517 0 0 701300. 2426.64 0.76 0.11 0.16 -1 -1 0.76 0.0948997 0.093837 92 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 13.08 vpr 63.56 MiB -1 -1 0.55 21584 1 0.05 -1 -1 34084 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65084 32 32 310 266 1 175 84 17 17 289 -1 unnamed_device 25.1 MiB 0.75 961 12345 3304 7210 1831 63.6 MiB 0.16 0.00 3.97227 -126.423 -3.97227 3.97227 2.42 0.00015902 0.000126283 0.09809 0.0957955 32 2074 22 6.65987e+06 253560 554710. 1919.41 2.65 0.119794 0.114289 22834 132086 -1 1846 20 1382 1825 150330 33395 3.14377 3.14377 -116.8 -3.14377 0 0 701300. 2426.64 0.88 0.37 0.25 -1 -1 0.88 0.139341 0.138064 116 58 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 13.64 vpr 63.94 MiB -1 -1 0.32 21584 1 0.18 -1 -1 33788 -1 -1 37 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65476 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 25.2 MiB 0.38 918 7988 1511 6133 344 63.9 MiB 0.12 0.00 4.586 -121.831 -4.586 4.586 2.34 0.000167365 0.000135478 0.0074725 0.00621123 26 2466 46 6.65987e+06 469086 477104. 1650.88 4.09 0.12393 0.117216 21682 110474 -1 2051 21 1189 2186 143750 34653 3.66531 3.66531 -123.472 -3.66531 0 0 585099. 2024.56 0.55 0.14 0.14 -1 -1 0.55 0.111771 0.110396 129 33 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 13.27 vpr 63.44 MiB -1 -1 0.41 21280 1 0.02 -1 -1 34012 -1 -1 21 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64960 29 32 262 224 1 168 82 17 17 289 -1 unnamed_device 24.8 MiB 0.44 913 13076 3345 8177 1554 63.4 MiB 0.14 0.00 4.16472 -115.101 -4.16472 4.16472 2.03 0.000138953 0.000110049 0.0116361 0.00942224 26 2335 22 6.65987e+06 266238 477104. 1650.88 2.67 0.0853877 0.0792924 21682 110474 -1 1983 22 1201 1563 120338 28160 3.21591 3.21591 -110.777 -3.21591 0 0 585099. 2024.56 1.15 0.03 0.10 -1 -1 1.15 0.010151 0.00895864 110 31 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 13.37 vpr 63.43 MiB -1 -1 0.74 21432 1 0.05 -1 -1 33668 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64948 32 32 278 238 1 149 80 17 17 289 -1 unnamed_device 24.9 MiB 0.60 840 12980 3474 8543 963 63.4 MiB 0.19 0.00 3.73708 -117.005 -3.73708 3.73708 2.21 0.000153837 0.000122381 0.0126295 0.0102317 32 2039 20 6.65987e+06 202848 554710. 1919.41 3.14 0.0366303 0.0305555 22834 132086 -1 1895 20 1345 2275 189875 42263 2.87965 2.87965 -111.934 -2.87965 0 0 701300. 2426.64 0.92 0.19 0.32 -1 -1 0.92 0.00884211 0.00782272 109 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 12.17 vpr 63.91 MiB -1 -1 0.34 21736 1 0.07 -1 -1 33508 -1 -1 35 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65448 31 32 373 300 1 181 98 17 17 289 -1 unnamed_device 25.4 MiB 0.75 874 11348 2922 7210 1216 63.9 MiB 0.29 0.00 4.00794 -116.831 -4.00794 4.00794 2.11 0.000186902 0.00013989 0.0122115 0.00985808 26 2298 26 6.65987e+06 443730 477104. 1650.88 2.66 0.193576 0.0769079 21682 110474 -1 1930 23 1614 2486 162553 39680 3.11237 3.11237 -112.795 -3.11237 0 0 585099. 2024.56 0.88 0.08 0.25 -1 -1 0.88 0.0622346 0.0611406 135 64 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 12.02 vpr 63.64 MiB -1 -1 0.33 21432 1 0.03 -1 -1 33460 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65164 31 32 265 230 1 162 82 17 17 289 -1 unnamed_device 24.9 MiB 0.48 755 6846 1343 5305 198 63.6 MiB 0.15 0.00 3.8773 -116.608 -3.8773 3.8773 2.44 0.000137432 0.000109114 0.00730723 0.00596196 28 2204 28 6.65987e+06 240882 500653. 1732.36 2.91 0.123759 0.0247602 21970 115934 -1 1805 21 1124 1620 120174 28100 2.98957 2.98957 -109.832 -2.98957 0 0 612192. 2118.31 0.70 0.02 0.31 -1 -1 0.70 0.00482924 0.00425972 108 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 15.29 vpr 63.96 MiB -1 -1 0.37 21432 1 0.03 -1 -1 33540 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65500 32 32 349 286 1 171 95 17 17 289 -1 unnamed_device 25.2 MiB 0.92 979 15863 4619 8770 2474 64.0 MiB 0.11 0.00 3.70512 -117.413 -3.70512 3.70512 2.73 0.000172015 0.00013621 0.0107385 0.00863521 28 2346 22 6.65987e+06 393018 500653. 1732.36 3.86 0.0421255 0.0354034 21970 115934 -1 2005 21 1259 2252 173977 37393 2.77191 2.77191 -107.034 -2.77191 0 0 612192. 2118.31 0.83 0.12 0.53 -1 -1 0.83 0.0912774 0.0896702 126 57 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 15.37 vpr 63.99 MiB -1 -1 0.49 21432 1 0.09 -1 -1 33816 -1 -1 32 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65524 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 25.4 MiB 2.72 976 17159 4932 10231 1996 64.0 MiB 0.27 0.00 4.34696 -137.767 -4.34696 4.34696 2.40 0.000196074 0.000157189 0.0167079 0.013622 32 2144 23 6.65987e+06 405696 554710. 1919.41 2.97 0.076872 0.0691289 22834 132086 -1 1953 19 1355 1927 139366 32359 3.23882 3.23882 -127.364 -3.23882 0 0 701300. 2426.64 0.93 0.10 0.39 -1 -1 0.93 0.077748 0.0763407 138 91 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 13.34 vpr 63.70 MiB -1 -1 0.44 21432 1 0.21 -1 -1 33736 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65224 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 24.9 MiB 0.89 668 7781 1699 5777 305 63.7 MiB 0.12 0.00 3.26384 -99.6676 -3.26384 3.26384 2.54 0.000149886 0.000119017 0.00826082 0.00675345 28 1984 44 6.65987e+06 215526 500653. 1732.36 2.97 0.0732136 0.0673876 21970 115934 -1 1645 19 942 1437 123250 30526 3.00505 3.00505 -105.438 -3.00505 0 0 612192. 2118.31 0.81 0.03 0.21 -1 -1 0.81 0.0102581 0.00910319 104 57 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 13.02 vpr 63.61 MiB -1 -1 0.54 21128 1 0.04 -1 -1 33552 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65140 32 32 290 244 1 175 83 17 17 289 -1 unnamed_device 25.0 MiB 0.32 794 6203 1260 4522 421 63.6 MiB 0.19 0.00 4.22769 -129.19 -4.22769 4.22769 2.32 0.000159657 0.000129095 0.0826848 0.0814657 28 2579 36 6.65987e+06 240882 500653. 1732.36 2.83 0.112285 0.106537 21970 115934 -1 2036 24 1581 2366 175371 43562 3.11651 3.11651 -117.093 -3.11651 0 0 612192. 2118.31 0.90 0.18 0.17 -1 -1 0.90 0.109714 0.108376 115 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 13.50 vpr 63.79 MiB -1 -1 0.61 21432 1 0.22 -1 -1 33696 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65320 32 32 318 257 1 194 86 17 17 289 -1 unnamed_device 25.4 MiB 0.25 1125 13505 4132 7011 2362 63.8 MiB 0.28 0.00 4.57684 -138.254 -4.57684 4.57684 2.60 0.000155564 0.000124195 0.0102394 0.00831129 32 2501 22 6.65987e+06 278916 554710. 1919.41 2.48 0.11553 0.109428 22834 132086 -1 2218 19 1571 2245 176338 39928 3.59051 3.59051 -128.335 -3.59051 0 0 701300. 2426.64 0.89 0.11 0.41 -1 -1 0.89 0.0750705 0.0737898 130 30 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 13.64 vpr 63.85 MiB -1 -1 0.54 21432 1 0.18 -1 -1 33560 -1 -1 28 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65380 29 32 324 268 1 168 89 17 17 289 -1 unnamed_device 25.2 MiB 1.16 892 10583 2550 7235 798 63.8 MiB 0.05 0.00 4.50014 -117.038 -4.50014 4.50014 2.17 0.00022968 0.000196465 0.00752789 0.00617829 32 2080 20 6.65987e+06 354984 554710. 1919.41 2.22 0.0941201 0.0886319 22834 132086 -1 1828 19 866 1438 94511 22116 2.99731 2.99731 -103.782 -2.99731 0 0 701300. 2426.64 1.06 0.02 0.32 -1 -1 1.06 0.00553346 0.00496833 121 55 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 14.11 vpr 63.84 MiB -1 -1 0.50 21736 1 0.17 -1 -1 33820 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65368 32 32 393 312 1 213 87 17 17 289 -1 unnamed_device 25.6 MiB 0.67 1086 7383 1628 5394 361 63.8 MiB 0.18 0.00 5.16517 -163.631 -5.16517 5.16517 2.52 0.000180427 0.000144785 0.00951422 0.00796851 32 2920 23 6.65987e+06 291594 554710. 1919.41 2.38 0.245735 0.240064 22834 132086 -1 2367 23 1921 2795 213019 48739 3.76211 3.76211 -142.445 -3.76211 0 0 701300. 2426.64 1.02 0.06 0.32 -1 -1 1.02 0.0159285 0.0142205 153 65 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 12.43 vpr 63.36 MiB -1 -1 0.45 21128 1 0.02 -1 -1 33624 -1 -1 18 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64876 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 24.8 MiB 0.29 592 6556 1291 4487 778 63.4 MiB 0.05 0.00 3.5672 -94.4593 -3.5672 3.5672 2.37 0.000128058 0.000100838 0.00656656 0.00534122 32 1464 20 6.65987e+06 228204 554710. 1919.41 2.52 0.101444 0.0966026 22834 132086 -1 1272 17 683 1048 65988 18022 2.60051 2.60051 -92.4535 -2.60051 0 0 701300. 2426.64 1.14 0.10 0.45 -1 -1 1.14 0.086216 0.0853806 96 4 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 17.40 vpr 64.21 MiB -1 -1 0.38 21584 1 0.16 -1 -1 33640 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65748 32 32 412 334 1 190 97 17 17 289 -1 unnamed_device 25.5 MiB 1.81 1151 14083 3602 8814 1667 64.2 MiB 0.20 0.00 4.1637 -141.581 -4.1637 4.1637 2.49 0.000196167 0.000158828 0.0150689 0.0124102 26 2855 28 6.65987e+06 418374 477104. 1650.88 4.11 0.350284 0.225366 21682 110474 -1 2428 17 1540 2262 205281 43246 3.74877 3.74877 -142.669 -3.74877 0 0 585099. 2024.56 1.02 0.02 0.20 -1 -1 1.02 0.00616166 0.00552918 144 90 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 12.89 vpr 63.76 MiB -1 -1 0.63 21432 1 0.03 -1 -1 33144 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65292 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 25.2 MiB 0.64 838 12464 4352 6338 1774 63.8 MiB 0.20 0.00 3.54047 -123.895 -3.54047 3.54047 2.65 0.000177827 0.000142299 0.014836 0.0120821 30 1801 21 6.65987e+06 202848 526063. 1820.29 2.64 0.146909 0.139396 22546 126617 -1 1561 17 1049 1497 77220 22366 3.01617 3.01617 -121.021 -3.01617 0 0 666494. 2306.21 0.84 0.08 0.18 -1 -1 0.84 0.010939 0.00983523 115 96 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 13.75 vpr 64.02 MiB -1 -1 0.38 21280 1 0.16 -1 -1 33968 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65552 32 32 360 293 1 179 95 17 17 289 -1 unnamed_device 25.4 MiB 1.19 989 16079 4722 9035 2322 64.0 MiB 0.36 0.00 4.19332 -128.751 -4.19332 4.19332 2.52 0.000176067 0.000141488 0.0151648 0.0123052 32 2303 20 6.65987e+06 393018 554710. 1919.41 2.53 0.0401984 0.0337846 22834 132086 -1 1944 20 1024 1476 102935 24438 3.08031 3.08031 -112.745 -3.08031 0 0 701300. 2426.64 1.05 0.14 0.32 -1 -1 1.05 0.118487 0.0114678 130 60 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 17.79 vpr 63.93 MiB -1 -1 0.39 21432 1 0.02 -1 -1 33532 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65460 32 32 396 299 1 236 89 17 17 289 -1 unnamed_device 25.7 MiB 1.11 1359 11969 3395 7471 1103 63.9 MiB 0.25 0.00 6.16929 -186.366 -6.16929 6.16929 2.26 0.000209854 0.000173789 0.0107131 0.00882311 30 3043 24 6.65987e+06 316950 526063. 1820.29 2.94 0.161378 0.15445 22546 126617 -1 2616 22 1610 2379 143134 33077 4.59557 4.59557 -159.022 -4.59557 0 0 666494. 2306.21 0.92 0.17 0.15 -1 -1 0.92 0.0171735 0.0155679 168 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 12.43 vpr 63.34 MiB -1 -1 0.34 20976 1 0.03 -1 -1 33880 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64856 30 32 224 207 1 137 79 17 17 289 -1 unnamed_device 24.6 MiB 0.43 745 7177 1689 4856 632 63.3 MiB 0.05 0.00 3.31307 -103.404 -3.31307 3.31307 2.75 0.00012233 9.5761e-05 0.00610153 0.00491755 32 1675 19 6.65987e+06 215526 554710. 1919.41 2.39 0.179699 0.175286 22834 132086 -1 1453 19 628 782 64696 14673 2.39717 2.39717 -94.6099 -2.39717 0 0 701300. 2426.64 1.01 0.02 0.38 -1 -1 1.01 0.00765598 0.00676983 86 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 12.08 vpr 63.59 MiB -1 -1 0.44 21128 1 0.11 -1 -1 33868 -1 -1 16 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65112 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 24.9 MiB 0.35 650 12030 3133 7171 1726 63.6 MiB 0.26 0.00 4.01089 -115.329 -4.01089 4.01089 2.52 0.00014927 0.000119813 0.00743115 0.00584523 30 1505 18 6.65987e+06 202848 526063. 1820.29 2.52 0.0902766 0.0283283 22546 126617 -1 1346 15 670 1074 59031 14593 2.86577 2.86577 -104.259 -2.86577 0 0 666494. 2306.21 0.86 0.03 0.29 -1 -1 0.86 0.00968039 0.00871673 92 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 25.17 vpr 63.55 MiB -1 -1 0.15 21128 1 0.13 -1 -1 33884 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65080 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 25.1 MiB 0.33 882 9385 2433 6375 577 63.6 MiB 0.13 0.00 3.38183 -110.848 -3.38183 3.38183 2.40 0.000159156 0.000127222 0.086645 0.0848959 26 2437 26 6.65987e+06 266238 477104. 1650.88 3.90 0.174048 0.167893 21682 110474 -1 2088 20 1280 2284 187869 41747 2.89185 2.89185 -114.796 -2.89185 0 0 585099. 2024.56 0.64 0.15 0.21 -1 -1 0.64 0.0107937 0.00962254 115 34 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 25.54 vpr 63.29 MiB -1 -1 0.54 21128 1 0.11 -1 -1 33984 -1 -1 27 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64804 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 24.6 MiB 0.21 449 9966 3407 4404 2155 63.3 MiB 0.03 0.00 3.08755 -73.109 -3.08755 3.08755 2.81 4.9934e-05 3.8314e-05 0.00353064 0.0027907 30 1370 31 6.65987e+06 342306 526063. 1820.29 2.95 0.0242532 0.0200155 22546 126617 -1 1021 19 627 1055 55046 15357 2.58539 2.58539 -70.2122 -2.58539 0 0 666494. 2306.21 0.80 0.10 0.18 -1 -1 0.80 0.00815162 0.00717133 89 29 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 24.70 vpr 63.96 MiB -1 -1 0.47 21432 1 0.03 -1 -1 33708 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65496 32 32 376 307 1 185 84 17 17 289 -1 unnamed_device 25.2 MiB 1.01 1061 14724 4524 7950 2250 64.0 MiB 0.32 0.00 3.87115 -126.135 -3.87115 3.87115 2.43 0.000186387 0.000149318 0.0884326 0.085274 32 2737 23 6.65987e+06 253560 554710. 1919.41 2.76 0.203996 0.174324 22834 132086 -1 2306 23 1516 2740 212466 46393 3.42705 3.42705 -123.927 -3.42705 0 0 701300. 2426.64 0.90 0.15 0.21 -1 -1 0.90 0.0143289 0.0127044 135 72 -1 -1 -1 -1 +fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 16.44 vpr 64.05 MiB -1 -1 0.63 21280 1 0.07 -1 -1 33752 -1 -1 33 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65592 31 32 409 331 1 191 96 17 17 289 -1 unnamed_device 25.4 MiB 1.18 975 9075 1909 6647 519 64.1 MiB 0.28 0.00 4.32075 -139.498 -4.32075 4.32075 2.34 0.000183343 0.000146694 0.0104796 0.00864093 32 2278 20 6.65987e+06 418374 554710. 1919.41 2.33 0.0411834 0.0344837 22834 132086 -1 2040 20 1370 2126 149262 34342 3.08137 3.08137 -121.829 -3.08137 0 0 701300. 2426.64 1.20 0.14 0.60 -1 -1 1.20 0.0126774 0.0112057 142 90 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 25.09 vpr 64.76 MiB -1 -1 0.57 21584 1 0.38 -1 -1 33184 -1 -1 13 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66312 32 32 354 285 1 193 77 17 17 289 -1 unnamed_device 26.1 MiB 7.38 826 9531 3925 5345 261 64.8 MiB 0.13 0.00 5.4415 -158.508 -5.4415 5.4415 2.14 0.000180317 0.00014595 0.0612286 0.0590642 46 2558 41 6.95648e+06 188184 828058. 2865.25 7.88 0.313944 0.303477 28066 200906 -1 1864 24 1375 2137 175286 37772 4.36336 4.36336 -146.028 -4.36336 0 0 1.01997e+06 3529.29 1.23 0.21 0.35 -1 -1 1.23 0.00923027 0.0083153 81 50 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 34.44 vpr 64.59 MiB -1 -1 0.50 21280 1 0.04 -1 -1 33548 -1 -1 15 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66144 30 32 363 293 1 189 77 17 17 289 -1 unnamed_device 26.0 MiB 8.16 821 11487 4767 6319 401 64.6 MiB 0.19 0.00 4.63327 -139.023 -4.63327 4.63327 2.09 0.000204531 0.000167679 0.0134104 0.0109708 36 3133 47 6.95648e+06 217135 648988. 2245.63 16.04 0.120418 0.108607 26050 158493 -1 2274 24 2149 3039 368868 87190 4.58011 4.58011 -154.102 -4.58011 0 0 828058. 2865.25 0.78 0.35 0.44 -1 -1 0.78 0.0142113 0.0124625 80 63 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 29.97 vpr 64.55 MiB -1 -1 0.32 21432 1 0.07 -1 -1 33860 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66096 32 32 299 247 1 182 79 17 17 289 -1 unnamed_device 25.9 MiB 3.73 882 10726 4435 6115 176 64.5 MiB 0.13 0.00 3.82865 -122.325 -3.82865 3.82865 2.49 0.000220885 0.000186015 0.0119326 0.00964756 36 3082 32 6.95648e+06 217135 648988. 2245.63 16.47 0.357448 0.267405 26050 158493 -1 2213 21 1512 2041 243216 57764 4.14272 4.14272 -134.304 -4.14272 0 0 828058. 2865.25 0.91 0.36 0.23 -1 -1 0.91 0.0123253 0.0110278 76 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 32.54 vpr 64.52 MiB -1 -1 0.48 21280 1 0.20 -1 -1 33820 -1 -1 19 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66072 29 32 308 248 1 162 80 17 17 289 -1 unnamed_device 25.8 MiB 0.94 723 14356 5004 7145 2207 64.5 MiB 0.08 0.00 4.16078 -115.782 -4.16078 4.16078 2.41 0.000166705 0.000133671 0.0153969 0.0125432 40 1885 36 6.95648e+06 275038 706193. 2443.58 21.83 0.104445 0.0865984 26914 176310 -1 1616 30 1780 3002 329535 137320 3.83102 3.83102 -121.913 -3.83102 0 0 926341. 3205.33 1.05 0.19 0.28 -1 -1 1.05 0.0152318 0.0134246 71 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 23.90 vpr 64.67 MiB -1 -1 0.64 21432 1 0.08 -1 -1 33556 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66220 32 32 336 268 1 172 80 17 17 289 -1 unnamed_device 25.9 MiB 2.64 749 12292 4613 5164 2515 64.7 MiB 0.26 0.18 4.40715 -128.632 -4.40715 4.40715 2.11 7.1844e-05 5.5048e-05 0.00881126 0.00720305 46 2451 40 6.95648e+06 231611 828058. 2865.25 10.32 0.213437 0.203084 28066 200906 -1 1909 25 1380 2352 186265 40919 4.82586 4.82586 -139.578 -4.82586 0 0 1.01997e+06 3529.29 1.28 0.25 0.22 -1 -1 1.28 0.0627553 0.0615462 73 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 26.86 vpr 64.57 MiB -1 -1 0.40 21584 1 0.10 -1 -1 33696 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66124 32 32 366 295 1 182 85 17 17 289 -1 unnamed_device 25.9 MiB 3.08 768 12919 4485 6787 1647 64.6 MiB 0.16 0.01 3.0405 -113.87 -3.0405 3.0405 2.51 8.1882e-05 6.2273e-05 0.122687 0.120591 40 2304 25 6.95648e+06 303989 706193. 2443.58 6.37 0.357985 0.339446 26914 176310 -1 1976 19 1426 2147 191264 42628 3.78697 3.78697 -130.119 -3.78697 0 0 926341. 3205.33 1.24 0.12 0.35 -1 -1 1.24 0.0122775 0.0109902 79 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 31.61 vpr 63.91 MiB -1 -1 0.54 21128 1 0.01 -1 -1 33872 -1 -1 13 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65448 27 32 259 221 1 125 72 17 17 289 -1 unnamed_device 25.5 MiB 15.21 452 7969 3251 4260 458 63.9 MiB 0.03 0.00 3.56899 -94.1044 -3.56899 3.56899 2.44 0.000144858 0.000115729 0.00855758 0.00687269 36 1895 42 6.95648e+06 188184 648988. 2245.63 6.14 0.177575 0.168428 26050 158493 -1 1338 20 954 1459 126832 28456 3.21632 3.21632 -99.4111 -3.21632 0 0 828058. 2865.25 0.83 0.07 0.58 -1 -1 0.83 0.00961939 0.00840181 52 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 40.15 vpr 64.43 MiB -1 -1 0.33 21128 1 0.03 -1 -1 33712 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65972 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 25.8 MiB 1.35 666 12373 5100 6745 528 64.4 MiB 0.13 0.00 2.8601 -92.4221 -2.8601 2.8601 2.52 0.000232638 0.000194624 0.0436426 0.041499 38 2312 48 6.95648e+06 361892 678818. 2348.85 29.62 0.414307 0.324809 26626 170182 -1 1628 23 1279 2033 177106 42350 2.89252 2.89252 -101.096 -2.89252 0 0 902133. 3121.57 1.45 0.11 0.25 -1 -1 1.45 0.049976 0.0486464 69 4 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 23.61 vpr 64.17 MiB -1 -1 0.60 21584 1 0.20 -1 -1 33572 -1 -1 11 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65708 31 32 317 271 1 163 74 17 17 289 -1 unnamed_device 25.6 MiB 6.29 588 11234 4630 5959 645 64.2 MiB 0.15 0.00 3.43049 -116.456 -3.43049 3.43049 2.55 0.000149637 0.000117562 0.0126001 0.0101894 46 2204 40 6.95648e+06 159232 828058. 2865.25 6.40 0.0592473 0.048775 28066 200906 -1 1658 32 1457 2042 213244 64863 3.83306 3.83306 -128.52 -3.83306 0 0 1.01997e+06 3529.29 1.05 0.19 0.45 -1 -1 1.05 0.015197 0.0133318 66 64 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 19.02 vpr 64.37 MiB -1 -1 0.41 21280 1 0.03 -1 -1 33676 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65912 32 32 298 248 1 150 74 17 17 289 -1 unnamed_device 25.8 MiB 3.24 622 12629 5382 6987 260 64.4 MiB 0.13 0.00 3.30928 -114.751 -3.30928 3.30928 2.42 6.7812e-05 5.1403e-05 0.00817798 0.00646188 40 1847 26 6.95648e+06 144757 706193. 2443.58 5.70 0.0484958 0.0402336 26914 176310 -1 1509 18 1189 1666 138391 31163 3.20912 3.20912 -122.324 -3.20912 0 0 926341. 3205.33 1.00 0.17 0.42 -1 -1 1.00 0.00941837 0.00888705 59 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 21.31 vpr 64.35 MiB -1 -1 0.61 21128 1 0.19 -1 -1 33884 -1 -1 12 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65896 30 32 303 262 1 137 74 17 17 289 -1 unnamed_device 25.8 MiB 5.89 475 7824 3190 4249 385 64.4 MiB 0.19 0.00 3.43453 -102.36 -3.43453 3.43453 2.93 0.000242563 0.000205191 0.00899468 0.00733942 40 1597 27 6.95648e+06 173708 706193. 2443.58 5.11 0.11901 0.109945 26914 176310 -1 1395 25 1356 1880 165541 41571 3.47207 3.47207 -115.711 -3.47207 0 0 926341. 3205.33 0.97 0.31 0.38 -1 -1 0.97 0.077944 0.0764055 55 63 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 22.90 vpr 64.18 MiB -1 -1 0.48 21280 1 0.09 -1 -1 33712 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65716 32 32 276 237 1 160 74 17 17 289 -1 unnamed_device 25.6 MiB 4.51 640 8444 2709 4034 1701 64.2 MiB 0.04 0.00 3.41669 -115.288 -3.41669 3.41669 2.53 0.000135629 0.000106622 0.0106531 0.00865147 52 1767 33 6.95648e+06 144757 926341. 3205.33 6.90 0.0570838 0.0479341 29218 227130 -1 978 18 1011 1371 90377 24634 2.82377 2.82377 -99.8991 -2.82377 0 0 1.14541e+06 3963.36 1.29 0.13 0.47 -1 -1 1.29 0.112195 0.111101 62 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 26.60 vpr 64.56 MiB -1 -1 0.46 21128 1 0.09 -1 -1 33788 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66112 32 32 344 272 1 194 79 17 17 289 -1 unnamed_device 26.1 MiB 5.80 907 12247 3271 6962 2014 64.6 MiB 0.16 0.00 3.99843 -134.014 -3.99843 3.99843 2.78 0.000165649 0.00013291 0.115179 0.112516 38 2914 28 6.95648e+06 217135 678818. 2348.85 10.94 0.557115 0.46064 26626 170182 -1 2409 22 1853 2752 277052 55830 3.59291 3.59291 -134.501 -3.59291 0 0 902133. 3121.57 0.94 0.07 0.30 -1 -1 0.94 0.0130812 0.0116587 83 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 21.38 vpr 64.63 MiB -1 -1 0.37 21128 1 0.23 -1 -1 33976 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66180 32 32 363 295 1 174 86 17 17 289 -1 unnamed_device 25.9 MiB 2.39 823 10481 3378 5508 1595 64.6 MiB 0.26 0.00 4.48063 -137.796 -4.48063 4.48063 2.56 0.000165179 0.000131776 0.20283 0.00982467 38 2478 41 6.95648e+06 318465 678818. 2348.85 8.13 0.256258 0.0550947 26626 170182 -1 2026 19 1645 2369 215964 44090 4.00306 4.00306 -139.217 -4.00306 0 0 902133. 3121.57 1.14 0.16 0.33 -1 -1 1.14 0.0107719 0.00936293 75 61 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 20.67 vpr 64.16 MiB -1 -1 0.44 21432 1 0.05 -1 -1 33736 -1 -1 13 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 29 32 248 215 1 136 74 17 17 289 -1 unnamed_device 25.5 MiB 4.44 466 9684 3088 4807 1789 64.2 MiB 0.10 0.00 3.10275 -86.0877 -3.10275 3.10275 2.42 0.000117362 9.0968e-05 0.0473277 0.00810297 48 1267 42 6.95648e+06 188184 865456. 2994.66 6.01 0.0868663 0.0413431 28354 207349 -1 949 21 918 1382 105309 27477 2.94567 2.94567 -87.636 -2.94567 0 0 1.05005e+06 3633.38 1.19 0.05 0.37 -1 -1 1.19 0.00665505 0.00599003 55 27 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 44.89 vpr 64.66 MiB -1 -1 0.34 21280 1 0.18 -1 -1 33720 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66208 32 32 370 297 1 180 81 17 17 289 -1 unnamed_device 25.9 MiB 3.18 788 12681 5030 6764 887 64.7 MiB 0.28 0.10 3.0625 -114.371 -3.0625 3.0625 2.48 0.000172068 0.00013778 0.0688953 0.0659391 38 2632 33 6.95648e+06 246087 678818. 2348.85 32.17 0.48551 0.463963 26626 170182 -1 1938 25 1671 2610 207508 44448 3.38287 3.38287 -123.234 -3.38287 0 0 902133. 3121.57 1.04 0.24 0.33 -1 -1 1.04 0.0144955 0.0128404 76 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 23.87 vpr 64.56 MiB -1 -1 0.37 21584 1 0.03 -1 -1 33880 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66108 32 32 338 269 1 190 78 17 17 289 -1 unnamed_device 26.1 MiB 6.56 836 12528 5339 6666 523 64.6 MiB 0.45 0.00 4.46486 -139.906 -4.46486 4.46486 2.48 0.000159486 0.000127006 0.196689 0.193984 44 2451 47 6.95648e+06 202660 787024. 2723.27 7.02 0.369094 0.358193 27778 195446 -1 1678 19 1355 1818 134378 32319 3.59822 3.59822 -129.567 -3.59822 0 0 997811. 3452.63 0.85 0.23 0.26 -1 -1 0.85 0.0127178 0.0113932 79 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 37.69 vpr 64.27 MiB -1 -1 0.47 21280 1 0.15 -1 -1 33808 -1 -1 9 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65808 32 32 323 276 1 148 73 17 17 289 -1 unnamed_device 25.6 MiB 2.46 745 11777 4928 6289 560 64.3 MiB 0.17 0.00 2.28966 -95.4694 -2.28966 2.28966 2.30 0.000140264 0.000109957 0.131127 0.12827 36 2331 29 6.95648e+06 130281 648988. 2245.63 25.17 0.212364 0.195612 26050 158493 -1 1870 17 1174 1670 160724 31643 2.42898 2.42898 -107.619 -2.42898 0 0 828058. 2865.25 0.89 0.10 0.29 -1 -1 0.89 0.0740403 0.0729673 57 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 17.05 vpr 63.99 MiB -1 -1 0.44 21128 1 0.19 -1 -1 33540 -1 -1 10 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65524 30 32 222 206 1 116 72 17 17 289 -1 unnamed_device 25.3 MiB 0.91 461 10800 4629 5825 346 64.0 MiB 0.37 0.00 2.11601 -78.0433 -2.11601 2.11601 2.61 0.000106602 8.1517e-05 0.00983435 0.00780334 38 1429 35 6.95648e+06 144757 678818. 2348.85 5.53 0.0829316 0.074829 26626 170182 -1 1159 17 708 909 84578 18680 2.16688 2.16688 -81.8115 -2.16688 0 0 902133. 3121.57 0.95 0.02 0.23 -1 -1 0.95 0.00639601 0.00555174 44 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 27.30 vpr 64.47 MiB -1 -1 0.65 20976 1 0.11 -1 -1 33804 -1 -1 12 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66016 31 32 291 243 1 169 75 17 17 289 -1 unnamed_device 25.8 MiB 7.10 789 10187 2387 7192 608 64.5 MiB 0.13 0.00 4.13347 -134.928 -4.13347 4.13347 2.65 0.000155963 0.000125349 0.0120821 0.0099426 36 2600 48 6.95648e+06 173708 648988. 2245.63 9.38 0.177826 0.167833 26050 158493 -1 2040 22 1576 2124 222393 44934 4.05342 4.05342 -147.633 -4.05342 0 0 828058. 2865.25 1.09 0.15 0.36 -1 -1 1.09 0.00826646 0.00741969 69 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 22.59 vpr 64.70 MiB -1 -1 0.36 21584 1 0.02 -1 -1 33820 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66248 32 32 342 271 1 173 84 17 17 289 -1 unnamed_device 25.9 MiB 2.39 652 8868 3175 4368 1325 64.7 MiB 0.04 0.00 3.70824 -121.183 -3.70824 3.70824 2.22 0.000158029 0.000123789 0.0102702 0.00840471 46 2246 43 6.95648e+06 289514 828058. 2865.25 9.71 0.0818489 0.0712013 28066 200906 -1 1724 30 2028 2774 205603 48578 4.01126 4.01126 -132.855 -4.01126 0 0 1.01997e+06 3529.29 1.21 0.17 0.43 -1 -1 1.21 0.0159063 0.0140205 75 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 25.27 vpr 64.63 MiB -1 -1 0.53 21584 1 0.14 -1 -1 33632 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66184 32 32 372 300 1 197 78 17 17 289 -1 unnamed_device 26.1 MiB 4.90 828 13358 4005 7022 2331 64.6 MiB 0.21 0.00 4.7576 -136.611 -4.7576 4.7576 2.47 0.00019075 0.000153746 0.0167678 0.0136792 46 2529 29 6.95648e+06 202660 828058. 2865.25 9.94 0.103514 0.0930369 28066 200906 -1 1954 20 1551 2353 192336 41457 4.16201 4.16201 -134.339 -4.16201 0 0 1.01997e+06 3529.29 1.20 0.17 0.17 -1 -1 1.20 0.0102099 0.00907183 82 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 18.75 vpr 63.87 MiB -1 -1 0.64 21280 1 0.06 -1 -1 34128 -1 -1 13 26 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65404 26 32 190 182 1 104 71 17 17 289 -1 unnamed_device 25.2 MiB 2.71 312 9123 3811 4732 580 63.9 MiB 0.07 0.00 2.23646 -64.5107 -2.23646 2.23646 2.56 0.000136556 0.00010735 0.0076873 0.0059746 36 1287 42 6.95648e+06 188184 648988. 2245.63 5.19 0.0414417 0.0335937 26050 158493 -1 865 30 747 960 78704 19119 2.17168 2.17168 -71.8012 -2.17168 0 0 828058. 2865.25 1.05 0.03 0.45 -1 -1 1.05 0.0088907 0.00765066 44 30 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 22.39 vpr 64.44 MiB -1 -1 0.32 21432 1 0.03 -1 -1 33720 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65988 32 32 285 227 1 161 79 17 17 289 -1 unnamed_device 25.8 MiB 2.57 670 9543 3158 4645 1740 64.4 MiB 0.22 0.00 4.56626 -117.382 -4.56626 4.56626 2.61 7.089e-05 5.5352e-05 0.0106636 0.00868512 40 2631 34 6.95648e+06 217135 706193. 2443.58 8.91 0.0563683 0.047137 26914 176310 -1 1821 23 1440 2382 253237 57325 3.93516 3.93516 -131.834 -3.93516 0 0 926341. 3205.33 1.13 0.24 0.40 -1 -1 1.13 0.0121237 0.0106759 66 3 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 14.92 vpr 63.79 MiB -1 -1 0.34 20976 1 0.03 -1 -1 33628 -1 -1 8 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65324 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 25.2 MiB 0.83 434 9906 4167 5580 159 63.8 MiB 0.14 0.00 2.18446 -71.1933 -2.18446 2.18446 2.79 5.5202e-05 4.2717e-05 0.00489006 0.0037573 36 1117 24 6.95648e+06 115805 648988. 2245.63 3.79 0.0349974 0.0288713 26050 158493 -1 914 20 568 625 52661 12124 1.91188 1.91188 -72.1751 -1.91188 0 0 828058. 2865.25 1.21 0.02 0.52 -1 -1 1.21 0.00704261 0.00616133 42 3 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 23.51 vpr 64.49 MiB -1 -1 0.28 21280 1 0.08 -1 -1 33792 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66040 32 32 300 245 1 165 79 17 17 289 -1 unnamed_device 25.8 MiB 3.64 867 11740 4903 6637 200 64.5 MiB 0.15 0.00 4.50901 -127.321 -4.50901 4.50901 2.63 0.000152088 0.000118774 0.110916 0.108515 38 2433 43 6.95648e+06 217135 678818. 2348.85 9.56 0.161006 0.150691 26626 170182 -1 2061 21 1344 2103 203584 42173 3.50821 3.50821 -121.072 -3.50821 0 0 902133. 3121.57 0.84 0.10 0.26 -1 -1 0.84 0.0737198 0.0727076 68 24 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 20.86 vpr 64.52 MiB -1 -1 0.53 21280 1 0.02 -1 -1 33952 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66072 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 25.8 MiB 1.99 667 11989 4568 6203 1218 64.5 MiB 0.23 0.00 2.9573 -100.172 -2.9573 2.9573 2.53 0.000158547 0.000125065 0.0124529 0.0101261 46 1956 39 6.95648e+06 303989 828058. 2865.25 7.71 0.312686 0.200505 28066 200906 -1 1567 21 1225 1860 150608 36284 3.07617 3.07617 -107.856 -3.07617 0 0 1.01997e+06 3529.29 1.38 0.05 0.54 -1 -1 1.38 0.167538 0.166194 74 3 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 25.78 vpr 64.59 MiB -1 -1 0.45 21432 1 0.07 -1 -1 33660 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66144 32 32 338 277 1 172 83 17 17 289 -1 unnamed_device 25.9 MiB 2.51 817 10883 3081 7234 568 64.6 MiB 0.18 0.00 4.37923 -132.214 -4.37923 4.37923 2.34 0.000176878 0.000143696 0.0122121 0.0100259 38 2588 35 6.95648e+06 275038 678818. 2348.85 12.83 0.146318 0.0557197 26626 170182 -1 2061 22 1377 2142 194126 40526 4.13167 4.13167 -140.107 -4.13167 0 0 902133. 3121.57 1.01 0.26 0.39 -1 -1 1.01 0.102189 0.100666 72 50 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 20.71 vpr 64.27 MiB -1 -1 0.40 21280 1 0.17 -1 -1 33844 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65808 32 32 284 241 1 141 74 17 17 289 -1 unnamed_device 25.8 MiB 3.52 798 12319 4066 7178 1075 64.3 MiB 0.05 0.00 3.08875 -102.281 -3.08875 3.08875 2.78 0.000142028 0.000113032 0.00655544 0.00523641 38 2055 46 6.95648e+06 144757 678818. 2348.85 6.89 0.0540326 0.0451438 26626 170182 -1 1743 18 923 1401 116130 24584 2.88052 2.88052 -112.119 -2.88052 0 0 902133. 3121.57 0.96 0.36 0.36 -1 -1 0.96 0.127999 0.126763 55 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 22.40 vpr 64.08 MiB -1 -1 0.51 21432 1 0.06 -1 -1 33740 -1 -1 18 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65616 30 32 262 227 1 134 80 17 17 289 -1 unnamed_device 25.6 MiB 0.74 474 11260 3904 5427 1929 64.1 MiB 0.06 0.00 3.37953 -95.4258 -3.37953 3.37953 2.82 0.000147132 0.000105437 0.0281887 0.00854093 38 1685 40 6.95648e+06 260562 678818. 2348.85 10.03 0.151065 0.124137 26626 170182 -1 1269 21 1067 1427 140250 39315 2.97382 2.97382 -103.174 -2.97382 0 0 902133. 3121.57 1.15 0.24 0.51 -1 -1 1.15 0.00588751 0.00525448 57 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 20.58 vpr 64.20 MiB -1 -1 0.44 21128 1 0.09 -1 -1 33396 -1 -1 16 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65740 28 32 260 223 1 135 76 17 17 289 -1 unnamed_device 25.5 MiB 1.64 458 9196 2843 4647 1706 64.2 MiB 0.22 0.00 2.9532 -87.9712 -2.9532 2.9532 2.63 5.8546e-05 4.4221e-05 0.00976214 0.00781364 54 1159 22 6.95648e+06 231611 949917. 3286.91 6.90 0.0449387 0.0373362 29506 232905 -1 921 22 926 1480 101208 26110 2.93352 2.93352 -89.9663 -2.93352 0 0 1.17392e+06 4061.99 1.53 0.13 0.63 -1 -1 1.53 0.10735 0.106163 57 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 18.21 vpr 64.07 MiB -1 -1 0.35 21128 1 0.05 -1 -1 33708 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65604 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 25.6 MiB 1.56 491 8909 2630 4401 1878 64.1 MiB 0.16 0.00 3.37459 -106.492 -3.37459 3.37459 2.60 0.000130557 0.000101862 0.00949738 0.00770588 48 1553 41 6.95648e+06 144757 865456. 2994.66 6.10 0.253138 0.244497 28354 207349 -1 1197 22 1177 1684 149056 37525 3.21832 3.21832 -105.301 -3.21832 0 0 1.05005e+06 3633.38 1.31 0.04 0.45 -1 -1 1.31 0.0100224 0.00887651 58 3 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 21.71 vpr 64.23 MiB -1 -1 0.45 21128 1 0.02 -1 -1 33740 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65768 31 32 271 231 1 143 82 17 17 289 -1 unnamed_device 25.6 MiB 1.31 560 10050 4028 5496 526 64.2 MiB 0.09 0.00 3.19914 -99.6907 -3.19914 3.19914 2.76 0.000142968 0.000110602 0.00968266 0.00779378 42 2029 39 6.95648e+06 275038 744469. 2576.02 9.31 0.0536588 0.044824 27202 183097 -1 1449 20 1049 1599 141420 34038 2.77822 2.77822 -104.021 -2.77822 0 0 949917. 3286.91 0.94 0.24 0.41 -1 -1 0.94 0.0104231 0.00904372 61 30 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 21.27 vpr 64.34 MiB -1 -1 0.47 21432 1 0.13 -1 -1 33688 -1 -1 12 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65880 29 32 291 250 1 148 73 17 17 289 -1 unnamed_device 25.8 MiB 3.48 629 12233 5311 6328 594 64.3 MiB 0.35 0.00 3.03504 -103.283 -3.03504 3.03504 2.05 0.00014329 0.000113544 0.0134909 0.0108263 38 1951 30 6.95648e+06 173708 678818. 2348.85 8.66 0.164407 0.154074 26626 170182 -1 1437 20 1083 1454 106865 25564 2.96967 2.96967 -101.685 -2.96967 0 0 902133. 3121.57 1.10 0.02 0.22 -1 -1 1.10 0.00537695 0.0046934 61 54 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 29.92 vpr 64.82 MiB -1 -1 0.42 21432 1 0.02 -1 -1 33996 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66376 32 32 367 282 1 193 85 17 17 289 -1 unnamed_device 26.1 MiB 2.46 844 13477 4316 6348 2813 64.8 MiB 0.20 0.00 4.03548 -120.669 -4.03548 4.03548 2.31 8.2212e-05 6.3991e-05 0.0164757 0.0133736 38 3134 34 6.95648e+06 303989 678818. 2348.85 17.14 0.264644 0.252153 26626 170182 -1 2244 21 1609 2583 195045 43801 4.59892 4.59892 -133.905 -4.59892 0 0 902133. 3121.57 1.05 0.15 0.40 -1 -1 1.05 0.0132456 0.0118186 84 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 22.66 vpr 64.87 MiB -1 -1 0.39 21432 1 0.47 -1 -1 33868 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66428 32 32 391 311 1 184 88 17 17 289 -1 unnamed_device 26.1 MiB 2.91 735 14323 5482 6766 2075 64.9 MiB 0.17 0.00 3.31218 -116.99 -3.31218 3.31218 2.23 0.000185914 0.000144723 0.0176493 0.0142119 40 2593 40 6.95648e+06 347416 706193. 2443.58 9.88 0.191064 0.178748 26914 176310 -1 2187 24 2015 2867 290162 67024 3.44687 3.44687 -133.822 -3.44687 0 0 926341. 3205.33 0.98 0.18 0.45 -1 -1 0.98 0.0159455 0.0141762 82 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 25.13 vpr 64.09 MiB -1 -1 0.43 21128 1 0.12 -1 -1 33540 -1 -1 11 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65624 31 32 279 237 1 153 74 17 17 289 -1 unnamed_device 25.6 MiB 6.48 756 6739 2920 3620 199 64.1 MiB 0.13 0.00 4.04047 -128.247 -4.04047 4.04047 2.55 0.000142961 0.000111983 0.00777073 0.00628609 46 1804 26 6.95648e+06 159232 828058. 2865.25 7.51 0.366554 0.358671 28066 200906 -1 1574 24 1266 1712 177310 35097 3.68852 3.68852 -128.119 -3.68852 0 0 1.01997e+06 3529.29 1.14 0.14 0.49 -1 -1 1.14 0.0118565 0.0105354 63 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 20.74 vpr 64.77 MiB -1 -1 0.51 21584 1 0.23 -1 -1 33920 -1 -1 16 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66320 31 32 370 297 1 179 79 17 17 289 -1 unnamed_device 26.1 MiB 2.52 690 11233 3998 4949 2286 64.8 MiB 0.23 0.00 3.75886 -121.403 -3.75886 3.75886 2.62 0.000210014 0.00017189 0.014647 0.0120243 48 1910 44 6.95648e+06 231611 865456. 2994.66 7.10 0.10835 0.0626728 28354 207349 -1 1638 21 1439 2140 184840 44309 3.59617 3.59617 -123.904 -3.59617 0 0 1.05005e+06 3633.38 0.91 0.34 0.48 -1 -1 0.91 0.303923 0.302404 76 61 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 72.08 vpr 64.98 MiB -1 -1 0.43 21584 1 0.07 -1 -1 33672 -1 -1 16 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66540 31 32 377 302 1 225 79 17 17 289 -1 unnamed_device 26.2 MiB 6.78 951 13092 5540 7040 512 65.0 MiB 0.30 0.00 5.47516 -170.564 -5.47516 5.47516 2.34 0.000180667 0.000147712 0.016262 0.0133628 50 3027 43 6.95648e+06 231611 902133. 3121.57 54.88 0.496419 0.462103 28642 213929 -1 2459 21 2109 2977 337345 74279 5.3671 5.3671 -180.931 -5.3671 0 0 1.08113e+06 3740.92 1.13 0.29 0.49 -1 -1 1.13 0.132706 0.131131 97 64 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 35.88 vpr 64.88 MiB -1 -1 0.51 21736 1 0.23 -1 -1 33820 -1 -1 16 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66432 31 32 383 305 1 204 79 17 17 289 -1 unnamed_device 26.2 MiB 7.53 988 12754 4743 6080 1931 64.9 MiB 0.06 0.00 4.52202 -151.727 -4.52202 4.52202 2.32 0.000175381 0.000138478 0.0167621 0.0135621 36 3260 45 6.95648e+06 231611 648988. 2245.63 18.73 0.254401 0.241887 26050 158493 -1 2569 23 1984 2847 285723 56649 4.6114 4.6114 -162.122 -4.6114 0 0 828058. 2865.25 1.03 0.23 0.29 -1 -1 1.03 0.185308 0.183703 88 64 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 21.60 vpr 64.73 MiB -1 -1 0.40 21128 1 0.02 -1 -1 33812 -1 -1 22 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66280 31 32 352 285 1 177 85 17 17 289 -1 unnamed_device 26.1 MiB 3.24 777 14407 6123 7723 561 64.7 MiB 0.19 0.00 4.10748 -131.173 -4.10748 4.10748 2.20 0.000168737 0.000133504 0.0169845 0.0139415 50 2244 23 6.95648e+06 318465 902133. 3121.57 7.89 0.296209 0.285479 28642 213929 -1 1928 21 1292 1970 189186 39775 3.52322 3.52322 -125.888 -3.52322 0 0 1.08113e+06 3740.92 1.29 0.20 0.62 -1 -1 1.29 0.160392 0.158993 78 55 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 21.48 vpr 64.49 MiB -1 -1 0.32 21128 1 0.03 -1 -1 33452 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66040 32 32 291 242 1 173 78 17 17 289 -1 unnamed_device 25.8 MiB 4.23 772 10868 4143 4479 2246 64.5 MiB 0.09 0.00 4.03548 -115.263 -4.03548 4.03548 2.40 0.000140989 0.00011091 0.0114346 0.00923956 42 2879 40 6.95648e+06 202660 744469. 2576.02 7.17 0.22384 0.213613 27202 183097 -1 2056 23 1476 2027 193966 42342 4.17091 4.17091 -126.506 -4.17091 0 0 949917. 3286.91 1.21 0.17 0.40 -1 -1 1.21 0.0120883 0.0107435 71 27 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 32.75 vpr 64.90 MiB -1 -1 0.49 21736 1 0.06 -1 -1 33896 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66456 32 32 457 356 1 214 86 17 17 289 -1 unnamed_device 26.5 MiB 5.35 911 12938 4611 6181 2146 64.9 MiB 0.17 0.00 4.71507 -155.232 -4.71507 4.71507 2.22 0.000213556 0.000171152 0.114525 0.056996 46 3479 48 6.95648e+06 318465 828058. 2865.25 16.74 0.246827 0.178145 28066 200906 -1 2153 22 1843 2680 173959 40264 4.75421 4.75421 -160.818 -4.75421 0 0 1.01997e+06 3529.29 1.28 0.17 0.53 -1 -1 1.28 0.0687434 0.0669762 93 87 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 19.52 vpr 64.23 MiB -1 -1 0.25 21280 1 0.11 -1 -1 33796 -1 -1 15 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65768 31 32 261 225 1 137 78 17 17 289 -1 unnamed_device 25.6 MiB 2.92 547 8876 3201 4413 1262 64.2 MiB 0.11 0.00 3.29541 -98.1825 -3.29541 3.29541 2.63 0.000136082 0.000107338 0.0919861 0.090564 36 1853 25 6.95648e+06 217135 648988. 2245.63 6.34 0.465119 0.287536 26050 158493 -1 1398 20 999 1450 112276 25564 3.34072 3.34072 -106.44 -3.34072 0 0 828058. 2865.25 1.04 0.11 0.28 -1 -1 1.04 0.0834428 0.0823263 56 28 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 21.85 vpr 64.53 MiB -1 -1 0.55 21280 1 0.14 -1 -1 33616 -1 -1 15 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66076 31 32 337 267 1 199 78 17 17 289 -1 unnamed_device 25.9 MiB 4.41 894 14520 6369 7601 550 64.5 MiB 0.27 0.00 5.06497 -151.796 -5.06497 5.06497 2.96 0.000160744 0.00012862 0.0878159 0.084695 48 2677 22 6.95648e+06 217135 865456. 2994.66 6.47 0.313239 0.30327 28354 207349 -1 2173 23 1484 2113 186495 40122 4.75126 4.75126 -151.406 -4.75126 0 0 1.05005e+06 3633.38 1.18 0.06 0.37 -1 -1 1.18 0.0130294 0.0115917 84 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 22.91 vpr 64.69 MiB -1 -1 0.42 21584 1 0.17 -1 -1 33872 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66244 32 32 349 284 1 175 81 17 17 289 -1 unnamed_device 25.9 MiB 3.68 775 9181 3821 5035 325 64.7 MiB 0.15 0.00 3.20795 -111.611 -3.20795 3.20795 2.82 0.000184673 0.000150578 0.0111942 0.0090406 48 2346 24 6.95648e+06 246087 865456. 2994.66 8.00 0.45071 0.236101 28354 207349 -1 1949 22 1522 2444 236857 58133 3.30152 3.30152 -120.597 -3.30152 0 0 1.05005e+06 3633.38 1.32 0.13 0.50 -1 -1 1.32 0.0847944 0.0831365 73 53 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 21.13 vpr 64.49 MiB -1 -1 0.41 21280 1 0.06 -1 -1 33872 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66040 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 25.8 MiB 3.70 704 10744 4416 5915 413 64.5 MiB 0.02 0.00 4.49648 -123.271 -4.49648 4.49648 2.35 7.5646e-05 5.8969e-05 0.0054096 0.00436637 44 2256 33 6.95648e+06 231611 787024. 2723.27 6.32 0.0746261 0.067663 27778 195446 -1 1723 23 1279 2223 169514 37895 4.20871 4.20871 -130.003 -4.20871 0 0 997811. 3452.63 1.19 0.16 0.45 -1 -1 1.19 0.0110109 0.00969573 68 3 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 29.42 vpr 64.72 MiB -1 -1 0.55 21584 1 0.03 -1 -1 33660 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66272 32 32 353 287 1 185 78 17 17 289 -1 unnamed_device 26.1 MiB 8.58 756 9706 3472 4852 1382 64.7 MiB 0.46 0.00 4.40855 -135.153 -4.40855 4.40855 2.29 0.000162064 0.000128965 0.0122358 0.0100565 46 2655 50 6.95648e+06 202660 828058. 2865.25 10.36 0.261697 0.25051 28066 200906 -1 1947 22 1598 2149 169487 38892 3.72346 3.72346 -131.523 -3.72346 0 0 1.01997e+06 3529.29 1.08 0.21 0.35 -1 -1 1.08 0.0162515 0.0146633 78 55 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 30.05 vpr 64.75 MiB -1 -1 0.29 21280 1 0.03 -1 -1 33692 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66308 32 32 361 291 1 179 81 17 17 289 -1 unnamed_device 26.2 MiB 5.75 704 10581 3961 5357 1263 64.8 MiB 0.05 0.00 3.235 -113.84 -3.235 3.235 2.26 8.2e-05 6.3395e-05 0.00941343 0.00775223 46 2378 42 6.95648e+06 246087 828058. 2865.25 14.49 0.192493 0.181657 28066 200906 -1 1645 24 1607 2519 179902 42795 3.11217 3.11217 -112.864 -3.11217 0 0 1.01997e+06 3529.29 1.67 0.18 0.40 -1 -1 1.67 0.0139336 0.0123449 75 55 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 25.76 vpr 64.86 MiB -1 -1 0.35 21128 1 0.14 -1 -1 33540 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66412 32 32 382 305 1 184 90 17 17 289 -1 unnamed_device 26.1 MiB 3.08 773 14562 5644 6615 2303 64.9 MiB 0.08 0.00 4.29888 -138.783 -4.29888 4.29888 2.54 0.000244117 0.000202935 0.0161371 0.0132278 46 2580 45 6.95648e+06 376368 828058. 2865.25 12.15 0.351411 0.338914 28066 200906 -1 1915 24 1491 2210 174725 40106 3.59816 3.59816 -130.915 -3.59816 0 0 1.01997e+06 3529.29 1.11 0.22 0.27 -1 -1 1.11 0.0135474 0.0118346 83 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 22.34 vpr 64.39 MiB -1 -1 0.48 21432 1 0.06 -1 -1 33988 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65936 32 32 306 248 1 164 86 17 17 289 -1 unnamed_device 25.9 MiB 3.66 657 12749 3718 6154 2877 64.4 MiB 0.12 0.00 4.33949 -116.674 -4.33949 4.33949 2.69 0.000148146 0.000117958 0.0119211 0.00960856 44 2644 44 6.95648e+06 318465 787024. 2723.27 8.24 0.234144 0.223804 27778 195446 -1 1707 19 1197 1851 136613 33220 3.81066 3.81066 -121.672 -3.81066 0 0 997811. 3452.63 1.08 0.16 0.53 -1 -1 1.08 0.0107341 0.00954334 69 24 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 50.71 vpr 64.61 MiB -1 -1 0.79 21432 1 0.28 -1 -1 33936 -1 -1 13 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66164 32 32 319 257 1 191 77 17 17 289 -1 unnamed_device 25.9 MiB 9.50 755 11161 3299 5641 2221 64.6 MiB 0.23 0.00 4.21403 -126.931 -4.21403 4.21403 2.71 7.4662e-05 5.723e-05 0.215136 0.213398 48 1877 47 6.95648e+06 188184 865456. 2994.66 29.86 0.502974 0.382642 28354 207349 -1 1480 24 1603 2148 175864 45312 4.02842 4.02842 -127.258 -4.02842 0 0 1.05005e+06 3633.38 1.30 0.26 0.38 -1 -1 1.30 0.00950871 0.00864841 79 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 29.31 vpr 64.68 MiB -1 -1 0.76 21584 1 0.10 -1 -1 33724 -1 -1 15 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66228 31 32 373 299 1 194 78 17 17 289 -1 unnamed_device 26.1 MiB 4.19 798 12196 5085 6500 611 64.7 MiB 0.05 0.00 4.51577 -141.302 -4.51577 4.51577 2.88 0.000165923 0.00013048 0.0151022 0.0123217 50 2841 44 6.95648e+06 217135 902133. 3121.57 13.29 0.250632 0.0634794 28642 213929 -1 2084 21 1702 2688 263015 60779 4.12271 4.12271 -140.403 -4.12271 0 0 1.08113e+06 3740.92 1.12 0.27 0.41 -1 -1 1.12 0.0146224 0.0130904 85 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 27.58 vpr 64.66 MiB -1 -1 0.57 21584 1 0.02 -1 -1 33696 -1 -1 13 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66212 32 32 387 315 1 182 77 17 17 289 -1 unnamed_device 25.9 MiB 8.25 690 11813 3850 5742 2221 64.7 MiB 0.24 0.00 4.09452 -126.661 -4.09452 4.09452 2.73 7.7669e-05 6.0534e-05 0.0072616 0.00586672 56 2010 35 6.95648e+06 188184 973134. 3367.25 8.05 0.395351 0.29642 29794 239141 -1 1565 24 1655 2740 247244 69033 4.29002 4.29002 -129.692 -4.29002 0 0 1.19926e+06 4149.71 1.17 0.19 0.38 -1 -1 1.17 0.015418 0.013669 76 77 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 16.97 vpr 63.94 MiB -1 -1 0.36 21280 1 0.13 -1 -1 33556 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65476 32 32 251 219 1 136 82 17 17 289 -1 unnamed_device 25.5 MiB 0.64 504 11474 3617 5403 2454 63.9 MiB 0.13 0.00 3.14908 -93.1134 -3.14908 3.14908 2.19 0.000171849 0.000140917 0.0102481 0.00818877 44 1683 21 6.95648e+06 260562 787024. 2723.27 6.71 0.0465734 0.0386465 27778 195446 -1 1219 17 842 1222 94114 24359 2.94567 2.94567 -98.0108 -2.94567 0 0 997811. 3452.63 1.06 0.05 0.29 -1 -1 1.06 0.031839 0.0307792 57 23 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 23.90 vpr 64.68 MiB -1 -1 0.48 21280 1 0.07 -1 -1 33920 -1 -1 12 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66228 32 32 341 285 1 181 76 17 17 289 -1 unnamed_device 25.9 MiB 5.57 711 11916 5010 6585 321 64.7 MiB 0.15 0.00 3.75235 -135.897 -3.75235 3.75235 2.91 7.8991e-05 6.1368e-05 0.1273 0.125853 46 2052 24 6.95648e+06 173708 828058. 2865.25 7.01 0.223304 0.166056 28066 200906 -1 1721 20 1483 2090 167019 36124 3.45892 3.45892 -133.663 -3.45892 0 0 1.01997e+06 3529.29 1.46 0.11 0.39 -1 -1 1.46 0.0119142 0.0106725 76 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 27.39 vpr 64.71 MiB -1 -1 0.27 21432 1 0.14 -1 -1 33832 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66260 32 32 387 293 1 225 80 17 17 289 -1 unnamed_device 26.2 MiB 7.14 1199 14012 4660 7682 1670 64.7 MiB 0.45 0.00 4.91372 -159.538 -4.91372 4.91372 2.66 0.000181072 0.000145211 0.0191279 0.0158421 46 3151 21 6.95648e+06 231611 828058. 2865.25 9.30 0.196625 0.185068 28066 200906 -1 2670 24 2251 3456 277419 55404 4.64721 4.64721 -166.287 -4.64721 0 0 1.01997e+06 3529.29 1.11 0.35 0.45 -1 -1 1.11 0.0116863 0.0103946 97 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 25.64 vpr 64.39 MiB -1 -1 0.52 21584 1 0.03 -1 -1 33512 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65932 32 32 340 270 1 175 81 17 17 289 -1 unnamed_device 25.9 MiB 2.84 1033 10231 3254 5472 1505 64.4 MiB 0.34 0.00 4.59016 -151.92 -4.59016 4.59016 3.11 0.000163636 0.000131314 0.0123551 0.010147 36 2567 36 6.95648e+06 246087 648988. 2245.63 11.25 0.142227 0.131668 26050 158493 -1 2101 22 1460 1958 172883 34796 3.61822 3.61822 -144.487 -3.61822 0 0 828058. 2865.25 1.14 0.23 0.76 -1 -1 1.14 0.011179 0.00984238 74 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 21.74 vpr 64.16 MiB -1 -1 0.42 21128 1 0.02 -1 -1 33744 -1 -1 20 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 30 32 278 235 1 143 82 17 17 289 -1 unnamed_device 25.6 MiB 2.50 583 12008 4947 6630 431 64.2 MiB 0.19 0.00 2.944 -98.4118 -2.944 2.944 2.51 6.4978e-05 4.907e-05 0.00680389 0.00538636 38 2001 30 6.95648e+06 289514 678818. 2348.85 8.64 0.208696 0.201159 26626 170182 -1 1551 21 1156 1722 145132 32649 3.27952 3.27952 -110.436 -3.27952 0 0 902133. 3121.57 1.20 0.33 0.62 -1 -1 1.20 0.0101663 0.00899121 62 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 28.60 vpr 65.16 MiB -1 -1 0.69 21280 1 0.37 -1 -1 33884 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66720 32 32 431 332 1 224 79 17 17 289 -1 unnamed_device 26.4 MiB 5.28 1129 14782 6433 7988 361 65.2 MiB 0.33 0.07 5.84939 -174.305 -5.84939 5.84939 2.54 0.000189953 0.00015184 0.108803 0.10506 46 3159 27 6.95648e+06 217135 828058. 2865.25 10.52 0.50648 0.410851 28066 200906 -1 2667 25 2293 3415 432553 116380 5.4104 5.4104 -172.993 -5.4104 0 0 1.01997e+06 3529.29 1.57 0.28 0.37 -1 -1 1.57 0.160698 0.158814 95 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 22.63 vpr 64.68 MiB -1 -1 0.29 21280 1 0.08 -1 -1 33992 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66236 32 32 336 268 1 169 87 17 17 289 -1 unnamed_device 25.9 MiB 4.38 676 13335 4957 6249 2129 64.7 MiB 0.04 0.00 4.62011 -128.464 -4.62011 4.62011 2.96 0.000208698 0.000174487 0.0105295 0.0083893 46 2067 37 6.95648e+06 332941 828058. 2865.25 7.85 0.0583526 0.0490235 28066 200906 -1 1608 23 1319 1961 142969 34005 3.94516 3.94516 -127.516 -3.94516 0 0 1.01997e+06 3529.29 1.09 0.13 0.37 -1 -1 1.09 0.0127937 0.0112534 74 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 20.16 vpr 63.62 MiB -1 -1 0.42 21128 1 0.08 -1 -1 33480 -1 -1 13 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65148 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 25.2 MiB 0.91 533 10509 4362 5761 386 63.6 MiB 0.08 0.00 2.9282 -92.021 -2.9282 2.9282 2.44 0.000138062 0.000109488 0.0105231 0.00845243 40 1853 44 6.95648e+06 188184 706193. 2443.58 9.05 0.160772 0.151735 26914 176310 -1 1520 22 1124 1700 171214 43756 3.15227 3.15227 -103.082 -3.15227 0 0 926341. 3205.33 1.20 0.04 0.34 -1 -1 1.20 0.00990394 0.00855289 51 3 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 22.86 vpr 64.62 MiB -1 -1 0.46 21280 1 0.37 -1 -1 33752 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66172 32 32 349 273 1 184 88 17 17 289 -1 unnamed_device 25.9 MiB 1.69 923 15298 6195 8436 667 64.6 MiB 0.28 0.00 4.94787 -132.081 -4.94787 4.94787 2.26 0.000183632 0.000148222 0.123748 0.120352 40 2552 24 6.95648e+06 347416 706193. 2443.58 10.35 0.176296 0.164624 26914 176310 -1 2279 24 1815 3299 412663 96509 4.73826 4.73826 -139.776 -4.73826 0 0 926341. 3205.33 1.43 0.25 0.17 -1 -1 1.43 0.0137267 0.012153 80 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 22.42 vpr 64.05 MiB -1 -1 0.54 21128 1 0.10 -1 -1 33724 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65588 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 25.6 MiB 3.18 471 10702 3246 5052 2404 64.1 MiB 0.16 0.00 2.9972 -97.7732 -2.9972 2.9972 2.52 0.000134341 0.000105381 0.128014 0.125943 40 1681 41 6.95648e+06 202660 706193. 2443.58 7.56 0.223844 0.214781 26914 176310 -1 1409 22 1259 1765 152230 38560 3.41572 3.41572 -119.098 -3.41572 0 0 926341. 3205.33 1.16 0.27 0.59 -1 -1 1.16 0.0106945 0.00942995 57 3 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 21.47 vpr 63.99 MiB -1 -1 0.50 21280 1 0.30 -1 -1 33768 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65524 30 32 278 235 1 144 79 17 17 289 -1 unnamed_device 25.5 MiB 2.47 565 7684 3065 4335 284 64.0 MiB 0.08 0.00 3.45473 -106.14 -3.45473 3.45473 2.15 0.000148737 0.000116672 0.00884058 0.00724397 38 1970 44 6.95648e+06 246087 678818. 2348.85 8.89 0.131516 0.121878 26626 170182 -1 1387 22 1167 1759 135973 29786 3.05892 3.05892 -108.285 -3.05892 0 0 902133. 3121.57 0.97 0.11 0.46 -1 -1 0.97 0.00946937 0.00828096 60 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 24.53 vpr 64.76 MiB -1 -1 0.84 21432 1 0.21 -1 -1 33872 -1 -1 16 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66316 29 32 355 287 1 186 77 17 17 289 -1 unnamed_device 26.1 MiB 5.60 776 11161 4775 5773 613 64.8 MiB 0.05 0.00 3.81182 -119.714 -3.81182 3.81182 2.67 0.000168234 0.000133305 0.00906138 0.0073641 48 2520 32 6.95648e+06 231611 865456. 2994.66 8.17 0.0641456 0.0540454 28354 207349 -1 2042 21 1734 2563 216351 47635 3.70766 3.70766 -129.106 -3.70766 0 0 1.05005e+06 3633.38 1.03 0.07 0.52 -1 -1 1.03 0.0129978 0.0116099 80 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 20.05 vpr 64.57 MiB -1 -1 0.35 21280 1 0.21 -1 -1 33700 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66120 32 32 358 289 1 173 80 17 17 289 -1 unnamed_device 26.1 MiB 3.74 704 11948 4364 5551 2033 64.6 MiB 0.08 0.00 4.55468 -133.267 -4.55468 4.55468 2.82 0.000174282 0.000142125 0.0144908 0.0118849 44 2311 36 6.95648e+06 231611 787024. 2723.27 5.19 0.475624 0.4644 27778 195446 -1 1571 29 1467 2111 135992 33086 4.33832 4.33832 -137.368 -4.33832 0 0 997811. 3452.63 1.07 0.24 0.68 -1 -1 1.07 0.0155585 0.0136902 72 54 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 25.17 vpr 64.73 MiB -1 -1 0.52 21280 1 0.23 -1 -1 33832 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66284 32 32 353 285 1 178 78 17 17 289 -1 unnamed_device 26.1 MiB 6.12 786 12196 5134 6667 395 64.7 MiB 0.12 0.00 4.41959 -137.927 -4.41959 4.41959 2.71 0.000163449 0.000130532 0.0154123 0.01251 40 2597 31 6.95648e+06 202660 706193. 2443.58 7.44 0.176379 0.0582943 26914 176310 -1 1938 20 1471 2292 207490 44669 4.14326 4.14326 -138.835 -4.14326 0 0 926341. 3205.33 1.00 0.03 0.39 -1 -1 1.00 0.00779976 0.00708868 73 51 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 30.35 vpr 64.11 MiB -1 -1 0.56 21280 1 0.28 -1 -1 33848 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65652 32 32 276 237 1 155 74 17 17 289 -1 unnamed_device 25.6 MiB 10.33 758 11854 5230 6360 264 64.1 MiB 0.14 0.00 4.09208 -130.885 -4.09208 4.09208 2.66 0.000190098 0.000118405 0.100584 0.0981215 46 1948 30 6.95648e+06 144757 828058. 2865.25 8.72 0.144605 0.135346 28066 200906 -1 1561 20 1128 1465 123245 26968 3.61822 3.61822 -128.283 -3.61822 0 0 1.01997e+06 3529.29 1.22 0.14 0.41 -1 -1 1.22 0.0826738 0.0814191 61 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 26.45 vpr 64.38 MiB -1 -1 0.52 21432 1 0.03 -1 -1 33744 -1 -1 12 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65920 31 32 319 272 1 165 75 17 17 289 -1 unnamed_device 25.8 MiB 7.20 671 10661 4453 5894 314 64.4 MiB 0.32 0.00 3.79972 -122.825 -3.79972 3.79972 2.59 0.000160817 0.00012734 0.0125461 0.0101679 38 2325 23 6.95648e+06 173708 678818. 2348.85 9.21 0.0510394 0.0422196 26626 170182 -1 1701 20 1321 1951 157587 33834 3.40267 3.40267 -123.384 -3.40267 0 0 902133. 3121.57 1.29 0.06 0.40 -1 -1 1.29 0.0277522 0.0265017 68 64 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 26.96 vpr 64.44 MiB -1 -1 0.42 21280 1 0.22 -1 -1 33760 -1 -1 22 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65984 30 32 329 273 1 160 84 17 17 289 -1 unnamed_device 25.9 MiB 2.46 674 10881 3907 4742 2232 64.4 MiB 0.06 0.00 3.0162 -94.3766 -3.0162 3.0162 2.22 0.000168742 0.000135538 0.0117824 0.00968195 36 2545 30 6.95648e+06 318465 648988. 2245.63 14.19 0.0600729 0.050037 26050 158493 -1 1858 21 1240 1960 162018 36678 3.14637 3.14637 -107.389 -3.14637 0 0 828058. 2865.25 1.00 0.13 0.41 -1 -1 1.00 0.0124086 0.010958 71 57 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 22.16 vpr 64.43 MiB -1 -1 0.46 21280 1 0.06 -1 -1 33736 -1 -1 28 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65976 28 32 277 229 1 155 88 17 17 289 -1 unnamed_device 25.8 MiB 2.14 776 11788 2819 8132 837 64.4 MiB 0.09 0.00 3.64814 -102.196 -3.64814 3.64814 2.28 0.000143978 0.000114756 0.0102727 0.00834417 38 2283 49 6.95648e+06 405319 678818. 2348.85 9.89 0.0572746 0.047759 26626 170182 -1 1869 33 1402 2171 347796 149224 3.66166 3.66166 -111.163 -3.66166 0 0 902133. 3121.57 1.20 0.17 0.32 -1 -1 1.20 0.031921 0.0300982 72 27 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 14.87 vpr 64.32 MiB -1 -1 0.24 21280 1 0.05 -1 -1 33868 -1 -1 12 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65864 30 32 317 269 1 149 74 17 17 289 -1 unnamed_device 25.8 MiB 1.25 549 12629 5148 6195 1286 64.3 MiB 0.22 0.00 3.47909 -109.563 -3.47909 3.47909 2.55 0.000144752 0.000114363 0.0146333 0.0119252 40 1779 32 6.95648e+06 173708 706193. 2443.58 5.59 0.0538257 0.0448211 26914 176310 -1 1375 21 1365 1931 142075 34453 3.18402 3.18402 -117.065 -3.18402 0 0 926341. 3205.33 1.23 0.45 0.32 -1 -1 1.23 0.164476 0.163149 60 63 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 25.96 vpr 64.16 MiB -1 -1 0.24 21432 1 0.03 -1 -1 33564 -1 -1 11 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 32 32 335 282 1 178 75 17 17 289 -1 unnamed_device 25.5 MiB 5.26 641 12873 5440 6966 467 64.2 MiB 0.11 0.00 3.42769 -121.139 -3.42769 3.42769 2.55 0.000188917 0.000152402 0.0156907 0.0127536 52 2300 33 6.95648e+06 159232 926341. 3205.33 7.55 0.479333 0.432975 29218 227130 -1 1491 25 1380 1993 177717 40588 3.72767 3.72767 -126.291 -3.72767 0 0 1.14541e+06 3963.36 1.26 0.19 0.40 -1 -1 1.26 0.157712 0.156199 72 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 24.41 vpr 64.52 MiB -1 -1 0.49 21128 1 0.02 -1 -1 33876 -1 -1 24 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66072 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 25.8 MiB 1.35 710 11799 3749 5666 2384 64.5 MiB 0.17 0.00 4.65108 -122.985 -4.65108 4.65108 2.26 0.000148994 0.000117624 0.0183431 0.0159056 50 2190 26 6.95648e+06 347416 902133. 3121.57 7.82 0.290284 0.280978 28642 213929 -1 1660 23 1075 1816 170113 37652 3.71982 3.71982 -117.822 -3.71982 0 0 1.08113e+06 3740.92 1.43 0.09 0.62 -1 -1 1.43 0.0251685 0.0237504 74 4 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 30.31 vpr 64.77 MiB -1 -1 0.39 21584 1 0.19 -1 -1 33736 -1 -1 13 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66324 32 32 350 275 1 196 77 17 17 289 -1 unnamed_device 26.1 MiB 5.19 831 11813 4743 6275 795 64.8 MiB 0.32 0.00 4.58977 -148.914 -4.58977 4.58977 2.65 0.000183128 0.000149716 0.0793215 0.0765573 44 3092 30 6.95648e+06 188184 787024. 2723.27 7.39 0.134146 0.122967 27778 195446 -1 2201 21 1759 2558 216969 47786 4.22036 4.22036 -150.532 -4.22036 0 0 997811. 3452.63 1.15 0.12 0.44 -1 -1 1.15 0.0770714 0.0755766 82 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 31.74 vpr 64.84 MiB -1 -1 0.51 21432 1 0.04 -1 -1 33704 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66396 32 32 385 308 1 179 88 17 17 289 -1 unnamed_device 26.1 MiB 4.15 816 16468 5566 8437 2465 64.8 MiB 0.31 0.00 4.27883 -132.69 -4.27883 4.27883 2.90 8.7005e-05 6.5217e-05 0.0173427 0.0139091 46 2467 49 6.95648e+06 347416 828058. 2865.25 10.16 0.230607 0.217863 28066 200906 -1 1965 21 1537 2489 222148 46533 3.84266 3.84266 -137.714 -3.84266 0 0 1.01997e+06 3529.29 1.29 0.09 0.45 -1 -1 1.29 0.0143812 0.0128796 80 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 16.81 vpr 64.70 MiB -1 -1 0.48 21280 1 0.06 -1 -1 33744 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66252 32 32 387 309 1 182 87 17 17 289 -1 unnamed_device 26.0 MiB 2.33 810 13911 4952 7625 1334 64.7 MiB 0.09 0.00 4.06852 -133.682 -4.06852 4.06852 1.39 0.000176391 0.000138352 0.0163358 0.0133888 44 3041 46 6.95648e+06 332941 787024. 2723.27 6.07 0.106522 0.0927521 27778 195446 -1 1940 24 1801 2831 219999 48752 3.90932 3.90932 -137.053 -3.90932 0 0 997811. 3452.63 1.27 0.50 0.39 -1 -1 1.27 0.0138451 0.0122036 80 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 45.02 vpr 64.25 MiB -1 -1 0.33 21280 1 0.04 -1 -1 33720 -1 -1 12 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65788 30 32 272 232 1 142 74 17 17 289 -1 unnamed_device 25.5 MiB 2.73 540 8134 2607 3837 1690 64.2 MiB 0.11 0.00 3.76076 -106.203 -3.76076 3.76076 2.91 0.000155176 0.000126859 0.00879618 0.00714608 40 1646 22 6.95648e+06 173708 706193. 2443.58 20.03 0.374901 0.18532 26914 176310 -1 1364 23 1184 1792 151101 33119 3.19792 3.19792 -108.908 -3.19792 0 0 926341. 3205.33 1.14 0.15 0.43 -1 -1 1.14 0.00666145 0.00594199 57 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 58.41 vpr 64.78 MiB -1 -1 0.39 21584 1 0.17 -1 -1 34076 -1 -1 14 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66336 30 32 375 299 1 179 76 17 17 289 -1 unnamed_device 26.1 MiB 2.89 678 9356 3868 5007 481 64.8 MiB 0.08 0.00 4.36203 -133.965 -4.36203 4.36203 2.40 0.000172532 0.000137677 0.0537197 0.0514312 40 2565 42 6.95648e+06 202660 706193. 2443.58 32.47 0.502846 0.476372 26914 176310 -1 1865 26 2244 3051 373025 119260 4.41257 4.41257 -145.649 -4.41257 0 0 926341. 3205.33 0.92 0.24 0.36 -1 -1 0.92 0.0156112 0.0137812 76 63 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 18.48 vpr 64.46 MiB -1 -1 0.47 21432 1 0.14 -1 -1 33540 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66004 32 32 340 270 1 193 78 17 17 289 -1 unnamed_device 25.9 MiB 4.24 842 12030 5028 6556 446 64.5 MiB 0.10 0.00 4.9029 -146.03 -4.9029 4.9029 1.34 0.000164581 0.000129658 0.0147435 0.0118955 46 2513 48 6.95648e+06 202660 828058. 2865.25 5.50 0.14148 0.129577 28066 200906 -1 1890 22 1658 2613 198628 43387 4.08261 4.08261 -138.768 -4.08261 0 0 1.01997e+06 3529.29 1.15 0.15 0.69 -1 -1 1.15 0.0132751 0.0118923 80 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 40.23 vpr 64.73 MiB -1 -1 0.48 21280 1 0.06 -1 -1 33768 -1 -1 14 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66280 31 32 340 275 1 187 77 17 17 289 -1 unnamed_device 25.9 MiB 7.12 750 12302 5257 6526 519 64.7 MiB 0.33 0.00 5.69125 -154.683 -5.69125 5.69125 2.43 0.000152264 0.000120839 0.12704 0.124448 46 2398 31 6.95648e+06 202660 828058. 2865.25 8.62 0.463295 0.314704 28066 200906 -1 1821 21 1264 1891 135405 30894 4.59527 4.59527 -147.004 -4.59527 0 0 1.01997e+06 3529.29 1.14 0.25 0.28 -1 -1 1.14 0.146526 0.145214 79 47 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 18.90 vpr 64.61 MiB -1 -1 0.89 21432 1 0.11 -1 -1 33560 -1 -1 21 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66160 30 32 377 310 1 171 83 17 17 289 -1 unnamed_device 26.1 MiB 6.23 745 11063 3711 5149 2203 64.6 MiB 0.11 0.00 4.87546 -148.347 -4.87546 4.87546 1.36 0.000165432 0.000131345 0.0459154 0.0435563 46 2121 22 6.95648e+06 303989 828058. 2865.25 3.31 0.128836 0.11828 28066 200906 -1 1662 18 1005 1539 108523 24393 4.08891 4.08891 -138.465 -4.08891 0 0 1.01997e+06 3529.29 1.02 0.16 0.38 -1 -1 1.02 0.0115783 0.0103483 74 83 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 19.57 vpr 64.75 MiB -1 -1 0.40 21584 1 0.11 -1 -1 33708 -1 -1 13 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66300 32 32 365 294 1 177 77 17 17 289 -1 unnamed_device 26.2 MiB 3.61 704 9368 3487 4602 1279 64.7 MiB 0.10 0.00 4.40123 -135.279 -4.40123 4.40123 2.13 0.000180055 0.000145496 0.072084 0.069033 48 2447 37 6.95648e+06 188184 865456. 2994.66 6.42 0.186557 0.174359 28354 207349 -1 2015 24 1732 2848 349810 83354 3.78982 3.78982 -140.039 -3.78982 0 0 1.05005e+06 3633.38 1.03 0.11 0.44 -1 -1 1.03 0.0288707 0.0271588 72 57 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 41.71 vpr 64.61 MiB -1 -1 0.56 21280 1 0.07 -1 -1 33964 -1 -1 16 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66156 29 32 378 310 1 170 77 17 17 289 -1 unnamed_device 26.1 MiB 3.28 641 10346 4274 5371 701 64.6 MiB 0.19 0.00 4.09563 -125.168 -4.09563 4.09563 3.03 0.000160521 0.000127007 0.158707 0.156211 46 1995 28 6.95648e+06 231611 828058. 2865.25 7.11 0.315342 0.304956 28066 200906 -1 1316 24 1249 1860 123484 31068 3.78282 3.78282 -124.089 -3.78282 0 0 1.01997e+06 3529.29 1.40 0.02 0.44 -1 -1 1.40 0.108786 0.107808 73 85 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 23.96 vpr 63.90 MiB -1 -1 0.40 20976 1 0.13 -1 -1 33780 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65436 32 32 243 205 1 139 74 17 17 289 -1 unnamed_device 25.5 MiB 3.33 531 8754 3599 4846 309 63.9 MiB 0.08 0.00 3.56099 -105.132 -3.56099 3.56099 2.33 0.000130291 0.000101883 0.0579521 0.0561663 44 1721 26 6.95648e+06 144757 787024. 2723.27 4.17 0.24708 0.224349 27778 195446 -1 1421 22 1086 1514 124135 29933 3.28122 3.28122 -112.465 -3.28122 0 0 997811. 3452.63 1.37 0.18 0.68 -1 -1 1.37 0.0101636 0.00896201 53 3 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 48.53 vpr 64.79 MiB -1 -1 0.46 21280 1 0.13 -1 -1 33540 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66340 32 32 373 302 1 174 87 17 17 289 -1 unnamed_device 26.1 MiB 9.43 804 15255 5341 7615 2299 64.8 MiB 0.17 0.00 4.7291 -131.65 -4.7291 4.7291 2.53 0.000173921 0.000139114 0.0172554 0.0139709 46 2200 28 6.95648e+06 332941 828058. 2865.25 8.63 0.276105 0.264745 28066 200906 -1 1808 22 1202 1906 162527 35392 3.93476 3.93476 -131.175 -3.93476 0 0 1.01997e+06 3529.29 1.19 0.12 0.42 -1 -1 1.19 0.0936454 0.0921791 76 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 20.92 vpr 64.44 MiB -1 -1 0.36 21432 1 0.21 -1 -1 33964 -1 -1 13 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65988 32 32 397 314 1 188 77 17 17 289 -1 unnamed_device 25.9 MiB 3.13 837 8716 3541 4962 213 64.4 MiB 0.24 0.00 4.35698 -145.77 -4.35698 4.35698 2.54 0.000191506 0.000155766 0.012872 0.010629 40 2823 37 6.95648e+06 188184 706193. 2443.58 8.06 0.240595 0.18538 26914 176310 -1 2267 22 2237 3157 311635 67735 4.45636 4.45636 -160.856 -4.45636 0 0 926341. 3205.33 0.54 0.10 0.23 -1 -1 0.54 0.0160742 0.014414 78 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 20.79 vpr 64.39 MiB -1 -1 0.54 20976 1 0.17 -1 -1 33536 -1 -1 11 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65936 32 32 269 231 1 166 75 17 17 289 -1 unnamed_device 25.6 MiB 6.11 735 12083 5107 6723 253 64.4 MiB 0.10 0.00 4.05037 -123.737 -4.05037 4.05037 2.35 0.000133241 0.000103825 0.0128451 0.0103194 38 2159 49 6.95648e+06 159232 678818. 2348.85 4.87 0.0617348 0.0512837 26626 170182 -1 1699 20 1188 1501 127593 27591 3.35181 3.35181 -119.202 -3.35181 0 0 902133. 3121.57 0.63 0.08 0.21 -1 -1 0.63 0.0108017 0.00952802 68 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 28.16 vpr 63.97 MiB -1 -1 0.39 21280 1 0.07 -1 -1 33728 -1 -1 13 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65504 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 25.3 MiB 4.56 543 10956 3546 5569 1841 64.0 MiB 0.27 0.00 3.32523 -102.046 -3.32523 3.32523 2.80 0.000131079 0.00010196 0.0110333 0.00893353 36 1943 32 6.95648e+06 188184 648988. 2245.63 7.34 0.0541235 0.0450942 26050 158493 -1 1530 23 1299 1795 186350 39213 3.47072 3.47072 -119.629 -3.47072 0 0 828058. 2865.25 0.83 0.15 0.40 -1 -1 0.83 0.011307 0.0100024 57 4 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 24.56 vpr 64.63 MiB -1 -1 0.53 21432 1 0.13 -1 -1 34012 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66180 32 32 348 274 1 202 79 17 17 289 -1 unnamed_device 26.1 MiB 5.94 933 12754 4730 5000 3024 64.6 MiB 0.11 0.00 4.68742 -153.217 -4.68742 4.68742 2.57 0.000168221 0.000134002 0.0668061 0.0128789 44 2964 34 6.95648e+06 217135 787024. 2723.27 6.89 0.282 0.127463 27778 195446 -1 2100 23 1875 2452 195690 43411 4.67431 4.67431 -164.796 -4.67431 0 0 997811. 3452.63 1.08 0.17 0.30 -1 -1 1.08 0.0144032 0.0128871 85 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 27.06 vpr 64.76 MiB -1 -1 0.70 21280 1 0.07 -1 -1 33544 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66312 32 32 356 289 1 196 78 17 17 289 -1 unnamed_device 26.1 MiB 4.00 823 12030 5052 6595 383 64.8 MiB 0.06 0.00 4.95282 -149.828 -4.95282 4.95282 2.93 0.000180863 0.000144478 0.0146915 0.011901 46 2741 35 6.95648e+06 202660 828058. 2865.25 10.08 0.371629 0.359828 28066 200906 -1 1926 22 1554 2217 197899 43558 4.54096 4.54096 -151.361 -4.54096 0 0 1.01997e+06 3529.29 1.26 0.16 0.40 -1 -1 1.26 0.0144806 0.0129625 82 56 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 22.41 vpr 64.77 MiB -1 -1 0.61 21280 1 0.20 -1 -1 33808 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66320 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 26.1 MiB 2.15 936 12156 5048 6539 569 64.8 MiB 0.17 0.08 4.92382 -143.608 -4.92382 4.92382 3.01 0.00023057 0.00019411 0.015289 0.012455 44 2952 50 6.95648e+06 246087 787024. 2723.27 9.30 0.111399 0.0988087 27778 195446 -1 2043 24 1595 2634 201490 44459 4.48661 4.48661 -149.062 -4.48661 0 0 997811. 3452.63 1.17 0.08 0.27 -1 -1 1.17 0.0461655 0.0445279 83 3 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 28.24 vpr 64.39 MiB -1 -1 0.65 21280 1 0.03 -1 -1 33724 -1 -1 21 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65936 30 32 316 264 1 159 83 17 17 289 -1 unnamed_device 25.8 MiB 2.98 693 11963 4106 5300 2557 64.4 MiB 0.41 0.00 3.45278 -100.466 -3.45278 3.45278 3.30 0.000158133 0.000124679 0.0129076 0.010501 36 2260 41 6.95648e+06 303989 648988. 2245.63 12.92 0.239371 0.228105 26050 158493 -1 1656 22 1438 2269 175433 38273 3.13012 3.13012 -106.022 -3.13012 0 0 828058. 2865.25 0.91 0.13 0.23 -1 -1 0.91 0.0107558 0.00937978 69 52 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 21.48 vpr 64.18 MiB -1 -1 0.48 21128 1 0.21 -1 -1 34124 -1 -1 14 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65724 27 32 255 219 1 130 73 17 17 289 -1 unnamed_device 25.5 MiB 1.97 416 8585 3548 4477 560 64.2 MiB 0.03 0.00 2.9243 -87.2262 -2.9243 2.9243 2.79 0.000119818 9.3008e-05 0.00857123 0.00687555 42 1418 36 6.95648e+06 202660 744469. 2576.02 6.10 0.0597475 0.0507631 27202 183097 -1 1007 21 966 1166 86921 22340 3.04162 3.04162 -98.0518 -3.04162 0 0 949917. 3286.91 1.14 0.14 0.41 -1 -1 1.14 0.120485 0.119337 54 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 26.15 vpr 65.10 MiB -1 -1 0.58 21432 1 0.03 -1 -1 33820 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66660 32 32 421 327 1 224 80 17 17 289 -1 unnamed_device 26.4 MiB 4.43 1102 15044 5980 6904 2160 65.1 MiB 0.35 0.00 3.84665 -132.831 -3.84665 3.84665 3.00 0.000242355 0.000201884 0.0202946 0.0165011 48 3071 30 6.95648e+06 231611 865456. 2994.66 8.40 0.608555 0.595187 28354 207349 -1 2644 29 2441 3990 459090 125325 4.09482 4.09482 -142.398 -4.09482 0 0 1.05005e+06 3633.38 1.50 0.60 0.61 -1 -1 1.50 0.345469 0.343285 95 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 36.85 vpr 64.52 MiB -1 -1 0.72 21584 1 0.07 -1 -1 33652 -1 -1 15 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66068 31 32 365 296 1 190 78 17 17 289 -1 unnamed_device 25.9 MiB 16.88 1006 12362 4250 6888 1224 64.5 MiB 0.09 0.00 5.3781 -155.355 -5.3781 5.3781 3.26 0.000161896 0.000129347 0.0153462 0.0125177 46 2524 26 6.95648e+06 217135 828058. 2865.25 7.59 0.203029 0.191822 28066 200906 -1 2232 23 1876 2910 269627 51483 4.54986 4.54986 -156.111 -4.54986 0 0 1.01997e+06 3529.29 0.99 0.18 0.31 -1 -1 0.99 0.0454221 0.0438869 81 64 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 32.19 vpr 64.59 MiB -1 -1 0.59 21280 1 0.19 -1 -1 33916 -1 -1 11 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66140 32 32 331 280 1 171 75 17 17 289 -1 unnamed_device 25.9 MiB 12.37 712 8923 3676 5031 216 64.6 MiB 0.12 0.00 3.7346 -127.816 -3.7346 3.7346 2.98 0.000142142 0.000110349 0.0104368 0.0084834 40 2280 24 6.95648e+06 159232 706193. 2443.58 6.34 0.198277 0.183847 26914 176310 -1 2092 27 1709 2451 267567 58051 3.83106 3.83106 -138.489 -3.83106 0 0 926341. 3205.33 1.32 0.36 0.46 -1 -1 1.32 0.246706 0.244982 70 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 57.70 vpr 64.60 MiB -1 -1 0.40 21432 1 0.14 -1 -1 33780 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66152 32 32 326 263 1 169 86 17 17 289 -1 unnamed_device 25.9 MiB 1.72 754 14261 5947 7773 541 64.6 MiB 0.15 0.00 4.35988 -125.269 -4.35988 4.35988 3.13 0.000189442 0.000153352 0.0147976 0.0119355 42 2789 39 6.95648e+06 318465 744469. 2576.02 44.16 0.324363 0.30449 27202 183097 -1 2072 22 1410 2226 237615 51736 3.87001 3.87001 -128.568 -3.87001 0 0 949917. 3286.91 1.21 0.09 0.75 -1 -1 1.21 0.0111228 0.00979311 74 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 24.85 vpr 64.57 MiB -1 -1 0.40 21736 1 0.11 -1 -1 33472 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66124 31 32 373 294 1 188 88 17 17 289 -1 unnamed_device 26.1 MiB 3.41 836 14128 4159 6910 3059 64.6 MiB 0.19 0.00 4.50675 -130.886 -4.50675 4.50675 2.99 0.000213802 0.000164445 0.112593 0.0132168 38 2787 26 6.95648e+06 361892 678818. 2348.85 9.08 0.27132 0.163113 26626 170182 -1 2052 20 1552 2384 172074 38585 4.14602 4.14602 -134.294 -4.14602 0 0 902133. 3121.57 1.60 0.13 0.44 -1 -1 1.60 0.0137224 0.0122953 83 50 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 46.18 vpr 64.43 MiB -1 -1 0.79 21584 1 0.04 -1 -1 33752 -1 -1 16 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65972 30 32 325 268 1 166 78 17 17 289 -1 unnamed_device 25.9 MiB 4.38 715 8544 2877 3872 1795 64.4 MiB 0.30 0.00 3.35027 -102.379 -3.35027 3.35027 3.22 0.000160119 0.000127063 0.0105897 0.00878711 38 2483 34 6.95648e+06 231611 678818. 2348.85 29.60 0.283842 0.267239 26626 170182 -1 1897 23 1489 2426 201506 43472 3.14647 3.14647 -109.753 -3.14647 0 0 902133. 3121.57 0.62 0.15 0.21 -1 -1 0.62 0.0771084 0.0112157 68 51 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 29.03 vpr 64.79 MiB -1 -1 0.52 21128 1 0.16 -1 -1 33436 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66340 32 32 350 275 1 208 78 17 17 289 -1 unnamed_device 26.1 MiB 6.02 917 13856 5527 6642 1687 64.8 MiB 0.25 0.00 4.51937 -148.343 -4.51937 4.51937 3.02 0.000261731 0.000226345 0.0196976 0.0159168 48 3102 25 6.95648e+06 202660 865456. 2994.66 9.23 0.074163 0.0622658 28354 207349 -1 2644 24 2135 3097 380073 79399 4.97816 4.97816 -162.399 -4.97816 0 0 1.05005e+06 3633.38 1.62 0.39 0.38 -1 -1 1.62 0.0154123 0.0138425 88 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 25.82 vpr 64.61 MiB -1 -1 0.60 21584 1 0.05 -1 -1 33704 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66156 32 32 386 307 1 187 82 17 17 289 -1 unnamed_device 25.9 MiB 3.35 804 10584 4356 5793 435 64.6 MiB 0.16 0.00 4.51417 -147.562 -4.51417 4.51417 2.69 0.000193933 0.00015552 0.0133541 0.0109977 40 2746 27 6.95648e+06 260562 706193. 2443.58 9.01 0.108313 0.0594872 26914 176310 -1 2089 30 1836 2492 305244 82722 4.39732 4.39732 -159.663 -4.39732 0 0 926341. 3205.33 1.16 0.29 0.31 -1 -1 1.16 0.0176862 0.0154556 80 62 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 39.65 vpr 64.04 MiB -1 -1 0.54 21280 1 0.03 -1 -1 33828 -1 -1 12 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65576 29 32 269 229 1 132 73 17 17 289 -1 unnamed_device 25.5 MiB 20.57 414 10105 3962 3901 2242 64.0 MiB 0.05 0.00 3.92822 -101.93 -3.92822 3.92822 2.51 0.000127295 9.8698e-05 0.0196106 0.00896644 40 1436 24 6.95648e+06 173708 706193. 2443.58 5.82 0.207873 0.190596 26914 176310 -1 1295 23 1001 1294 116340 28714 3.28862 3.28862 -107.776 -3.28862 0 0 926341. 3205.33 1.12 0.13 0.50 -1 -1 1.12 0.0107838 0.00950601 53 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 26.50 vpr 64.22 MiB -1 -1 0.35 21432 1 0.18 -1 -1 33896 -1 -1 11 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65764 32 32 310 266 1 163 75 17 17 289 -1 unnamed_device 25.6 MiB 3.95 620 10345 3646 5288 1411 64.2 MiB 0.19 0.00 3.72515 -127.215 -3.72515 3.72515 2.64 0.000147122 0.000116288 0.0121609 0.0098463 40 2156 26 6.95648e+06 159232 706193. 2443.58 9.05 0.0573491 0.0473844 26914 176310 -1 1694 23 1274 1589 154676 34159 3.88512 3.88512 -139.19 -3.88512 0 0 926341. 3205.33 1.19 0.42 0.47 -1 -1 1.19 0.0122568 0.0108537 64 58 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 31.37 vpr 64.64 MiB -1 -1 0.46 21584 1 0.04 -1 -1 33728 -1 -1 23 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66192 31 32 326 261 1 172 86 17 17 289 -1 unnamed_device 25.9 MiB 3.01 748 11615 3856 5496 2263 64.6 MiB 0.15 0.00 4.10411 -120.963 -4.10411 4.10411 2.73 0.000154356 0.000121601 0.012153 0.00987682 40 2871 41 6.95648e+06 332941 706193. 2443.58 15.39 0.232055 0.123431 26914 176310 -1 1952 27 1808 2881 312628 83971 4.14272 4.14272 -133.589 -4.14272 0 0 926341. 3205.33 1.30 0.62 0.34 -1 -1 1.30 0.0153179 0.0135747 77 33 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 28.91 vpr 64.11 MiB -1 -1 0.47 21280 1 0.03 -1 -1 33596 -1 -1 13 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65648 29 32 262 224 1 161 74 17 17 289 -1 unnamed_device 25.6 MiB 6.45 591 9994 4171 5357 466 64.1 MiB 0.19 0.00 4.06527 -116.04 -4.06527 4.06527 2.49 0.000140676 0.000112258 0.0102313 0.00817044 40 2287 32 6.95648e+06 188184 706193. 2443.58 9.61 0.59374 0.335484 26914 176310 -1 1772 24 1410 1799 181608 43061 4.03342 4.03342 -120.917 -4.03342 0 0 926341. 3205.33 1.16 0.27 0.24 -1 -1 1.16 0.0891957 0.0878311 67 31 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 25.18 vpr 64.05 MiB -1 -1 0.35 21128 1 0.12 -1 -1 33696 -1 -1 9 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65592 32 32 278 238 1 144 73 17 17 289 -1 unnamed_device 25.6 MiB 4.64 609 9497 4084 5186 227 64.1 MiB 0.07 0.00 3.96096 -113.861 -3.96096 3.96096 3.54 0.000136187 0.000106003 0.0103129 0.00827866 44 1960 28 6.95648e+06 130281 787024. 2723.27 7.67 0.0877079 0.0788646 27778 195446 -1 1413 20 1317 1952 159640 34547 2.99337 2.99337 -109.258 -2.99337 0 0 997811. 3452.63 1.41 0.15 0.40 -1 -1 1.41 0.0751356 0.00931123 56 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 23.41 vpr 64.47 MiB -1 -1 0.51 21280 1 0.03 -1 -1 33844 -1 -1 24 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66016 31 32 373 300 1 174 87 17 17 289 -1 unnamed_device 25.9 MiB 3.93 767 14295 5145 7027 2123 64.5 MiB 0.22 0.00 3.44853 -115.891 -3.44853 3.44853 3.05 0.0001711 0.000135566 0.0827367 0.079707 40 2079 23 6.95648e+06 347416 706193. 2443.58 6.92 0.266756 0.255563 26914 176310 -1 1722 21 1678 2282 188630 41591 3.30477 3.30477 -118.576 -3.30477 0 0 926341. 3205.33 1.39 0.16 0.72 -1 -1 1.39 0.0135079 0.0120786 79 64 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 35.41 vpr 64.29 MiB -1 -1 0.51 21280 1 0.22 -1 -1 33616 -1 -1 12 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65836 31 32 265 230 1 159 75 17 17 289 -1 unnamed_device 25.5 MiB 8.81 631 11925 4064 5891 1970 64.3 MiB 0.08 0.00 3.97747 -119.507 -3.97747 3.97747 3.07 0.000141765 0.000111685 0.0132539 0.0106254 38 2048 45 6.95648e+06 173708 678818. 2348.85 12.46 0.258223 0.247494 26626 170182 -1 1607 23 1113 1586 163638 50863 3.49622 3.49622 -123.168 -3.49622 0 0 902133. 3121.57 1.17 0.33 0.38 -1 -1 1.17 0.0110938 0.00982883 64 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 29.61 vpr 64.50 MiB -1 -1 0.57 21280 1 0.08 -1 -1 33692 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66048 32 32 349 286 1 165 86 17 17 289 -1 unnamed_device 25.9 MiB 5.27 678 15017 5720 7175 2122 64.5 MiB 0.06 0.00 3.20268 -108.887 -3.20268 3.20268 3.66 0.000163278 0.000127814 0.0164423 0.0130201 52 2008 48 6.95648e+06 318465 926341. 3205.33 8.83 0.455511 0.33454 29218 227130 -1 1469 22 1253 1887 146863 37224 2.99297 2.99297 -108.726 -2.99297 0 0 1.14541e+06 3963.36 1.61 0.06 1.06 -1 -1 1.61 0.0124308 0.0110402 71 57 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 26.50 vpr 64.31 MiB -1 -1 0.62 21280 1 0.11 -1 -1 33664 -1 -1 15 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65852 31 32 396 325 1 176 78 17 17 289 -1 unnamed_device 25.8 MiB 6.16 662 8876 3614 4824 438 64.3 MiB 0.07 0.00 4.0342 -133.245 -4.0342 4.0342 2.56 0.00018899 0.000143524 0.012219 0.0099754 48 2022 21 6.95648e+06 217135 865456. 2994.66 8.02 0.170801 0.0559723 28354 207349 -1 1664 24 1657 2234 239711 74482 3.95211 3.95211 -140.908 -3.95211 0 0 1.05005e+06 3633.38 1.54 0.18 0.36 -1 -1 1.54 0.0603002 0.0585952 73 91 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 26.61 vpr 64.38 MiB -1 -1 0.53 21280 1 0.20 -1 -1 33408 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65924 32 32 303 262 1 145 74 17 17 289 -1 unnamed_device 25.8 MiB 5.21 509 10304 4083 5484 737 64.4 MiB 0.14 0.00 2.9023 -97.3173 -2.9023 2.9023 2.87 0.000169008 0.00013393 0.0125255 0.0101197 46 1859 26 6.95648e+06 144757 828058. 2865.25 8.54 0.253823 0.244244 28066 200906 -1 1379 28 1296 1972 169485 38610 3.12432 3.12432 -105.586 -3.12432 0 0 1.01997e+06 3529.29 1.49 0.11 0.82 -1 -1 1.49 0.0128282 0.0112422 57 57 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 31.27 vpr 64.46 MiB -1 -1 0.45 21432 1 0.15 -1 -1 33948 -1 -1 11 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66008 32 32 290 244 1 170 75 17 17 289 -1 unnamed_device 25.8 MiB 5.52 759 10819 2915 6394 1510 64.5 MiB 0.08 0.00 4.04348 -128.875 -4.04348 4.04348 2.56 0.000141006 0.000111615 0.0460564 0.00972984 38 2487 50 6.95648e+06 159232 678818. 2348.85 14.76 0.103033 0.0574875 26626 170182 -1 1962 22 1475 2156 194034 41144 3.98932 3.98932 -139.116 -3.98932 0 0 902133. 3121.57 0.98 0.15 0.47 -1 -1 0.98 0.0112 0.00996968 70 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 55.31 vpr 64.45 MiB -1 -1 0.57 21432 1 0.11 -1 -1 33816 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65996 32 32 318 257 1 188 78 17 17 289 -1 unnamed_device 25.9 MiB 8.57 781 10370 4130 5165 1075 64.4 MiB 0.18 0.00 4.16878 -129.099 -4.16878 4.16878 3.87 0.0001712 0.000139246 0.0119381 0.00972181 40 2510 32 6.95648e+06 202660 706193. 2443.58 32.99 0.223606 0.204719 26914 176310 -1 2116 23 1814 2401 210166 48272 4.93652 4.93652 -149.134 -4.93652 0 0 926341. 3205.33 1.29 0.15 0.44 -1 -1 1.29 0.0135028 0.0120694 79 30 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 18.71 vpr 64.34 MiB -1 -1 0.38 21432 1 0.26 -1 -1 33588 -1 -1 21 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65888 29 32 324 268 1 162 82 17 17 289 -1 unnamed_device 25.7 MiB 2.91 662 11118 4310 5269 1539 64.3 MiB 0.22 0.00 4.24388 -117.566 -4.24388 4.24388 2.30 0.000171217 0.000140159 0.184062 0.181837 42 2502 35 6.95648e+06 303989 744469. 2576.02 6.36 0.274108 0.263914 27202 183097 -1 1610 35 1272 1824 238633 81553 3.54316 3.54316 -114.241 -3.54316 0 0 949917. 3286.91 1.12 0.16 0.48 -1 -1 1.12 0.0162827 0.014246 71 55 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 71.74 vpr 64.55 MiB -1 -1 0.54 21584 1 0.13 -1 -1 33512 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66100 32 32 393 312 1 206 78 17 17 289 -1 unnamed_device 25.9 MiB 3.65 835 12030 5024 6545 461 64.6 MiB 0.20 0.00 4.9402 -157.131 -4.9402 4.9402 2.95 0.000180496 0.000144753 0.0156459 0.0128484 48 2888 42 6.95648e+06 202660 865456. 2994.66 54.06 0.274823 0.25229 28354 207349 -1 2151 24 2212 3089 336395 85250 4.85622 4.85622 -161.199 -4.85622 0 0 1.05005e+06 3633.38 1.29 0.30 0.51 -1 -1 1.29 0.176378 0.174672 89 65 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 19.30 vpr 63.80 MiB -1 -1 0.41 20976 1 0.03 -1 -1 33480 -1 -1 13 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65332 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 25.3 MiB 5.86 534 10476 4351 5783 342 63.8 MiB 0.06 0.00 3.74884 -96.385 -3.74884 3.74884 1.25 0.000127281 9.9977e-05 0.0324693 0.0303248 40 1532 22 6.95648e+06 188184 706193. 2443.58 4.14 0.165482 0.157246 26914 176310 -1 1442 22 861 1408 111894 25052 3.03022 3.03022 -100.616 -3.03022 0 0 926341. 3205.33 0.80 0.12 0.34 -1 -1 0.80 0.0213656 0.0199679 54 4 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 27.78 vpr 64.73 MiB -1 -1 0.61 21432 1 0.14 -1 -1 33652 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66280 32 32 412 334 1 182 89 17 17 289 -1 unnamed_device 26.2 MiB 3.49 808 15533 3949 11126 458 64.7 MiB 0.13 0.00 3.75239 -135.532 -3.75239 3.75239 2.41 0.0001953 0.000155738 0.0168223 0.0136551 38 2768 46 6.95648e+06 361892 678818. 2348.85 11.44 0.161265 0.0700024 26626 170182 -1 2002 22 1722 2306 186952 40190 4.06026 4.06026 -150.704 -4.06026 0 0 902133. 3121.57 1.18 0.13 0.59 -1 -1 1.18 0.0150561 0.0133962 81 90 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 27.62 vpr 64.55 MiB -1 -1 0.58 21584 1 0.12 -1 -1 33572 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66104 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 26.1 MiB 10.46 647 11079 4614 6219 246 64.6 MiB 0.08 0.00 2.96105 -113.708 -2.96105 2.96105 1.29 0.000166293 0.000130594 0.0152495 0.0123276 38 2082 24 6.95648e+06 144757 678818. 2348.85 7.32 0.424521 0.247385 26626 170182 -1 1578 22 1485 2093 172120 37079 3.04352 3.04352 -126.596 -3.04352 0 0 902133. 3121.57 1.15 0.17 0.42 -1 -1 1.15 0.03981 0.038282 61 96 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 59.29 vpr 64.52 MiB -1 -1 0.38 21584 1 0.12 -1 -1 33820 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66068 32 32 360 293 1 172 86 17 17 289 -1 unnamed_device 25.9 MiB 3.27 727 10670 3941 5494 1235 64.5 MiB 0.16 0.00 4.11943 -125.455 -4.11943 4.11943 2.77 0.000177992 0.000142582 0.073839 0.0716 40 3045 40 6.95648e+06 318465 706193. 2443.58 44.16 0.77287 0.752007 26914 176310 -1 2079 21 1362 1978 176336 41348 4.36701 4.36701 -135.308 -4.36701 0 0 926341. 3205.33 1.08 0.30 0.38 -1 -1 1.08 0.0123603 0.0108492 75 60 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 30.12 vpr 64.86 MiB -1 -1 0.66 21584 1 0.07 -1 -1 33832 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66412 32 32 396 299 1 224 79 17 17 289 -1 unnamed_device 26.2 MiB 6.17 1022 12247 5112 6717 418 64.9 MiB 0.20 0.00 6.05399 -174.256 -6.05399 6.05399 3.49 0.000185939 0.000149185 0.0999582 0.0966042 50 2835 27 6.95648e+06 217135 902133. 3121.57 7.77 0.15951 0.147251 28642 213929 -1 2360 23 2000 2863 225316 49509 5.39595 5.39595 -173.175 -5.39595 0 0 1.08113e+06 3740.92 1.52 0.16 0.37 -1 -1 1.52 0.0167506 0.0150332 95 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 30.26 vpr 63.93 MiB -1 -1 0.64 21128 1 0.11 -1 -1 33728 -1 -1 11 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65468 30 32 224 207 1 132 73 17 17 289 -1 unnamed_device 25.6 MiB 9.71 531 11017 3550 5709 1758 63.9 MiB 0.13 0.00 2.69765 -94.1883 -2.69765 2.69765 2.92 0.000131603 0.000101976 0.0104651 0.00834697 36 1670 24 6.95648e+06 159232 648988. 2245.63 6.99 0.198426 0.190212 26050 158493 -1 1376 22 897 1147 129321 26354 2.51043 2.51043 -96.7317 -2.51043 0 0 828058. 2865.25 0.93 0.19 0.34 -1 -1 0.93 0.00899241 0.00789819 52 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 30.69 vpr 64.30 MiB -1 -1 0.59 21280 1 0.20 -1 -1 33708 -1 -1 11 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65848 30 32 286 239 1 135 73 17 17 289 -1 unnamed_device 25.6 MiB 6.34 454 9193 3807 4991 395 64.3 MiB 0.11 0.00 3.70034 -111.63 -3.70034 3.70034 3.53 0.000150772 0.00011781 0.0115087 0.00929281 44 1701 43 6.95648e+06 159232 787024. 2723.27 7.77 0.326637 0.316321 27778 195446 -1 1200 42 1475 2367 304920 69406 3.39367 3.39367 -112.798 -3.39367 0 0 997811. 3452.63 1.28 0.32 0.66 -1 -1 1.28 0.0177282 0.0153164 54 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 30.17 vpr 64.37 MiB -1 -1 0.39 21128 1 0.03 -1 -1 33816 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65916 32 32 296 247 1 152 74 17 17 289 -1 unnamed_device 25.8 MiB 1.64 540 6894 2749 3889 256 64.4 MiB 0.11 0.00 3.0756 -105.849 -3.0756 3.0756 3.16 0.000217495 0.000182737 0.00865685 0.00695275 48 2093 40 6.95648e+06 144757 865456. 2994.66 14.50 0.346233 0.213565 28354 207349 -1 1461 20 1251 1952 212681 53380 2.86957 2.86957 -111.171 -2.86957 0 0 1.05005e+06 3633.38 0.69 0.08 0.42 -1 -1 0.69 0.0116749 0.0104345 59 34 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 23.15 vpr 64.03 MiB -1 -1 0.74 20824 1 0.20 -1 -1 33820 -1 -1 18 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65564 25 32 216 194 1 121 75 17 17 289 -1 unnamed_device 25.5 MiB 1.66 427 8607 3186 4041 1380 64.0 MiB 0.12 0.00 3.25923 -75.6499 -3.25923 3.25923 3.03 0.000136104 0.000109619 0.00846075 0.00676124 36 1724 49 6.95648e+06 260562 648988. 2245.63 8.89 0.16354 0.154577 26050 158493 -1 1184 19 856 1317 107054 24664 3.21822 3.21822 -88.2062 -3.21822 0 0 828058. 2865.25 1.01 0.33 0.24 -1 -1 1.01 0.306212 0.00679548 53 29 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 35.98 vpr 64.54 MiB -1 -1 0.85 21280 1 0.03 -1 -1 33704 -1 -1 12 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66084 32 32 376 307 1 178 76 17 17 289 -1 unnamed_device 25.9 MiB 7.40 691 12876 3790 6759 2327 64.5 MiB 0.36 0.00 3.85562 -123.567 -3.85562 3.85562 2.83 0.00018823 0.000151423 0.276605 0.273621 54 2268 48 6.95648e+06 173708 949917. 3286.91 11.06 0.525172 0.36659 29506 232905 -1 1461 19 1452 2424 160831 40373 4.26202 4.26202 -126.443 -4.26202 0 0 1.17392e+06 4061.99 2.07 0.20 0.62 -1 -1 2.07 0.167319 0.165871 73 72 -1 -1 -1 -1 +fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 33.02 vpr 64.73 MiB -1 -1 0.84 21584 1 0.08 -1 -1 33616 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66288 31 32 409 331 1 183 80 17 17 289 -1 unnamed_device 26.2 MiB 3.17 719 10056 4152 5465 439 64.7 MiB 0.36 0.00 4.20868 -140.697 -4.20868 4.20868 3.26 0.000191641 0.000153774 0.324432 0.321929 38 2570 39 6.95648e+06 246087 678818. 2348.85 12.58 0.388841 0.376411 26626 170182 -1 1936 20 1698 2238 181693 41509 3.74872 3.74872 -141.229 -3.74872 0 0 902133. 3121.57 1.52 0.07 0.42 -1 -1 1.52 0.0139526 0.0124567 80 90 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 59.91 vpr 64.56 MiB -1 -1 0.48 21280 1 0.04 -1 -1 33796 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66108 32 32 354 285 1 206 79 17 17 289 -1 unnamed_device 25.9 MiB 5.68 925 13599 5712 7221 666 64.6 MiB 0.05 0.00 5.03973 -148.547 -5.03973 5.03973 2.89 0.000175233 0.000141203 0.0222157 0.0205706 40 3040 27 6.99608e+06 220735 706193. 2443.58 41.52 0.238056 0.123775 26914 176310 -1 2373 23 1911 2628 219103 51878 4.66241 4.66241 -156.688 -4.66241 0 0 926341. 3205.33 1.29 0.13 0.41 -1 -1 1.29 0.0143679 0.0127893 88 50 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 34.32 vpr 64.70 MiB -1 -1 0.49 21584 1 0.13 -1 -1 33864 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66252 30 32 363 293 1 224 79 17 17 289 -1 unnamed_device 25.9 MiB 8.49 947 9036 3528 4833 675 64.7 MiB 0.18 0.00 5.09794 -153.24 -5.09794 5.09794 2.87 0.000174368 0.000140058 0.0112154 0.00925241 46 2962 35 6.99608e+06 250167 828058. 2865.25 9.33 0.0718243 0.0613846 28066 200906 -1 2108 21 2147 3210 215179 48132 4.72379 4.72379 -153.095 -4.72379 0 0 1.01997e+06 3529.29 1.71 0.12 0.44 -1 -1 1.71 0.020751 0.0194252 99 63 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 29.17 vpr 64.00 MiB -1 -1 0.53 21280 1 0.12 -1 -1 33744 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65532 32 32 299 247 1 183 78 17 17 289 -1 unnamed_device 25.6 MiB 3.38 838 11366 4465 5588 1313 64.0 MiB 0.36 0.00 3.53589 -111.786 -3.53589 3.53589 3.06 0.000180812 0.000148415 0.0973572 0.0948958 36 2669 46 6.99608e+06 206020 648988. 2245.63 12.18 0.254041 0.243029 26050 158493 -1 1926 22 1506 2019 191678 42730 3.52136 3.52136 -117.755 -3.52136 0 0 828058. 2865.25 1.10 0.55 0.27 -1 -1 1.10 0.517589 0.516135 76 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 27.15 vpr 64.09 MiB -1 -1 0.62 21128 1 0.03 -1 -1 33500 -1 -1 16 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65628 29 32 308 248 1 179 77 17 17 289 -1 unnamed_device 25.6 MiB 4.94 688 10998 4578 5788 632 64.1 MiB 0.08 0.00 4.05128 -115.844 -4.05128 4.05128 3.90 0.000169531 0.000137802 0.0125785 0.0102854 46 2181 45 6.99608e+06 235451 828058. 2865.25 8.52 0.138592 0.0553022 28066 200906 -1 1625 23 1535 2413 154308 37539 3.67782 3.67782 -117.38 -3.67782 0 0 1.01997e+06 3529.29 1.08 0.11 0.62 -1 -1 1.08 0.013445 0.012005 78 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 32.36 vpr 64.48 MiB -1 -1 0.52 21432 1 0.07 -1 -1 33404 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66028 32 32 336 268 1 194 78 17 17 289 -1 unnamed_device 25.8 MiB 10.14 845 10536 4153 4899 1484 64.5 MiB 0.12 0.00 4.59275 -141.742 -4.59275 4.59275 2.29 0.00019625 0.000126204 0.0653117 0.0629711 42 3328 41 6.99608e+06 206020 744469. 2576.02 11.48 0.123494 0.112328 27202 183097 -1 2510 24 1850 3188 327022 67236 4.67815 4.67815 -157.307 -4.67815 0 0 949917. 3286.91 1.17 0.32 0.44 -1 -1 1.17 0.0340471 0.0325023 81 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 37.32 vpr 64.61 MiB -1 -1 0.91 20976 1 0.18 -1 -1 33388 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66160 32 32 366 295 1 221 81 17 17 289 -1 unnamed_device 25.9 MiB 12.32 972 12681 4858 6385 1438 64.6 MiB 0.27 0.00 3.38924 -122.219 -3.38924 3.38924 2.81 0.000178532 0.000142209 0.181434 0.178529 46 3347 36 6.99608e+06 250167 828058. 2865.25 12.09 0.239919 0.227999 28066 200906 -1 2395 27 1888 3000 217208 50091 3.57241 3.57241 -132.058 -3.57241 0 0 1.01997e+06 3529.29 1.46 0.17 0.59 -1 -1 1.46 0.112366 0.0140757 97 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 27.24 vpr 63.85 MiB -1 -1 0.57 21280 1 0.09 -1 -1 34140 -1 -1 15 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65384 27 32 259 221 1 154 74 17 17 289 -1 unnamed_device 25.3 MiB 6.53 491 10924 4290 5184 1450 63.9 MiB 0.13 0.00 3.89582 -109.26 -3.89582 3.89582 3.41 0.000118979 9.2516e-05 0.010952 0.00875392 44 1845 41 6.99608e+06 220735 787024. 2723.27 7.12 0.275172 0.265885 27778 195446 -1 1273 29 1379 2084 153176 35803 3.18926 3.18926 -106.388 -3.18926 0 0 997811. 3452.63 1.38 0.31 0.49 -1 -1 1.38 0.0120217 0.0104788 66 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 20.00 vpr 64.16 MiB -1 -1 0.35 21280 1 0.08 -1 -1 33708 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 25.5 MiB 1.25 646 12568 4471 6001 2096 64.2 MiB 0.27 0.00 2.86205 -89.6785 -2.86205 2.86205 2.97 0.000140919 0.000109836 0.0107154 0.00856243 44 1974 28 6.99608e+06 367892 787024. 2723.27 6.62 0.202528 0.121199 27778 195446 -1 1534 29 1285 2222 191860 59564 2.75632 2.75632 -95.7095 -2.75632 0 0 997811. 3452.63 1.42 0.15 0.39 -1 -1 1.42 0.0131256 0.0114818 69 4 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 51.50 vpr 64.23 MiB -1 -1 0.31 21584 1 0.14 -1 -1 33892 -1 -1 14 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65776 31 32 317 271 1 204 77 17 17 289 -1 unnamed_device 25.6 MiB 2.58 996 6597 1763 3930 904 64.2 MiB 0.11 0.00 3.43418 -125.292 -3.43418 3.43418 2.70 0.000149239 0.000117355 0.00792216 0.00644205 38 2628 32 6.99608e+06 206020 678818. 2348.85 34.55 0.108808 0.093443 26626 170182 -1 2231 22 1692 2317 199941 39045 3.22627 3.22627 -127.955 -3.22627 0 0 902133. 3121.57 1.00 0.05 0.42 -1 -1 1.00 0.0118303 0.0104532 87 64 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 23.27 vpr 64.15 MiB -1 -1 0.49 21280 1 0.02 -1 -1 33948 -1 -1 13 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65688 32 32 298 248 1 181 77 17 17 289 -1 unnamed_device 25.6 MiB 3.08 768 12465 4799 6628 1038 64.1 MiB 0.23 0.00 4.05822 -139.086 -4.05822 4.05822 3.16 0.000148008 0.000118221 0.191777 0.189281 40 2080 23 6.99608e+06 191304 706193. 2443.58 8.03 0.292572 0.282742 26914 176310 -1 1902 21 1404 1799 161852 35357 3.62016 3.62016 -136.406 -3.62016 0 0 926341. 3205.33 1.33 0.21 0.60 -1 -1 1.33 0.0117305 0.0103816 75 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 22.60 vpr 64.24 MiB -1 -1 0.41 21280 1 0.17 -1 -1 33996 -1 -1 14 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65780 30 32 303 262 1 188 76 17 17 289 -1 unnamed_device 25.8 MiB 2.75 688 11596 4869 6191 536 64.2 MiB 0.19 0.00 3.88079 -123.458 -3.88079 3.88079 3.45 0.000141052 0.00010995 0.0129302 0.0105057 44 2498 27 6.99608e+06 206020 787024. 2723.27 8.40 0.268765 0.25897 27778 195446 -1 1698 22 1493 2012 148073 35718 3.6069 3.6069 -122.968 -3.6069 0 0 997811. 3452.63 1.33 0.07 0.47 -1 -1 1.33 0.0441342 0.0428446 83 63 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 23.82 vpr 63.88 MiB -1 -1 0.63 20976 1 0.11 -1 -1 33744 -1 -1 11 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65408 32 32 276 237 1 165 75 17 17 289 -1 unnamed_device 25.3 MiB 2.40 863 6237 1573 4339 325 63.9 MiB 0.03 0.00 3.25498 -118.92 -3.25498 3.25498 2.61 0.000140724 0.000112244 0.00727474 0.00595966 38 2329 21 6.99608e+06 161872 678818. 2348.85 10.65 0.578272 0.457533 26626 170182 -1 2049 16 1120 1472 120334 25200 3.17627 3.17627 -118.474 -3.17627 0 0 902133. 3121.57 0.93 0.28 0.33 -1 -1 0.93 0.149597 0.148873 66 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 24.65 vpr 64.40 MiB -1 -1 0.50 21280 1 0.27 -1 -1 33800 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65948 32 32 344 272 1 201 79 17 17 289 -1 unnamed_device 25.8 MiB 3.42 873 12585 5265 7003 317 64.4 MiB 0.09 0.00 3.95082 -135.525 -3.95082 3.95082 2.49 0.000173904 0.000140135 0.0348694 0.0320001 40 3058 28 6.99608e+06 220735 706193. 2443.58 10.13 0.563315 0.42799 26914 176310 -1 2401 23 2079 3012 267732 57155 3.60816 3.60816 -134.222 -3.60816 0 0 926341. 3205.33 1.05 0.16 0.45 -1 -1 1.05 0.0126873 0.0113228 87 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 24.90 vpr 64.64 MiB -1 -1 0.57 21280 1 0.02 -1 -1 33816 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66188 32 32 363 295 1 228 81 17 17 289 -1 unnamed_device 25.9 MiB 4.89 919 12856 3600 6557 2699 64.6 MiB 0.15 0.00 4.71129 -139.414 -4.71129 4.71129 2.77 0.000175127 0.000139665 0.0146413 0.0119911 54 2802 36 6.99608e+06 250167 949917. 3286.91 8.52 0.226854 0.21562 29506 232905 -1 1802 23 2043 2734 201520 47258 4.19521 4.19521 -138.846 -4.19521 0 0 1.17392e+06 4061.99 1.48 0.43 0.58 -1 -1 1.48 0.0141433 0.0126324 97 61 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 28.94 vpr 63.63 MiB -1 -1 0.46 21432 1 0.02 -1 -1 33872 -1 -1 13 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65160 29 32 248 215 1 155 74 17 17 289 -1 unnamed_device 25.2 MiB 10.30 532 10304 4052 4897 1355 63.6 MiB 0.17 0.00 3.0564 -87.6148 -3.0564 3.0564 2.34 0.000129189 0.000101356 0.00648444 0.00520814 44 1928 46 6.99608e+06 191304 787024. 2723.27 8.28 0.207963 0.199416 27778 195446 -1 1282 20 959 1352 101835 25459 3.08397 3.08397 -92.6682 -3.08397 0 0 997811. 3452.63 1.79 0.04 0.46 -1 -1 1.79 0.00996759 0.00880542 64 27 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 27.92 vpr 64.50 MiB -1 -1 0.53 21432 1 0.21 -1 -1 33696 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66052 32 32 370 297 1 222 80 17 17 289 -1 unnamed_device 25.9 MiB 4.07 1140 12464 4431 6558 1475 64.5 MiB 0.30 0.00 3.76129 -130.411 -3.76129 3.76129 2.66 0.000204737 0.00016924 0.0155986 0.0127445 40 3117 34 6.99608e+06 235451 706193. 2443.58 10.59 0.0723283 0.0610606 26914 176310 -1 2645 21 2052 3133 316208 64208 3.65912 3.65912 -140.567 -3.65912 0 0 926341. 3205.33 1.48 0.33 0.44 -1 -1 1.48 0.01391 0.0122895 96 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 62.38 vpr 64.52 MiB -1 -1 0.44 21432 1 0.13 -1 -1 33704 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66068 32 32 338 269 1 198 79 17 17 289 -1 unnamed_device 25.9 MiB 2.95 906 13768 5796 7604 368 64.5 MiB 0.36 0.00 4.30315 -137.123 -4.30315 4.30315 2.65 0.000192932 0.000158232 0.212087 0.209163 40 2625 23 6.99608e+06 220735 706193. 2443.58 43.83 1.23564 0.944669 26914 176310 -1 2177 23 1722 2343 280673 83000 3.49486 3.49486 -128.652 -3.49486 0 0 926341. 3205.33 1.43 0.25 0.39 -1 -1 1.43 0.0136439 0.0121505 84 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 20.45 vpr 64.51 MiB -1 -1 0.37 21280 1 0.03 -1 -1 33508 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66060 32 32 323 276 1 210 79 17 17 289 -1 unnamed_device 26.0 MiB 2.76 905 11064 3491 5867 1706 64.5 MiB 0.20 0.00 3.21889 -121.149 -3.21889 3.21889 2.90 0.000158223 0.0001266 0.0121631 0.00994897 42 3082 30 6.99608e+06 220735 744469. 2576.02 7.54 0.0545593 0.0455866 27202 183097 -1 2213 22 1822 2291 214482 48306 3.40796 3.40796 -136.466 -3.40796 0 0 949917. 3286.91 1.29 0.02 0.27 -1 -1 1.29 0.00707932 0.00637281 89 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 21.95 vpr 63.73 MiB -1 -1 0.44 21128 1 0.12 -1 -1 33856 -1 -1 10 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65264 30 32 222 206 1 131 72 17 17 289 -1 unnamed_device 25.2 MiB 6.41 630 8565 3545 4800 220 63.7 MiB 0.19 0.00 2.33546 -90.9219 -2.33546 2.33546 2.81 5.4371e-05 4.1543e-05 0.00705516 0.00554976 34 1779 31 6.99608e+06 147157 618332. 2139.56 5.68 0.0399199 0.0328344 25762 151098 -1 1455 24 825 913 111725 23696 2.10148 2.10148 -90.1959 -2.10148 0 0 787024. 2723.27 0.88 0.13 0.40 -1 -1 0.88 0.00795589 0.00678772 52 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 23.62 vpr 64.02 MiB -1 -1 0.42 21280 1 0.02 -1 -1 33888 -1 -1 13 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65552 31 32 291 243 1 171 76 17 17 289 -1 unnamed_device 25.5 MiB 7.63 783 8236 2031 5935 270 64.0 MiB 0.16 0.00 3.70832 -125.553 -3.70832 3.70832 2.42 0.000144118 0.000116061 0.0095307 0.00779307 40 2194 25 6.99608e+06 191304 706193. 2443.58 5.47 0.178147 0.169603 26914 176310 -1 2045 20 1440 2073 211575 44510 3.66441 3.66441 -138.837 -3.66441 0 0 926341. 3205.33 1.40 0.07 0.49 -1 -1 1.40 0.0110888 0.00992203 72 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 25.53 vpr 64.31 MiB -1 -1 0.57 21432 1 0.10 -1 -1 33940 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65856 32 32 342 271 1 201 84 17 17 289 -1 unnamed_device 25.8 MiB 4.01 786 12162 4998 6683 481 64.3 MiB 0.11 0.00 3.98084 -132.342 -3.98084 3.98084 2.27 0.000156451 0.000124443 0.0144777 0.011778 44 2843 30 6.99608e+06 294314 787024. 2723.27 10.76 0.330776 0.276672 27778 195446 -1 1830 21 1663 2556 220858 47746 3.94985 3.94985 -136.261 -3.94985 0 0 997811. 3452.63 0.82 0.52 0.39 -1 -1 0.82 0.0133949 0.0119868 88 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 38.10 vpr 64.47 MiB -1 -1 0.39 21432 1 0.19 -1 -1 33652 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66020 32 32 372 300 1 225 80 17 17 289 -1 unnamed_device 25.9 MiB 7.61 1267 15044 5761 7520 1763 64.5 MiB 0.25 0.00 4.6547 -145.44 -4.6547 4.6547 2.91 0.000169935 0.000136219 0.196496 0.0111821 38 3632 46 6.99608e+06 235451 678818. 2348.85 19.74 0.257636 0.0620024 26626 170182 -1 2938 22 2141 3120 303377 56654 4.18241 4.18241 -146.004 -4.18241 0 0 902133. 3121.57 1.53 0.17 0.32 -1 -1 1.53 0.0143429 0.0127962 100 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 22.13 vpr 63.76 MiB -1 -1 0.45 21280 1 0.08 -1 -1 34172 -1 -1 13 26 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65292 26 32 190 182 1 123 71 17 17 289 -1 unnamed_device 25.0 MiB 7.09 410 7809 3349 3986 474 63.8 MiB 0.12 0.00 2.7218 -77.6213 -2.7218 2.7218 2.32 0.000121548 9.6908e-05 0.0952664 0.0938056 38 1274 35 6.99608e+06 191304 678818. 2348.85 5.41 0.127822 0.120657 26626 170182 -1 950 19 761 840 63910 15458 2.36202 2.36202 -74.6155 -2.36202 0 0 902133. 3121.57 0.99 0.02 0.32 -1 -1 0.99 0.00743294 0.00645103 53 30 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 26.47 vpr 64.19 MiB -1 -1 0.57 21280 1 0.18 -1 -1 33720 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65728 32 32 285 227 1 162 79 17 17 289 -1 unnamed_device 25.5 MiB 3.63 705 12078 4998 6546 534 64.2 MiB 0.19 0.00 4.4821 -114.423 -4.4821 4.4821 2.26 0.000226891 0.000191392 0.116931 0.0106154 38 2743 36 6.99608e+06 220735 678818. 2348.85 13.93 0.33075 0.216376 26626 170182 -1 1871 23 1411 2380 182868 39939 3.85196 3.85196 -122.055 -3.85196 0 0 902133. 3121.57 0.90 0.12 0.21 -1 -1 0.90 0.011685 0.0103588 66 3 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 14.15 vpr 63.47 MiB -1 -1 0.32 20672 1 0.01 -1 -1 33464 -1 -1 8 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64992 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 24.9 MiB 0.76 437 9906 4138 5588 180 63.5 MiB 0.10 0.00 2.06911 -68.7948 -2.06911 2.06911 2.02 0.000100746 7.6232e-05 0.00824112 0.00639416 36 1252 23 6.99608e+06 117725 648988. 2245.63 4.25 0.102063 0.095255 26050 158493 -1 953 21 601 709 61167 13917 1.95112 1.95112 -70.894 -1.95112 0 0 828058. 2865.25 0.97 0.14 0.22 -1 -1 0.97 0.00719707 0.00625924 42 3 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 26.47 vpr 64.34 MiB -1 -1 0.82 21128 1 0.12 -1 -1 33372 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65884 32 32 300 245 1 178 78 17 17 289 -1 unnamed_device 25.7 MiB 3.67 788 11366 4166 5016 2184 64.3 MiB 0.13 0.00 4.53824 -123.102 -4.53824 4.53824 2.96 0.000166176 0.000133047 0.012266 0.00995307 38 2712 26 6.99608e+06 206020 678818. 2348.85 12.12 0.0889055 0.0795355 26626 170182 -1 1962 23 1397 2020 146825 32893 4.06311 4.06311 -129.454 -4.06311 0 0 902133. 3121.57 0.96 0.25 0.25 -1 -1 0.96 0.133971 0.132555 73 24 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 19.40 vpr 64.11 MiB -1 -1 0.33 21128 1 0.23 -1 -1 33888 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65644 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 25.6 MiB 1.44 753 10873 3616 5657 1600 64.1 MiB 0.14 0.00 2.84195 -96.8447 -2.84195 2.84195 2.28 8.2712e-05 6.0816e-05 0.00542077 0.00432634 38 2342 33 6.99608e+06 309029 678818. 2348.85 7.49 0.215687 0.207232 26626 170182 -1 1703 20 1321 2157 135646 31751 3.33972 3.33972 -107.715 -3.33972 0 0 902133. 3121.57 1.15 0.19 0.28 -1 -1 1.15 0.011631 0.0103369 74 3 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 29.84 vpr 64.41 MiB -1 -1 0.43 21280 1 0.03 -1 -1 33424 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65956 32 32 338 277 1 205 79 17 17 289 -1 unnamed_device 25.6 MiB 5.13 847 10726 4408 5888 430 64.4 MiB 0.36 0.00 4.20957 -126.724 -4.20957 4.20957 2.27 8.0897e-05 6.0474e-05 0.0121343 0.00985616 46 3164 43 6.99608e+06 220735 828058. 2865.25 14.48 0.0915375 0.0587401 28066 200906 -1 2141 23 1703 2499 189910 44242 4.09236 4.09236 -132.047 -4.09236 0 0 1.01997e+06 3529.29 1.20 0.14 0.46 -1 -1 1.20 0.00818029 0.00735675 87 50 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 28.16 vpr 64.20 MiB -1 -1 0.46 21584 1 0.20 -1 -1 33688 -1 -1 12 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65736 32 32 284 241 1 168 76 17 17 289 -1 unnamed_device 25.5 MiB 8.01 674 9356 2869 4552 1935 64.2 MiB 0.14 0.00 3.13575 -106.549 -3.13575 3.13575 2.55 0.000137406 0.000107637 0.115228 0.113219 38 2611 50 6.99608e+06 176588 678818. 2348.85 9.53 0.166583 0.155973 26626 170182 -1 1759 22 1375 1949 189887 40879 3.32052 3.32052 -126.851 -3.32052 0 0 902133. 3121.57 1.42 0.17 0.30 -1 -1 1.42 0.0111915 0.00966648 69 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 22.38 vpr 63.71 MiB -1 -1 0.25 21128 1 0.10 -1 -1 33600 -1 -1 14 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65244 30 32 262 227 1 160 76 17 17 289 -1 unnamed_device 25.1 MiB 4.60 589 9996 4091 5441 464 63.7 MiB 0.07 0.00 3.70857 -108.813 -3.70857 3.70857 2.30 0.000131811 0.000102745 0.0102136 0.00823174 46 1966 20 6.99608e+06 206020 828058. 2865.25 8.36 0.159815 0.152109 28066 200906 -1 1506 20 1116 1694 127421 30809 3.35721 3.35721 -115.344 -3.35721 0 0 1.01997e+06 3529.29 1.46 0.13 0.41 -1 -1 1.46 0.108567 0.10741 66 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 19.36 vpr 64.11 MiB -1 -1 0.37 21280 1 0.05 -1 -1 33708 -1 -1 18 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65652 28 32 260 223 1 152 78 17 17 289 -1 unnamed_device 25.4 MiB 2.25 621 9208 3749 4985 474 64.1 MiB 0.14 0.00 3.24014 -101.609 -3.24014 3.24014 2.48 0.000138065 0.00010978 0.00908661 0.00740399 38 1969 23 6.99608e+06 264882 678818. 2348.85 6.80 0.218797 0.210753 26626 170182 -1 1473 18 1091 1705 127233 27662 3.40101 3.40101 -110.982 -3.40101 0 0 902133. 3121.57 0.93 0.06 0.33 -1 -1 0.93 0.00925046 0.00821416 69 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 16.83 vpr 63.78 MiB -1 -1 0.37 21128 1 0.15 -1 -1 33676 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65308 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 25.2 MiB 0.99 516 11079 4202 5369 1508 63.8 MiB 0.37 0.00 3.37459 -107.294 -3.37459 3.37459 2.34 0.000153115 0.000119024 0.0116795 0.0093362 42 1871 39 6.99608e+06 147157 744469. 2576.02 5.27 0.0499122 0.041313 27202 183097 -1 1320 22 1151 1644 120070 29992 3.32957 3.32957 -114.574 -3.32957 0 0 949917. 3286.91 0.87 0.07 0.33 -1 -1 0.87 0.00990793 0.00872915 58 3 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 20.04 vpr 64.15 MiB -1 -1 0.37 21280 1 0.02 -1 -1 33444 -1 -1 13 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65692 31 32 271 231 1 165 76 17 17 289 -1 unnamed_device 25.6 MiB 2.81 676 8396 3459 4685 252 64.2 MiB 0.19 0.00 3.25548 -105.576 -3.25548 3.25548 3.15 0.000152776 0.000121177 0.00945105 0.00760112 44 2181 31 6.99608e+06 191304 787024. 2723.27 6.51 0.306791 0.298667 27778 195446 -1 1545 21 1175 1591 111985 25819 2.90272 2.90272 -103.292 -2.90272 0 0 997811. 3452.63 0.98 0.03 0.33 -1 -1 0.98 0.00903579 0.00794333 69 30 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 30.97 vpr 64.32 MiB -1 -1 0.47 21128 1 0.08 -1 -1 33764 -1 -1 15 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65868 29 32 291 250 1 180 76 17 17 289 -1 unnamed_device 25.6 MiB 9.12 889 11756 3248 7405 1103 64.3 MiB 0.02 0.00 2.90695 -105.014 -2.90695 2.90695 2.88 5.9528e-05 4.6969e-05 0.00548378 0.00443372 36 2464 32 6.99608e+06 220735 648988. 2245.63 11.43 0.0791028 0.0705231 26050 158493 -1 1973 23 1417 1899 163421 33391 2.79132 2.79132 -110.906 -2.79132 0 0 828058. 2865.25 1.24 0.35 0.32 -1 -1 1.24 0.321178 0.319908 77 54 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 28.70 vpr 64.42 MiB -1 -1 0.33 21280 1 0.22 -1 -1 33828 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65964 32 32 367 282 1 217 80 17 17 289 -1 unnamed_device 25.6 MiB 4.36 941 11432 4088 5131 2213 64.4 MiB 0.16 0.00 4.40712 -124.994 -4.40712 4.40712 2.45 0.000186834 0.000150435 0.119212 0.116758 46 3334 48 6.99608e+06 235451 828058. 2865.25 13.74 0.740443 0.728312 28066 200906 -1 2171 18 1438 2389 179966 44853 3.56847 3.56847 -122.078 -3.56847 0 0 1.01997e+06 3529.29 1.01 0.12 0.42 -1 -1 1.01 0.0130676 0.0118169 92 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 25.20 vpr 64.55 MiB -1 -1 0.59 21432 1 0.21 -1 -1 33872 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66104 32 32 391 311 1 244 83 17 17 289 -1 unnamed_device 26.0 MiB 4.93 1017 13403 4723 5972 2708 64.6 MiB 0.21 0.03 4.21676 -145.665 -4.21676 4.21676 2.57 0.000180176 0.000143859 0.0766221 0.0734857 44 3879 49 6.99608e+06 279598 787024. 2723.27 9.73 0.135994 0.123403 27778 195446 -1 2516 22 2329 3306 268780 57575 4.1148 4.1148 -151.24 -4.1148 0 0 997811. 3452.63 1.15 0.20 0.39 -1 -1 1.15 0.0156103 0.0139288 106 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 21.86 vpr 64.17 MiB -1 -1 0.42 21128 1 0.10 -1 -1 33716 -1 -1 11 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65712 31 32 279 237 1 157 74 17 17 289 -1 unnamed_device 25.5 MiB 4.38 893 5654 1315 4090 249 64.2 MiB 0.10 0.00 3.62727 -120.532 -3.62727 3.62727 2.35 0.000155426 0.000122743 0.0712058 0.0699558 38 2251 33 6.99608e+06 161872 678818. 2348.85 6.52 0.110811 0.102848 26626 170182 -1 1922 22 1329 1899 171751 33882 3.22627 3.22627 -118.928 -3.22627 0 0 902133. 3121.57 1.06 0.14 0.32 -1 -1 1.06 0.0105304 0.00916517 66 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 26.27 vpr 64.50 MiB -1 -1 0.54 21432 1 0.10 -1 -1 33920 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66052 31 32 370 297 1 226 80 17 17 289 -1 unnamed_device 25.9 MiB 5.26 982 11604 4819 6273 512 64.5 MiB 0.06 0.00 3.54169 -123.265 -3.54169 3.54169 2.64 0.000175819 0.000141397 0.0148431 0.0120939 44 3088 44 6.99608e+06 250167 787024. 2723.27 8.84 0.191617 0.179458 27778 195446 -1 2124 19 1679 2348 168768 39278 3.55936 3.55936 -131.747 -3.55936 0 0 997811. 3452.63 1.49 0.31 0.35 -1 -1 1.49 0.0130432 0.0116966 99 61 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 28.35 vpr 64.76 MiB -1 -1 0.51 21736 1 0.06 -1 -1 33536 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66312 31 32 377 302 1 235 80 17 17 289 -1 unnamed_device 26.1 MiB 5.15 1028 12636 4943 6140 1553 64.8 MiB 0.27 0.00 5.24621 -164.101 -5.24621 5.24621 2.60 0.000178978 0.000142942 0.0159988 0.0131292 48 3124 31 6.99608e+06 250167 865456. 2994.66 10.43 0.0659359 0.0552879 28354 207349 -1 2493 24 2360 3318 282867 62928 5.15959 5.15959 -170.295 -5.15959 0 0 1.05005e+06 3633.38 1.67 0.21 0.66 -1 -1 1.67 0.0153962 0.0137133 104 64 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 31.23 vpr 64.77 MiB -1 -1 0.35 21584 1 0.19 -1 -1 33916 -1 -1 18 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66328 31 32 383 305 1 233 81 17 17 289 -1 unnamed_device 26.1 MiB 10.88 968 11981 4240 5467 2274 64.8 MiB 0.36 0.00 5.19038 -164.138 -5.19038 5.19038 2.85 8.0018e-05 6.3132e-05 0.0160126 0.013105 40 3265 28 6.99608e+06 264882 706193. 2443.58 9.16 0.28158 0.270337 26914 176310 -1 2893 21 2251 3190 337590 71137 5.42135 5.42135 -181.76 -5.42135 0 0 926341. 3205.33 1.11 0.17 0.45 -1 -1 1.11 0.013823 0.0121943 103 64 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 27.33 vpr 64.45 MiB -1 -1 0.56 21584 1 0.21 -1 -1 33540 -1 -1 16 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65992 31 32 352 285 1 215 79 17 17 289 -1 unnamed_device 25.9 MiB 7.01 862 12585 5256 6762 567 64.4 MiB 0.22 0.00 3.89582 -125.985 -3.89582 3.89582 2.77 0.000182989 0.00014656 0.19713 0.19565 48 2799 44 6.99608e+06 235451 865456. 2994.66 8.82 0.508719 0.403863 28354 207349 -1 2169 22 1701 2264 194560 43366 3.42786 3.42786 -122.223 -3.42786 0 0 1.05005e+06 3633.38 1.18 0.16 0.40 -1 -1 1.18 0.0138875 0.0123358 93 55 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 57.34 vpr 64.19 MiB -1 -1 0.48 21280 1 0.13 -1 -1 33880 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65728 32 32 291 242 1 178 78 17 17 289 -1 unnamed_device 25.5 MiB 2.93 803 9872 4112 5444 316 64.2 MiB 0.11 0.00 3.99218 -113.879 -3.99218 3.99218 2.60 0.000142435 0.000110946 0.0106756 0.00862472 40 2658 35 6.99608e+06 206020 706193. 2443.58 44.08 0.405386 0.387981 26914 176310 -1 2071 26 1764 2473 302639 100766 3.76682 3.76682 -121.52 -3.76682 0 0 926341. 3205.33 1.14 0.17 0.45 -1 -1 1.14 0.110847 0.10724 72 27 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 27.37 vpr 64.77 MiB -1 -1 0.50 21888 1 0.15 -1 -1 33636 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66324 32 32 457 356 1 282 85 17 17 289 -1 unnamed_device 26.5 MiB 4.95 1405 9943 2847 6446 650 64.8 MiB 0.14 0.00 4.92896 -168.996 -4.92896 4.92896 2.38 0.000203518 0.000165072 0.0977434 0.0954113 40 3872 39 6.99608e+06 309029 706193. 2443.58 11.96 0.346513 0.334106 26914 176310 -1 3344 23 2997 4336 446634 100719 4.65734 4.65734 -173.663 -4.65734 0 0 926341. 3205.33 1.01 0.21 0.38 -1 -1 1.01 0.0156173 0.0138065 129 87 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 28.54 vpr 64.07 MiB -1 -1 0.62 21280 1 0.06 -1 -1 33696 -1 -1 11 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65604 31 32 261 225 1 158 74 17 17 289 -1 unnamed_device 25.5 MiB 9.96 544 11234 4006 5215 2013 64.1 MiB 0.04 0.00 2.9921 -96.7202 -2.9921 2.9921 2.19 0.000125897 9.823e-05 0.0119502 0.00966785 40 1883 30 6.99608e+06 161872 706193. 2443.58 9.57 0.162997 0.154644 26914 176310 -1 1440 20 1280 1611 137520 35615 3.03497 3.03497 -106.757 -3.03497 0 0 926341. 3205.33 1.01 0.15 0.30 -1 -1 1.01 0.0104517 0.00956822 65 28 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 18.98 vpr 64.38 MiB -1 -1 0.46 21432 1 0.14 -1 -1 33748 -1 -1 15 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65920 31 32 337 267 1 200 78 17 17 289 -1 unnamed_device 25.8 MiB 1.95 912 12694 5540 6748 406 64.4 MiB 0.18 0.00 4.60267 -146.673 -4.60267 4.60267 2.72 0.000168282 0.000135802 0.0103137 0.00841589 48 2470 23 6.99608e+06 220735 865456. 2994.66 6.45 0.13798 0.128773 28354 207349 -1 2082 23 1770 2646 253731 52127 4.13621 4.13621 -137.464 -4.13621 0 0 1.05005e+06 3633.38 1.34 0.19 0.47 -1 -1 1.34 0.145241 0.1438 85 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 27.04 vpr 64.47 MiB -1 -1 0.31 21280 1 0.19 -1 -1 33536 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66016 32 32 349 284 1 213 79 17 17 289 -1 unnamed_device 25.9 MiB 4.95 945 13430 5689 7174 567 64.5 MiB 0.30 0.00 3.75245 -124.97 -3.75245 3.75245 2.76 0.00017646 0.000131258 0.015739 0.0126729 46 2944 27 6.99608e+06 220735 828058. 2865.25 10.88 0.160993 0.149706 28066 200906 -1 2029 19 1444 2116 166624 39451 3.40412 3.40412 -125.845 -3.40412 0 0 1.01997e+06 3529.29 1.14 0.16 0.48 -1 -1 1.14 0.0115485 0.0101567 91 53 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 20.45 vpr 64.16 MiB -1 -1 0.45 20976 1 0.17 -1 -1 33740 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65696 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 25.5 MiB 2.91 690 11776 4321 5710 1745 64.2 MiB 0.15 0.00 4.31309 -119.63 -4.31309 4.31309 2.37 0.000147659 0.000116013 0.0129942 0.0105711 44 2227 26 6.99608e+06 235451 787024. 2723.27 6.75 0.0888485 0.0796297 27778 195446 -1 1617 19 1050 1861 132027 30100 3.77982 3.77982 -121.04 -3.77982 0 0 997811. 3452.63 1.05 0.10 0.57 -1 -1 1.05 0.0104164 0.00930439 68 3 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 21.26 vpr 64.61 MiB -1 -1 0.42 21432 1 0.14 -1 -1 33464 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66164 32 32 353 287 1 204 79 17 17 289 -1 unnamed_device 25.9 MiB 4.13 860 12585 4651 6361 1573 64.6 MiB 0.25 0.12 4.42805 -134.738 -4.42805 4.42805 2.63 0.000165529 0.000133429 0.0163858 0.0133661 42 2764 29 6.99608e+06 220735 744469. 2576.02 5.20 0.059445 0.0492923 27202 183097 -1 2059 19 1386 1823 151201 33345 3.83976 3.83976 -135.065 -3.83976 0 0 949917. 3286.91 1.48 0.34 0.48 -1 -1 1.48 0.0132619 0.0118109 90 55 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 63.86 vpr 64.25 MiB -1 -1 0.67 21128 1 0.29 -1 -1 33680 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65796 32 32 361 291 1 216 79 17 17 289 -1 unnamed_device 25.6 MiB 4.98 955 12923 4690 6560 1673 64.3 MiB 0.14 0.00 3.70839 -125.63 -3.70839 3.70839 2.73 0.000199053 0.000160812 0.0499555 0.0468568 40 3001 35 6.99608e+06 220735 706193. 2443.58 46.74 0.397475 0.314069 26914 176310 -1 2594 24 1980 3063 380968 104868 3.88806 3.88806 -139.973 -3.88806 0 0 926341. 3205.33 1.27 0.27 0.32 -1 -1 1.27 0.00919012 0.00804052 92 55 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 27.78 vpr 64.74 MiB -1 -1 0.62 21280 1 0.25 -1 -1 33400 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66296 32 32 382 305 1 237 80 17 17 289 -1 unnamed_device 26.1 MiB 8.27 978 13152 5506 7269 377 64.7 MiB 0.15 0.00 3.78378 -128.23 -3.78378 3.78378 2.43 0.000171935 0.000134874 0.0162603 0.0133459 46 2941 30 6.99608e+06 235451 828058. 2865.25 8.20 0.0690002 0.0578692 28066 200906 -1 2221 23 1883 2487 191121 41445 3.23321 3.23321 -122.651 -3.23321 0 0 1.01997e+06 3529.29 1.18 0.08 0.46 -1 -1 1.18 0.0149729 0.0132922 101 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 20.65 vpr 64.30 MiB -1 -1 0.48 21280 1 0.02 -1 -1 33840 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65840 32 32 306 248 1 178 78 17 17 289 -1 unnamed_device 25.6 MiB 3.12 740 10204 3637 4872 1695 64.3 MiB 0.13 0.00 4.49903 -121.926 -4.49903 4.49903 2.71 0.000153053 0.000121847 0.0124619 0.0103218 44 2663 29 6.99608e+06 206020 787024. 2723.27 6.03 0.0576417 0.0478312 27778 195446 -1 1849 24 1346 2153 157567 36047 4.02517 4.02517 -124.382 -4.02517 0 0 997811. 3452.63 1.43 0.12 0.40 -1 -1 1.43 0.0130684 0.0115002 74 24 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 24.50 vpr 64.42 MiB -1 -1 0.21 21280 1 0.03 -1 -1 33980 -1 -1 13 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65964 32 32 319 257 1 192 77 17 17 289 -1 unnamed_device 25.9 MiB 6.92 787 11161 3588 5346 2227 64.4 MiB 0.08 0.00 4.08638 -124.975 -4.08638 4.08638 2.76 0.000154583 0.00012416 0.0128349 0.0105005 40 2643 24 6.99608e+06 191304 706193. 2443.58 7.18 0.0574661 0.0480763 26914 176310 -1 2114 20 1643 2275 205823 44440 4.07536 4.07536 -135.578 -4.07536 0 0 926341. 3205.33 0.96 0.12 0.52 -1 -1 0.96 0.103263 0.10235 81 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 23.55 vpr 64.66 MiB -1 -1 0.29 21280 1 0.03 -1 -1 33824 -1 -1 16 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66208 31 32 373 299 1 224 79 17 17 289 -1 unnamed_device 25.9 MiB 2.77 1019 12923 4941 6153 1829 64.7 MiB 0.39 0.00 4.37385 -138.003 -4.37385 4.37385 2.37 0.000171719 0.000137704 0.147258 0.144001 44 3606 34 6.99608e+06 235451 787024. 2723.27 9.85 0.383742 0.192068 27778 195446 -1 2373 22 1854 2847 215637 46651 4.41426 4.41426 -137.655 -4.41426 0 0 997811. 3452.63 1.10 0.27 0.41 -1 -1 1.10 0.117501 0.115947 99 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 26.06 vpr 64.52 MiB -1 -1 0.42 21584 1 0.23 -1 -1 33496 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66072 32 32 387 315 1 241 80 17 17 289 -1 unnamed_device 25.9 MiB 3.22 1065 12292 5199 6801 292 64.5 MiB 0.10 0.00 3.97712 -134.378 -3.97712 3.97712 2.73 0.000179662 0.000143444 0.01694 0.0141503 44 3699 27 6.99608e+06 235451 787024. 2723.27 11.14 0.490684 0.479747 27778 195446 -1 2693 24 2108 3139 267821 61670 3.90526 3.90526 -143.019 -3.90526 0 0 997811. 3452.63 1.44 0.17 0.48 -1 -1 1.44 0.0124409 0.0106371 104 77 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 22.46 vpr 64.02 MiB -1 -1 0.34 21432 1 0.03 -1 -1 33544 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65556 32 32 251 219 1 152 74 17 17 289 -1 unnamed_device 25.3 MiB 1.92 609 9994 4141 5511 342 64.0 MiB 0.07 0.00 3.25208 -98.689 -3.25208 3.25208 2.93 0.000132569 0.000104703 0.0104799 0.00830862 38 2057 47 6.99608e+06 147157 678818. 2348.85 9.55 0.0513689 0.0421388 26626 170182 -1 1536 18 1041 1406 115965 28168 2.91072 2.91072 -99.3222 -2.91072 0 0 902133. 3121.57 1.14 0.03 0.40 -1 -1 1.14 0.0627542 0.061765 60 23 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 22.08 vpr 64.59 MiB -1 -1 0.56 21584 1 0.14 -1 -1 33596 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66136 32 32 341 285 1 214 79 17 17 289 -1 unnamed_device 26.1 MiB 2.86 837 8867 3217 4116 1534 64.6 MiB 0.14 0.00 4.06528 -147.024 -4.06528 4.06528 3.00 0.000153524 0.000121214 0.0108326 0.00888962 46 2585 24 6.99608e+06 220735 828058. 2865.25 8.58 0.261676 0.253136 28066 200906 -1 1998 20 1957 2588 199985 44033 3.83425 3.83425 -146.664 -3.83425 0 0 1.01997e+06 3529.29 0.93 0.21 0.64 -1 -1 0.93 0.187717 0.00802113 93 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 71.75 vpr 64.76 MiB -1 -1 0.46 21432 1 0.13 -1 -1 33684 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66312 32 32 387 293 1 226 80 17 17 289 -1 unnamed_device 26.2 MiB 3.37 923 11776 4896 6295 585 64.8 MiB 0.10 0.00 4.78758 -149.256 -4.78758 4.78758 2.55 0.000181438 0.000147473 0.0159067 0.0131205 48 3448 39 6.99608e+06 235451 865456. 2994.66 57.19 0.390088 0.368563 28354 207349 -1 2558 33 2727 4198 599463 223728 4.76546 4.76546 -161.743 -4.76546 0 0 1.05005e+06 3633.38 1.21 0.60 0.62 -1 -1 1.21 0.16685 0.164905 98 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 21.41 vpr 64.30 MiB -1 -1 0.53 21432 1 0.04 -1 -1 33788 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65844 32 32 340 270 1 203 79 17 17 289 -1 unnamed_device 25.6 MiB 2.08 852 12247 5127 6715 405 64.3 MiB 0.20 0.00 4.29215 -139.385 -4.29215 4.29215 2.85 7.6607e-05 5.9973e-05 0.16916 0.166928 44 2691 40 6.99608e+06 220735 787024. 2723.27 8.27 0.246297 0.235022 27778 195446 -1 1888 18 1452 1986 166756 35737 3.23326 3.23326 -128.308 -3.23326 0 0 997811. 3452.63 0.84 0.15 0.46 -1 -1 0.84 0.122192 0.0109331 85 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 24.60 vpr 64.06 MiB -1 -1 0.40 21432 1 0.03 -1 -1 33612 -1 -1 20 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65596 30 32 278 235 1 166 82 17 17 289 -1 unnamed_device 25.5 MiB 3.85 651 12008 4967 6460 581 64.1 MiB 0.08 0.00 3.65345 -113.329 -3.65345 3.65345 2.36 0.000179894 0.000149546 0.0430158 0.0407539 46 2085 31 6.99608e+06 294314 828058. 2865.25 8.98 0.0863394 0.0770483 28066 200906 -1 1479 20 1170 1804 118310 28898 3.34801 3.34801 -114.703 -3.34801 0 0 1.01997e+06 3529.29 1.39 0.13 0.42 -1 -1 1.39 0.0102901 0.00912519 72 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 33.22 vpr 64.75 MiB -1 -1 0.43 21888 1 0.22 -1 -1 34084 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66304 32 32 431 332 1 261 82 17 17 289 -1 unnamed_device 26.1 MiB 5.86 1423 15212 5887 7111 2214 64.8 MiB 0.18 0.00 6.01298 -186.863 -6.01298 6.01298 2.64 0.000198616 0.000160838 0.0152163 0.0124892 40 4064 33 6.99608e+06 264882 706193. 2443.58 15.96 0.396401 0.384284 26914 176310 -1 3466 23 3071 4667 575895 137801 5.72209 5.72209 -195.82 -5.72209 0 0 926341. 3205.33 1.24 0.59 0.40 -1 -1 1.24 0.227472 0.225619 116 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 21.71 vpr 64.48 MiB -1 -1 0.56 21584 1 0.13 -1 -1 33772 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66028 32 32 336 268 1 199 78 17 17 289 -1 unnamed_device 25.8 MiB 2.63 905 9374 3182 4148 2044 64.5 MiB 0.35 0.00 4.80204 -144.828 -4.80204 4.80204 2.46 0.000189494 0.000141864 0.0125408 0.0103455 40 2848 29 6.99608e+06 206020 706193. 2443.58 7.83 0.259256 0.250044 26914 176310 -1 2310 24 1886 2581 254946 50387 4.43325 4.43325 -151.45 -4.43325 0 0 926341. 3205.33 1.34 0.12 0.35 -1 -1 1.34 0.0567713 0.0552506 83 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 18.76 vpr 63.87 MiB -1 -1 0.59 21128 1 0.05 -1 -1 33752 -1 -1 13 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65404 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 25.2 MiB 1.20 535 10509 4368 5789 352 63.9 MiB 0.19 0.00 2.922 -91.5293 -2.922 2.922 2.27 0.000145018 0.000115067 0.00978173 0.00782424 44 1776 31 6.99608e+06 191304 787024. 2723.27 6.29 0.24808 0.239784 27778 195446 -1 1254 23 998 1562 123725 29408 3.16227 3.16227 -97.6512 -3.16227 0 0 997811. 3452.63 1.43 0.02 0.62 -1 -1 1.43 0.0066414 0.00581891 51 3 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 23.17 vpr 64.45 MiB -1 -1 0.45 21432 1 0.40 -1 -1 33800 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65992 32 32 349 273 1 207 80 17 17 289 -1 unnamed_device 25.8 MiB 4.02 947 15044 6497 7967 580 64.4 MiB 0.50 0.00 4.78912 -134.232 -4.78912 4.78912 2.33 0.000181126 0.000146901 0.0962427 0.093064 46 2762 25 6.99608e+06 235451 828058. 2865.25 8.50 0.707065 0.655959 28066 200906 -1 2115 21 1570 2715 198354 43220 4.4258 4.4258 -134.383 -4.4258 0 0 1.01997e+06 3529.29 1.24 0.21 0.32 -1 -1 1.24 0.374509 0.373134 85 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 18.82 vpr 64.01 MiB -1 -1 0.36 21128 1 0.27 -1 -1 33880 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65548 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 25.3 MiB 2.71 510 10204 2772 5522 1910 64.0 MiB 0.16 0.00 2.966 -97.4119 -2.966 2.966 2.46 0.000130392 0.000101996 0.00938946 0.00758579 38 1706 46 6.99608e+06 206020 678818. 2348.85 5.64 0.201013 0.0775166 26626 170182 -1 1321 20 1013 1499 97313 22780 3.20727 3.20727 -108.328 -3.20727 0 0 902133. 3121.57 1.30 0.03 0.32 -1 -1 1.30 0.00981572 0.00853123 57 3 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 23.65 vpr 64.05 MiB -1 -1 0.62 21128 1 0.08 -1 -1 33896 -1 -1 13 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65588 30 32 278 235 1 170 75 17 17 289 -1 unnamed_device 25.5 MiB 2.26 687 11293 4742 6109 442 64.1 MiB 0.19 0.00 3.75078 -115.874 -3.75078 3.75078 2.68 6.1499e-05 4.7279e-05 0.157643 0.155498 38 1989 24 6.99608e+06 191304 678818. 2348.85 10.53 0.235995 0.227258 26626 170182 -1 1558 24 1279 1709 139697 30155 3.35642 3.35642 -115.674 -3.35642 0 0 902133. 3121.57 1.24 0.04 0.34 -1 -1 1.24 0.0118463 0.0104752 69 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 31.30 vpr 63.97 MiB -1 -1 0.32 21584 1 0.02 -1 -1 33832 -1 -1 18 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65508 29 32 355 287 1 213 79 17 17 289 -1 unnamed_device 25.2 MiB 5.34 994 7008 2797 3909 302 64.0 MiB 0.25 0.00 4.18292 -131.078 -4.18292 4.18292 2.52 0.000162497 0.00012991 0.150902 0.149406 40 3441 43 6.99608e+06 264882 706193. 2443.58 16.01 0.669417 0.659298 26914 176310 -1 2874 22 2123 3092 384118 87360 3.94156 3.94156 -139.592 -3.94156 0 0 926341. 3205.33 1.09 0.26 0.19 -1 -1 1.09 0.0144362 0.0128676 97 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 42.84 vpr 64.05 MiB -1 -1 0.25 21432 1 0.12 -1 -1 33840 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65592 32 32 358 289 1 217 79 17 17 289 -1 unnamed_device 25.3 MiB 4.56 931 7008 2217 3531 1260 64.1 MiB 0.10 0.00 4.25698 -140.399 -4.25698 4.25698 2.31 0.000177969 0.000142754 0.00764803 0.00628501 40 2908 35 6.99608e+06 220735 706193. 2443.58 28.75 0.368772 0.344694 26914 176310 -1 2417 69 3723 5380 1133922 475606 5.07131 5.07131 -162.382 -5.07131 0 0 926341. 3205.33 1.06 0.77 0.27 -1 -1 1.06 0.131801 0.12812 93 54 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 27.95 vpr 64.62 MiB -1 -1 0.43 21280 1 0.03 -1 -1 33848 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66176 32 32 353 285 1 213 79 17 17 289 -1 unnamed_device 25.9 MiB 8.14 1126 12585 4376 6061 2148 64.6 MiB 0.30 0.00 4.54997 -147.039 -4.54997 4.54997 2.65 0.000170124 0.000138057 0.0986792 0.0958479 40 2898 34 6.99608e+06 220735 706193. 2443.58 8.79 0.151868 0.141548 26914 176310 -1 2697 23 1971 2885 312909 60301 4.66721 4.66721 -157.112 -4.66721 0 0 926341. 3205.33 1.04 0.19 0.49 -1 -1 1.04 0.0143271 0.0126198 90 51 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 27.25 vpr 64.16 MiB -1 -1 0.21 21280 1 0.06 -1 -1 33844 -1 -1 11 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 32 32 276 237 1 163 75 17 17 289 -1 unnamed_device 25.5 MiB 7.15 661 11767 4888 6509 370 64.2 MiB 0.12 0.00 3.96872 -124.487 -3.96872 3.96872 2.55 0.000167643 0.000132871 0.068274 0.0658955 40 2367 25 6.99608e+06 161872 706193. 2443.58 9.78 0.107201 0.098682 26914 176310 -1 1809 24 1313 1689 188497 53743 3.87076 3.87076 -132.815 -3.87076 0 0 926341. 3205.33 1.26 0.10 0.31 -1 -1 1.26 0.0115145 0.0101467 67 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 18.84 vpr 64.47 MiB -1 -1 0.32 21584 1 0.06 -1 -1 33900 -1 -1 14 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66020 31 32 319 272 1 200 77 17 17 289 -1 unnamed_device 25.8 MiB 3.18 794 12791 5380 7022 389 64.5 MiB 0.14 0.00 3.72927 -124.193 -3.72927 3.72927 2.30 0.000161194 0.000127061 0.013976 0.0112777 46 2333 30 6.99608e+06 206020 828058. 2865.25 5.41 0.52602 0.515982 28066 200906 -1 1790 22 1454 2030 156940 33870 3.36281 3.36281 -121.934 -3.36281 0 0 1.01997e+06 3529.29 1.10 0.11 0.38 -1 -1 1.10 0.0836658 0.0822534 86 64 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 22.27 vpr 64.54 MiB -1 -1 0.44 21280 1 0.02 -1 -1 33576 -1 -1 19 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66088 30 32 329 273 1 202 81 17 17 289 -1 unnamed_device 25.8 MiB 4.35 861 13731 5139 6518 2074 64.5 MiB 0.19 0.00 3.45074 -112.678 -3.45074 3.45074 2.30 0.000156749 0.000123991 0.0908065 0.0880744 40 2887 25 6.99608e+06 279598 706193. 2443.58 8.27 0.132724 0.123464 26914 176310 -1 2144 22 1847 2619 248813 55028 3.18851 3.18851 -115.459 -3.18851 0 0 926341. 3205.33 0.99 0.39 0.34 -1 -1 0.99 0.0126617 0.0112127 91 57 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 37.34 vpr 64.00 MiB -1 -1 0.44 21128 1 0.16 -1 -1 33720 -1 -1 17 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65536 28 32 277 229 1 170 77 17 17 289 -1 unnamed_device 25.4 MiB 1.39 723 11976 5024 6337 615 64.0 MiB 0.17 0.00 3.68935 -104.223 -3.68935 3.68935 3.02 0.000138445 0.000109218 0.134629 0.13222 38 2170 32 6.99608e+06 250167 678818. 2348.85 25.35 0.328546 0.312845 26626 170182 -1 1687 23 1445 2242 178128 37301 3.83422 3.83422 -111.835 -3.83422 0 0 902133. 3121.57 0.97 0.16 0.24 -1 -1 0.97 0.010884 0.00961885 71 27 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 26.96 vpr 64.47 MiB -1 -1 0.55 21128 1 0.12 -1 -1 33528 -1 -1 15 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66016 30 32 317 269 1 200 77 17 17 289 -1 unnamed_device 25.9 MiB 5.42 818 11324 3148 6454 1722 64.5 MiB 0.17 0.00 4.41761 -136.631 -4.41761 4.41761 2.18 0.000157971 0.000126032 0.0130531 0.010633 46 2411 48 6.99608e+06 220735 828058. 2865.25 10.90 0.0628872 0.0525514 28066 200906 -1 1681 20 1634 2153 148658 36551 3.73525 3.73525 -130.072 -3.73525 0 0 1.01997e+06 3529.29 1.14 0.14 0.29 -1 -1 1.14 0.0107802 0.00956925 87 63 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 20.78 vpr 64.57 MiB -1 -1 0.37 21280 1 0.02 -1 -1 33568 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66120 32 32 335 282 1 216 78 17 17 289 -1 unnamed_device 25.9 MiB 2.69 1010 13192 5594 7383 215 64.6 MiB 0.19 0.00 3.51674 -126.355 -3.51674 3.51674 2.31 0.000171431 0.000136538 0.0480006 0.0450497 40 2849 29 6.99608e+06 206020 706193. 2443.58 8.39 0.733582 0.690415 26914 176310 -1 2595 20 1836 2525 265148 53412 3.14421 3.14421 -130.08 -3.14421 0 0 926341. 3205.33 1.03 0.14 0.23 -1 -1 1.03 0.235713 0.234658 93 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 20.27 vpr 64.06 MiB -1 -1 0.36 21280 1 0.09 -1 -1 33788 -1 -1 24 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65596 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 25.6 MiB 1.12 737 13911 5155 6642 2114 64.1 MiB 0.18 0.00 4.50448 -121.077 -4.50448 4.50448 2.67 0.00015456 0.000123481 0.00944061 0.00762836 46 2250 32 6.99608e+06 353176 828058. 2865.25 8.29 0.199999 0.190714 28066 200906 -1 1674 22 1240 2123 163406 36714 3.80592 3.80592 -119.755 -3.80592 0 0 1.01997e+06 3529.29 1.32 0.35 0.35 -1 -1 1.32 0.10073 0.0993712 74 4 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 25.36 vpr 64.45 MiB -1 -1 0.50 21584 1 0.03 -1 -1 33952 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65992 32 32 350 275 1 202 78 17 17 289 -1 unnamed_device 25.8 MiB 6.90 870 10204 4231 5688 285 64.4 MiB 0.18 0.00 4.41391 -146.987 -4.41391 4.41391 2.98 0.000156037 0.000123696 0.0131657 0.0107111 44 3323 36 6.99608e+06 206020 787024. 2723.27 7.42 0.113824 0.102974 27778 195446 -1 2310 23 1929 2857 210235 46439 4.19065 4.19065 -150.738 -4.19065 0 0 997811. 3452.63 1.13 0.18 0.21 -1 -1 1.13 0.0213556 0.0198425 86 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 25.05 vpr 64.78 MiB -1 -1 0.57 21280 1 0.18 -1 -1 33736 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66332 32 32 385 308 1 237 81 17 17 289 -1 unnamed_device 26.1 MiB 2.58 1114 14606 5637 6534 2435 64.8 MiB 0.26 0.00 5.07184 -165.984 -5.07184 5.07184 2.61 0.000198871 0.000161516 0.0175467 0.0143501 44 3532 33 6.99608e+06 250167 787024. 2723.27 11.33 0.129499 0.0630345 27778 195446 -1 2490 23 2284 3287 279990 57438 4.86974 4.86974 -167.378 -4.86974 0 0 997811. 3452.63 1.18 0.03 0.42 -1 -1 1.18 0.0097321 0.00865276 102 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 22.47 vpr 64.83 MiB -1 -1 0.35 21280 1 0.02 -1 -1 33772 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66388 32 32 387 309 1 244 81 17 17 289 -1 unnamed_device 26.2 MiB 2.63 1042 9181 3711 5204 266 64.8 MiB 0.05 0.00 4.37608 -146.243 -4.37608 4.37608 2.38 0.000180605 0.00014511 0.0125134 0.0102249 46 3529 25 6.99608e+06 250167 828058. 2865.25 10.07 0.0688836 0.0581383 28066 200906 -1 2566 22 1996 2878 264750 54411 4.1678 4.1678 -154.244 -4.1678 0 0 1.01997e+06 3529.29 1.06 0.41 0.44 -1 -1 1.06 0.05239 0.0508108 104 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 19.12 vpr 64.02 MiB -1 -1 0.31 21280 1 0.17 -1 -1 33892 -1 -1 13 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65560 30 32 272 232 1 171 75 17 17 289 -1 unnamed_device 25.6 MiB 2.74 629 9713 2668 5130 1915 64.0 MiB 0.08 0.06 4.31695 -123.26 -4.31695 4.31695 2.91 5.9551e-05 4.6167e-05 0.00485077 0.00390429 46 1921 21 6.99608e+06 191304 828058. 2865.25 5.77 0.0389078 0.0323672 28066 200906 -1 1475 21 1049 1505 106998 24231 3.47286 3.47286 -117.41 -3.47286 0 0 1.01997e+06 3529.29 1.15 0.12 0.45 -1 -1 1.15 0.0105365 0.00932647 71 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 25.72 vpr 64.76 MiB -1 -1 0.39 21432 1 0.11 -1 -1 33648 -1 -1 18 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66316 30 32 375 299 1 233 80 17 17 289 -1 unnamed_device 26.1 MiB 3.78 883 12120 4402 5485 2233 64.8 MiB 0.19 0.00 5.2091 -158.73 -5.2091 5.2091 2.99 0.000207889 0.000155966 0.0806197 0.0133318 46 3049 27 6.99608e+06 264882 828058. 2865.25 11.63 0.265989 0.190151 28066 200906 -1 2139 35 2805 4012 293032 69352 5.0758 5.0758 -166.038 -5.0758 0 0 1.01997e+06 3529.29 1.46 0.10 0.31 -1 -1 1.46 0.020199 0.0176592 104 63 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 25.40 vpr 64.16 MiB -1 -1 0.43 21280 1 0.21 -1 -1 33696 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 32 32 340 270 1 197 78 17 17 289 -1 unnamed_device 25.8 MiB 4.32 774 11698 4362 5117 2219 64.2 MiB 0.03 0.00 4.8046 -141.064 -4.8046 4.8046 2.69 7.1295e-05 5.4613e-05 0.00618305 0.00495622 48 2796 44 6.99608e+06 206020 865456. 2994.66 10.07 0.385289 0.375274 28354 207349 -1 2171 22 1819 2863 265130 59913 4.06535 4.06535 -139.843 -4.06535 0 0 1.05005e+06 3633.38 1.17 0.18 0.43 -1 -1 1.17 0.0131011 0.0116469 82 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 27.98 vpr 64.14 MiB -1 -1 0.45 21584 1 0.06 -1 -1 33776 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65676 31 32 340 275 1 199 80 17 17 289 -1 unnamed_device 25.6 MiB 3.22 823 14528 6271 7657 600 64.1 MiB 0.05 0.00 5.20705 -145.134 -5.20705 5.20705 2.79 7.4495e-05 5.75e-05 0.00931898 0.00753086 40 2954 37 6.99608e+06 250167 706193. 2443.58 12.92 0.0680158 0.0575733 26914 176310 -1 2320 23 1641 2320 260789 71889 4.25341 4.25341 -143.505 -4.25341 0 0 926341. 3205.33 1.26 0.20 0.33 -1 -1 1.26 0.0935723 0.0920482 87 47 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 26.05 vpr 64.55 MiB -1 -1 0.76 21432 1 0.14 -1 -1 34024 -1 -1 19 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66104 30 32 377 310 1 234 81 17 17 289 -1 unnamed_device 25.9 MiB 5.59 998 14606 5036 6964 2606 64.6 MiB 0.09 0.00 4.3242 -135.128 -4.3242 4.3242 2.70 0.000163392 0.000131069 0.0167373 0.0136154 44 3548 25 6.99608e+06 279598 787024. 2723.27 8.64 0.394468 0.383259 27778 195446 -1 2461 23 2270 3116 251673 57476 4.5468 4.5468 -153.096 -4.5468 0 0 997811. 3452.63 1.27 0.11 0.39 -1 -1 1.27 0.0141649 0.0117678 107 83 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 29.86 vpr 64.70 MiB -1 -1 0.40 21280 1 0.04 -1 -1 33832 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66252 32 32 365 294 1 223 81 17 17 289 -1 unnamed_device 25.9 MiB 5.59 1170 15831 5552 8021 2258 64.7 MiB 0.33 0.00 4.80442 -153.646 -4.80442 4.80442 2.65 0.000178972 0.000134991 0.018578 0.0149174 38 3254 29 6.99608e+06 250167 678818. 2348.85 13.53 0.0696827 0.05815 26626 170182 -1 2746 26 2184 3139 323817 82005 5.28061 5.28061 -177.869 -5.28061 0 0 902133. 3121.57 1.20 0.15 0.55 -1 -1 1.20 0.0548885 0.0534143 95 57 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 30.01 vpr 64.66 MiB -1 -1 0.37 21280 1 0.09 -1 -1 33872 -1 -1 20 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66212 29 32 378 310 1 237 81 17 17 289 -1 unnamed_device 25.9 MiB 8.98 1070 13906 5389 6734 1783 64.7 MiB 0.26 0.00 3.78245 -124.642 -3.78245 3.78245 2.80 8.4602e-05 6.5399e-05 0.00815995 0.00657445 38 3327 42 6.99608e+06 294314 678818. 2348.85 10.48 0.137742 0.126969 26626 170182 -1 2574 21 1946 2530 210023 43499 3.69272 3.69272 -132.251 -3.69272 0 0 902133. 3121.57 1.30 0.22 0.38 -1 -1 1.30 0.174559 0.173038 109 85 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 42.82 vpr 63.96 MiB -1 -1 0.34 21128 1 0.10 -1 -1 33768 -1 -1 10 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65492 32 32 243 205 1 140 74 17 17 289 -1 unnamed_device 25.3 MiB 5.11 491 9374 2820 4647 1907 64.0 MiB 0.23 0.00 3.56099 -102.364 -3.56099 3.56099 3.10 0.000145911 0.000116842 0.00973358 0.00785464 50 1345 33 6.99608e+06 147157 902133. 3121.57 25.65 0.451724 0.437003 28642 213929 -1 1054 20 885 1359 83206 22588 2.77822 2.77822 -98.0204 -2.77822 0 0 1.08113e+06 3740.92 1.26 0.10 0.34 -1 -1 1.26 0.0424821 0.0413921 54 3 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 22.05 vpr 64.72 MiB -1 -1 0.53 21584 1 0.19 -1 -1 33832 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66272 32 32 373 302 1 234 81 17 17 289 -1 unnamed_device 26.1 MiB 2.18 1172 9531 3063 4611 1857 64.7 MiB 0.24 0.00 5.23038 -167.099 -5.23038 5.23038 2.48 7.6887e-05 6.0848e-05 0.209571 0.208077 46 3034 27 6.99608e+06 250167 828058. 2865.25 9.04 0.384827 0.375343 28066 200906 -1 2545 20 1810 2569 228338 44266 4.63514 4.63514 -160.327 -4.63514 0 0 1.01997e+06 3529.29 1.23 0.10 0.50 -1 -1 1.23 0.0137036 0.0123399 100 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 22.28 vpr 64.65 MiB -1 -1 0.47 21280 1 0.16 -1 -1 33840 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66204 32 32 397 314 1 249 81 17 17 289 -1 unnamed_device 26.1 MiB 2.57 1060 12506 5192 6537 777 64.7 MiB 0.24 0.00 4.95096 -169.07 -4.95096 4.95096 2.03 0.000172515 0.000136975 0.112528 0.109561 46 3312 25 6.99608e+06 250167 828058. 2865.25 8.99 0.318291 0.307215 28066 200906 -1 2524 22 2640 3696 290225 59376 4.58324 4.58324 -165.627 -4.58324 0 0 1.01997e+06 3529.29 1.32 0.17 0.58 -1 -1 1.32 0.013983 0.0124359 109 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 23.96 vpr 64.14 MiB -1 -1 0.37 21128 1 0.05 -1 -1 33692 -1 -1 11 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65684 32 32 269 231 1 168 75 17 17 289 -1 unnamed_device 25.5 MiB 4.01 663 12241 5259 6676 306 64.1 MiB 0.06 0.00 3.88707 -116.611 -3.88707 3.88707 3.08 0.000146057 0.000105949 0.0129342 0.0103792 38 2462 42 6.99608e+06 161872 678818. 2348.85 8.54 0.057466 0.0475796 26626 170182 -1 1638 20 1165 1453 107317 24853 3.38201 3.38201 -115.688 -3.38201 0 0 902133. 3121.57 1.00 0.17 0.68 -1 -1 1.00 0.143692 0.14253 69 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 28.32 vpr 63.98 MiB -1 -1 0.35 21280 1 0.12 -1 -1 33776 -1 -1 13 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65520 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 25.5 MiB 1.32 576 8556 2635 4197 1724 64.0 MiB 0.26 0.00 3.30733 -101.102 -3.30733 3.30733 2.67 6.1179e-05 4.6676e-05 0.00729084 0.00583146 38 1814 27 6.99608e+06 191304 678818. 2348.85 16.69 0.103741 0.0912761 26626 170182 -1 1313 22 1039 1555 113093 25818 3.01782 3.01782 -103.961 -3.01782 0 0 902133. 3121.57 1.06 0.05 0.45 -1 -1 1.06 0.0102529 0.00912286 56 4 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 28.59 vpr 64.41 MiB -1 -1 0.36 21432 1 0.14 -1 -1 33816 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65960 32 32 348 274 1 208 79 17 17 289 -1 unnamed_device 25.9 MiB 2.92 869 12754 4459 5989 2306 64.4 MiB 0.16 0.00 4.59981 -149.693 -4.59981 4.59981 2.53 7.6451e-05 5.9181e-05 0.129655 0.127424 38 3590 42 6.99608e+06 220735 678818. 2348.85 15.40 0.217238 0.174312 26626 170182 -1 2440 23 2002 2676 239947 49921 4.50775 4.50775 -157.404 -4.50775 0 0 902133. 3121.57 0.86 0.25 0.40 -1 -1 0.86 0.226714 0.00924684 88 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 25.68 vpr 64.64 MiB -1 -1 0.62 21280 1 0.13 -1 -1 33732 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66188 32 32 356 289 1 218 79 17 17 289 -1 unnamed_device 25.9 MiB 6.20 940 12416 5188 6985 243 64.6 MiB 0.11 0.00 4.54977 -140.907 -4.54977 4.54977 2.35 0.000169631 0.000134919 0.0693031 0.0664362 40 2963 48 6.99608e+06 220735 706193. 2443.58 8.79 0.265381 0.254194 26914 176310 -1 2484 23 2055 2828 282419 58469 4.38751 4.38751 -152.055 -4.38751 0 0 926341. 3205.33 1.01 0.20 0.37 -1 -1 1.01 0.142984 0.0957221 95 56 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 24.43 vpr 64.52 MiB -1 -1 0.48 21432 1 0.03 -1 -1 33528 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66072 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 25.8 MiB 1.71 872 12156 5062 6580 514 64.5 MiB 0.15 0.00 4.64591 -137.817 -4.64591 4.64591 2.24 8.3278e-05 6.5343e-05 0.0110396 0.00903414 40 3074 37 6.99608e+06 250167 706193. 2443.58 12.43 0.0704377 0.0600563 26914 176310 -1 2385 21 1926 3184 289065 65855 4.81341 4.81341 -158.276 -4.81341 0 0 926341. 3205.33 0.87 0.12 0.43 -1 -1 0.87 0.0137225 0.0122841 83 3 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 22.46 vpr 64.19 MiB -1 -1 0.70 21584 1 0.17 -1 -1 33808 -1 -1 16 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65732 30 32 316 264 1 197 78 17 17 289 -1 unnamed_device 25.6 MiB 3.96 830 11698 4911 6285 502 64.2 MiB 0.15 0.00 3.74623 -107.503 -3.74623 3.74623 2.66 7.1168e-05 5.4589e-05 0.00928814 0.00754558 44 2671 42 6.99608e+06 235451 787024. 2723.27 6.71 0.0500671 0.0413002 27778 195446 -1 1997 22 1577 2353 179360 39103 3.33842 3.33842 -113.24 -3.33842 0 0 997811. 3452.63 1.13 0.17 0.25 -1 -1 1.13 0.0127874 0.0113079 86 52 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 19.76 vpr 63.70 MiB -1 -1 0.36 21432 1 0.13 -1 -1 34024 -1 -1 15 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65224 27 32 255 219 1 145 74 17 17 289 -1 unnamed_device 25.2 MiB 4.31 509 7669 2724 3648 1297 63.7 MiB 0.14 0.00 3.48259 -102.05 -3.48259 3.48259 3.34 0.000122514 9.551e-05 0.00801491 0.00648311 42 1667 21 6.99608e+06 220735 744469. 2576.02 4.64 0.0385039 0.0317852 27202 183097 -1 1234 20 862 1319 84682 21044 3.45442 3.45442 -104.789 -3.45442 0 0 949917. 3286.91 1.07 0.03 0.33 -1 -1 1.07 0.00916121 0.00808584 66 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 24.24 vpr 64.75 MiB -1 -1 0.34 21584 1 0.04 -1 -1 33676 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66300 32 32 421 327 1 257 82 17 17 289 -1 unnamed_device 26.1 MiB 3.19 1230 15746 6854 8529 363 64.7 MiB 0.37 0.00 4.19054 -144.989 -4.19054 4.19054 2.75 0.000195151 0.000157367 0.0584025 0.0542852 46 3679 33 6.99608e+06 264882 828058. 2865.25 9.64 0.213592 0.200704 28066 200906 -1 2871 23 2406 3607 276534 57095 4.29751 4.29751 -149.943 -4.29751 0 0 1.01997e+06 3529.29 1.78 0.31 0.35 -1 -1 1.78 0.0141414 0.0126209 111 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 22.19 vpr 64.70 MiB -1 -1 0.42 21432 1 0.09 -1 -1 33808 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66248 31 32 365 296 1 229 80 17 17 289 -1 unnamed_device 26.1 MiB 3.46 970 7648 1766 5271 611 64.7 MiB 0.17 0.00 5.55089 -158.936 -5.55089 5.55089 2.36 0.000163996 0.000130622 0.13478 0.133045 44 3150 26 6.99608e+06 250167 787024. 2723.27 7.97 0.239227 0.229047 27778 195446 -1 2264 21 1964 2790 202537 46807 4.71884 4.71884 -157.007 -4.71884 0 0 997811. 3452.63 1.36 0.06 0.37 -1 -1 1.36 0.0124501 0.01105 100 64 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 22.21 vpr 64.54 MiB -1 -1 0.56 21432 1 0.06 -1 -1 33936 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66092 32 32 331 280 1 215 78 17 17 289 -1 unnamed_device 25.8 MiB 3.14 984 12362 5200 6901 261 64.5 MiB 0.30 0.00 4.34704 -155.732 -4.34704 4.34704 2.88 0.000156906 0.000124549 0.185411 0.182749 46 2942 45 6.99608e+06 206020 828058. 2865.25 7.81 0.239602 0.228281 28066 200906 -1 2135 20 1370 1712 135955 29714 3.88141 3.88141 -148.486 -3.88141 0 0 1.01997e+06 3529.29 1.26 0.04 0.48 -1 -1 1.26 0.0112848 0.0101196 91 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 21.24 vpr 64.31 MiB -1 -1 0.37 21432 1 0.03 -1 -1 33740 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65852 32 32 326 263 1 197 79 17 17 289 -1 unnamed_device 25.8 MiB 2.25 810 13768 5955 7355 458 64.3 MiB 0.05 0.00 4.11318 -126.224 -4.11318 4.11318 3.03 0.000170112 0.00013506 0.0074196 0.00588256 46 2606 47 6.99608e+06 220735 828058. 2865.25 7.84 0.29288 0.282978 28066 200906 -1 1913 20 1336 1823 126148 28964 3.88781 3.88781 -126.282 -3.88781 0 0 1.01997e+06 3529.29 1.26 0.11 0.25 -1 -1 1.26 0.0120217 0.0107499 81 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 21.60 vpr 64.43 MiB -1 -1 0.27 21280 1 0.07 -1 -1 33612 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65976 31 32 373 294 1 221 80 17 17 289 -1 unnamed_device 25.8 MiB 4.18 911 12120 5043 6557 520 64.4 MiB 0.33 0.00 4.16973 -124.445 -4.16973 4.16973 2.44 0.000106355 8.1504e-05 0.110868 0.0130646 46 2581 47 6.99608e+06 250167 828058. 2865.25 7.00 0.282863 0.175516 28066 200906 -1 2091 23 1782 2496 172921 38960 4.08162 4.08162 -129.28 -4.08162 0 0 1.01997e+06 3529.29 1.47 0.25 0.41 -1 -1 1.47 0.0158417 0.0142778 97 50 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 55.62 vpr 64.50 MiB -1 -1 0.39 21432 1 0.09 -1 -1 33900 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66052 30 32 325 268 1 198 79 17 17 289 -1 unnamed_device 25.8 MiB 5.16 853 8191 3286 4551 354 64.5 MiB 0.14 0.00 3.59563 -113.561 -3.59563 3.59563 2.24 0.00015353 0.000121934 0.00930531 0.00764348 44 2628 47 6.99608e+06 250167 787024. 2723.27 39.65 0.727286 0.589306 27778 195446 -1 1911 20 1464 2206 169394 36495 3.45631 3.45631 -119.101 -3.45631 0 0 997811. 3452.63 1.17 0.02 0.63 -1 -1 1.17 0.0072413 0.00657779 88 51 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 28.07 vpr 64.33 MiB -1 -1 0.43 21280 1 0.03 -1 -1 33560 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65876 32 32 350 275 1 209 78 17 17 289 -1 unnamed_device 25.8 MiB 2.63 934 9042 3688 5047 307 64.3 MiB 0.17 0.00 4.39601 -145.17 -4.39601 4.39601 2.21 0.000183568 0.000147796 0.0114251 0.00943339 46 3357 30 6.99608e+06 206020 828058. 2865.25 13.20 0.0636262 0.0537504 28066 200906 -1 2451 23 2098 3129 259161 55709 4.38161 4.38161 -154.433 -4.38161 0 0 1.01997e+06 3529.29 1.60 0.15 0.35 -1 -1 1.60 0.0105296 0.00960721 88 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 27.92 vpr 64.68 MiB -1 -1 0.39 21280 1 0.17 -1 -1 33672 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66232 32 32 386 307 1 240 80 17 17 289 -1 unnamed_device 26.1 MiB 8.70 965 11260 4654 6213 393 64.7 MiB 0.21 0.00 3.73597 -128.871 -3.73597 3.73597 2.50 0.000173481 0.000138965 0.0144193 0.0117566 44 3539 46 6.99608e+06 235451 787024. 2723.27 8.82 0.3673 0.153114 27778 195446 -1 2256 22 1940 2610 199384 44429 3.47481 3.47481 -129.962 -3.47481 0 0 997811. 3452.63 1.03 0.25 0.23 -1 -1 1.03 0.182367 0.180816 103 62 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 20.81 vpr 64.14 MiB -1 -1 0.20 21432 1 0.08 -1 -1 33804 -1 -1 14 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65684 29 32 269 229 1 168 75 17 17 289 -1 unnamed_device 25.5 MiB 4.53 553 10345 3346 4809 2190 64.1 MiB 0.02 0.00 4.28805 -120.257 -4.28805 4.28805 2.95 5.6187e-05 4.3429e-05 0.00465428 0.00373116 44 1988 29 6.99608e+06 206020 787024. 2723.27 6.18 0.130293 0.122866 27778 195446 -1 1330 22 1317 1750 124111 30032 3.34456 3.34456 -119.019 -3.34456 0 0 997811. 3452.63 1.24 0.12 0.36 -1 -1 1.24 0.0105669 0.00932764 70 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 48.97 vpr 64.13 MiB -1 -1 0.49 21280 1 0.13 -1 -1 33928 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65668 32 32 310 266 1 182 78 17 17 289 -1 unnamed_device 25.6 MiB 7.49 793 12362 5268 6864 230 64.1 MiB 0.25 0.00 4.02018 -135.883 -4.02018 4.02018 2.31 0.00023656 0.000174734 0.0140549 0.0114148 38 2575 30 6.99608e+06 206020 678818. 2348.85 32.25 0.161798 0.14525 26626 170182 -1 2012 20 1609 2187 211896 46250 3.90455 3.90455 -140.013 -3.90455 0 0 902133. 3121.57 0.96 0.14 0.32 -1 -1 0.96 0.0113684 0.0101394 79 58 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 21.52 vpr 64.30 MiB -1 -1 0.40 21280 1 0.14 -1 -1 34100 -1 -1 15 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65840 31 32 326 261 1 193 78 17 17 289 -1 unnamed_device 25.7 MiB 2.50 826 12196 4442 6061 1693 64.3 MiB 0.17 0.00 4.09738 -124.458 -4.09738 4.09738 2.17 0.000185234 0.000151997 0.0142251 0.0116491 40 2795 44 6.99608e+06 220735 706193. 2443.58 9.17 0.0681879 0.0573542 26914 176310 -1 2030 28 1940 2883 358545 135793 3.89202 3.89202 -131.812 -3.89202 0 0 926341. 3205.33 1.14 0.35 0.51 -1 -1 1.14 0.00944034 0.00810684 80 33 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 19.47 vpr 63.97 MiB -1 -1 0.39 21432 1 0.01 -1 -1 33872 -1 -1 13 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65504 29 32 262 224 1 162 74 17 17 289 -1 unnamed_device 25.5 MiB 3.28 623 8909 3777 4738 394 64.0 MiB 0.09 0.00 3.79267 -110.261 -3.79267 3.79267 2.34 0.000128352 0.000100824 0.0630843 0.0611368 42 2006 30 6.99608e+06 191304 744469. 2576.02 5.80 0.450794 0.44265 27202 183097 -1 1504 18 1083 1382 104481 25915 3.19941 3.19941 -107.059 -3.19941 0 0 949917. 3286.91 1.52 0.05 0.33 -1 -1 1.52 0.0245591 0.0235331 68 31 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 18.33 vpr 64.20 MiB -1 -1 0.44 21128 1 0.02 -1 -1 33836 -1 -1 12 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65736 32 32 278 238 1 178 76 17 17 289 -1 unnamed_device 25.6 MiB 2.68 747 11436 4976 6147 313 64.2 MiB 0.02 0.00 4.32795 -132.311 -4.32795 4.32795 2.24 6.3357e-05 4.8258e-05 0.00555277 0.00439008 46 1985 20 6.99608e+06 176588 828058. 2865.25 6.48 0.0484308 0.0414298 28066 200906 -1 1624 22 1252 1683 122330 27578 3.50386 3.50386 -129.467 -3.50386 0 0 1.01997e+06 3529.29 1.07 0.06 0.38 -1 -1 1.07 0.038826 0.0376409 73 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 20.37 vpr 64.34 MiB -1 -1 0.69 21280 1 0.16 -1 -1 33840 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65880 31 32 373 300 1 231 80 17 17 289 -1 unnamed_device 25.6 MiB 3.05 925 14356 5451 6870 2035 64.3 MiB 0.36 0.00 4.44525 -144.678 -4.44525 4.44525 2.58 8.9399e-05 7.1659e-05 0.167974 0.16532 44 2984 38 6.99608e+06 250167 787024. 2723.27 6.51 0.287971 0.277588 27778 195446 -1 2191 22 1989 2681 204537 45301 4.39755 4.39755 -148.654 -4.39755 0 0 997811. 3452.63 1.14 0.34 0.42 -1 -1 1.14 0.14785 0.146321 102 64 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 19.04 vpr 64.29 MiB -1 -1 0.35 21280 1 0.02 -1 -1 33736 -1 -1 13 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65832 31 32 265 230 1 171 76 17 17 289 -1 unnamed_device 25.6 MiB 2.90 677 12236 4942 6162 1132 64.3 MiB 0.21 0.00 3.74867 -113.589 -3.74867 3.74867 2.65 0.000126127 9.809e-05 0.172657 0.00951226 40 1944 42 6.99608e+06 191304 706193. 2443.58 5.18 0.339072 0.143402 26914 176310 -1 1672 21 1245 1768 160342 33681 3.16146 3.16146 -110.118 -3.16146 0 0 926341. 3205.33 1.10 0.17 0.28 -1 -1 1.10 0.0104887 0.0093224 71 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 36.37 vpr 64.15 MiB -1 -1 0.55 21280 1 0.12 -1 -1 33720 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65688 32 32 349 286 1 207 79 17 17 289 -1 unnamed_device 25.5 MiB 3.97 1000 14275 5369 6741 2165 64.1 MiB 0.07 0.00 3.51669 -120.5 -3.51669 3.51669 2.78 0.000172729 0.000140596 0.0172174 0.0138593 36 3385 48 6.99608e+06 220735 648988. 2245.63 22.36 0.0872736 0.0746695 26050 158493 -1 2516 20 1568 2139 228982 44386 3.39006 3.39006 -127.987 -3.39006 0 0 828058. 2865.25 0.96 0.17 0.38 -1 -1 0.96 0.133893 0.132315 91 57 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 30.35 vpr 64.74 MiB -1 -1 0.61 21584 1 0.33 -1 -1 33972 -1 -1 20 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66296 31 32 396 325 1 255 83 17 17 289 -1 unnamed_device 26.2 MiB 8.91 1155 14663 6188 7958 517 64.7 MiB 0.26 0.00 4.64393 -158.098 -4.64393 4.64393 2.78 0.000186448 0.000150575 0.0406488 0.0375185 48 3206 36 6.99608e+06 294314 865456. 2994.66 9.86 0.224892 0.133785 28354 207349 -1 2807 28 2923 4123 575768 188632 4.67759 4.67759 -170.147 -4.67759 0 0 1.05005e+06 3633.38 1.38 0.37 0.62 -1 -1 1.38 0.0764526 0.0157775 113 91 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 50.06 vpr 64.08 MiB -1 -1 0.36 21432 1 0.12 -1 -1 33732 -1 -1 12 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65616 32 32 303 262 1 192 76 17 17 289 -1 unnamed_device 25.5 MiB 6.16 775 10156 4187 5714 255 64.1 MiB 0.13 0.00 3.42554 -116.648 -3.42554 3.42554 2.55 6.3395e-05 4.9045e-05 0.00827363 0.00671773 40 2408 28 6.99608e+06 176588 706193. 2443.58 33.07 0.215568 0.150057 26914 176310 -1 2061 20 1626 2128 206695 44348 3.37781 3.37781 -121.709 -3.37781 0 0 926341. 3205.33 0.75 0.21 0.38 -1 -1 0.75 0.105409 0.0097192 80 57 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 18.93 vpr 64.22 MiB -1 -1 0.51 21280 1 0.04 -1 -1 33804 -1 -1 11 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65764 32 32 290 244 1 172 75 17 17 289 -1 unnamed_device 25.6 MiB 2.22 715 9871 2522 5750 1599 64.2 MiB 0.28 0.00 3.90682 -124.154 -3.90682 3.90682 2.73 0.000132002 0.000104569 0.0106422 0.00864724 48 1761 24 6.99608e+06 161872 865456. 2994.66 5.50 0.0727083 0.0638315 28354 207349 -1 1520 20 1181 1705 120049 30478 3.18826 3.18826 -114.649 -3.18826 0 0 1.05005e+06 3633.38 1.73 0.04 0.69 -1 -1 1.73 0.011206 0.00999042 72 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 24.14 vpr 64.40 MiB -1 -1 0.34 21280 1 0.11 -1 -1 33816 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65944 32 32 318 257 1 191 78 17 17 289 -1 unnamed_device 25.9 MiB 5.12 806 10370 4256 5769 345 64.4 MiB 0.14 0.00 4.12063 -125.806 -4.12063 4.12063 2.31 7.5489e-05 5.8267e-05 0.00803951 0.00644611 40 2802 44 6.99608e+06 206020 706193. 2443.58 8.92 0.155293 0.0485573 26914 176310 -1 2341 21 1844 2572 222411 52159 4.04836 4.04836 -139.738 -4.04836 0 0 926341. 3205.33 1.05 0.08 0.57 -1 -1 1.05 0.040421 0.0391463 79 30 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 29.38 vpr 64.50 MiB -1 -1 0.47 21432 1 0.02 -1 -1 33740 -1 -1 18 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66048 29 32 324 268 1 195 79 17 17 289 -1 unnamed_device 25.8 MiB 5.23 860 11233 4667 5950 616 64.5 MiB 0.16 0.00 3.78147 -112.526 -3.78147 3.78147 2.54 0.000162341 0.000129164 0.0141805 0.0113294 38 2683 32 6.99608e+06 264882 678818. 2348.85 14.67 0.0848577 0.0742908 26626 170182 -1 1990 20 1446 2086 188585 42244 3.21921 3.21921 -110.88 -3.21921 0 0 902133. 3121.57 1.02 0.02 0.39 -1 -1 1.02 0.00705835 0.00639889 88 55 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 75.33 vpr 64.80 MiB -1 -1 0.41 21584 1 0.05 -1 -1 33932 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66360 32 32 393 312 1 235 81 17 17 289 -1 unnamed_device 26.1 MiB 4.64 1139 12681 5262 6918 501 64.8 MiB 0.17 0.00 5.49769 -178.53 -5.49769 5.49769 2.50 0.0001959 0.00015823 0.01632 0.0134555 44 3771 32 6.99608e+06 250167 787024. 2723.27 60.28 0.287541 0.26721 27778 195446 -1 2606 21 2413 3608 274729 56780 4.7747 4.7747 -174.007 -4.7747 0 0 997811. 3452.63 1.10 0.17 0.47 -1 -1 1.10 0.0148152 0.0132712 105 65 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 19.70 vpr 63.92 MiB -1 -1 0.39 20520 1 0.25 -1 -1 33748 -1 -1 13 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65456 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 25.3 MiB 2.80 587 10476 4438 5741 297 63.9 MiB 0.15 0.00 3.45403 -92.0406 -3.45403 3.45403 2.76 0.000157384 0.000126855 0.0100948 0.00818672 38 2041 40 6.99608e+06 191304 678818. 2348.85 7.26 0.244683 0.235787 26626 170182 -1 1431 22 1146 1808 146741 32202 2.82547 2.82547 -98.1947 -2.82547 0 0 902133. 3121.57 1.15 0.02 0.37 -1 -1 1.15 0.0045327 0.00398858 54 4 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 73.15 vpr 64.94 MiB -1 -1 0.32 20976 1 0.02 -1 -1 33848 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66500 32 32 412 334 1 258 84 17 17 289 -1 unnamed_device 26.2 MiB 8.94 1043 15090 5384 7460 2246 64.9 MiB 0.25 0.00 4.74833 -158.465 -4.74833 4.74833 2.47 0.000174847 0.000138967 0.01819 0.0148622 50 3075 46 6.99608e+06 294314 902133. 3121.57 53.87 0.246558 0.224239 28642 213929 -1 2292 22 2270 2774 267368 59687 5.0614 5.0614 -169.611 -5.0614 0 0 1.08113e+06 3740.92 1.49 0.12 0.42 -1 -1 1.49 0.0153767 0.0137454 116 90 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 26.32 vpr 64.62 MiB -1 -1 0.34 21280 1 0.11 -1 -1 33748 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66172 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 26.1 MiB 2.87 1243 11948 3932 6174 1842 64.6 MiB 0.15 0.00 4.39022 -163.291 -4.39022 4.39022 2.87 0.000193376 0.000158692 0.0774409 0.0748942 38 3401 24 6.99608e+06 235451 678818. 2348.85 12.56 0.144965 0.134071 26626 170182 -1 2877 22 2782 3539 348319 66606 4.48149 4.48149 -170.274 -4.48149 0 0 902133. 3121.57 0.99 0.18 0.30 -1 -1 0.99 0.0308491 0.029541 110 96 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 23.81 vpr 64.66 MiB -1 -1 0.36 21584 1 0.16 -1 -1 33676 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66216 32 32 360 293 1 219 79 17 17 289 -1 unnamed_device 25.9 MiB 4.69 943 11571 4822 6284 465 64.7 MiB 0.12 0.00 3.68917 -120.78 -3.68917 3.68917 2.29 0.000184103 0.000148264 0.0162178 0.0116573 44 3145 46 6.99608e+06 220735 787024. 2723.27 8.01 0.266417 0.252734 27778 195446 -1 2092 21 1590 2096 144585 34265 3.68832 3.68832 -128.704 -3.68832 0 0 997811. 3452.63 1.40 0.05 0.54 -1 -1 1.40 0.247799 0.246435 94 60 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 23.53 vpr 64.54 MiB -1 -1 0.42 21736 1 0.02 -1 -1 33852 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66088 32 32 396 299 1 228 79 17 17 289 -1 unnamed_device 25.9 MiB 3.33 984 11571 4450 5727 1394 64.5 MiB 0.27 0.00 5.93064 -168.994 -5.93064 5.93064 3.14 0.000191142 0.000154286 0.0132327 0.0108005 46 3271 27 6.99608e+06 220735 828058. 2865.25 8.81 0.0680896 0.057384 28066 200906 -1 2256 23 2165 3247 206923 47402 4.7732 4.7732 -160.204 -4.7732 0 0 1.01997e+06 3529.29 1.04 0.28 0.54 -1 -1 1.04 0.146667 0.144891 98 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 17.29 vpr 63.91 MiB -1 -1 0.56 21280 1 0.07 -1 -1 33572 -1 -1 12 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65448 30 32 224 207 1 132 74 17 17 289 -1 unnamed_device 25.2 MiB 2.27 449 10769 3021 6028 1720 63.9 MiB 0.14 0.00 2.78575 -94.7661 -2.78575 2.78575 2.54 0.000111254 8.5933e-05 0.00935979 0.00732815 44 1210 45 6.99608e+06 176588 787024. 2723.27 4.92 0.204339 0.195767 27778 195446 -1 907 17 633 808 61006 14855 2.31212 2.31212 -85.0792 -2.31212 0 0 997811. 3452.63 1.13 0.02 0.37 -1 -1 1.13 0.00710424 0.00626875 53 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 30.56 vpr 64.03 MiB -1 -1 0.56 21432 1 0.02 -1 -1 33852 -1 -1 14 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65564 30 32 286 239 1 157 76 17 17 289 -1 unnamed_device 25.5 MiB 11.71 635 8556 3694 4561 301 64.0 MiB 0.02 0.00 3.79502 -117.432 -3.79502 3.79502 2.58 6.5609e-05 5.0115e-05 0.00458027 0.00365901 38 2087 25 6.99608e+06 206020 678818. 2348.85 7.95 0.118946 0.111375 26626 170182 -1 1532 21 1244 1862 213351 69419 3.30746 3.30746 -124.469 -3.30746 0 0 902133. 3121.57 1.18 0.06 0.44 -1 -1 1.18 0.0108647 0.00962303 68 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 23.40 vpr 64.33 MiB -1 -1 0.34 21280 1 0.14 -1 -1 33580 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65872 32 32 296 247 1 182 81 17 17 289 -1 unnamed_device 25.6 MiB 1.67 745 10756 3701 5259 1796 64.3 MiB 0.08 0.00 3.68644 -120.453 -3.68644 3.68644 2.67 0.000169394 0.000138435 0.0114146 0.0092875 46 2563 46 6.99608e+06 250167 828058. 2865.25 11.27 0.187972 0.177836 28066 200906 -1 1818 21 1379 2147 194317 44810 3.54672 3.54672 -123.118 -3.54672 0 0 1.01997e+06 3529.29 1.29 0.16 0.42 -1 -1 1.29 0.0114725 0.0101091 78 34 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 20.15 vpr 63.90 MiB -1 -1 0.28 21280 1 0.03 -1 -1 33832 -1 -1 16 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65432 25 32 216 194 1 136 73 17 17 289 -1 unnamed_device 25.2 MiB 3.50 529 9649 3987 4965 697 63.9 MiB 0.10 0.00 3.34779 -78.1264 -3.34779 3.34779 2.14 0.0001172 8.9673e-05 0.0087407 0.00694252 36 1785 31 6.99608e+06 235451 648988. 2245.63 7.49 0.287535 0.27977 26050 158493 -1 1223 22 949 1259 94166 22013 3.08217 3.08217 -83.8681 -3.08217 0 0 828058. 2865.25 0.81 0.09 0.37 -1 -1 0.81 0.0739506 0.0728424 59 29 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 36.23 vpr 64.74 MiB -1 -1 0.73 21280 1 0.23 -1 -1 33824 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66296 32 32 376 307 1 234 81 17 17 289 -1 unnamed_device 26.2 MiB 9.68 1054 8656 3245 4768 643 64.7 MiB 0.14 0.00 4.05906 -133.149 -4.05906 4.05906 2.24 0.000183218 0.000146769 0.0107266 0.00878672 40 3768 46 6.99608e+06 250167 706193. 2443.58 15.89 0.214846 0.203654 26914 176310 -1 2997 25 2299 3328 332536 68969 4.03662 4.03662 -145.587 -4.03662 0 0 926341. 3205.33 1.20 0.27 0.26 -1 -1 1.20 0.0156515 0.0138368 103 72 -1 -1 -1 -1 +fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 32.38 vpr 64.67 MiB -1 -1 0.61 21432 1 0.03 -1 -1 33892 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66220 31 32 409 331 1 258 82 17 17 289 -1 unnamed_device 26.1 MiB 8.46 1127 9872 4010 5529 333 64.7 MiB 0.15 0.00 4.48803 -146.589 -4.48803 4.48803 3.02 0.000217775 0.000181679 0.0130191 0.0108329 40 3765 50 6.99608e+06 279598 706193. 2443.58 12.40 0.362758 0.350697 26914 176310 -1 2914 23 2334 3183 337323 76769 4.4325 4.4325 -165.554 -4.4325 0 0 926341. 3205.33 1.18 0.30 0.44 -1 -1 1.18 0.0796756 0.0106643 117 90 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_001.v common 27.09 vpr 64.29 MiB -1 -1 0.66 21888 14 1.29 -1 -1 37020 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65832 32 32 277 309 1 203 83 17 17 289 -1 unnamed_device 25.6 MiB 5.60 1200 14123 4211 7443 2469 64.3 MiB 0.34 0.00 8.48111 -174.272 -8.48111 8.48111 2.29 0.0002577 0.000200005 0.0231112 0.0189047 38 3430 43 6.79088e+06 255968 678818. 2348.85 9.85 0.549998 0.53525 25966 169698 -1 2700 17 1287 3600 189705 42637 7.35086 7.35086 -163.994 -7.35086 0 0 902133. 3121.57 1.25 0.18 0.25 -1 -1 1.25 0.0278834 0.0263172 130 183 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_002.v common 29.90 vpr 64.09 MiB -1 -1 0.78 21888 14 0.86 -1 -1 36548 -1 -1 19 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65624 30 32 272 304 1 194 81 17 17 289 -1 unnamed_device 25.4 MiB 8.24 1041 12506 3388 6981 2137 64.1 MiB 0.40 0.00 7.55088 -152.933 -7.55088 7.55088 2.77 0.000252827 0.000207156 0.163085 0.159623 36 3205 23 6.79088e+06 255968 648988. 2245.63 11.00 0.38998 0.377262 25390 158009 -1 2467 19 1290 3357 197410 46359 6.74539 6.74539 -147.967 -6.74539 0 0 828058. 2865.25 1.16 0.20 0.27 -1 -1 1.16 0.0176808 0.0161191 125 184 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_003.v common 28.80 vpr 64.14 MiB -1 -1 0.37 21432 11 0.84 -1 -1 37192 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65676 32 32 280 312 1 193 83 17 17 289 -1 unnamed_device 25.6 MiB 11.23 1174 9623 2464 5833 1326 64.1 MiB 0.30 0.00 6.66938 -147.636 -6.66938 6.66938 2.59 0.000245064 0.000200758 0.121011 0.118329 40 2907 18 6.79088e+06 255968 706193. 2443.58 6.95 0.464845 0.45379 26254 175826 -1 2700 18 1168 3505 212013 47079 6.10754 6.10754 -145.397 -6.10754 0 0 926341. 3205.33 0.84 0.14 0.59 -1 -1 0.84 0.101021 0.0994821 130 186 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_004.v common 52.71 vpr 64.16 MiB -1 -1 0.60 21280 12 1.07 -1 -1 37052 -1 -1 24 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 29 32 275 307 1 202 85 17 17 289 -1 unnamed_device 25.6 MiB 4.27 1140 13849 4119 7829 1901 64.2 MiB 0.38 0.00 7.24573 -145.062 -7.24573 7.24573 2.56 0.00010996 8.7726e-05 0.287474 0.284278 40 2712 25 6.79088e+06 323328 706193. 2443.58 36.90 0.43777 0.417334 26254 175826 -1 2622 18 1304 3757 216194 48068 6.49468 6.49468 -141.371 -6.49468 0 0 926341. 3205.33 1.53 0.12 0.28 -1 -1 1.53 0.0180021 0.0163358 136 190 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_005.v common 28.73 vpr 64.48 MiB -1 -1 0.67 21736 13 1.24 -1 -1 36736 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66032 32 32 302 334 1 234 86 17 17 289 -1 unnamed_device 25.9 MiB 6.21 1308 9536 2519 6199 818 64.5 MiB 0.14 0.00 8.29941 -174.684 -8.29941 8.29941 2.58 0.000248343 0.000203207 0.0144201 0.0119745 38 3855 22 6.79088e+06 296384 678818. 2348.85 9.98 0.291704 0.279499 25966 169698 -1 2990 20 1412 3638 188193 43079 7.04627 7.04627 -163.166 -7.04627 0 0 902133. 3121.57 1.25 0.26 0.51 -1 -1 1.25 0.0179012 0.016226 152 208 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_006.v common 48.69 vpr 64.36 MiB -1 -1 0.69 21888 13 1.03 -1 -1 36544 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65900 32 32 292 324 1 210 83 17 17 289 -1 unnamed_device 25.6 MiB 5.37 1207 10163 2849 5374 1940 64.4 MiB 0.12 0.00 7.60457 -158.151 -7.60457 7.60457 2.35 0.000463158 0.00031649 0.0122512 0.0100914 34 4275 44 6.79088e+06 255968 618332. 2139.56 32.10 0.450958 0.312508 25102 150614 -1 3371 22 1761 5443 391172 82915 6.58427 6.58427 -157.091 -6.58427 0 0 787024. 2723.27 1.10 0.16 0.35 -1 -1 1.10 0.0176595 0.0158979 137 198 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_007.v common 18.45 vpr 63.61 MiB -1 -1 0.69 21128 12 0.90 -1 -1 36088 -1 -1 21 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65132 27 32 229 261 1 168 80 17 17 289 -1 unnamed_device 25.1 MiB 5.26 859 8680 2413 5503 764 63.6 MiB 0.04 0.00 6.99932 -125.75 -6.99932 6.99932 2.63 0.000176461 0.000141885 0.0116218 0.00963192 30 2390 31 6.79088e+06 282912 556674. 1926.21 2.90 0.0470823 0.0400823 24526 138013 -1 1954 15 1002 2230 109541 26910 5.65673 5.65673 -117.344 -5.65673 0 0 706193. 2443.58 1.00 0.07 0.25 -1 -1 1.00 0.0124538 0.0114124 106 150 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_008.v common 33.44 vpr 63.84 MiB -1 -1 0.54 21280 12 0.71 -1 -1 36660 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65368 31 32 229 261 1 188 80 17 17 289 -1 unnamed_device 25.3 MiB 11.21 1041 12120 4682 6151 1287 63.8 MiB 0.28 0.00 6.42294 -136.515 -6.42294 6.42294 2.62 0.000176016 0.000141464 0.016542 0.0136174 38 2936 50 6.79088e+06 229024 678818. 2348.85 9.55 0.186959 0.174324 25966 169698 -1 2387 89 1297 3558 1346629 987091 5.90384 5.90384 -131.624 -5.90384 0 0 902133. 3121.57 1.03 1.60 0.46 -1 -1 1.03 0.0755414 0.069839 106 138 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_009.v common 28.36 vpr 63.72 MiB -1 -1 0.79 21432 12 0.53 -1 -1 36284 -1 -1 20 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65252 31 32 235 267 1 194 83 17 17 289 -1 unnamed_device 25.3 MiB 11.19 1112 8543 2303 4599 1641 63.7 MiB 0.25 0.00 6.92467 -146.156 -6.92467 6.92467 2.61 0.000180693 0.000145517 0.186034 0.184172 38 2832 40 6.79088e+06 269440 678818. 2348.85 6.13 0.241066 0.231407 25966 169698 -1 2434 18 1148 2890 158628 36102 6.12648 6.12648 -142.526 -6.12648 0 0 902133. 3121.57 0.74 0.14 0.61 -1 -1 0.74 0.125593 0.124962 113 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_010.v common 25.68 vpr 63.95 MiB -1 -1 0.58 21280 13 0.57 -1 -1 36432 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65488 32 32 250 282 1 182 79 17 17 289 -1 unnamed_device 25.3 MiB 8.63 1044 5825 1272 4307 246 64.0 MiB 0.16 0.00 7.71708 -165.102 -7.71708 7.71708 2.98 0.000199702 0.000160614 0.111186 0.109443 38 2762 24 6.79088e+06 202080 678818. 2348.85 5.29 0.272509 0.262761 25966 169698 -1 2322 17 1121 2684 145404 33670 6.70957 6.70957 -157.411 -6.70957 0 0 902133. 3121.57 0.99 0.14 0.48 -1 -1 0.99 0.114655 0.114049 106 156 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_011.v common 30.43 vpr 63.74 MiB -1 -1 0.66 21128 12 0.57 -1 -1 36400 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65268 30 32 216 248 1 161 79 17 17 289 -1 unnamed_device 25.1 MiB 5.85 926 7008 1689 4680 639 63.7 MiB 0.34 0.00 7.21324 -147.642 -7.21324 7.21324 2.59 0.000175075 0.000140532 0.149015 0.147389 30 2578 44 6.79088e+06 229024 556674. 1926.21 13.13 0.290088 0.279388 24526 138013 -1 2027 17 881 2080 117822 27063 6.27979 6.27979 -141.995 -6.27979 0 0 706193. 2443.58 1.08 0.09 0.24 -1 -1 1.08 0.0124707 0.0113495 96 128 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_012.v common 40.96 vpr 63.83 MiB -1 -1 0.75 21584 12 0.61 -1 -1 36512 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65364 32 32 236 268 1 171 81 17 17 289 -1 unnamed_device 25.1 MiB 7.99 1082 9181 2345 5797 1039 63.8 MiB 0.16 0.00 6.01027 -147.531 -6.01027 6.01027 2.46 0.000216153 0.000179143 0.0765223 0.0743914 36 3449 49 6.79088e+06 229024 648988. 2245.63 21.77 0.321001 0.309567 25390 158009 -1 2497 26 1120 2952 302335 118937 5.35651 5.35651 -141.317 -5.35651 0 0 828058. 2865.25 1.04 0.20 0.29 -1 -1 1.04 0.0180115 0.0161886 101 142 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_013.v common 33.88 vpr 64.03 MiB -1 -1 0.75 21736 13 0.92 -1 -1 36496 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65568 32 32 283 315 1 215 84 17 17 289 -1 unnamed_device 25.4 MiB 7.55 1306 7038 1688 4711 639 64.0 MiB 0.30 0.00 8.03011 -168.791 -8.03011 8.03011 2.04 0.000283555 0.000240447 0.0919729 0.0896139 36 3754 30 6.79088e+06 269440 648988. 2245.63 15.66 0.27022 0.257534 25390 158009 -1 2990 17 1300 3383 208053 46550 6.81035 6.81035 -158.161 -6.81035 0 0 828058. 2865.25 0.94 0.23 0.25 -1 -1 0.94 0.111689 0.110134 134 189 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_014.v common 25.50 vpr 64.44 MiB -1 -1 0.85 21736 14 0.89 -1 -1 36376 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65988 32 32 303 335 1 230 86 17 17 289 -1 unnamed_device 25.7 MiB 7.26 1416 7079 1642 5037 400 64.4 MiB 0.09 0.00 8.75222 -185.44 -8.75222 8.75222 2.10 0.000249265 0.000205395 0.0136223 0.0115001 30 3987 30 6.79088e+06 296384 556674. 1926.21 7.80 0.067932 0.0589295 24526 138013 -1 3086 21 1537 3895 209296 47411 7.92696 7.92696 -180.675 -7.92696 0 0 706193. 2443.58 0.73 0.18 0.29 -1 -1 0.73 0.235672 0.233772 151 209 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_015.v common 29.66 vpr 63.58 MiB -1 -1 0.62 21128 11 0.72 -1 -1 36200 -1 -1 21 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65108 29 32 225 257 1 176 82 17 17 289 -1 unnamed_device 25.1 MiB 9.49 1026 7024 1624 4287 1113 63.6 MiB 0.04 0.00 6.6829 -137.714 -6.6829 6.6829 2.87 0.000208881 0.000172227 0.0103001 0.00857439 36 2816 22 6.79088e+06 282912 648988. 2245.63 9.59 0.392383 0.383297 25390 158009 -1 2455 18 1157 2781 188507 41454 5.77854 5.77854 -135.281 -5.77854 0 0 828058. 2865.25 0.86 0.11 0.33 -1 -1 0.86 0.0141885 0.0129072 106 140 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_016.v common 29.93 vpr 64.47 MiB -1 -1 0.55 21736 12 1.12 -1 -1 36380 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66020 32 32 301 333 1 221 88 17 17 289 -1 unnamed_device 25.7 MiB 6.71 1310 12763 3534 7319 1910 64.5 MiB 0.19 0.00 7.16817 -158.635 -7.16817 7.16817 2.48 0.000248253 0.000203162 0.0202128 0.0168169 38 3452 26 6.79088e+06 323328 678818. 2348.85 9.39 0.0876208 0.0743489 25966 169698 -1 2821 18 1476 4588 235361 53321 6.16568 6.16568 -149.194 -6.16568 0 0 902133. 3121.57 1.15 0.18 0.31 -1 -1 1.15 0.108212 0.106601 145 207 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_017.v common 36.85 vpr 64.06 MiB -1 -1 0.96 21888 14 1.00 -1 -1 36628 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65596 32 32 277 309 1 210 83 17 17 289 -1 unnamed_device 25.4 MiB 9.13 1313 8723 2298 6119 306 64.1 MiB 0.34 0.00 8.13104 -170.503 -8.13104 8.13104 2.54 0.000239879 0.000191343 0.303268 0.172469 38 3929 22 6.79088e+06 255968 678818. 2348.85 15.37 0.408614 0.26862 25966 169698 -1 2875 25 1389 4010 323964 110156 6.84955 6.84955 -159.968 -6.84955 0 0 902133. 3121.57 0.99 0.19 0.28 -1 -1 0.99 0.0183482 0.0166276 126 183 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_018.v common 42.53 vpr 63.56 MiB -1 -1 0.73 21432 12 0.84 -1 -1 36316 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65088 32 32 227 259 1 172 79 17 17 289 -1 unnamed_device 25.1 MiB 7.43 1050 9374 2716 5861 797 63.6 MiB 0.17 0.00 7.14943 -160.299 -7.14943 7.14943 2.68 8.7601e-05 7.0653e-05 0.141649 0.14046 30 2886 39 6.79088e+06 202080 556674. 1926.21 22.01 0.342821 0.331319 24526 138013 -1 2328 16 951 2435 136571 30880 5.84017 5.84017 -150.015 -5.84017 0 0 706193. 2443.58 1.22 0.23 0.29 -1 -1 1.22 0.206577 0.20532 105 133 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_019.v common 28.73 vpr 63.07 MiB -1 -1 0.68 21128 10 0.33 -1 -1 36204 -1 -1 13 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64588 30 32 175 207 1 133 75 17 17 289 -1 unnamed_device 24.5 MiB 8.94 831 7975 2137 5194 644 63.1 MiB 0.04 0.00 4.79706 -119.036 -4.79706 4.79706 2.90 0.000158365 0.000130408 0.00938029 0.00773156 36 2002 31 6.79088e+06 175136 648988. 2245.63 6.55 0.144434 0.0440491 25390 158009 -1 1694 15 636 1455 98495 21485 4.29242 4.29242 -114.111 -4.29242 0 0 828058. 2865.25 1.23 0.05 0.46 -1 -1 1.23 0.00903085 0.00822679 66 87 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_020.v common 31.36 vpr 63.67 MiB -1 -1 0.85 21280 13 0.88 -1 -1 36648 -1 -1 18 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65200 31 32 231 263 1 186 81 17 17 289 -1 unnamed_device 25.1 MiB 9.33 1018 10756 3186 5324 2246 63.7 MiB 0.07 0.00 7.66004 -158.967 -7.66004 7.66004 2.95 0.000185359 0.000149053 0.0143458 0.0118192 36 2846 41 6.79088e+06 242496 648988. 2245.63 9.13 0.619111 0.565154 25390 158009 -1 2328 17 1212 2855 173472 39272 6.54512 6.54512 -149.488 -6.54512 0 0 828058. 2865.25 0.99 0.55 0.33 -1 -1 0.99 0.371943 0.370733 107 140 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_021.v common 68.13 vpr 64.41 MiB -1 -1 1.10 21888 13 1.28 -1 -1 36724 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65952 32 32 304 336 1 224 85 17 17 289 -1 unnamed_device 25.7 MiB 8.33 1313 10315 2856 6290 1169 64.4 MiB 0.34 0.00 7.47852 -163.761 -7.47852 7.47852 2.98 0.000233856 0.000191484 0.12339 0.120356 40 3451 36 6.79088e+06 282912 706193. 2443.58 46.86 0.893786 0.869584 26254 175826 -1 3138 22 1929 5656 340480 73070 6.70957 6.70957 -159.709 -6.70957 0 0 926341. 3205.33 0.92 0.39 0.28 -1 -1 0.92 0.0293518 0.0275192 143 210 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_022.v common 42.24 vpr 64.37 MiB -1 -1 0.69 21888 13 1.12 -1 -1 36616 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65916 32 32 288 320 1 216 85 17 17 289 -1 unnamed_device 25.7 MiB 8.83 1396 13105 3760 7294 2051 64.4 MiB 0.17 0.00 7.56118 -168.622 -7.56118 7.56118 2.95 0.000254581 0.000211133 0.118047 0.114624 38 3903 33 6.79088e+06 282912 678818. 2348.85 20.34 0.528201 0.513872 25966 169698 -1 3027 20 1425 4303 247771 52952 6.71732 6.71732 -160.482 -6.71732 0 0 902133. 3121.57 0.89 0.11 0.36 -1 -1 0.89 0.0134657 0.0120026 141 194 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_023.v common 36.35 vpr 63.27 MiB -1 -1 0.44 20976 9 0.41 -1 -1 36056 -1 -1 18 26 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64792 26 32 152 184 1 122 76 17 17 289 -1 unnamed_device 24.7 MiB 4.98 658 7116 2577 3138 1401 63.3 MiB 0.05 0.00 4.92929 -93.1038 -4.92929 4.92929 3.51 0.000131979 0.000103171 0.00747998 0.00613542 28 2023 39 6.79088e+06 242496 531479. 1839.03 19.47 0.0897151 0.048002 23950 126010 -1 1554 17 655 1548 102837 22988 4.44343 4.44343 -97.5098 -4.44343 0 0 648988. 2245.63 1.09 0.03 0.43 -1 -1 1.09 0.00853712 0.00772056 67 76 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_024.v common 75.81 vpr 64.02 MiB -1 -1 0.76 21432 13 1.18 -1 -1 36656 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65560 32 32 287 319 1 214 87 17 17 289 -1 unnamed_device 25.4 MiB 7.05 1303 13911 3831 7698 2382 64.0 MiB 0.31 0.00 8.27554 -169.54 -8.27554 8.27554 3.62 0.000226723 0.000184755 0.237715 0.233848 40 3252 44 6.79088e+06 309856 706193. 2443.58 55.07 1.01425 0.833884 26254 175826 -1 3159 16 1502 3999 258807 56798 7.33623 7.33623 -163.847 -7.33623 0 0 926341. 3205.33 1.69 0.27 0.37 -1 -1 1.69 0.0182123 0.0167801 136 193 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_025.v common 28.26 vpr 63.21 MiB -1 -1 0.58 21128 8 0.36 -1 -1 36432 -1 -1 11 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64732 32 32 154 186 1 126 75 17 17 289 -1 unnamed_device 24.5 MiB 7.92 650 7185 1746 4717 722 63.2 MiB 0.03 0.00 4.16702 -95.4775 -4.16702 4.16702 3.21 0.000122738 9.6565e-05 0.00762859 0.00629865 34 1993 50 6.79088e+06 148192 618332. 2139.56 7.23 0.347514 0.33918 25102 150614 -1 1708 16 700 1590 100800 23471 3.62662 3.62662 -97.0161 -3.62662 0 0 787024. 2723.27 0.80 0.03 0.26 -1 -1 0.80 0.00757395 0.00671979 60 60 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_026.v common 41.21 vpr 64.09 MiB -1 -1 0.58 21584 15 0.94 -1 -1 36512 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65624 32 32 254 286 1 202 82 17 17 289 -1 unnamed_device 25.6 MiB 7.60 1241 4710 909 3488 313 64.1 MiB 0.14 0.00 8.6614 -176.248 -8.6614 8.6614 3.00 0.00021865 0.000178363 0.00865266 0.00734166 36 3521 45 6.79088e+06 242496 648988. 2245.63 19.74 0.54981 0.268011 25390 158009 -1 3111 18 1295 3612 246551 55755 7.27251 7.27251 -166.143 -7.27251 0 0 828058. 2865.25 0.98 0.41 0.41 -1 -1 0.98 0.0752199 0.0738206 121 160 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_027.v common 82.55 vpr 64.07 MiB -1 -1 0.84 21432 13 0.86 -1 -1 36368 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65612 32 32 260 292 1 195 82 17 17 289 -1 unnamed_device 25.4 MiB 6.74 1132 11118 3595 5694 1829 64.1 MiB 0.24 0.06 7.01886 -151.319 -7.01886 7.01886 2.94 0.000222905 0.000180979 0.128893 0.125998 40 3321 21 6.79088e+06 242496 706193. 2443.58 63.41 0.789848 0.684937 26254 175826 -1 2799 19 1247 3661 256124 53296 6.11534 6.11534 -145.707 -6.11534 0 0 926341. 3205.33 0.94 0.16 0.32 -1 -1 0.94 0.0167862 0.0153443 117 166 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_028.v common 70.95 vpr 64.09 MiB -1 -1 0.59 21736 13 1.11 -1 -1 36532 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65628 32 32 279 311 1 202 82 17 17 289 -1 unnamed_device 25.3 MiB 6.46 1327 8092 1764 5104 1224 64.1 MiB 0.21 0.00 7.94214 -171.305 -7.94214 7.94214 3.07 0.000262544 0.00022054 0.140115 0.137851 40 3062 25 6.79088e+06 242496 706193. 2443.58 52.03 0.387347 0.353846 26254 175826 -1 2960 17 1322 3747 225281 49685 6.78802 6.78802 -162.58 -6.78802 0 0 926341. 3205.33 0.94 0.18 0.50 -1 -1 0.94 0.0927011 0.0912764 136 185 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_029.v common 60.12 vpr 63.70 MiB -1 -1 0.43 21280 12 0.54 -1 -1 36344 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65232 32 32 238 270 1 186 80 17 17 289 -1 unnamed_device 25.1 MiB 6.93 977 11088 4157 5492 1439 63.7 MiB 0.31 0.00 6.83134 -154.124 -6.83134 6.83134 2.38 0.000187854 0.00015157 0.0137009 0.0112081 40 2480 48 6.79088e+06 215552 706193. 2443.58 42.06 1.19052 1.17025 26254 175826 -1 2158 31 1081 2507 313542 137842 6.24064 6.24064 -148.909 -6.24064 0 0 926341. 3205.33 1.08 0.67 0.38 -1 -1 1.08 0.164527 0.16249 103 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_030.v common 28.27 vpr 62.98 MiB -1 -1 0.44 21280 11 0.43 -1 -1 36364 -1 -1 18 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64496 30 32 213 245 1 164 80 17 17 289 -1 unnamed_device 24.5 MiB 6.30 831 9368 3815 5302 251 63.0 MiB 0.07 0.00 6.26518 -134.378 -6.26518 6.26518 2.86 0.000166535 0.000132186 0.0343133 0.0321888 38 2553 28 6.79088e+06 242496 678818. 2348.85 10.05 0.415735 0.405169 25966 169698 -1 2005 17 996 2455 152330 35005 5.69238 5.69238 -131.796 -5.69238 0 0 902133. 3121.57 1.22 0.26 0.65 -1 -1 1.22 0.0130094 0.0117056 95 125 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_031.v common 23.60 vpr 63.36 MiB -1 -1 0.56 21432 11 0.60 -1 -1 36204 -1 -1 21 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64880 28 32 227 259 1 171 81 17 17 289 -1 unnamed_device 24.8 MiB 5.49 887 4981 1150 3615 216 63.4 MiB 0.06 0.00 6.73536 -131.115 -6.73536 6.73536 2.97 0.000204231 0.00016617 0.0079608 0.00663645 36 2540 29 6.79088e+06 282912 648988. 2245.63 5.92 0.265104 0.255745 25390 158009 -1 2211 16 1015 2701 161874 36196 5.99343 5.99343 -127.69 -5.99343 0 0 828058. 2865.25 1.15 0.04 0.41 -1 -1 1.15 0.0140277 0.0128433 109 145 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_032.v common 56.76 vpr 63.99 MiB -1 -1 0.59 21128 12 0.56 -1 -1 36908 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65524 32 32 274 306 1 209 81 17 17 289 -1 unnamed_device 25.3 MiB 10.29 1030 11106 3225 5695 2186 64.0 MiB 0.16 0.00 6.88848 -158.105 -6.88848 6.88848 2.66 0.000240285 0.000197817 0.0186478 0.0154757 38 3159 22 6.79088e+06 229024 678818. 2348.85 36.01 0.421308 0.353645 25966 169698 -1 2420 18 1294 3132 153647 36240 6.11524 6.11524 -153.75 -6.11524 0 0 902133. 3121.57 0.91 0.14 0.20 -1 -1 0.91 0.0167585 0.0153428 119 180 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_033.v common 37.11 vpr 63.82 MiB -1 -1 0.53 21584 12 0.48 -1 -1 36372 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65352 31 32 237 269 1 176 80 17 17 289 -1 unnamed_device 25.1 MiB 8.16 844 13496 3879 7699 1918 63.8 MiB 0.14 0.00 6.87864 -138.662 -6.87864 6.87864 2.71 9.172e-05 7.2213e-05 0.0111637 0.00912972 36 3059 35 6.79088e+06 229024 648988. 2245.63 17.10 0.139922 0.128601 25390 158009 -1 2249 19 1428 3693 212494 50213 5.99343 5.99343 -139 -5.99343 0 0 828058. 2865.25 1.51 0.09 0.30 -1 -1 1.51 0.0144222 0.0130471 101 146 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_034.v common 23.40 vpr 63.75 MiB -1 -1 0.51 21280 10 0.73 -1 -1 36276 -1 -1 17 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65284 29 32 220 252 1 166 78 17 17 289 -1 unnamed_device 25.1 MiB 5.80 995 8046 2054 5506 486 63.8 MiB 0.15 0.00 6.16888 -134.071 -6.16888 6.16888 2.40 0.000187908 0.000151745 0.0119561 0.00983711 34 2664 29 6.79088e+06 229024 618332. 2139.56 6.31 0.104958 0.0951864 25102 150614 -1 2271 17 963 2723 170534 37426 5.40253 5.40253 -130.879 -5.40253 0 0 787024. 2723.27 1.31 0.36 0.31 -1 -1 1.31 0.156213 0.15505 103 135 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_035.v common 38.56 vpr 64.27 MiB -1 -1 0.47 22344 13 0.87 -1 -1 36556 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65808 32 32 315 347 1 232 85 17 17 289 -1 unnamed_device 25.6 MiB 6.79 1366 6409 1425 4059 925 64.3 MiB 0.15 0.00 8.09066 -166.557 -8.09066 8.09066 2.51 0.000128236 0.000103469 0.125818 0.124023 36 3958 42 6.79088e+06 282912 648988. 2245.63 19.71 0.483512 0.469282 25390 158009 -1 3019 17 1393 4015 252624 55094 6.8888 6.8888 -156.705 -6.8888 0 0 828058. 2865.25 1.39 0.16 0.30 -1 -1 1.39 0.0210835 0.0193359 149 221 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_036.v common 115.42 vpr 64.34 MiB -1 -1 0.49 22192 14 1.04 -1 -1 37068 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65888 32 32 282 314 1 225 82 17 17 289 -1 unnamed_device 25.6 MiB 8.07 1410 14500 4472 7875 2153 64.3 MiB 0.19 0.00 7.75965 -172.67 -7.75965 7.75965 2.80 0.000329961 0.000281474 0.126568 0.121285 38 3969 32 6.79088e+06 242496 678818. 2348.85 95.88 0.85192 0.829006 25966 169698 -1 3264 20 1829 5112 311860 66380 7.02749 7.02749 -168.524 -7.02749 0 0 902133. 3121.57 0.97 0.20 0.39 -1 -1 0.97 0.0194149 0.017585 136 188 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_037.v common 29.13 vpr 63.77 MiB -1 -1 0.89 21432 12 0.49 -1 -1 36260 -1 -1 16 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65304 31 32 241 273 1 173 79 17 17 289 -1 unnamed_device 25.3 MiB 7.47 998 11402 3354 6097 1951 63.8 MiB 0.18 0.00 7.09988 -153.854 -7.09988 7.09988 2.47 0.00021504 0.000178155 0.0171087 0.0141498 36 2732 36 6.79088e+06 215552 648988. 2245.63 10.45 0.353144 0.229182 25390 158009 -1 2300 17 985 2624 155977 34793 6.16568 6.16568 -149.033 -6.16568 0 0 828058. 2865.25 1.20 0.07 0.22 -1 -1 1.20 0.0128253 0.0115886 101 150 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_038.v common 30.55 vpr 64.64 MiB -1 -1 0.73 21888 12 1.29 -1 -1 36236 -1 -1 24 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66188 31 32 307 339 1 226 87 17 17 289 -1 unnamed_device 25.7 MiB 8.68 1359 6231 1346 4416 469 64.6 MiB 0.10 0.00 7.65151 -160.422 -7.65151 7.65151 2.67 0.000283533 0.000237054 0.0122681 0.0105254 40 3408 25 6.79088e+06 323328 706193. 2443.58 9.03 0.361571 0.350717 26254 175826 -1 3342 38 2194 7334 1085083 456031 6.67032 6.67032 -152.362 -6.67032 0 0 926341. 3205.33 1.21 0.78 0.48 -1 -1 1.21 0.031921 0.0284717 146 216 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_039.v common 22.94 vpr 64.38 MiB -1 -1 0.64 22192 14 1.49 -1 -1 36540 -1 -1 22 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65928 31 32 293 325 1 209 85 17 17 289 -1 unnamed_device 25.9 MiB 4.26 1193 5665 1093 3974 598 64.4 MiB 0.20 0.00 8.28837 -165.331 -8.28837 8.28837 2.30 0.000261939 0.000218331 0.0111879 0.00947461 36 3316 27 6.79088e+06 296384 648988. 2245.63 6.88 0.349284 0.337661 25390 158009 -1 2822 17 1459 4149 227427 51737 7.42577 7.42577 -162.119 -7.42577 0 0 828058. 2865.25 0.88 0.21 0.45 -1 -1 0.88 0.0393434 0.0379051 142 202 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_040.v common 26.79 vpr 64.15 MiB -1 -1 0.76 22344 13 1.25 -1 -1 36680 -1 -1 23 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65688 31 32 276 308 1 215 86 17 17 289 -1 unnamed_device 25.6 MiB 6.74 1189 5189 1012 3920 257 64.1 MiB 0.02 0.00 8.65671 -167.943 -8.65671 8.65671 2.38 0.000112498 9.0493e-05 0.00472279 0.00399846 38 3541 40 6.79088e+06 309856 678818. 2348.85 8.67 0.396939 0.319421 25966 169698 -1 2672 16 1397 3633 186100 42706 7.43696 7.43696 -156.943 -7.43696 0 0 902133. 3121.57 1.06 0.12 0.30 -1 -1 1.06 0.016003 0.0147382 136 185 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_041.v common 28.50 vpr 64.26 MiB -1 -1 0.79 21432 13 0.87 -1 -1 36364 -1 -1 21 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65804 31 32 269 301 1 204 84 17 17 289 -1 unnamed_device 25.6 MiB 6.40 1217 13077 3680 7087 2310 64.3 MiB 0.22 0.00 7.80928 -160.261 -7.80928 7.80928 2.76 0.000219108 0.000177378 0.134618 0.130877 44 3524 43 6.79088e+06 282912 787024. 2723.27 9.28 0.331196 0.316766 27118 194962 -1 2681 14 1246 3644 211004 45670 6.96798 6.96798 -148.651 -6.96798 0 0 997811. 3452.63 1.28 0.31 0.52 -1 -1 1.28 0.0153053 0.013976 125 178 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_042.v common 30.25 vpr 63.64 MiB -1 -1 0.53 21584 12 0.55 -1 -1 36508 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65168 32 32 264 296 1 184 80 17 17 289 -1 unnamed_device 25.0 MiB 6.54 916 13324 4298 7153 1873 63.6 MiB 0.10 0.00 6.70425 -141.753 -6.70425 6.70425 2.49 0.000208867 0.000169735 0.0185925 0.0153617 36 3132 45 6.79088e+06 215552 648988. 2245.63 12.19 0.470829 0.283541 25390 158009 -1 2212 18 1117 3049 170416 41332 5.86813 5.86813 -136.621 -5.86813 0 0 828058. 2865.25 1.23 0.23 0.19 -1 -1 1.23 0.0166886 0.015356 111 170 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_043.v common 29.06 vpr 64.38 MiB -1 -1 1.10 22496 14 1.35 -1 -1 37388 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65924 32 32 324 356 1 241 85 17 17 289 -1 unnamed_device 25.7 MiB 4.17 1571 12919 3579 7237 2103 64.4 MiB 0.33 0.00 8.5825 -180.126 -8.5825 8.5825 2.74 0.000258543 0.000210852 0.106574 0.0202929 40 4074 33 6.79088e+06 282912 706193. 2443.58 11.27 0.299676 0.202284 26254 175826 -1 3702 17 1678 4931 347900 79711 7.061 7.061 -169.202 -7.061 0 0 926341. 3205.33 1.15 0.35 0.29 -1 -1 1.15 0.253444 0.251654 159 230 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_044.v common 67.64 vpr 63.97 MiB -1 -1 0.40 21128 11 0.78 -1 -1 36444 -1 -1 16 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65504 31 32 249 281 1 186 79 17 17 289 -1 unnamed_device 25.3 MiB 7.37 1073 6501 1499 4640 362 64.0 MiB 0.06 0.00 6.42637 -139.096 -6.42637 6.42637 2.44 9.5438e-05 7.5766e-05 0.00770841 0.00651454 40 2801 27 6.79088e+06 215552 706193. 2443.58 49.48 0.139593 0.120026 26254 175826 -1 2664 18 1264 3555 227038 50309 5.60634 5.60634 -134.693 -5.60634 0 0 926341. 3205.33 1.13 0.12 0.33 -1 -1 1.13 0.0825741 0.0811963 112 158 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_045.v common 25.65 vpr 64.32 MiB -1 -1 0.54 21736 13 0.70 -1 -1 36968 -1 -1 20 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65868 31 32 284 316 1 193 83 17 17 289 -1 unnamed_device 25.6 MiB 5.99 1076 5303 1019 4187 97 64.3 MiB 0.14 0.00 8.03811 -165.365 -8.03811 8.03811 2.38 0.000241382 0.000191922 0.0105488 0.00881116 40 2681 18 6.79088e+06 269440 706193. 2443.58 7.08 0.346059 0.273809 26254 175826 -1 2576 16 1174 3750 219191 50384 7.09671 7.09671 -157.684 -7.09671 0 0 926341. 3205.33 0.89 0.17 0.44 -1 -1 0.89 0.161788 0.160401 137 193 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_046.v common 27.14 vpr 64.45 MiB -1 -1 0.44 21736 12 1.07 -1 -1 36684 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65996 32 32 303 335 1 212 85 17 17 289 -1 unnamed_device 25.7 MiB 6.76 1229 8269 1949 5817 503 64.4 MiB 0.22 0.00 7.09992 -154.886 -7.09992 7.09992 2.54 0.000234094 0.000190357 0.0116545 0.00950389 40 3274 49 6.79088e+06 282912 706193. 2443.58 8.20 0.332727 0.32009 26254 175826 -1 3120 19 1469 4941 319382 68823 6.54158 6.54158 -154.955 -6.54158 0 0 926341. 3205.33 1.25 0.32 0.42 -1 -1 1.25 0.0172385 0.0153837 146 209 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_047.v common 27.39 vpr 64.10 MiB -1 -1 0.37 21584 13 1.14 -1 -1 36504 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65636 32 32 272 304 1 200 86 17 17 289 -1 unnamed_device 25.4 MiB 4.76 1166 5945 1195 4546 204 64.1 MiB 0.18 0.00 8.15537 -168.597 -8.15537 8.15537 2.74 0.00022861 0.000180786 0.150262 0.148657 36 3271 24 6.79088e+06 296384 648988. 2245.63 10.11 0.397978 0.386681 25390 158009 -1 2693 17 1250 3318 199331 44831 6.7734 6.7734 -156.55 -6.7734 0 0 828058. 2865.25 1.01 0.41 0.28 -1 -1 1.01 0.0154978 0.0140153 131 178 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_048.v common 43.67 vpr 64.01 MiB -1 -1 0.70 22040 13 0.60 -1 -1 36528 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65548 32 32 271 303 1 212 82 17 17 289 -1 unnamed_device 25.4 MiB 9.76 1291 6668 1628 4315 725 64.0 MiB 0.21 0.00 7.6093 -161.643 -7.6093 7.6093 2.48 0.00011067 8.5515e-05 0.0102627 0.00869206 36 3491 44 6.79088e+06 242496 648988. 2245.63 22.96 0.317646 0.275622 25390 158009 -1 2937 17 1309 3463 214530 46829 6.50936 6.50936 -155.108 -6.50936 0 0 828058. 2865.25 1.24 0.03 0.21 -1 -1 1.24 0.0102207 0.00954788 124 177 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_049.v common 47.11 vpr 64.17 MiB -1 -1 0.73 21888 12 1.03 -1 -1 36840 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65708 32 32 288 320 1 218 84 17 17 289 -1 unnamed_device 25.6 MiB 7.23 1264 13077 4245 6963 1869 64.2 MiB 0.13 0.00 7.48863 -164.662 -7.48863 7.48863 2.36 0.000259409 0.000216488 0.0390412 0.0354763 36 4312 32 6.79088e+06 269440 648988. 2245.63 28.31 0.285615 0.269325 25390 158009 -1 3063 27 1475 4687 437807 142940 6.33367 6.33367 -153.642 -6.33367 0 0 828058. 2865.25 0.94 0.28 0.28 -1 -1 0.94 0.0227667 0.0206025 140 194 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_050.v common 28.73 vpr 64.31 MiB -1 -1 0.59 21888 13 0.92 -1 -1 37080 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65852 32 32 306 338 1 225 84 17 17 289 -1 unnamed_device 25.7 MiB 4.87 1308 7404 1742 4872 790 64.3 MiB 0.26 0.00 7.79737 -172.677 -7.79737 7.79737 2.20 0.000254334 0.000207742 0.157532 0.155345 38 3611 33 6.79088e+06 269440 678818. 2348.85 12.42 0.39145 0.378126 25966 169698 -1 2932 15 1402 4063 208507 47168 6.72081 6.72081 -161.844 -6.72081 0 0 902133. 3121.57 1.05 0.14 0.34 -1 -1 1.05 0.00927677 0.00849161 145 212 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_051.v common 30.64 vpr 64.04 MiB -1 -1 0.66 21584 14 0.93 -1 -1 36668 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65576 32 32 262 294 1 194 84 17 17 289 -1 unnamed_device 25.4 MiB 5.25 1134 12528 3689 7280 1559 64.0 MiB 0.13 0.00 8.14516 -169.239 -8.14516 8.14516 2.43 0.000221408 0.000179969 0.0177354 0.0146792 36 3215 23 6.79088e+06 269440 648988. 2245.63 13.87 0.200928 0.189127 25390 158009 -1 2583 21 1334 3813 212707 47879 7.17517 7.17517 -157.885 -7.17517 0 0 828058. 2865.25 1.10 0.32 0.37 -1 -1 1.10 0.216246 0.0172196 125 168 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_052.v common 39.38 vpr 64.37 MiB -1 -1 0.46 21736 13 1.04 -1 -1 36948 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65916 32 32 291 323 1 214 85 17 17 289 -1 unnamed_device 25.6 MiB 7.58 1230 12547 3334 7250 1963 64.4 MiB 0.43 0.00 8.09269 -160.616 -8.09269 8.09269 2.92 0.000267871 0.00020868 0.0210651 0.0172658 36 3920 34 6.79088e+06 282912 648988. 2245.63 19.44 0.269556 0.255153 25390 158009 -1 3169 26 2031 6074 492410 149015 6.93565 6.93565 -154.112 -6.93565 0 0 828058. 2865.25 0.98 0.23 0.56 -1 -1 0.98 0.0705995 0.0687446 136 197 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_053.v common 25.55 vpr 64.19 MiB -1 -1 0.90 22192 13 0.77 -1 -1 36480 -1 -1 21 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65728 31 32 302 334 1 224 84 17 17 289 -1 unnamed_device 25.6 MiB 7.43 1194 6855 1560 4961 334 64.2 MiB 0.13 0.00 7.84076 -167.565 -7.84076 7.84076 2.28 0.000241605 0.000188472 0.0916661 0.0896377 48 2954 22 6.79088e+06 282912 865456. 2994.66 6.64 0.163436 0.151934 27694 206865 -1 2632 18 1331 3856 240858 53932 6.65923 6.65923 -154.098 -6.65923 0 0 1.05005e+06 3633.38 1.15 0.14 0.54 -1 -1 1.15 0.0198617 0.0181981 144 211 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_054.v common 25.10 vpr 64.47 MiB -1 -1 0.61 21736 12 1.03 -1 -1 36688 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66020 32 32 308 340 1 225 85 17 17 289 -1 unnamed_device 25.7 MiB 5.36 1311 5851 1203 3709 939 64.5 MiB 0.02 0.00 7.71669 -163.184 -7.71669 7.71669 2.74 0.000112474 9.1615e-05 0.0079034 0.00708409 40 3316 22 6.79088e+06 282912 706193. 2443.58 8.09 0.257026 0.246894 26254 175826 -1 3313 20 1647 4462 285411 62849 6.63117 6.63117 -158.189 -6.63117 0 0 926341. 3205.33 0.87 0.31 0.44 -1 -1 0.87 0.0201752 0.0183414 147 214 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_055.v common 22.00 vpr 63.66 MiB -1 -1 0.35 21432 11 0.70 -1 -1 36356 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65188 32 32 216 248 1 160 78 17 17 289 -1 unnamed_device 25.0 MiB 4.58 1005 10702 3112 6246 1344 63.7 MiB 0.46 0.00 6.21064 -136.494 -6.21064 6.21064 2.48 0.000192871 0.000159306 0.013544 0.0111321 40 2250 19 6.79088e+06 188608 706193. 2443.58 6.11 0.122257 0.112621 26254 175826 -1 2127 18 845 2152 139952 31180 5.40258 5.40258 -131.108 -5.40258 0 0 926341. 3205.33 1.15 0.08 0.21 -1 -1 1.15 0.013256 0.0120132 91 122 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_056.v common 30.09 vpr 63.81 MiB -1 -1 0.44 21432 13 0.77 -1 -1 36304 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65340 32 32 254 286 1 197 84 17 17 289 -1 unnamed_device 25.3 MiB 6.51 1176 10515 2869 6205 1441 63.8 MiB 0.19 0.00 7.53642 -162.326 -7.53642 7.53642 2.57 0.000107736 8.6084e-05 0.013269 0.0108508 36 3408 30 6.79088e+06 269440 648988. 2245.63 12.89 0.253267 0.241571 25390 158009 -1 2709 14 1186 3024 183009 41359 6.45902 6.45902 -157.581 -6.45902 0 0 828058. 2865.25 1.10 0.15 0.33 -1 -1 1.10 0.10883 0.107951 118 160 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_057.v common 33.06 vpr 64.73 MiB -1 -1 0.64 22344 14 1.53 -1 -1 36652 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66288 32 32 338 370 1 251 88 17 17 289 -1 unnamed_device 26.2 MiB 4.33 1606 14908 4178 8064 2666 64.7 MiB 0.27 0.00 9.30628 -185.251 -9.30628 9.30628 2.23 0.000134787 0.000110081 0.124343 0.121712 40 4467 40 6.79088e+06 323328 706193. 2443.58 15.91 0.417336 0.322385 26254 175826 -1 4044 41 3170 10484 1659413 686250 8.71802 8.71802 -185.563 -8.71802 0 0 926341. 3205.33 0.97 1.22 0.30 -1 -1 0.97 0.0306023 0.0274072 171 244 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_058.v common 25.30 vpr 64.04 MiB -1 -1 0.76 21888 13 1.14 -1 -1 36516 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65580 32 32 271 303 1 215 85 17 17 289 -1 unnamed_device 25.3 MiB 5.51 1373 5107 1065 3575 467 64.0 MiB 0.04 0.00 7.99662 -176.141 -7.99662 7.99662 2.49 0.000218079 0.000176617 0.00941603 0.00790282 40 3267 21 6.79088e+06 282912 706193. 2443.58 7.75 0.131858 0.122108 26254 175826 -1 2988 16 1279 3446 222044 48292 7.28922 7.28922 -171.863 -7.28922 0 0 926341. 3205.33 0.98 0.14 0.39 -1 -1 0.98 0.124756 0.123954 134 177 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_059.v common 28.03 vpr 63.77 MiB -1 -1 0.46 21280 11 0.50 -1 -1 36860 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65304 30 32 224 256 1 163 79 17 17 289 -1 unnamed_device 25.1 MiB 2.54 913 8698 3216 4702 780 63.8 MiB 0.18 0.00 6.69153 -142.001 -6.69153 6.69153 2.51 0.000209485 0.000173569 0.0126132 0.0103406 34 2978 37 6.79088e+06 229024 618332. 2139.56 15.11 0.169104 0.146718 25102 150614 -1 2191 25 1068 2905 278697 110360 5.86813 5.86813 -137.036 -5.86813 0 0 787024. 2723.27 1.18 0.27 0.34 -1 -1 1.18 0.0210295 0.0158263 101 136 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_060.v common 31.55 vpr 64.84 MiB -1 -1 0.58 22344 15 1.74 -1 -1 36496 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66392 32 32 351 383 1 259 89 17 17 289 -1 unnamed_device 26.0 MiB 3.96 1499 13751 3607 8212 1932 64.8 MiB 0.33 0.00 9.45131 -196.491 -9.45131 9.45131 2.71 0.000318105 0.000263405 0.0230354 0.0194144 38 4290 28 6.79088e+06 336800 678818. 2348.85 15.30 0.672402 0.553503 25966 169698 -1 3256 18 1773 4898 239338 54871 8.26721 8.26721 -182.774 -8.26721 0 0 902133. 3121.57 1.05 0.16 0.25 -1 -1 1.05 0.0245497 0.0219405 179 257 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_061.v common 30.67 vpr 64.41 MiB -1 -1 0.59 21736 13 0.96 -1 -1 36504 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65960 32 32 297 329 1 217 84 17 17 289 -1 unnamed_device 25.7 MiB 3.51 1327 7221 1806 4907 508 64.4 MiB 0.22 0.00 8.09861 -175.276 -8.09861 8.09861 2.48 0.000331575 0.000277067 0.0137795 0.0117318 36 3663 28 6.79088e+06 269440 648988. 2245.63 15.90 0.233698 0.220814 25390 158009 -1 3024 29 1390 3984 459582 194762 7.09671 7.09671 -171.261 -7.09671 0 0 828058. 2865.25 0.87 0.26 0.24 -1 -1 0.87 0.046677 0.0442748 139 203 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_062.v common 22.41 vpr 63.77 MiB -1 -1 0.68 21128 11 0.70 -1 -1 36428 -1 -1 13 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65300 32 32 231 263 1 165 77 17 17 289 -1 unnamed_device 25.1 MiB 4.90 1048 9368 2840 4823 1705 63.8 MiB 0.22 0.00 6.8184 -143.52 -6.8184 6.8184 2.64 8.2634e-05 6.5816e-05 0.00629914 0.00517708 30 2846 50 6.79088e+06 175136 556674. 1926.21 6.30 0.263977 0.257217 24526 138013 -1 2348 20 938 2439 208524 64465 6.07177 6.07177 -142.376 -6.07177 0 0 706193. 2443.58 0.77 0.25 0.38 -1 -1 0.77 0.0146992 0.0133064 94 137 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_063.v common 23.96 vpr 64.50 MiB -1 -1 0.62 21888 12 1.35 -1 -1 36672 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66052 32 32 305 337 1 217 84 17 17 289 -1 unnamed_device 25.9 MiB 4.42 1273 3744 682 2956 106 64.5 MiB 0.11 0.00 7.80662 -164.116 -7.80662 7.80662 2.57 0.000244239 0.000199565 0.00687012 0.00580622 40 3138 27 6.79088e+06 269440 706193. 2443.58 7.56 0.105382 0.0946088 26254 175826 -1 2977 17 1398 4272 273067 60353 6.75996 6.75996 -158.558 -6.75996 0 0 926341. 3205.33 0.95 0.21 0.36 -1 -1 0.95 0.0734521 0.0724445 146 211 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_064.v common 24.70 vpr 63.98 MiB -1 -1 0.72 21432 12 0.65 -1 -1 36228 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65512 32 32 243 275 1 187 82 17 17 289 -1 unnamed_device 25.3 MiB 5.26 1093 11296 2879 6424 1993 64.0 MiB 0.37 0.00 7.38808 -154.548 -7.38808 7.38808 2.24 0.000239935 0.000200695 0.155373 0.013257 38 2925 25 6.79088e+06 242496 678818. 2348.85 6.55 0.21314 0.0629693 25966 169698 -1 2388 18 1120 2927 157781 35630 6.38057 6.38057 -146.795 -6.38057 0 0 902133. 3121.57 1.31 0.20 0.59 -1 -1 1.31 0.0681036 0.0667688 113 149 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_065.v common 24.48 vpr 63.66 MiB -1 -1 0.91 21432 12 0.69 -1 -1 36368 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65192 30 32 228 260 1 166 79 17 17 289 -1 unnamed_device 25.1 MiB 3.45 829 8191 1875 6055 261 63.7 MiB 0.19 0.00 7.82373 -148.45 -7.82373 7.82373 2.83 0.000203113 0.000164562 0.013368 0.0110101 36 2341 28 6.79088e+06 229024 648988. 2245.63 9.38 0.295668 0.284638 25390 158009 -1 2017 24 946 2503 136921 35400 6.75996 6.75996 -143.141 -6.75996 0 0 828058. 2865.25 1.00 0.05 0.49 -1 -1 1.00 0.0168202 0.0151748 106 140 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_066.v common 34.01 vpr 64.32 MiB -1 -1 0.74 21736 12 0.92 -1 -1 36356 -1 -1 26 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65868 29 32 275 307 1 201 87 17 17 289 -1 unnamed_device 25.6 MiB 7.87 1310 7383 1809 4748 826 64.3 MiB 0.05 0.00 7.48697 -145.09 -7.48697 7.48697 2.82 0.000292137 0.000185049 0.0217345 0.020355 38 3295 41 6.79088e+06 350272 678818. 2348.85 14.20 0.260329 0.247771 25966 169698 -1 2675 24 1252 3878 344961 136790 6.54158 6.54158 -137.332 -6.54158 0 0 902133. 3121.57 0.90 0.36 0.42 -1 -1 0.90 0.0196077 0.0181298 140 190 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_067.v common 27.97 vpr 64.62 MiB -1 -1 0.84 21888 13 1.37 -1 -1 36532 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66176 32 32 330 362 1 257 87 17 17 289 -1 unnamed_device 25.9 MiB 3.60 1469 6615 1348 4384 883 64.6 MiB 0.31 0.00 7.94883 -165.303 -7.94883 7.94883 3.06 0.000267001 0.000206715 0.186171 0.184091 36 4119 27 6.79088e+06 309856 648988. 2245.63 11.27 0.512899 0.500123 25390 158009 -1 3376 21 2082 5079 318029 69650 7.04976 7.04976 -167.899 -7.04976 0 0 828058. 2865.25 0.78 0.16 0.27 -1 -1 0.78 0.0184049 0.0170139 160 236 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_068.v common 35.75 vpr 64.09 MiB -1 -1 0.81 21432 12 0.61 -1 -1 36512 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65628 32 32 290 322 1 218 84 17 17 289 -1 unnamed_device 25.6 MiB 4.48 1369 7770 1945 5143 682 64.1 MiB 0.14 0.00 7.82957 -168.971 -7.82957 7.82957 2.42 0.000233252 0.000191506 0.101844 0.0997273 36 4168 40 6.79088e+06 269440 648988. 2245.63 19.11 0.7468 0.731399 25390 158009 -1 3205 21 1508 4153 311924 77482 6.91327 6.91327 -165.194 -6.91327 0 0 828058. 2865.25 0.75 0.18 0.54 -1 -1 0.75 0.106456 0.104503 140 196 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_069.v common 29.15 vpr 63.68 MiB -1 -1 0.92 21432 12 0.90 -1 -1 36216 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65204 32 32 214 246 1 160 79 17 17 289 -1 unnamed_device 25.0 MiB 7.06 827 5825 1179 4572 74 63.7 MiB 0.06 0.00 7.39828 -145.899 -7.39828 7.39828 2.64 0.000198677 0.000162889 0.033063 0.0316761 36 2583 41 6.79088e+06 202080 648988. 2245.63 9.66 0.110919 0.101458 25390 158009 -1 1941 15 809 2234 143044 32097 6.37287 6.37287 -138.856 -6.37287 0 0 828058. 2865.25 1.03 0.11 0.19 -1 -1 1.03 0.0118702 0.010874 93 120 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_070.v common 27.73 vpr 63.86 MiB -1 -1 0.66 21736 12 0.84 -1 -1 36036 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65396 31 32 244 276 1 178 82 17 17 289 -1 unnamed_device 25.3 MiB 4.99 989 11830 4101 6106 1623 63.9 MiB 0.29 0.00 7.24523 -149.288 -7.24523 7.24523 2.39 0.000193892 0.000157104 0.241623 0.238772 36 3110 24 6.79088e+06 255968 648988. 2245.63 10.55 0.502515 0.49089 25390 158009 -1 2468 16 1076 3020 188463 42983 6.09963 6.09963 -144.436 -6.09963 0 0 828058. 2865.25 1.15 0.13 0.33 -1 -1 1.15 0.0148927 0.0135748 111 153 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_071.v common 52.42 vpr 64.20 MiB -1 -1 0.86 21736 11 1.01 -1 -1 36516 -1 -1 20 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65736 30 32 276 308 1 190 82 17 17 289 -1 unnamed_device 25.4 MiB 5.06 1062 11296 3634 5901 1761 64.2 MiB 0.21 0.00 6.89956 -139.068 -6.89956 6.89956 2.16 0.000106642 8.3768e-05 0.0112168 0.00934218 38 2948 43 6.79088e+06 269440 678818. 2348.85 35.25 0.509993 0.487258 25966 169698 -1 2389 17 1070 3307 169957 38680 5.78197 5.78197 -130.146 -5.78197 0 0 902133. 3121.57 0.95 0.08 0.34 -1 -1 0.95 0.0211488 0.0195772 125 188 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_072.v common 31.38 vpr 64.03 MiB -1 -1 0.44 21584 11 0.82 -1 -1 36348 -1 -1 19 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65564 28 32 253 285 1 176 79 17 17 289 -1 unnamed_device 25.6 MiB 4.92 1034 10388 3390 5543 1455 64.0 MiB 0.27 0.00 6.39394 -127.87 -6.39394 6.39394 2.61 9.595e-05 7.5721e-05 0.0156995 0.0129696 36 2873 38 6.79088e+06 255968 648988. 2245.63 14.75 0.330659 0.318051 25390 158009 -1 2414 20 1286 4124 267250 57798 5.56708 5.56708 -124.707 -5.56708 0 0 828058. 2865.25 1.08 0.25 0.35 -1 -1 1.08 0.193951 0.192324 116 171 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_073.v common 68.38 vpr 63.90 MiB -1 -1 0.70 21432 13 0.69 -1 -1 36332 -1 -1 18 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65432 30 32 235 267 1 172 80 17 17 289 -1 unnamed_device 25.3 MiB 6.69 1069 10744 3320 5344 2080 63.9 MiB 0.06 0.00 7.007 -145.297 -7.007 7.007 2.51 0.000198778 0.000160338 0.0147657 0.0121089 30 3512 38 6.79088e+06 242496 556674. 1926.21 50.60 0.364066 0.329897 24526 138013 -1 2473 16 1122 3044 172020 39077 6.3268 6.3268 -142.889 -6.3268 0 0 706193. 2443.58 1.02 0.08 0.33 -1 -1 1.02 0.0131872 0.0120748 108 147 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_074.v common 34.41 vpr 64.18 MiB -1 -1 0.72 21736 12 1.11 -1 -1 36404 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65720 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 25.6 MiB 7.01 1181 11474 3011 6873 1590 64.2 MiB 0.35 0.00 7.19453 -164.62 -7.19453 7.19453 2.59 0.000250409 0.000206342 0.0184873 0.0155476 36 3249 47 6.79088e+06 242496 648988. 2245.63 16.22 0.518665 0.504726 25390 158009 -1 2767 31 1313 3455 450128 202660 6.29442 6.29442 -153.441 -6.29442 0 0 828058. 2865.25 0.96 0.23 0.28 -1 -1 0.96 0.0229519 0.0204786 120 170 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_075.v common 23.65 vpr 64.21 MiB -1 -1 0.51 21432 13 1.08 -1 -1 36564 -1 -1 21 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65756 31 32 278 310 1 200 84 17 17 289 -1 unnamed_device 25.6 MiB 6.00 1196 12528 3337 7297 1894 64.2 MiB 0.18 0.00 8.59275 -169.25 -8.59275 8.59275 2.79 0.000237044 0.000193352 0.132535 0.129176 30 3445 28 6.79088e+06 282912 556674. 1926.21 5.13 0.59282 0.550171 24526 138013 -1 2737 15 1314 3497 185103 42238 7.51535 7.51535 -164.152 -7.51535 0 0 706193. 2443.58 0.84 0.15 0.30 -1 -1 0.84 0.0156508 0.0143744 137 187 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_076.v common 22.34 vpr 64.34 MiB -1 -1 0.55 21888 14 1.09 -1 -1 36932 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65880 32 32 290 322 1 212 84 17 17 289 -1 unnamed_device 25.6 MiB 4.37 1146 5574 1039 4449 86 64.3 MiB 0.02 0.00 8.72598 -178.523 -8.72598 8.72598 2.64 0.00011691 9.3905e-05 0.00539058 0.004559 46 2907 36 6.79088e+06 269440 828058. 2865.25 6.69 0.113 0.102811 27406 200422 -1 2346 18 1166 3599 177913 40777 7.64066 7.64066 -167.177 -7.64066 0 0 1.01997e+06 3529.29 1.05 0.22 0.45 -1 -1 1.05 0.0176089 0.0161782 132 196 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_077.v common 34.27 vpr 64.09 MiB -1 -1 0.64 22040 14 0.77 -1 -1 36380 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65632 32 32 269 301 1 198 81 17 17 289 -1 unnamed_device 25.4 MiB 8.09 1230 8656 2011 5349 1296 64.1 MiB 0.12 0.00 8.05712 -162.43 -8.05712 8.05712 2.43 0.000317229 0.000272174 0.0148106 0.012429 36 3323 48 6.79088e+06 229024 648988. 2245.63 14.49 0.136221 0.122487 25390 158009 -1 2736 21 1388 4227 246785 54143 6.92451 6.92451 -155.298 -6.92451 0 0 828058. 2865.25 0.85 0.17 0.34 -1 -1 0.85 0.0944107 0.092571 122 175 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_078.v common 31.33 vpr 64.20 MiB -1 -1 0.74 22192 13 1.29 -1 -1 36544 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65736 32 32 296 328 1 223 86 17 17 289 -1 unnamed_device 25.6 MiB 6.66 1412 5945 1208 4509 228 64.2 MiB 0.14 0.00 8.26127 -169.83 -8.26127 8.26127 2.73 0.000127078 0.000102927 0.0100232 0.00857266 46 3364 24 6.79088e+06 296384 828058. 2865.25 12.10 0.114665 0.10355 27406 200422 -1 2817 19 1407 3920 199584 45033 7.25008 7.25008 -161.265 -7.25008 0 0 1.01997e+06 3529.29 1.48 0.15 0.42 -1 -1 1.48 0.0198741 0.0181337 144 202 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_079.v common 25.00 vpr 63.68 MiB -1 -1 0.41 21432 13 0.48 -1 -1 36260 -1 -1 18 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65204 30 32 234 266 1 175 80 17 17 289 -1 unnamed_device 25.0 MiB 6.76 994 9024 2062 6050 912 63.7 MiB 0.08 0.00 7.09997 -149.654 -7.09997 7.09997 2.35 0.000181299 0.000146487 0.0127175 0.0105188 38 2558 18 6.79088e+06 242496 678818. 2348.85 6.04 0.150968 0.141226 25966 169698 -1 2142 16 993 2590 129762 29565 6.16917 6.16917 -140.618 -6.16917 0 0 902133. 3121.57 1.19 0.14 0.51 -1 -1 1.19 0.130816 0.130237 104 146 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_080.v common 32.85 vpr 64.27 MiB -1 -1 0.94 22192 13 1.75 -1 -1 36540 -1 -1 22 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65808 30 32 291 323 1 225 84 17 17 289 -1 unnamed_device 25.7 MiB 5.76 1348 12894 3597 7190 2107 64.3 MiB 0.15 0.00 8.17676 -167.864 -8.17676 8.17676 2.45 0.000120605 9.6308e-05 0.0112707 0.00933704 38 3888 38 6.79088e+06 296384 678818. 2348.85 14.71 0.392014 0.279797 25966 169698 -1 2997 18 1534 4216 220306 49419 7.08126 7.08126 -162.178 -7.08126 0 0 902133. 3121.57 1.19 0.17 0.51 -1 -1 1.19 0.13666 0.135017 145 203 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_081.v common 26.30 vpr 64.20 MiB -1 -1 0.62 21736 14 1.21 -1 -1 36684 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65744 32 32 274 306 1 205 82 17 17 289 -1 unnamed_device 25.4 MiB 6.17 1204 12542 3557 7512 1473 64.2 MiB 0.28 0.00 8.33107 -176.562 -8.33107 8.33107 1.99 0.000231903 0.000179628 0.225717 0.222269 44 3220 27 6.79088e+06 242496 787024. 2723.27 8.45 0.363751 0.349328 27118 194962 -1 2662 17 1149 3386 201433 43261 7.43352 7.43352 -168.165 -7.43352 0 0 997811. 3452.63 1.18 0.17 0.58 -1 -1 1.18 0.103352 0.101981 128 180 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_082.v common 35.90 vpr 64.16 MiB -1 -1 0.59 21736 13 0.69 -1 -1 36520 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 31 32 266 298 1 196 82 17 17 289 -1 unnamed_device 25.6 MiB 6.14 1125 9516 2594 5042 1880 64.2 MiB 0.17 0.05 7.45922 -159.332 -7.45922 7.45922 2.59 0.0483542 0.0483104 0.131607 0.129133 36 3566 40 6.79088e+06 255968 648988. 2245.63 18.22 0.356329 0.343212 25390 158009 -1 2839 19 1358 3733 236269 51682 6.95324 6.95324 -158.854 -6.95324 0 0 828058. 2865.25 1.03 0.32 0.28 -1 -1 1.03 0.0143134 0.0128503 124 175 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_083.v common 33.80 vpr 63.89 MiB -1 -1 0.65 21888 13 0.68 -1 -1 36520 -1 -1 19 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65424 30 32 266 298 1 199 81 17 17 289 -1 unnamed_device 25.3 MiB 5.35 1137 12856 4036 7043 1777 63.9 MiB 0.28 0.00 7.52397 -147.14 -7.52397 7.52397 2.53 0.000199929 0.000161569 0.0523737 0.0486881 36 3258 50 6.79088e+06 255968 648988. 2245.63 18.13 0.237289 0.223628 25390 158009 -1 2698 19 1306 3548 219060 48160 6.90978 6.90978 -145.069 -6.90978 0 0 828058. 2865.25 0.76 0.23 0.38 -1 -1 0.76 0.0170688 0.015416 121 178 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_084.v common 30.99 vpr 64.41 MiB -1 -1 0.69 21888 14 1.87 -1 -1 36380 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65952 32 32 310 342 1 231 85 17 17 289 -1 unnamed_device 25.9 MiB 6.24 1451 10687 2741 6315 1631 64.4 MiB 0.13 0.00 8.72215 -179.517 -8.72215 8.72215 2.68 0.00026871 0.000222142 0.0190015 0.0157864 40 4139 45 6.79088e+06 282912 706193. 2443.58 12.16 0.283295 0.267711 26254 175826 -1 3710 19 1704 5017 366822 79085 8.01306 8.01306 -176.138 -8.01306 0 0 926341. 3205.33 1.13 0.20 0.36 -1 -1 1.13 0.0218377 0.019966 154 216 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_085.v common 31.78 vpr 64.07 MiB -1 -1 0.79 22040 11 1.09 -1 -1 36316 -1 -1 23 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65608 29 32 262 294 1 201 84 17 17 289 -1 unnamed_device 25.6 MiB 7.47 1069 9783 2744 5515 1524 64.1 MiB 0.10 0.00 7.52622 -141.496 -7.52622 7.52622 2.53 0.000267645 0.000221515 0.0163732 0.0133055 34 3669 49 6.79088e+06 309856 618332. 2139.56 11.22 0.350322 0.336507 25102 150614 -1 2740 28 1337 3793 356365 131059 6.38057 6.38057 -134.372 -6.38057 0 0 787024. 2723.27 1.23 0.41 0.48 -1 -1 1.23 0.0113785 0.0102966 136 177 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_086.v common 62.96 vpr 63.74 MiB -1 -1 0.76 21584 13 0.39 -1 -1 36372 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65268 32 32 222 254 1 182 78 17 17 289 -1 unnamed_device 25.3 MiB 12.25 943 8212 2080 5932 200 63.7 MiB 0.18 0.00 7.06068 -160.405 -7.06068 7.06068 2.84 8.3754e-05 6.6995e-05 0.00552488 0.00458763 40 2594 33 6.79088e+06 188608 706193. 2443.58 38.55 0.369759 0.353198 26254 175826 -1 2242 27 1135 2554 257116 93514 5.99004 5.99004 -151.715 -5.99004 0 0 926341. 3205.33 1.00 0.28 0.22 -1 -1 1.00 0.0176698 0.0159026 98 128 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_087.v common 30.00 vpr 63.86 MiB -1 -1 0.90 21888 14 1.08 -1 -1 36560 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65396 32 32 267 299 1 200 81 17 17 289 -1 unnamed_device 25.3 MiB 6.44 1207 6731 1642 4519 570 63.9 MiB 0.16 0.00 8.29812 -170.987 -8.29812 8.29812 2.37 0.000228579 0.000187435 0.0463598 0.0443926 36 3162 22 6.79088e+06 229024 648988. 2245.63 11.74 0.161469 0.150586 25390 158009 -1 2729 17 1154 3067 182715 40642 7.42577 7.42577 -164.968 -7.42577 0 0 828058. 2865.25 1.00 0.13 0.44 -1 -1 1.00 0.0173116 0.0158816 122 173 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_088.v common 26.95 vpr 64.71 MiB -1 -1 0.62 22344 15 1.01 -1 -1 36248 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66260 32 32 334 366 1 254 87 17 17 289 -1 unnamed_device 26.0 MiB 5.51 1316 11223 3211 6360 1652 64.7 MiB 0.15 0.00 9.24101 -186.679 -9.24101 9.24101 2.38 0.000136464 0.000109802 0.010004 0.00827923 46 3545 32 6.79088e+06 309856 828058. 2865.25 8.31 0.102984 0.0852926 27406 200422 -1 2867 18 1576 4182 206928 48477 8.10615 8.10615 -174.61 -8.10615 0 0 1.01997e+06 3529.29 1.22 0.11 0.40 -1 -1 1.22 0.0142682 0.0128737 163 240 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_089.v common 46.75 vpr 63.72 MiB -1 -1 0.30 21736 11 0.47 -1 -1 36352 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65248 32 32 220 252 1 168 79 17 17 289 -1 unnamed_device 25.1 MiB 6.28 817 7008 1491 5366 151 63.7 MiB 0.26 0.00 6.91752 -143.632 -6.91752 6.91752 2.45 0.000198506 0.000149481 0.233414 0.00922393 38 2333 21 6.79088e+06 202080 678818. 2348.85 29.04 0.475864 0.23947 25966 169698 -1 1855 17 922 2444 131934 30357 5.99343 5.99343 -137.822 -5.99343 0 0 902133. 3121.57 1.06 0.09 0.26 -1 -1 1.06 0.0132275 0.012109 97 126 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_090.v common 33.05 vpr 63.63 MiB -1 -1 0.91 21280 12 0.70 -1 -1 36552 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65160 31 32 244 276 1 193 80 17 17 289 -1 unnamed_device 25.1 MiB 5.66 1059 6100 1333 4406 361 63.6 MiB 0.17 0.00 6.68467 -150.19 -6.68467 6.68467 3.03 0.000203636 0.000162379 0.042617 0.0408947 36 3480 34 6.79088e+06 229024 648988. 2245.63 15.41 0.36556 0.35435 25390 158009 -1 2742 21 1417 3797 229983 51414 5.82887 5.82887 -146.097 -5.82887 0 0 828058. 2865.25 1.03 0.31 0.26 -1 -1 1.03 0.0174261 0.0158652 112 153 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_091.v common 24.24 vpr 64.44 MiB -1 -1 0.51 21888 12 1.09 -1 -1 36528 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65988 32 32 300 332 1 219 83 17 17 289 -1 unnamed_device 25.7 MiB 4.33 1274 6023 1243 4416 364 64.4 MiB 0.16 0.00 7.44946 -160.947 -7.44946 7.44946 2.83 0.000262393 0.000216047 0.0651238 0.0632751 36 3519 22 6.79088e+06 255968 648988. 2245.63 7.78 0.275483 0.263528 25390 158009 -1 2937 19 1489 4320 235582 54894 6.67381 6.67381 -157.967 -6.67381 0 0 828058. 2865.25 1.12 0.20 0.18 -1 -1 1.12 0.17701 0.175841 143 206 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_092.v common 72.75 vpr 64.23 MiB -1 -1 0.57 21736 12 1.29 -1 -1 36360 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65772 32 32 271 303 1 209 82 17 17 289 -1 unnamed_device 25.6 MiB 7.02 1256 11652 3336 6758 1558 64.2 MiB 0.23 0.00 7.26447 -155.226 -7.26447 7.26447 2.83 0.000252864 0.000180633 0.158774 0.155743 40 3216 19 6.79088e+06 242496 706193. 2443.58 52.33 0.601826 0.508429 26254 175826 -1 3120 16 1362 3927 262860 55541 6.33367 6.33367 -153.277 -6.33367 0 0 926341. 3205.33 1.43 0.09 0.55 -1 -1 1.43 0.0161406 0.0148196 130 177 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_093.v common 37.89 vpr 64.59 MiB -1 -1 0.71 22192 14 1.75 -1 -1 36588 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66144 32 32 327 359 1 233 86 17 17 289 -1 unnamed_device 25.9 MiB 7.53 1311 5567 1101 4179 287 64.6 MiB 0.04 0.02 9.37625 -182.592 -9.37625 9.37625 2.47 0.000134163 0.000107512 0.00613148 0.00519029 36 4039 26 6.79088e+06 296384 648988. 2245.63 17.04 0.513928 0.43663 25390 158009 -1 3438 21 1758 5180 314485 70041 7.81291 7.81291 -173.382 -7.81291 0 0 828058. 2865.25 1.15 0.18 0.25 -1 -1 1.15 0.0242137 0.0220307 167 233 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_094.v common 36.68 vpr 63.84 MiB -1 -1 0.60 21432 12 0.92 -1 -1 36468 -1 -1 19 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65368 30 32 246 278 1 185 81 17 17 289 -1 unnamed_device 25.3 MiB 5.74 1038 11631 4130 5622 1879 63.8 MiB 0.14 0.00 7.09222 -139.67 -7.09222 7.09222 2.91 0.000218801 0.000178227 0.00985613 0.00803052 36 3325 46 6.79088e+06 255968 648988. 2245.63 18.89 0.406694 0.395249 25390 158009 -1 2718 18 1284 3770 248485 55214 6.36168 6.36168 -136.43 -6.36168 0 0 828058. 2865.25 0.95 0.18 0.20 -1 -1 0.95 0.016007 0.0145486 121 158 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_095.v common 21.36 vpr 63.59 MiB -1 -1 0.37 21128 11 0.71 -1 -1 36524 -1 -1 19 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65116 27 32 219 251 1 163 78 17 17 289 -1 unnamed_device 25.1 MiB 8.70 836 12362 3373 7574 1415 63.6 MiB 0.25 0.00 7.24312 -129.617 -7.24312 7.24312 2.50 0.000183914 0.000148634 0.166608 0.0137765 30 2248 26 6.79088e+06 255968 556674. 1926.21 2.90 0.20089 0.0430698 24526 138013 -1 1895 15 862 2117 104629 25215 6.67032 6.67032 -127.143 -6.67032 0 0 706193. 2443.58 0.59 0.12 0.35 -1 -1 0.59 0.0123789 0.0112994 104 140 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_096.v common 33.71 vpr 64.76 MiB -1 -1 0.63 22648 13 1.67 -1 -1 36704 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66312 32 32 380 412 1 276 90 17 17 289 -1 unnamed_device 26.2 MiB 5.72 1548 9135 2246 6246 643 64.8 MiB 0.12 0.00 8.07817 -163.002 -8.07817 8.07817 2.72 0.000324898 0.000270015 0.0670237 0.0639352 40 4395 40 6.79088e+06 350272 706193. 2443.58 15.10 0.279144 0.153606 26254 175826 -1 4023 19 2209 6634 428390 91098 6.96017 6.96017 -159.086 -6.96017 0 0 926341. 3205.33 1.07 0.36 0.28 -1 -1 1.07 0.0249782 0.0228678 188 286 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_097.v common 25.03 vpr 64.05 MiB -1 -1 0.59 21888 14 1.03 -1 -1 36304 -1 -1 22 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65588 31 32 277 309 1 197 85 17 17 289 -1 unnamed_device 25.4 MiB 7.07 1216 5665 1120 3933 612 64.1 MiB 0.14 0.00 8.1916 -166.036 -8.1916 8.1916 2.67 0.000226605 0.000185802 0.00584723 0.00496125 38 2974 17 6.79088e+06 296384 678818. 2348.85 6.98 0.147742 0.13804 25966 169698 -1 2613 21 1157 3235 164176 37413 7.1786 7.1786 -156.686 -7.1786 0 0 902133. 3121.57 0.89 0.15 0.32 -1 -1 0.89 0.0184198 0.0168247 130 186 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_098.v common 24.59 vpr 63.77 MiB -1 -1 0.43 21432 12 0.57 -1 -1 36308 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65304 32 32 229 261 1 174 82 17 17 289 -1 unnamed_device 25.1 MiB 6.12 1069 7736 1622 5709 405 63.8 MiB 0.13 0.00 7.30616 -155.25 -7.30616 7.30616 2.38 0.000223248 0.000172778 0.0109389 0.0091079 36 2757 24 6.79088e+06 242496 648988. 2245.63 7.65 0.0694634 0.0595311 25390 158009 -1 2268 14 931 2345 132703 30214 6.27527 6.27527 -149.173 -6.27527 0 0 828058. 2865.25 1.03 0.04 0.20 -1 -1 1.03 0.0132514 0.0121832 109 135 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_099.v common 51.60 vpr 63.95 MiB -1 -1 0.57 21584 13 0.90 -1 -1 36364 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65488 32 32 263 295 1 199 82 17 17 289 -1 unnamed_device 25.4 MiB 5.81 1256 6846 1513 4068 1265 64.0 MiB 0.09 0.00 8.39101 -170.885 -8.39101 8.39101 2.34 0.000283038 0.000242275 0.0531481 0.0513807 34 3785 45 6.79088e+06 242496 618332. 2139.56 35.07 0.54238 0.52401 25102 150614 -1 3057 17 1293 3503 237559 52009 7.08906 7.08906 -166.878 -7.08906 0 0 787024. 2723.27 1.30 0.14 0.47 -1 -1 1.30 0.0128271 0.011729 128 169 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_100.v common 31.87 vpr 64.61 MiB -1 -1 0.70 22192 13 0.97 -1 -1 36568 -1 -1 24 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66156 31 32 321 353 1 241 87 17 17 289 -1 unnamed_device 25.9 MiB 5.56 1444 6039 1232 4262 545 64.6 MiB 0.27 0.00 7.26103 -154.941 -7.26103 7.26103 3.14 0.000128786 0.000105109 0.111643 0.109916 40 3873 25 6.79088e+06 323328 706193. 2443.58 13.07 0.522711 0.50947 26254 175826 -1 3690 26 2164 6542 540823 149368 6.45548 6.45548 -154.67 -6.45548 0 0 926341. 3205.33 1.15 0.58 0.34 -1 -1 1.15 0.0864627 0.0839129 157 230 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_101.v common 39.85 vpr 64.26 MiB -1 -1 0.84 21736 11 0.98 -1 -1 36344 -1 -1 22 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65800 30 32 287 319 1 201 84 17 17 289 -1 unnamed_device 25.6 MiB 6.78 1252 8136 2096 4731 1309 64.3 MiB 0.05 0.00 7.13827 -144.758 -7.13827 7.13827 2.83 0.000245886 0.000204375 0.0100692 0.00833935 36 3579 27 6.79088e+06 296384 648988. 2245.63 20.86 0.333703 0.145771 25390 158009 -1 2774 18 1258 3822 237022 52155 6.12992 6.12992 -138.211 -6.12992 0 0 828058. 2865.25 0.99 0.10 0.23 -1 -1 0.99 0.0174968 0.0159903 141 199 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_102.v common 84.90 vpr 64.32 MiB -1 -1 0.96 21888 15 1.57 -1 -1 36384 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65860 32 32 296 328 1 220 86 17 17 289 -1 unnamed_device 25.7 MiB 5.34 1398 5000 1022 3623 355 64.3 MiB 0.25 0.00 8.64473 -188.25 -8.64473 8.64473 2.52 0.000255711 0.000206434 0.0104988 0.00889174 40 3355 32 6.79088e+06 296384 706193. 2443.58 66.18 0.406963 0.383136 26254 175826 -1 3182 18 1306 4145 285809 60984 7.67991 7.67991 -176.515 -7.67991 0 0 926341. 3205.33 1.28 0.13 0.31 -1 -1 1.28 0.0899599 0.0883922 147 202 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_103.v common 29.36 vpr 64.37 MiB -1 -1 0.50 22040 13 1.59 -1 -1 36852 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65912 32 32 285 317 1 217 85 17 17 289 -1 unnamed_device 25.7 MiB 7.97 1264 9385 2386 5811 1188 64.4 MiB 0.16 0.00 8.01335 -174.221 -8.01335 8.01335 2.10 0.000251256 0.000208167 0.113164 0.110591 38 3415 21 6.79088e+06 282912 678818. 2348.85 7.71 0.337982 0.325943 25966 169698 -1 2763 21 1340 3969 196219 45514 7.08901 7.08901 -167.166 -7.08901 0 0 902133. 3121.57 1.13 0.16 0.49 -1 -1 1.13 0.312205 0.310521 143 191 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_104.v common 25.44 vpr 63.90 MiB -1 -1 0.53 21584 12 0.78 -1 -1 36568 -1 -1 18 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65436 29 32 239 271 1 185 79 17 17 289 -1 unnamed_device 25.4 MiB 6.39 1082 7177 1879 4686 612 63.9 MiB 0.12 0.00 7.46481 -154.166 -7.46481 7.46481 2.48 0.000265814 0.00022923 0.011956 0.010091 38 2803 27 6.79088e+06 242496 678818. 2348.85 7.97 0.276848 0.267483 25966 169698 -1 2280 16 1197 2964 157668 36113 6.25871 6.25871 -141.46 -6.25871 0 0 902133. 3121.57 1.26 0.02 0.39 -1 -1 1.26 0.00697017 0.00630815 111 154 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_105.v common 40.48 vpr 63.79 MiB -1 -1 0.69 21432 11 0.70 -1 -1 36752 -1 -1 14 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65324 32 32 235 267 1 172 78 17 17 289 -1 unnamed_device 25.1 MiB 4.85 795 3896 739 3108 49 63.8 MiB 0.11 0.00 6.53043 -137.046 -6.53043 6.53043 2.89 0.00018483 0.000148471 0.088664 0.0875687 38 2455 21 6.79088e+06 188608 678818. 2348.85 24.85 0.488295 0.472963 25966 169698 -1 1914 16 1035 2541 117928 30509 5.86813 5.86813 -135.756 -5.86813 0 0 902133. 3121.57 1.50 0.07 0.36 -1 -1 1.50 0.0457419 0.0445629 98 141 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_106.v common 28.15 vpr 64.36 MiB -1 -1 0.80 21736 13 1.27 -1 -1 36680 -1 -1 21 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65904 31 32 294 326 1 212 84 17 17 289 -1 unnamed_device 25.7 MiB 3.98 1198 13077 3554 7195 2328 64.4 MiB 0.27 0.00 8.26103 -160.93 -8.26103 8.26103 2.16 0.000270348 0.000226147 0.216508 0.212863 34 3863 44 6.79088e+06 282912 618332. 2139.56 11.91 0.46639 0.418887 25102 150614 -1 2972 18 1580 4441 276457 61802 7.17157 7.17157 -154.867 -7.17157 0 0 787024. 2723.27 1.04 0.15 0.57 -1 -1 1.04 0.0123437 0.0113039 143 203 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_107.v common 22.20 vpr 63.58 MiB -1 -1 0.76 21432 10 0.46 -1 -1 36424 -1 -1 17 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65108 29 32 219 251 1 163 78 17 17 289 -1 unnamed_device 25.1 MiB 7.21 954 8046 2043 4866 1137 63.6 MiB 0.10 0.00 6.11522 -126.764 -6.11522 6.11522 2.97 0.000210845 0.000158605 0.0119812 0.00979056 30 2468 23 6.79088e+06 229024 556674. 1926.21 4.31 0.191789 0.185121 24526 138013 -1 2106 17 967 2467 126790 28864 5.15193 5.15193 -122.814 -5.15193 0 0 706193. 2443.58 0.68 0.03 0.18 -1 -1 0.68 0.0132977 0.0121969 101 134 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_108.v common 34.04 vpr 63.78 MiB -1 -1 0.81 21280 14 0.69 -1 -1 36404 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65308 32 32 239 271 1 184 82 17 17 289 -1 unnamed_device 25.1 MiB 10.50 1162 8092 2174 5283 635 63.8 MiB 0.13 0.00 7.73405 -163.867 -7.73405 7.73405 2.31 0.000215391 0.000175772 0.0132003 0.0109805 36 2830 21 6.79088e+06 242496 648988. 2245.63 10.65 0.0705317 0.0597081 25390 158009 -1 2507 18 1054 2739 161347 36131 6.99942 6.99942 -158.459 -6.99942 0 0 828058. 2865.25 1.19 0.17 0.55 -1 -1 1.19 0.137354 0.0138083 110 145 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_109.v common 27.37 vpr 64.03 MiB -1 -1 0.58 21888 13 1.03 -1 -1 36536 -1 -1 20 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65568 31 32 266 298 1 209 83 17 17 289 -1 unnamed_device 25.4 MiB 9.35 1137 9083 2001 6216 866 64.0 MiB 0.11 0.00 8.08721 -167.06 -8.08721 8.08721 2.75 0.000272858 0.000227797 0.0792009 0.0127277 46 2776 17 6.79088e+06 269440 828058. 2865.25 6.76 0.137092 0.0627626 27406 200422 -1 2419 14 1059 2826 147207 32803 6.97485 6.97485 -157.217 -6.97485 0 0 1.01997e+06 3529.29 1.32 0.19 0.33 -1 -1 1.32 0.162164 0.0134858 125 175 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_110.v common 51.31 vpr 63.60 MiB -1 -1 0.88 21432 12 0.77 -1 -1 36244 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65124 31 32 225 257 1 172 80 17 17 289 -1 unnamed_device 25.1 MiB 12.49 1082 7476 1931 4630 915 63.6 MiB 0.38 0.11 6.65573 -144.442 -6.65573 6.65573 2.90 0.000188455 0.00015255 0.200067 0.00936333 36 2682 32 6.79088e+06 229024 648988. 2245.63 25.83 0.406768 0.201892 25390 158009 -1 2393 30 1144 2885 386195 181882 5.65673 5.65673 -137.02 -5.65673 0 0 828058. 2865.25 0.83 0.28 0.29 -1 -1 0.83 0.12046 0.118518 99 134 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_111.v common 25.34 vpr 64.32 MiB -1 -1 0.61 21584 12 0.58 -1 -1 37044 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65864 32 32 288 320 1 203 82 17 17 289 -1 unnamed_device 25.6 MiB 7.32 1119 6134 1379 4379 376 64.3 MiB 0.21 0.00 7.01038 -154.061 -7.01038 7.01038 2.75 0.000112302 8.9372e-05 0.0111242 0.00931707 38 2950 24 6.79088e+06 242496 678818. 2348.85 5.96 0.16096 0.0705324 25966 169698 -1 2464 16 1172 3380 179416 40524 6.07958 6.07958 -148.005 -6.07958 0 0 902133. 3121.57 1.07 0.14 0.34 -1 -1 1.07 0.0176994 0.016164 130 194 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_112.v common 27.67 vpr 64.27 MiB -1 -1 0.75 21888 13 0.85 -1 -1 36472 -1 -1 20 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65808 31 32 282 314 1 212 83 17 17 289 -1 unnamed_device 25.6 MiB 4.30 1249 12503 3919 6242 2342 64.3 MiB 0.32 0.00 7.84749 -165.919 -7.84749 7.84749 2.33 0.000261365 0.000210017 0.162284 0.0163846 38 3454 36 6.79088e+06 269440 678818. 2348.85 10.41 0.400356 0.244355 25966 169698 -1 2838 16 1332 3829 207412 46302 6.78001 6.78001 -155.304 -6.78001 0 0 902133. 3121.57 1.27 0.27 0.32 -1 -1 1.27 0.110172 0.0149654 143 191 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_113.v common 28.79 vpr 63.38 MiB -1 -1 0.51 21280 11 0.77 -1 -1 36240 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64896 32 32 233 265 1 183 80 17 17 289 -1 unnamed_device 24.8 MiB 8.13 975 11260 3989 5096 2175 63.4 MiB 0.26 0.00 6.23648 -144.813 -6.23648 6.23648 2.69 0.000179694 0.000144289 0.0150163 0.0124489 38 2971 28 6.79088e+06 215552 678818. 2348.85 8.23 0.613452 0.59947 25966 169698 -1 2312 17 1336 3500 191962 44203 5.4461 5.4461 -139.775 -5.4461 0 0 902133. 3121.57 1.32 0.20 0.31 -1 -1 1.32 0.159588 0.158092 106 139 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_114.v common 69.78 vpr 64.03 MiB -1 -1 0.82 21432 13 0.85 -1 -1 36252 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65568 32 32 254 286 1 188 79 17 17 289 -1 unnamed_device 25.4 MiB 9.17 1138 8360 2130 5255 975 64.0 MiB 0.04 0.00 7.72657 -166.89 -7.72657 7.72657 2.07 0.000222629 0.000183485 0.00710553 0.00589471 38 3105 26 6.79088e+06 202080 678818. 2348.85 48.20 0.559157 0.539576 25966 169698 -1 2590 15 1182 3141 185601 42452 6.83149 6.83149 -159.702 -6.83149 0 0 902133. 3121.57 0.98 0.08 0.25 -1 -1 0.98 0.0127724 0.0115959 113 160 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_115.v common 82.43 vpr 64.21 MiB -1 -1 0.51 21736 13 0.78 -1 -1 36796 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65748 32 32 285 317 1 214 83 17 17 289 -1 unnamed_device 25.6 MiB 4.00 1313 11963 3400 6650 1913 64.2 MiB 0.30 0.00 7.85887 -174.519 -7.85887 7.85887 2.35 0.00031479 0.000205969 0.0200107 0.0165406 30 4568 41 6.79088e+06 255968 556674. 1926.21 66.34 0.871574 0.572404 24526 138013 -1 3314 37 2252 6875 999408 364248 7.16049 7.16049 -172.992 -7.16049 0 0 706193. 2443.58 1.19 0.71 0.19 -1 -1 1.19 0.232466 0.230096 136 191 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_116.v common 36.68 vpr 63.86 MiB -1 -1 0.58 21584 11 0.65 -1 -1 36244 -1 -1 19 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65396 29 32 243 275 1 184 80 17 17 289 -1 unnamed_device 25.3 MiB 6.41 1115 11432 3871 5660 1901 63.9 MiB 0.19 0.00 6.26054 -131.434 -6.26054 6.26054 2.71 0.000213964 0.000174081 0.0171196 0.0142019 34 3221 43 6.79088e+06 255968 618332. 2139.56 18.36 0.339668 0.318575 25102 150614 -1 2706 20 1337 3963 285622 59780 5.35984 5.35984 -129.56 -5.35984 0 0 787024. 2723.27 1.06 0.35 0.30 -1 -1 1.06 0.0174185 0.0156703 116 158 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_117.v common 25.67 vpr 64.23 MiB -1 -1 0.70 22192 14 1.04 -1 -1 36904 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65768 32 32 318 350 1 238 87 17 17 289 -1 unnamed_device 25.6 MiB 6.96 1385 10647 2557 6767 1323 64.2 MiB 0.25 0.00 8.80331 -192.733 -8.80331 8.80331 2.81 0.000276201 0.000229648 0.0891363 0.0857842 30 3986 28 6.79088e+06 309856 556674. 1926.21 6.57 0.245103 0.23526 24526 138013 -1 3287 25 1652 4416 358935 112256 7.64841 7.64841 -182.338 -7.64841 0 0 706193. 2443.58 0.79 0.19 0.41 -1 -1 0.79 0.104946 0.102389 159 224 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_118.v common 37.72 vpr 63.71 MiB -1 -1 0.54 21128 12 0.61 -1 -1 36272 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65236 31 32 222 254 1 188 82 17 17 289 -1 unnamed_device 25.1 MiB 9.80 1086 12186 3312 7382 1492 63.7 MiB 0.33 0.00 6.63439 -153.849 -6.63439 6.63439 3.18 0.000183914 0.000147091 0.0156249 0.0128517 36 2948 49 6.79088e+06 255968 648988. 2245.63 16.62 0.153242 0.0646456 25390 158009 -1 2400 28 1086 2531 308355 129895 5.82549 5.82549 -143.643 -5.82549 0 0 828058. 2865.25 0.91 0.30 0.42 -1 -1 0.91 0.0542581 0.052464 106 131 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_119.v common 68.05 vpr 64.02 MiB -1 -1 0.65 22192 13 1.09 -1 -1 36324 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65556 32 32 282 314 1 211 84 17 17 289 -1 unnamed_device 25.4 MiB 5.73 1327 11796 3119 6792 1885 64.0 MiB 0.28 0.00 8.16138 -171.4 -8.16138 8.16138 2.39 0.000130482 9.8505e-05 0.0195796 0.0155773 36 4119 25 6.79088e+06 269440 648988. 2245.63 49.95 0.617249 0.533045 25390 158009 -1 3227 18 1447 4088 298721 67832 7.16403 7.16403 -164.556 -7.16403 0 0 828058. 2865.25 1.06 0.36 0.45 -1 -1 1.06 0.0159327 0.0141426 136 188 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_120.v common 43.78 vpr 63.73 MiB -1 -1 0.59 21432 13 0.65 -1 -1 36324 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65264 32 32 238 270 1 180 84 17 17 289 -1 unnamed_device 25.1 MiB 4.97 1047 11613 3365 5842 2406 63.7 MiB 0.16 0.00 7.82359 -169.628 -7.82359 7.82359 2.41 0.000227712 0.000190496 0.0538307 0.0511428 34 3044 39 6.79088e+06 269440 618332. 2139.56 27.45 0.668463 0.411437 25102 150614 -1 2691 21 1199 3043 213784 46250 7.08896 7.08896 -169.68 -7.08896 0 0 787024. 2723.27 0.99 0.24 0.25 -1 -1 0.99 0.0156106 0.0141582 107 144 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_121.v common 51.44 vpr 63.93 MiB -1 -1 0.65 21888 12 0.73 -1 -1 36804 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65464 32 32 269 301 1 190 83 17 17 289 -1 unnamed_device 25.4 MiB 6.07 1272 8543 2219 5545 779 63.9 MiB 0.13 0.00 7.47927 -162.601 -7.47927 7.47927 2.32 0.000242842 0.000198714 0.015874 0.0134652 30 3408 29 6.79088e+06 255968 556674. 1926.21 33.94 0.61366 0.599782 24526 138013 -1 2718 21 1487 4408 233239 51727 6.49119 6.49119 -152.452 -6.49119 0 0 706193. 2443.58 0.91 0.15 0.22 -1 -1 0.91 0.0120418 0.0107914 128 175 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_122.v common 28.74 vpr 64.81 MiB -1 -1 0.80 22496 15 1.59 -1 -1 36820 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66364 32 32 350 382 1 256 89 17 17 289 -1 unnamed_device 26.2 MiB 5.00 1539 10979 3042 6587 1350 64.8 MiB 0.23 0.00 9.52142 -198.607 -9.52142 9.52142 2.32 0.000300575 0.00024672 0.0234605 0.0197836 38 4388 50 6.79088e+06 336800 678818. 2348.85 11.62 0.253926 0.137742 25966 169698 -1 3570 19 1907 5785 301624 67256 8.06351 8.06351 -186.424 -8.06351 0 0 902133. 3121.57 1.08 0.27 0.41 -1 -1 1.08 0.0828552 0.0808869 183 256 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_123.v common 23.41 vpr 63.17 MiB -1 -1 0.39 21280 10 0.31 -1 -1 36224 -1 -1 11 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64688 30 32 174 206 1 133 73 17 17 289 -1 unnamed_device 24.7 MiB 6.67 627 9953 2899 5238 1816 63.2 MiB 0.10 0.00 4.79366 -113.325 -4.79366 4.79366 2.34 0.000135958 0.000107489 0.0696102 0.06753 34 1992 42 6.79088e+06 148192 618332. 2139.56 6.04 0.106627 0.098773 25102 150614 -1 1541 15 725 1603 89087 22561 4.51496 4.51496 -114.565 -4.51496 0 0 787024. 2723.27 1.19 0.19 0.30 -1 -1 1.19 0.00914581 0.0083407 65 86 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_124.v common 23.14 vpr 63.53 MiB -1 -1 0.64 21584 13 0.63 -1 -1 36340 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65056 30 32 228 260 1 176 79 17 17 289 -1 unnamed_device 25.0 MiB 5.06 1062 12416 3908 6746 1762 63.5 MiB 0.15 0.00 7.81527 -160.852 -7.81527 7.81527 2.45 0.000206254 0.000159013 0.0185229 0.014972 36 2664 19 6.79088e+06 229024 648988. 2245.63 7.43 0.192552 0.180408 25390 158009 -1 2364 17 1084 2673 155516 35420 6.83498 6.83498 -156.84 -6.83498 0 0 828058. 2865.25 1.03 0.10 0.26 -1 -1 1.03 0.0146921 0.0134424 103 140 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_125.v common 34.53 vpr 63.93 MiB -1 -1 0.66 21584 12 0.63 -1 -1 36380 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65464 32 32 264 296 1 200 82 17 17 289 -1 unnamed_device 25.4 MiB 7.54 1159 7736 1879 5232 625 63.9 MiB 0.49 0.00 7.13237 -158.608 -7.13237 7.13237 2.95 0.000210627 0.000169769 0.00960852 0.00787974 34 3355 47 6.79088e+06 242496 618332. 2139.56 14.32 0.116058 0.102759 25102 150614 -1 2853 20 1620 4022 273560 59015 6.28323 6.28323 -157.495 -6.28323 0 0 787024. 2723.27 1.12 0.29 0.25 -1 -1 1.12 0.0213319 0.0196772 117 170 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_126.v common 18.54 vpr 63.36 MiB -1 -1 0.47 21280 9 0.55 -1 -1 36084 -1 -1 18 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64880 25 32 183 215 1 134 75 17 17 289 -1 unnamed_device 24.8 MiB 3.64 683 6711 1744 4492 475 63.4 MiB 0.60 0.00 5.04099 -94.9896 -5.04099 5.04099 2.25 7.3999e-05 5.8196e-05 0.155318 0.00645552 28 2279 50 6.79088e+06 242496 531479. 1839.03 3.90 0.326083 0.0386991 23950 126010 -1 1882 35 1206 3337 388976 144017 4.77249 4.77249 -103.451 -4.77249 0 0 648988. 2245.63 0.82 0.16 0.30 -1 -1 0.82 0.00993864 0.00876295 86 110 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_127.v common 29.40 vpr 64.38 MiB -1 -1 0.55 21888 12 1.10 -1 -1 36540 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65924 32 32 300 332 1 226 85 17 17 289 -1 unnamed_device 25.6 MiB 5.88 1287 10501 2542 6098 1861 64.4 MiB 0.15 0.00 7.44518 -164.845 -7.44518 7.44518 3.10 0.000112587 8.9592e-05 0.0096715 0.0080076 46 3522 28 6.79088e+06 282912 828058. 2865.25 9.91 0.399568 0.383678 27406 200422 -1 2824 20 1482 4119 210242 47127 6.41977 6.41977 -155.05 -6.41977 0 0 1.01997e+06 3529.29 1.30 0.09 0.53 -1 -1 1.30 0.0267084 0.0249463 143 206 -1 -1 -1 -1 +fixed_k6_frac_N8_22nm.xml mult_128.v common 29.42 vpr 64.29 MiB -1 -1 0.57 22344 13 0.97 -1 -1 36388 -1 -1 22 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65832 31 32 290 322 1 215 85 17 17 289 -1 unnamed_device 25.6 MiB 7.07 1313 6409 1340 4499 570 64.3 MiB 0.15 0.00 8.4064 -173.711 -8.4064 8.4064 2.11 0.000266612 0.000223623 0.0579655 0.0098834 36 3598 20 6.79088e+06 296384 648988. 2245.63 11.51 0.354726 0.29688 25390 158009 -1 3137 19 1415 4009 239624 53054 7.719 7.719 -169.02 -7.719 0 0 828058. 2865.25 0.89 0.21 0.23 -1 -1 0.89 0.0205568 0.0188058 147 199 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 36.82 vpr 64.11 MiB -1 -1 0.39 21280 1 0.15 -1 -1 33932 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65652 32 32 354 285 1 207 90 17 17 289 -1 unnamed_device 25.3 MiB 10.99 991 16773 4981 8552 3240 64.1 MiB 0.17 0.00 5.46262 -158.993 -5.46262 5.46262 2.39 0.000166257 0.00013232 0.0170672 0.0139707 30 2828 34 6.87369e+06 363320 556674. 1926.21 16.16 0.628108 0.614131 25186 138497 -1 1951 21 1455 2342 135152 34327 4.306 4.306 -144.582 -4.306 0 0 706193. 2443.58 0.90 0.05 0.21 -1 -1 0.90 0.0091799 0.00828407 142 50 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 23.92 vpr 64.31 MiB -1 -1 0.32 21280 1 0.03 -1 -1 33704 -1 -1 24 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65856 30 32 363 293 1 200 86 17 17 289 -1 unnamed_device 25.8 MiB 9.80 1105 15395 5029 8642 1724 64.3 MiB 0.19 0.00 4.6569 -139.628 -4.6569 4.6569 2.33 0.000183779 0.000149981 0.0159037 0.0129477 34 2743 24 6.87369e+06 335372 618332. 2139.56 4.02 0.236274 0.165765 25762 151098 -1 2373 20 1798 2701 226002 50787 4.34166 4.34166 -150.553 -4.34166 0 0 787024. 2723.27 0.88 0.11 0.32 -1 -1 0.88 0.0278436 0.0102401 141 63 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 23.13 vpr 64.22 MiB -1 -1 0.64 21280 1 0.07 -1 -1 33484 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65764 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 25.5 MiB 8.21 973 9571 2499 6619 453 64.2 MiB 0.13 0.00 4.36457 -122.38 -4.36457 4.36457 2.58 0.000149868 0.000118135 0.010994 0.00912159 34 2446 23 6.87369e+06 293451 618332. 2139.56 4.31 0.0465469 0.0389704 25762 151098 -1 1989 21 1377 1840 125121 30167 3.85196 3.85196 -122.838 -3.85196 0 0 787024. 2723.27 1.13 0.06 0.29 -1 -1 1.13 0.0100137 0.00865675 124 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 18.42 vpr 64.22 MiB -1 -1 0.26 21280 1 0.14 -1 -1 33676 -1 -1 29 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65764 29 32 308 248 1 172 90 17 17 289 -1 unnamed_device 25.5 MiB 3.14 962 13557 3889 7576 2092 64.2 MiB 0.30 0.00 4.63038 -127.857 -4.63038 4.63038 2.75 0.00015505 0.000122539 0.0115351 0.00925165 34 2292 25 6.87369e+06 405241 618332. 2139.56 3.84 0.350809 0.342104 25762 151098 -1 1968 20 1368 2519 188672 42105 3.8044 3.8044 -122.158 -3.8044 0 0 787024. 2723.27 0.95 0.05 0.37 -1 -1 0.95 0.0107964 0.00957934 124 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 20.87 vpr 64.36 MiB -1 -1 0.44 21280 1 0.19 -1 -1 33704 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65908 32 32 336 268 1 181 91 17 17 289 -1 unnamed_device 25.6 MiB 4.87 1039 17023 5711 8892 2420 64.4 MiB 0.22 0.00 4.54412 -133.694 -4.54412 4.54412 3.05 0.000166785 0.000133977 0.114843 0.0582197 34 2709 22 6.87369e+06 377294 618332. 2139.56 4.64 0.265154 0.201143 25762 151098 -1 2243 23 1783 3463 258575 57562 3.5348 3.5348 -127.602 -3.5348 0 0 787024. 2723.27 1.07 0.21 0.47 -1 -1 1.07 0.086927 0.0854641 131 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 17.64 vpr 64.25 MiB -1 -1 0.53 21432 1 0.06 -1 -1 33692 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65788 32 32 366 295 1 189 94 17 17 289 -1 unnamed_device 25.6 MiB 4.93 1055 17134 4716 10280 2138 64.2 MiB 0.33 0.00 3.30607 -118.576 -3.30607 3.30607 2.42 0.000174675 0.000142387 0.0411234 0.0379096 30 2419 18 6.87369e+06 419215 556674. 1926.21 2.66 0.2291 0.221514 25186 138497 -1 1992 20 1192 2027 120521 27314 2.73471 2.73471 -114.003 -2.73471 0 0 706193. 2443.58 1.12 0.03 0.35 -1 -1 1.12 0.0111662 0.00984468 136 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 21.59 vpr 63.89 MiB -1 -1 0.63 21280 1 0.20 -1 -1 34148 -1 -1 19 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65424 27 32 259 221 1 141 78 17 17 289 -1 unnamed_device 25.2 MiB 7.61 598 11532 3252 7421 859 63.9 MiB 0.16 0.00 3.87934 -104.974 -3.87934 3.87934 2.58 0.000132466 0.000103121 0.0101505 0.00808648 34 1593 23 6.87369e+06 265503 618332. 2139.56 3.70 0.325426 0.318722 25762 151098 -1 1264 18 995 1650 93834 24428 2.92396 2.92396 -98.013 -2.92396 0 0 787024. 2723.27 0.74 0.08 0.34 -1 -1 0.74 0.00893896 0.00791239 97 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 17.49 vpr 64.05 MiB -1 -1 0.57 21280 1 0.10 -1 -1 33720 -1 -1 32 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65592 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 25.5 MiB 2.68 978 15431 4433 8823 2175 64.1 MiB 0.12 0.00 3.50695 -106.713 -3.50695 3.50695 2.58 0.000143358 0.000114212 0.0207569 0.0181229 34 2114 20 6.87369e+06 447163 618332. 2139.56 4.43 0.177116 0.167919 25762 151098 -1 1814 17 965 1627 111277 25198 2.59636 2.59636 -97.5085 -2.59636 0 0 787024. 2723.27 0.84 0.06 0.40 -1 -1 0.84 0.00819473 0.00710164 119 4 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 22.87 vpr 64.05 MiB -1 -1 0.51 21280 1 0.09 -1 -1 33892 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65588 31 32 317 271 1 175 80 17 17 289 -1 unnamed_device 25.6 MiB 7.76 916 12980 4301 6644 2035 64.1 MiB 0.19 0.00 3.30197 -112.934 -3.30197 3.30197 2.91 6.6029e-05 5.1628e-05 0.121977 0.119214 34 2285 22 6.87369e+06 237555 618332. 2139.56 4.64 0.160641 0.151527 25762 151098 -1 1949 21 1338 1995 161157 36615 3.20191 3.20191 -121.06 -3.20191 0 0 787024. 2723.27 1.09 0.11 0.28 -1 -1 1.09 0.0102387 0.00892518 113 64 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 27.58 vpr 63.84 MiB -1 -1 0.66 21280 1 0.02 -1 -1 33680 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65372 32 32 298 248 1 162 80 17 17 289 -1 unnamed_device 25.3 MiB 14.09 944 11432 3128 6967 1337 63.8 MiB 0.03 0.00 4.11183 -135.597 -4.11183 4.11183 2.46 6.5683e-05 5.0992e-05 0.00516988 0.00410632 34 2163 22 6.87369e+06 223581 618332. 2139.56 4.09 0.166875 0.159829 25762 151098 -1 1889 22 1377 2269 183926 40856 2.87696 2.87696 -119.913 -2.87696 0 0 787024. 2723.27 0.83 0.11 0.22 -1 -1 0.83 0.0109243 0.00962712 107 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 24.39 vpr 63.82 MiB -1 -1 0.39 21128 1 0.08 -1 -1 33864 -1 -1 16 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65348 30 32 303 262 1 148 78 17 17 289 -1 unnamed_device 25.3 MiB 10.48 698 4228 848 3032 348 63.8 MiB 0.01 0.00 4.05863 -116.721 -4.05863 4.05863 2.58 6.9263e-05 5.3973e-05 0.00246885 0.00201753 34 1839 40 6.87369e+06 223581 618332. 2139.56 3.70 0.308414 0.300163 25762 151098 -1 1593 21 1044 1703 118400 27927 2.97416 2.97416 -108.479 -2.97416 0 0 787024. 2723.27 1.08 0.05 0.29 -1 -1 1.08 0.0264197 0.00935091 98 63 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 23.14 vpr 63.97 MiB -1 -1 0.60 21432 1 0.07 -1 -1 33692 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65508 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 25.3 MiB 7.30 793 8131 1974 5384 773 64.0 MiB 0.11 0.00 3.6704 -113.179 -3.6704 3.6704 2.43 0.000148922 0.000109591 0.00809364 0.00658796 34 2134 22 6.87369e+06 237555 618332. 2139.56 4.88 0.405053 0.397143 25762 151098 -1 1742 20 1056 1506 111588 26371 3.35341 3.35341 -115.585 -3.35341 0 0 787024. 2723.27 1.40 0.02 0.28 -1 -1 1.40 0.00544739 0.00485243 107 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 30.26 vpr 64.30 MiB -1 -1 0.40 21128 1 0.27 -1 -1 33652 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65840 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 25.6 MiB 12.21 837 15063 3948 9014 2101 64.3 MiB 0.31 0.00 4.17399 -130.445 -4.17399 4.17399 2.86 9.0849e-05 7.2602e-05 0.0152808 0.0123649 36 2644 26 6.87369e+06 321398 648988. 2245.63 6.80 0.23074 0.124605 26050 158493 -1 2067 24 1964 3050 211379 51988 3.51651 3.51651 -124.444 -3.51651 0 0 828058. 2865.25 0.73 0.27 0.29 -1 -1 0.73 0.0133495 0.0117129 142 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 29.21 vpr 64.17 MiB -1 -1 0.50 21432 1 0.19 -1 -1 33724 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65708 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 25.5 MiB 8.83 872 16727 4869 9293 2565 64.2 MiB 0.25 0.00 4.77648 -139.073 -4.77648 4.77648 3.02 0.000163405 0.000130951 0.0152816 0.0124366 30 2490 24 6.87369e+06 433189 556674. 1926.21 10.27 0.0733525 0.061115 25186 138497 -1 1883 19 1342 2199 140123 33008 4.01576 4.01576 -135.5 -4.01576 0 0 706193. 2443.58 0.78 0.09 0.33 -1 -1 0.78 0.0109592 0.00960836 133 61 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 17.20 vpr 63.62 MiB -1 -1 0.49 21280 1 0.11 -1 -1 33732 -1 -1 19 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65152 29 32 248 215 1 142 80 17 17 289 -1 unnamed_device 25.0 MiB 5.52 782 10916 2876 6758 1282 63.6 MiB 0.20 0.00 3.26207 -99.6514 -3.26207 3.26207 2.38 0.000190873 0.000158989 0.0300257 0.0281333 32 1990 21 6.87369e+06 265503 586450. 2029.24 2.55 0.0521373 0.0467142 25474 144626 -1 1683 21 1109 1760 137076 31622 2.84596 2.84596 -100.232 -2.84596 0 0 744469. 2576.02 0.66 0.18 0.32 -1 -1 0.66 0.00850023 0.00740987 94 27 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 20.16 vpr 64.47 MiB -1 -1 0.42 21280 1 0.12 -1 -1 33736 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66020 32 32 370 297 1 191 88 17 17 289 -1 unnamed_device 25.8 MiB 6.25 1043 16273 5726 7876 2671 64.5 MiB 0.21 0.00 3.8137 -126.628 -3.8137 3.8137 2.28 0.000170614 0.000137127 0.0764758 0.073383 32 2878 41 6.87369e+06 335372 586450. 2029.24 2.74 0.112452 0.103721 25474 144626 -1 2322 22 1768 3019 252321 57893 3.36621 3.36621 -127.403 -3.36621 0 0 744469. 2576.02 1.18 0.37 0.18 -1 -1 1.18 0.0109143 0.00938248 135 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 29.38 vpr 64.13 MiB -1 -1 0.54 21432 1 0.16 -1 -1 33608 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65672 32 32 338 269 1 204 85 17 17 289 -1 unnamed_device 25.5 MiB 13.99 1087 9571 2614 6264 693 64.1 MiB 0.23 0.00 4.17947 -136.952 -4.17947 4.17947 2.29 0.000162453 0.000130153 0.00622417 0.00504765 34 2708 23 6.87369e+06 293451 618332. 2139.56 4.96 0.05586 0.0468219 25762 151098 -1 2252 20 1534 2283 175831 39652 3.24661 3.24661 -128.103 -3.24661 0 0 787024. 2723.27 0.82 0.23 0.45 -1 -1 0.82 0.0120199 0.010537 140 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 23.86 vpr 63.93 MiB -1 -1 0.29 21584 1 0.31 -1 -1 33716 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65468 32 32 323 276 1 156 92 17 17 289 -1 unnamed_device 25.3 MiB 8.52 699 8993 2148 6078 767 63.9 MiB 0.24 0.00 3.09156 -107.654 -3.09156 3.09156 2.68 0.000158125 0.00012581 0.00826315 0.006625 34 2013 25 6.87369e+06 391268 618332. 2139.56 4.82 0.210333 0.202125 25762 151098 -1 1669 19 1066 1662 108956 26905 2.19587 2.19587 -100.714 -2.19587 0 0 787024. 2723.27 1.16 0.16 0.28 -1 -1 1.16 0.0101906 0.00893816 109 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 14.33 vpr 63.51 MiB -1 -1 0.45 21128 1 0.20 -1 -1 33724 -1 -1 14 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65032 30 32 222 206 1 117 76 17 17 289 -1 unnamed_device 25.0 MiB 1.87 552 9196 3320 4707 1169 63.5 MiB 0.24 0.00 2.58823 -85.0004 -2.58823 2.58823 2.62 0.00012371 9.5239e-05 0.00779298 0.00618129 30 1296 20 6.87369e+06 195634 556674. 1926.21 2.13 0.0269794 0.0219935 25186 138497 -1 1079 19 533 777 51739 12120 1.94352 1.94352 -83.1698 -1.94352 0 0 706193. 2443.58 1.04 0.07 0.44 -1 -1 1.04 0.00518792 0.00460682 71 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 24.87 vpr 63.97 MiB -1 -1 0.52 21128 1 0.13 -1 -1 33880 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65504 31 32 291 243 1 178 82 17 17 289 -1 unnamed_device 25.3 MiB 9.81 952 10940 3346 6666 928 64.0 MiB 0.13 0.00 5.00887 -150.561 -5.00887 5.00887 2.38 0.000146586 0.000117062 0.0105465 0.00851292 34 2258 24 6.87369e+06 265503 618332. 2139.56 4.47 0.0440352 0.0362521 25762 151098 -1 1964 21 1126 1675 111074 27347 3.69321 3.69321 -139.902 -3.69321 0 0 787024. 2723.27 0.92 0.07 0.32 -1 -1 0.92 0.0102893 0.00900734 116 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 14.42 vpr 64.21 MiB -1 -1 0.36 21280 1 0.15 -1 -1 33812 -1 -1 35 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65752 32 32 342 271 1 181 99 17 17 289 -1 unnamed_device 25.5 MiB 2.84 1087 17427 4758 10581 2088 64.2 MiB 0.18 0.00 4.24609 -138.045 -4.24609 4.24609 2.22 0.000169902 0.000134969 0.0146762 0.0117861 32 2549 24 6.87369e+06 489084 586450. 2029.24 2.70 0.307655 0.300729 25474 144626 -1 2181 23 1617 2442 198435 44964 3.9297 3.9297 -134.381 -3.9297 0 0 744469. 2576.02 0.86 0.26 0.19 -1 -1 0.86 0.00896796 0.00785315 137 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 23.30 vpr 64.34 MiB -1 -1 0.36 21280 1 0.15 -1 -1 33788 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65880 32 32 372 300 1 206 86 17 17 289 -1 unnamed_device 25.6 MiB 7.53 1158 12938 3721 7732 1485 64.3 MiB 0.21 0.00 4.29925 -134.625 -4.29925 4.29925 2.73 0.000196529 0.000158681 0.153724 0.151043 34 2953 28 6.87369e+06 307425 618332. 2139.56 5.01 0.303634 0.292188 25762 151098 -1 2435 18 1582 2467 217411 47399 3.76066 3.76066 -132.683 -3.76066 0 0 787024. 2723.27 0.93 0.15 0.13 -1 -1 0.93 0.0106301 0.00938089 142 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 17.81 vpr 63.55 MiB -1 -1 0.41 21280 1 0.03 -1 -1 34136 -1 -1 17 26 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65080 26 32 190 182 1 108 75 17 17 289 -1 unnamed_device 24.9 MiB 5.33 354 9555 3920 4765 870 63.6 MiB 0.13 0.00 2.60613 -72.0813 -2.60613 2.60613 2.49 0.000101463 7.7379e-05 0.109573 0.107996 30 1232 32 6.87369e+06 237555 556674. 1926.21 2.51 0.130498 0.125272 25186 138497 -1 874 22 615 899 53669 14593 2.25347 2.25347 -72.1647 -2.25347 0 0 706193. 2443.58 0.84 0.04 0.25 -1 -1 0.84 0.00714922 0.0061756 67 30 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 19.64 vpr 64.14 MiB -1 -1 0.34 21280 1 0.07 -1 -1 33708 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65680 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 25.5 MiB 3.45 1058 9111 2260 6245 606 64.1 MiB 0.18 0.00 4.50666 -128.623 -4.50666 4.50666 2.81 7.3797e-05 5.7532e-05 0.0292749 0.0276202 34 2389 21 6.87369e+06 321398 618332. 2139.56 4.62 0.193589 0.185412 25762 151098 -1 2137 22 1445 2595 201568 45085 3.7324 3.7324 -123.569 -3.7324 0 0 787024. 2723.27 1.17 0.02 0.32 -1 -1 1.17 0.00605222 0.0053764 119 3 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 15.46 vpr 63.29 MiB -1 -1 0.38 20976 1 0.12 -1 -1 33784 -1 -1 12 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64808 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 24.8 MiB 1.55 446 9836 2914 4955 1967 63.3 MiB 0.05 0.00 2.58823 -76.6987 -2.58823 2.58823 2.93 9.893e-05 7.5625e-05 0.0230507 0.0215441 28 1286 19 6.87369e+06 167686 531479. 1839.03 2.51 0.0376124 0.0336914 24610 126494 -1 1088 15 585 680 59406 15832 2.02487 2.02487 -80.4549 -2.02487 0 0 648988. 2245.63 0.95 0.07 0.38 -1 -1 0.95 0.00637249 0.00552249 65 3 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 14.99 vpr 64.21 MiB -1 -1 0.52 21128 1 0.03 -1 -1 33528 -1 -1 30 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65752 32 32 300 245 1 169 94 17 17 289 -1 unnamed_device 25.5 MiB 2.60 1001 15430 4006 9830 1594 64.2 MiB 0.12 0.00 4.66212 -132.229 -4.66212 4.66212 2.37 0.000171177 0.000125981 0.013494 0.0108168 32 2320 23 6.87369e+06 419215 586450. 2029.24 2.87 0.168715 0.162025 25474 144626 -1 1958 19 984 1559 118404 26575 3.6508 3.6508 -122.317 -3.6508 0 0 744469. 2576.02 0.80 0.17 0.33 -1 -1 0.80 0.00997043 0.00873605 120 24 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 18.10 vpr 64.23 MiB -1 -1 0.40 21432 1 0.08 -1 -1 33776 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65768 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.6 MiB 2.89 1076 17591 5379 9750 2462 64.2 MiB 0.52 0.00 3.49633 -112.341 -3.49633 3.49633 2.77 7.6094e-05 5.8663e-05 0.00877982 0.00683959 34 2436 22 6.87369e+06 433189 618332. 2139.56 4.19 0.471368 0.463508 25762 151098 -1 2017 20 1232 2248 141825 33683 3.08856 3.08856 -109.053 -3.08856 0 0 787024. 2723.27 0.85 0.18 0.32 -1 -1 0.85 0.00999505 0.00875882 130 3 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 22.31 vpr 64.38 MiB -1 -1 0.29 21432 1 0.23 -1 -1 33700 -1 -1 28 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65920 32 32 338 277 1 186 92 17 17 289 -1 unnamed_device 25.8 MiB 5.34 1002 15824 5356 7353 3115 64.4 MiB 0.27 0.00 4.71758 -131.299 -4.71758 4.71758 2.71 0.000173243 0.000140509 0.141782 0.138865 34 2511 23 6.87369e+06 391268 618332. 2139.56 6.77 0.223662 0.213441 25762 151098 -1 2005 21 1353 2404 182427 42184 3.94976 3.94976 -127.416 -3.94976 0 0 787024. 2723.27 0.91 0.12 0.46 -1 -1 0.91 0.0549185 0.0535834 131 50 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 16.61 vpr 63.74 MiB -1 -1 0.50 21432 1 0.14 -1 -1 33824 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65272 32 32 284 241 1 148 80 17 17 289 -1 unnamed_device 25.0 MiB 3.16 723 7304 1770 4913 621 63.7 MiB 0.12 0.00 3.24007 -108.229 -3.24007 3.24007 2.29 0.000144569 0.000114815 0.00768406 0.0062006 34 1830 21 6.87369e+06 223581 618332. 2139.56 3.58 0.0480745 0.0398852 25762 151098 -1 1578 14 814 1319 84892 20527 2.77396 2.77396 -105.415 -2.77396 0 0 787024. 2723.27 0.91 0.02 0.44 -1 -1 0.91 0.00732863 0.00651873 99 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 16.14 vpr 63.80 MiB -1 -1 0.45 21280 1 0.10 -1 -1 33528 -1 -1 26 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65336 30 32 262 227 1 138 88 17 17 289 -1 unnamed_device 25.3 MiB 4.10 669 13738 3602 8368 1768 63.8 MiB 0.16 0.00 3.22907 -99.5149 -3.22907 3.22907 2.28 6.4238e-05 4.8759e-05 0.00889745 0.0070061 30 1623 18 6.87369e+06 363320 556674. 1926.21 2.28 0.0798346 0.0744247 25186 138497 -1 1326 21 858 1419 92180 21062 2.71316 2.71316 -92.9909 -2.71316 0 0 706193. 2443.58 0.99 0.21 0.35 -1 -1 0.99 0.00829668 0.00697333 97 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 17.14 vpr 63.75 MiB -1 -1 0.37 21432 1 0.07 -1 -1 33744 -1 -1 18 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65276 28 32 260 223 1 140 78 17 17 289 -1 unnamed_device 25.2 MiB 3.03 758 11698 3516 6781 1401 63.7 MiB 0.35 0.00 3.63766 -102.515 -3.63766 3.63766 2.72 0.000141617 0.000112184 0.0110367 0.00886746 32 2139 25 6.87369e+06 251529 586450. 2029.24 2.95 0.0334332 0.027644 25474 144626 -1 1714 22 1198 2121 189213 42791 3.08656 3.08656 -106.439 -3.08656 0 0 744469. 2576.02 0.84 0.04 0.22 -1 -1 0.84 0.00971103 0.00842229 95 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 15.67 vpr 63.91 MiB -1 -1 0.36 21128 1 0.05 -1 -1 33692 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65440 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 25.2 MiB 2.65 760 11106 3371 5478 2257 63.9 MiB 0.28 0.00 4.03537 -119.574 -4.03537 4.03537 2.46 0.000135572 0.000106047 0.0107382 0.00864175 32 2134 23 6.87369e+06 237555 586450. 2029.24 2.86 0.13161 0.125728 25474 144626 -1 1727 20 1173 1954 154118 36053 2.84396 2.84396 -110.588 -2.84396 0 0 744469. 2576.02 1.06 0.31 0.50 -1 -1 1.06 0.00903045 0.00788851 101 3 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 15.11 vpr 64.00 MiB -1 -1 0.41 21280 1 0.12 -1 -1 33764 -1 -1 26 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65536 31 32 271 231 1 149 89 17 17 289 -1 unnamed_device 25.3 MiB 2.64 713 5831 1066 4417 348 64.0 MiB 0.03 0.00 3.5993 -106.942 -3.5993 3.5993 2.40 0.000171539 0.000140931 0.00556667 0.00456771 28 1996 18 6.87369e+06 363320 531479. 1839.03 3.27 0.103794 0.100207 24610 126494 -1 1700 22 1183 2030 135922 33143 3.06161 3.06161 -106.539 -3.06161 0 0 648988. 2245.63 0.80 0.07 0.27 -1 -1 0.80 0.00948447 0.00823742 102 30 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 22.03 vpr 63.85 MiB -1 -1 0.37 21128 1 0.28 -1 -1 33500 -1 -1 25 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65384 29 32 291 250 1 154 86 17 17 289 -1 unnamed_device 25.3 MiB 9.41 706 8402 1995 5635 772 63.9 MiB 0.12 0.00 3.04756 -96.0841 -3.04756 3.04756 2.70 0.000155535 0.000124263 0.00834491 0.00658225 30 1803 22 6.87369e+06 349346 556674. 1926.21 2.70 0.0339241 0.0280783 25186 138497 -1 1489 21 913 1418 77368 19017 2.25817 2.25817 -91.9004 -2.25817 0 0 706193. 2443.58 0.72 0.03 0.19 -1 -1 0.72 0.00919613 0.00801712 106 54 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 24.14 vpr 64.41 MiB -1 -1 0.37 21432 1 0.20 -1 -1 33972 -1 -1 40 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65952 32 32 367 282 1 201 104 17 17 289 -1 unnamed_device 25.6 MiB 11.77 1199 12548 3440 7715 1393 64.4 MiB 0.25 0.00 4.16289 -124.152 -4.16289 4.16289 2.19 9.1343e-05 7.2267e-05 0.00570294 0.0045507 32 3305 23 6.87369e+06 558954 586450. 2029.24 2.88 0.0342904 0.0287899 25474 144626 -1 2475 24 1659 3141 250353 54866 3.5931 3.5931 -123.591 -3.5931 0 0 744469. 2576.02 0.80 0.06 0.25 -1 -1 0.80 0.0126887 0.0110685 156 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 24.99 vpr 64.59 MiB -1 -1 0.33 21432 1 0.09 -1 -1 33724 -1 -1 38 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66136 32 32 391 311 1 194 102 17 17 289 -1 unnamed_device 25.9 MiB 10.97 1019 17476 4989 10107 2380 64.6 MiB 0.27 0.00 3.96554 -133.621 -3.96554 3.96554 2.71 0.000183749 0.000148034 0.0160662 0.0130401 34 2318 19 6.87369e+06 531006 618332. 2139.56 3.70 0.103257 0.0923802 25762 151098 -1 1994 20 1598 2530 167629 38388 3.16056 3.16056 -122.735 -3.16056 0 0 787024. 2723.27 0.74 0.14 0.38 -1 -1 0.74 0.111646 0.110121 148 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 21.76 vpr 64.04 MiB -1 -1 0.35 21280 1 0.03 -1 -1 33716 -1 -1 18 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65576 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 25.3 MiB 6.56 811 8306 2170 5113 1023 64.0 MiB 0.09 0.00 4.07373 -119.929 -4.07373 4.07373 2.23 0.000173477 0.000138782 0.00866396 0.00704272 34 2155 20 6.87369e+06 251529 618332. 2139.56 5.09 0.45008 0.441926 25762 151098 -1 1863 19 1282 1823 137204 32816 3.38021 3.38021 -121.441 -3.38021 0 0 787024. 2723.27 0.89 0.06 0.31 -1 -1 0.89 0.0090967 0.00803358 109 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 23.93 vpr 64.20 MiB -1 -1 0.55 21432 1 0.24 -1 -1 33736 -1 -1 26 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65740 31 32 370 297 1 187 89 17 17 289 -1 unnamed_device 25.6 MiB 8.06 977 12959 4558 6216 2185 64.2 MiB 0.29 0.00 3.77586 -121.537 -3.77586 3.77586 2.82 0.000204933 0.000167121 0.013641 0.0111008 34 2883 22 6.87369e+06 363320 618332. 2139.56 4.79 0.129067 0.11922 25762 151098 -1 2230 20 1564 2672 180642 43077 3.17786 3.17786 -118.463 -3.17786 0 0 787024. 2723.27 0.90 0.13 0.24 -1 -1 0.90 0.0111489 0.00981712 136 61 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 27.75 vpr 64.61 MiB -1 -1 0.58 21584 1 0.09 -1 -1 33832 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66164 31 32 377 302 1 237 88 17 17 289 -1 unnamed_device 25.8 MiB 12.30 1217 9058 2366 5991 701 64.6 MiB 0.11 0.00 5.67608 -170.045 -5.67608 5.67608 2.73 0.000179957 0.00014612 0.00584614 0.00468298 34 3293 23 6.87369e+06 349346 618332. 2139.56 4.81 0.269149 0.259578 25762 151098 -1 2796 22 2219 3285 287360 63069 5.1298 5.1298 -175.351 -5.1298 0 0 787024. 2723.27 1.10 0.17 0.26 -1 -1 1.10 0.120757 0.119223 159 64 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 28.13 vpr 64.49 MiB -1 -1 0.47 21736 1 0.12 -1 -1 33792 -1 -1 27 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66040 31 32 383 305 1 212 90 17 17 289 -1 unnamed_device 25.8 MiB 12.46 930 10341 2765 6600 976 64.5 MiB 0.18 0.00 5.24874 -156.327 -5.24874 5.24874 2.19 0.000201036 0.000162313 0.0085521 0.00689602 36 2493 27 6.87369e+06 377294 648988. 2245.63 5.33 0.0595267 0.0497657 26050 158493 -1 1987 21 1573 2425 167657 40241 4.67715 4.67715 -153.728 -4.67715 0 0 828058. 2865.25 0.99 0.36 0.21 -1 -1 0.99 0.121806 0.120326 152 64 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 22.64 vpr 64.09 MiB -1 -1 0.61 21432 1 0.10 -1 -1 33536 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65624 31 32 352 285 1 186 88 17 17 289 -1 unnamed_device 25.5 MiB 9.52 890 9838 2427 6571 840 64.1 MiB 0.21 0.00 4.12463 -126.459 -4.12463 4.12463 2.45 0.000172275 0.000139746 0.0110367 0.00908615 32 2860 24 6.87369e+06 349346 586450. 2029.24 3.08 0.0423773 0.0355873 25474 144626 -1 2227 21 1692 2813 220362 53108 3.62251 3.62251 -132.075 -3.62251 0 0 744469. 2576.02 0.90 0.13 0.50 -1 -1 0.90 0.00909422 0.00788212 131 55 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 22.68 vpr 64.16 MiB -1 -1 0.61 21128 1 0.11 -1 -1 33812 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 32 32 291 242 1 183 84 17 17 289 -1 unnamed_device 25.5 MiB 8.11 1030 14907 5658 7296 1953 64.2 MiB 0.17 0.00 4.31305 -116.7 -4.31305 4.31305 2.32 0.000149171 0.000118967 0.0139152 0.0112162 34 2414 26 6.87369e+06 279477 618332. 2139.56 4.51 0.178041 0.0453794 25762 151098 -1 2028 24 1457 2155 154286 36972 3.85476 3.85476 -121.754 -3.85476 0 0 787024. 2723.27 0.71 0.12 0.18 -1 -1 0.71 0.0775601 0.0762208 119 27 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 26.69 vpr 64.92 MiB -1 -1 0.41 21432 1 0.26 -1 -1 33948 -1 -1 38 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66476 32 32 457 356 1 225 102 17 17 289 -1 unnamed_device 26.2 MiB 10.57 1252 19142 5218 11863 2061 64.9 MiB 0.25 0.00 4.94161 -161.682 -4.94161 4.94161 2.70 0.000236376 0.000197878 0.0159381 0.012697 26 3662 47 6.87369e+06 531006 503264. 1741.40 5.64 0.0656135 0.0547177 24322 120374 -1 3019 24 2201 3522 390352 93116 4.62016 4.62016 -166.293 -4.62016 0 0 618332. 2139.56 0.61 0.43 0.16 -1 -1 0.61 0.016284 0.0143587 173 87 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 22.21 vpr 63.92 MiB -1 -1 0.36 21280 1 0.15 -1 -1 33852 -1 -1 22 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65452 31 32 261 225 1 148 85 17 17 289 -1 unnamed_device 25.2 MiB 5.65 665 8827 1886 5968 973 63.9 MiB 0.18 0.00 3.55895 -103.54 -3.55895 3.55895 2.40 0.0001289 0.000102535 0.00744448 0.00593677 32 2162 45 6.87369e+06 307425 586450. 2029.24 2.23 0.0452005 0.0389452 25474 144626 -1 1638 24 1277 2195 166532 39561 2.88796 2.88796 -103.251 -2.88796 0 0 744469. 2576.02 0.94 0.28 0.25 -1 -1 0.94 0.159153 0.157628 96 28 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 27.15 vpr 64.17 MiB -1 -1 0.31 21584 1 0.06 -1 -1 33500 -1 -1 23 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65712 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 25.6 MiB 7.46 1143 8780 2224 5686 870 64.2 MiB 0.13 0.00 4.84783 -148.334 -4.84783 4.84783 2.41 8.0976e-05 6.3748e-05 0.00542575 0.00443272 30 2974 22 6.87369e+06 321398 556674. 1926.21 3.06 0.0345488 0.0292347 25186 138497 -1 2276 20 1319 1963 128114 29110 3.90446 3.90446 -137.638 -3.90446 0 0 706193. 2443.58 1.15 0.08 0.32 -1 -1 1.15 0.0585967 0.0572947 140 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 19.35 vpr 64.43 MiB -1 -1 0.48 21280 1 0.26 -1 -1 33996 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65972 32 32 349 284 1 183 96 17 17 289 -1 unnamed_device 25.6 MiB 5.83 1092 15207 4580 7502 3125 64.4 MiB 0.15 0.00 3.6664 -115.914 -3.6664 3.6664 2.54 0.00017133 0.000137374 0.0135402 0.0109293 30 2573 46 6.87369e+06 447163 556674. 1926.21 3.62 0.180732 0.17235 25186 138497 -1 2104 22 1306 2375 155359 38292 2.88001 2.88001 -108.543 -2.88001 0 0 706193. 2443.58 0.93 0.04 0.20 -1 -1 0.93 0.0114539 0.0100252 132 53 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 14.87 vpr 64.06 MiB -1 -1 0.51 21128 1 0.14 -1 -1 33724 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65600 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 25.3 MiB 2.40 951 7326 1464 5460 402 64.1 MiB 0.09 0.00 4.18575 -127.803 -4.18575 4.18575 1.82 0.000175655 0.000143574 0.0555812 0.0541994 34 2528 23 6.87369e+06 363320 618332. 2139.56 3.31 0.191298 0.183088 25762 151098 -1 2047 23 1405 2633 189987 44011 3.5621 3.5621 -123.698 -3.5621 0 0 787024. 2723.27 0.72 0.12 0.38 -1 -1 0.72 0.0114617 0.0100687 123 3 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 36.94 vpr 64.12 MiB -1 -1 0.51 21584 1 0.18 -1 -1 33692 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65664 32 32 353 287 1 203 86 17 17 289 -1 unnamed_device 25.5 MiB 10.66 1138 14072 4363 7314 2395 64.1 MiB 0.29 0.00 5.07875 -152.281 -5.07875 5.07875 2.27 0.000170691 0.000137737 0.0148082 0.0120735 34 2622 19 6.87369e+06 307425 618332. 2139.56 4.22 0.16563 0.0454305 25762 151098 -1 2306 20 1280 1714 142445 32122 3.4645 3.4645 -130.908 -3.4645 0 0 787024. 2723.27 1.00 0.07 0.25 -1 -1 1.00 0.0121515 0.0107941 136 55 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 23.89 vpr 64.47 MiB -1 -1 0.25 21280 1 0.24 -1 -1 33852 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66016 32 32 361 291 1 189 96 17 17 289 -1 unnamed_device 25.8 MiB 8.95 892 17835 6311 8192 3332 64.5 MiB 0.23 0.00 3.76804 -119.452 -3.76804 3.76804 2.29 0.000167884 0.000134379 0.0160244 0.0129913 34 2804 46 6.87369e+06 447163 618332. 2139.56 5.31 0.199513 0.188489 25762 151098 -1 1968 21 1467 2565 178739 43690 3.35021 3.35021 -119.572 -3.35021 0 0 787024. 2723.27 0.96 0.10 0.20 -1 -1 0.96 0.0112241 0.00966769 136 55 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 21.50 vpr 64.41 MiB -1 -1 0.60 21584 1 0.03 -1 -1 33712 -1 -1 35 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65956 32 32 382 305 1 193 99 17 17 289 -1 unnamed_device 25.6 MiB 9.25 941 16971 5230 8707 3034 64.4 MiB 0.18 0.00 4.11773 -131.819 -4.11773 4.11773 1.81 0.000182521 0.000146926 0.0156153 0.0126952 34 2879 28 6.87369e+06 489084 618332. 2139.56 4.32 0.117275 0.105604 25762 151098 -1 2186 23 1729 2772 226088 51849 3.24691 3.24691 -123.814 -3.24691 0 0 787024. 2723.27 0.73 0.12 0.37 -1 -1 0.73 0.0764884 0.0747819 144 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 18.66 vpr 64.24 MiB -1 -1 0.37 21128 1 0.22 -1 -1 33856 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65784 32 32 306 248 1 170 97 17 17 289 -1 unnamed_device 25.5 MiB 3.14 948 15415 4265 8583 2567 64.2 MiB 0.23 0.00 4.39109 -128.888 -4.39109 4.39109 2.31 0.000152422 0.000121698 0.0131672 0.0107519 28 2279 18 6.87369e+06 461137 531479. 1839.03 2.50 0.164879 0.159291 24610 126494 -1 2106 19 1297 2273 152125 35569 3.8374 3.8374 -129.391 -3.8374 0 0 648988. 2245.63 0.94 0.23 0.39 -1 -1 0.94 0.199371 0.198145 124 24 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 20.30 vpr 64.19 MiB -1 -1 0.45 21432 1 0.14 -1 -1 33636 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65728 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 25.6 MiB 6.89 981 12560 3220 7625 1715 64.2 MiB 0.16 0.00 4.75658 -136.53 -4.75658 4.75658 1.94 0.000185334 0.00013945 0.0134098 0.0109114 34 2576 31 6.87369e+06 307425 618332. 2139.56 3.94 0.19211 0.181743 25762 151098 -1 2232 18 1502 2206 155865 36516 3.94506 3.94506 -130.167 -3.94506 0 0 787024. 2723.27 0.86 0.12 0.22 -1 -1 0.86 0.0607714 0.0595868 135 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 23.47 vpr 64.35 MiB -1 -1 0.69 21736 1 0.03 -1 -1 33836 -1 -1 22 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65896 31 32 373 299 1 204 85 17 17 289 -1 unnamed_device 25.8 MiB 7.13 1089 11617 3558 6983 1076 64.4 MiB 0.17 0.00 4.74348 -142.628 -4.74348 4.74348 2.12 0.000169749 0.000137229 0.0135104 0.0110986 34 3012 33 6.87369e+06 307425 618332. 2139.56 6.51 0.245812 0.234455 25762 151098 -1 2421 24 1896 3142 255518 58176 4.20536 4.20536 -145.105 -4.20536 0 0 787024. 2723.27 0.88 0.18 0.54 -1 -1 0.88 0.0886337 0.0869785 141 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 21.17 vpr 64.27 MiB -1 -1 0.31 21584 1 0.12 -1 -1 33668 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65812 32 32 387 315 1 194 85 17 17 289 -1 unnamed_device 25.6 MiB 8.03 1081 14779 5269 7600 1910 64.3 MiB 0.18 0.00 4.3693 -135.724 -4.3693 4.3693 2.21 0.00018211 0.000144551 0.0165506 0.0135388 34 3071 25 6.87369e+06 293451 618332. 2139.56 4.03 0.308197 0.246337 25762 151098 -1 2452 20 1602 2893 227755 52056 3.90206 3.90206 -134.338 -3.90206 0 0 787024. 2723.27 1.06 0.02 0.31 -1 -1 1.06 0.00672024 0.00600909 135 77 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 14.31 vpr 63.62 MiB -1 -1 0.46 21280 1 0.03 -1 -1 33404 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65148 32 32 251 219 1 141 86 17 17 289 -1 unnamed_device 25.0 MiB 2.85 779 13883 3395 9668 820 63.6 MiB 0.39 0.00 3.5583 -108.13 -3.5583 3.5583 2.11 0.000129762 0.000102444 0.0112484 0.00892394 32 1947 23 6.87369e+06 307425 586450. 2029.24 2.62 0.0872094 0.0814203 25474 144626 -1 1653 18 1025 1710 137116 31761 2.96926 2.96926 -106.227 -2.96926 0 0 744469. 2576.02 0.86 0.18 0.33 -1 -1 0.86 0.00887165 0.00785178 93 23 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 24.94 vpr 64.17 MiB -1 -1 0.35 21280 1 0.02 -1 -1 33920 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65708 32 32 341 285 1 188 82 17 17 289 -1 unnamed_device 25.6 MiB 6.05 963 13432 4412 7074 1946 64.2 MiB 0.38 0.00 3.7434 -132.085 -3.7434 3.7434 2.71 0.000152367 0.000121191 0.0136534 0.0110173 34 2552 22 6.87369e+06 251529 618332. 2139.56 4.28 0.106562 0.0961737 25762 151098 -1 2119 20 1604 2308 201181 43912 3.3618 3.3618 -131.165 -3.3618 0 0 787024. 2723.27 0.97 0.18 0.34 -1 -1 0.97 0.0126879 0.0108771 124 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 27.50 vpr 64.68 MiB -1 -1 0.58 21584 1 0.13 -1 -1 33676 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66236 32 32 387 293 1 236 88 17 17 289 -1 unnamed_device 25.9 MiB 10.07 1271 11983 3961 5911 2111 64.7 MiB 0.26 0.00 5.44288 -158.174 -5.44288 5.44288 2.43 8.7752e-05 6.9194e-05 0.122852 0.120327 36 3481 23 6.87369e+06 335372 648988. 2245.63 6.36 0.284489 0.273162 26050 158493 -1 2730 24 2175 3406 265530 61473 4.81335 4.81335 -159.454 -4.81335 0 0 828058. 2865.25 1.00 0.10 0.38 -1 -1 1.00 0.0148493 0.0131138 166 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 26.25 vpr 64.18 MiB -1 -1 0.38 21432 1 0.03 -1 -1 34124 -1 -1 34 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65720 32 32 340 270 1 185 98 17 17 289 -1 unnamed_device 25.5 MiB 9.39 1044 14048 3802 8919 1327 64.2 MiB 0.16 0.00 4.31147 -138.564 -4.31147 4.31147 2.23 8.601e-05 6.7813e-05 0.0096058 0.0076664 28 2413 22 6.87369e+06 475111 531479. 1839.03 2.67 0.208974 0.202307 24610 126494 -1 2220 20 1412 2227 166392 37304 3.00716 3.00716 -126.181 -3.00716 0 0 648988. 2245.63 0.66 0.13 0.27 -1 -1 0.66 0.0107818 0.00946197 137 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 15.88 vpr 64.02 MiB -1 -1 0.54 21128 1 0.03 -1 -1 33768 -1 -1 25 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65560 30 32 278 235 1 150 87 17 17 289 -1 unnamed_device 25.3 MiB 2.44 643 13911 3216 9368 1327 64.0 MiB 0.02 0.00 3.6213 -108.932 -3.6213 3.6213 2.13 5.8612e-05 4.5284e-05 0.00512171 0.00404163 28 2013 27 6.87369e+06 349346 531479. 1839.03 3.22 0.0320664 0.0264633 24610 126494 -1 1716 23 1345 2134 175226 47870 2.99626 2.99626 -111.937 -2.99626 0 0 648988. 2245.63 1.04 0.24 0.29 -1 -1 1.04 0.00901013 0.00774294 104 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 32.72 vpr 64.79 MiB -1 -1 0.66 21584 1 0.14 -1 -1 33960 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66348 32 32 431 332 1 239 89 17 17 289 -1 unnamed_device 26.1 MiB 16.94 1320 13157 3582 8208 1367 64.8 MiB 0.24 0.00 5.88501 -173.819 -5.88501 5.88501 2.08 0.000234908 0.000194653 0.0167643 0.0139545 36 3191 21 6.87369e+06 349346 648988. 2245.63 6.14 0.220988 0.208642 26050 158493 -1 2779 24 2351 3650 311794 67863 4.8519 4.8519 -164.233 -4.8519 0 0 828058. 2865.25 0.68 0.25 0.28 -1 -1 0.68 0.0150635 0.013257 171 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 22.57 vpr 64.25 MiB -1 -1 0.52 21584 1 0.03 -1 -1 33796 -1 -1 35 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65792 32 32 336 268 1 182 99 17 17 289 -1 unnamed_device 25.5 MiB 10.07 982 19023 5630 11222 2171 64.2 MiB 0.25 0.00 4.64628 -141.602 -4.64628 4.64628 2.49 0.000164867 0.000130981 0.134591 0.131451 28 2297 23 6.87369e+06 489084 531479. 1839.03 2.50 0.158162 0.151353 24610 126494 -1 2086 21 1562 2642 173496 40703 3.9034 3.9034 -136.594 -3.9034 0 0 648988. 2245.63 0.61 0.07 0.18 -1 -1 0.61 0.0350567 0.0335832 135 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 15.57 vpr 63.76 MiB -1 -1 0.69 21128 1 0.02 -1 -1 33632 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65288 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 25.0 MiB 3.06 766 11398 3000 7253 1145 63.8 MiB 0.04 0.00 3.5954 -102.128 -3.5954 3.5954 2.91 0.000123186 9.6567e-05 0.00521953 0.00410918 26 2180 25 6.87369e+06 335372 503264. 1741.40 3.23 0.0240276 0.0198909 24322 120374 -1 1815 20 1140 1925 162853 38227 3.43151 3.43151 -112.946 -3.43151 0 0 618332. 2139.56 0.72 0.09 0.23 -1 -1 0.72 0.00792622 0.00683717 94 3 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 20.97 vpr 64.29 MiB -1 -1 0.46 21432 1 0.05 -1 -1 33756 -1 -1 37 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65836 32 32 349 273 1 191 101 17 17 289 -1 unnamed_device 25.8 MiB 6.95 1098 18666 5476 10496 2694 64.3 MiB 0.32 0.00 5.27722 -139.12 -5.27722 5.27722 2.62 0.000168908 0.000136444 0.0162693 0.0131555 32 3049 25 6.87369e+06 517032 586450. 2029.24 3.25 0.0761952 0.0682264 25474 144626 -1 2273 23 1537 2878 240761 53474 4.42825 4.42825 -139.024 -4.42825 0 0 744469. 2576.02 1.12 0.17 0.36 -1 -1 1.12 0.0096377 0.00820186 145 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 17.36 vpr 63.61 MiB -1 -1 0.34 20976 1 0.21 -1 -1 33880 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65140 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 25.0 MiB 2.45 805 14303 4969 7161 2173 63.6 MiB 0.25 0.00 3.6144 -113.068 -3.6144 3.6144 2.47 0.000133477 0.00010393 0.108573 0.106008 34 2002 21 6.87369e+06 265503 618332. 2139.56 4.47 0.317148 0.309478 25762 151098 -1 1738 20 1190 2122 159718 35273 2.89096 2.89096 -110.154 -2.89096 0 0 787024. 2723.27 0.83 0.02 0.48 -1 -1 0.83 0.00441281 0.00379108 98 3 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 21.45 vpr 64.06 MiB -1 -1 0.54 21280 1 0.02 -1 -1 33644 -1 -1 34 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65600 30 32 278 235 1 151 96 17 17 289 -1 unnamed_device 25.3 MiB 8.50 838 14988 4128 9396 1464 64.1 MiB 0.22 0.00 3.91538 -116.007 -3.91538 3.91538 2.86 0.000177341 0.000130236 0.0111925 0.00880656 28 1968 20 6.87369e+06 475111 531479. 1839.03 2.54 0.105873 0.0996559 24610 126494 -1 1887 19 1129 2039 157359 35630 3.04726 3.04726 -113.863 -3.04726 0 0 648988. 2245.63 0.68 0.05 0.31 -1 -1 0.68 0.00905519 0.0079596 109 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 38.84 vpr 64.43 MiB -1 -1 0.39 21280 1 0.10 -1 -1 33832 -1 -1 24 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65976 29 32 355 287 1 200 85 17 17 289 -1 unnamed_device 25.6 MiB 14.50 1022 14221 4941 6601 2679 64.4 MiB 0.15 0.00 4.14789 -124.13 -4.14789 4.14789 2.22 0.000165242 0.000132585 0.0143373 0.0116609 34 2597 22 6.87369e+06 335372 618332. 2139.56 4.66 0.260767 0.251221 25762 151098 -1 2202 23 1894 2884 228158 51796 3.21181 3.21181 -117.514 -3.21181 0 0 787024. 2723.27 0.97 0.21 0.33 -1 -1 0.97 0.00826217 0.00730772 138 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 22.26 vpr 64.43 MiB -1 -1 0.69 21280 1 0.07 -1 -1 33840 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65976 32 32 358 289 1 183 90 17 17 289 -1 unnamed_device 25.6 MiB 8.13 981 14964 4061 9219 1684 64.4 MiB 0.16 0.00 4.38015 -143.22 -4.38015 4.38015 2.51 7.439e-05 5.8317e-05 0.00980464 0.0078887 34 2270 24 6.87369e+06 363320 618332. 2139.56 4.00 0.063303 0.054202 25762 151098 -1 1860 21 1373 2075 135251 32141 3.70116 3.70116 -134.582 -3.70116 0 0 787024. 2723.27 0.83 0.13 0.34 -1 -1 0.83 0.0103844 0.00902867 132 54 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 18.92 vpr 64.37 MiB -1 -1 0.48 21584 1 0.23 -1 -1 33540 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65916 32 32 353 285 1 188 91 17 17 289 -1 unnamed_device 25.6 MiB 7.52 1048 11719 3049 7703 967 64.4 MiB 0.32 0.00 4.78763 -143.617 -4.78763 4.78763 2.11 0.000174953 0.000139402 0.0491357 0.0469582 32 2790 38 6.87369e+06 377294 586450. 2029.24 2.78 0.100601 0.0930089 25474 144626 -1 2289 21 1558 2779 235785 52014 3.94076 3.94076 -140.873 -3.94076 0 0 744469. 2576.02 0.78 0.12 0.35 -1 -1 0.78 0.0135515 0.0112368 133 51 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 24.25 vpr 63.83 MiB -1 -1 0.38 21432 1 0.25 -1 -1 33980 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65364 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 25.3 MiB 11.11 879 8529 2069 5850 610 63.8 MiB 0.23 0.00 4.78272 -134.878 -4.78272 4.78272 2.20 0.000150234 0.000119581 0.0532462 0.0515203 34 2183 21 6.87369e+06 209608 618332. 2139.56 3.86 0.103417 0.0884825 25762 151098 -1 1835 19 952 1292 98617 22365 3.2292 3.2292 -117.412 -3.2292 0 0 787024. 2723.27 0.77 0.05 0.23 -1 -1 0.77 0.0322942 0.0311015 103 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 22.79 vpr 64.23 MiB -1 -1 0.38 21584 1 0.14 -1 -1 33752 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65768 31 32 319 272 1 176 80 17 17 289 -1 unnamed_device 25.5 MiB 9.37 834 6960 1607 4728 625 64.2 MiB 0.06 0.00 3.80246 -121.175 -3.80246 3.80246 1.93 0.000157813 0.000126288 0.00778018 0.00633874 34 2495 25 6.87369e+06 237555 618332. 2139.56 4.16 0.147391 0.138876 25762 151098 -1 2013 23 1489 2217 176461 41044 3.1862 3.1862 -121.365 -3.1862 0 0 787024. 2723.27 0.83 0.18 0.29 -1 -1 0.83 0.0998266 0.098435 114 64 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 22.54 vpr 64.34 MiB -1 -1 0.43 21584 1 0.11 -1 -1 33876 -1 -1 34 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65884 30 32 329 273 1 167 96 17 17 289 -1 unnamed_device 25.6 MiB 8.11 921 12141 3304 7964 873 64.3 MiB 0.24 0.00 3.48905 -102.447 -3.48905 3.48905 2.72 0.000179988 0.000141898 0.0105803 0.00845878 26 2465 24 6.87369e+06 475111 503264. 1741.40 3.82 0.071131 0.0645333 24322 120374 -1 2134 19 1258 2349 180203 40845 3.06356 3.06356 -107.013 -3.06356 0 0 618332. 2139.56 1.09 0.02 0.19 -1 -1 1.09 0.1333 0.13263 124 57 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 20.17 vpr 63.75 MiB -1 -1 0.45 21432 1 0.02 -1 -1 33560 -1 -1 35 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65284 28 32 277 229 1 156 95 17 17 289 -1 unnamed_device 25.0 MiB 6.99 887 17159 5443 8925 2791 63.8 MiB 0.18 0.00 4.16979 -108.155 -4.16979 4.16979 2.58 6.3706e-05 4.9913e-05 0.137375 0.135498 28 2132 25 6.87369e+06 489084 531479. 1839.03 2.77 0.201414 0.196002 24610 126494 -1 1890 23 1185 2097 149261 34011 3.5961 3.5961 -107.81 -3.5961 0 0 648988. 2245.63 1.13 0.09 0.27 -1 -1 1.13 0.00967635 0.00838358 117 27 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 23.82 vpr 63.89 MiB -1 -1 0.30 21432 1 0.11 -1 -1 33856 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65420 30 32 317 269 1 155 79 17 17 289 -1 unnamed_device 25.3 MiB 10.29 720 7853 2018 5047 788 63.9 MiB 0.22 0.00 4.09699 -121.029 -4.09699 4.09699 2.89 0.00014649 0.000117609 0.0557506 0.0542115 28 2181 20 6.87369e+06 237555 531479. 1839.03 3.52 0.0811081 0.0755246 24610 126494 -1 1811 24 1496 2619 190050 43819 3.00226 3.00226 -118.43 -3.00226 0 0 648988. 2245.63 0.85 0.13 0.25 -1 -1 0.85 0.0115239 0.0100459 105 63 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 24.07 vpr 64.30 MiB -1 -1 0.46 21280 1 0.06 -1 -1 33704 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65848 32 32 335 282 1 189 81 17 17 289 -1 unnamed_device 25.6 MiB 9.88 1031 14256 4617 7292 2347 64.3 MiB 0.11 0.00 3.6774 -127.4 -3.6774 3.6774 2.71 0.000176986 0.000141073 0.00876203 0.00699472 34 2685 25 6.87369e+06 237555 618332. 2139.56 4.61 0.0513892 0.0424255 25762 151098 -1 2119 21 1403 2128 175919 39592 3.0892 3.0892 -123.982 -3.0892 0 0 787024. 2723.27 0.81 0.15 0.22 -1 -1 0.81 0.00764843 0.00655914 122 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 17.84 vpr 64.21 MiB -1 -1 0.50 21128 1 0.05 -1 -1 33940 -1 -1 31 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65748 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 25.5 MiB 2.66 1006 10105 2485 6935 685 64.2 MiB 0.18 0.00 4.52456 -130.912 -4.52456 4.52456 3.08 0.000193372 0.000158801 0.0778823 0.0759039 26 2792 34 6.87369e+06 433189 503264. 1741.40 5.02 0.209922 0.203266 24322 120374 -1 2448 21 1440 2466 335391 89771 4.1193 4.1193 -135.113 -4.1193 0 0 618332. 2139.56 0.78 0.12 0.30 -1 -1 0.78 0.039717 0.0385584 129 4 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 29.64 vpr 64.51 MiB -1 -1 0.56 21584 1 0.16 -1 -1 33956 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66060 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 25.8 MiB 11.58 1030 16023 4465 9060 2498 64.5 MiB 0.36 0.00 4.80948 -150.045 -4.80948 4.80948 2.46 0.000176942 0.000144389 0.0169233 0.0137793 34 3428 33 6.87369e+06 321398 618332. 2139.56 6.67 0.161447 0.150138 25762 151098 -1 2449 24 2150 3216 257431 61542 4.23836 4.23836 -150.772 -4.23836 0 0 787024. 2723.27 1.05 0.32 0.32 -1 -1 1.05 0.011305 0.00989923 147 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 28.13 vpr 64.56 MiB -1 -1 0.36 21280 1 0.05 -1 -1 33380 -1 -1 36 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66108 32 32 385 308 1 196 100 17 17 289 -1 unnamed_device 25.8 MiB 13.99 992 11004 2505 7094 1405 64.6 MiB 0.20 0.00 5.358 -156.897 -5.358 5.358 2.33 0.000242429 0.000193313 0.011381 0.00927607 30 2877 24 6.87369e+06 503058 556674. 1926.21 4.22 0.18809 0.0356575 25186 138497 -1 2002 23 1283 2303 125778 31088 4.10065 4.10065 -142.7 -4.10065 0 0 706193. 2443.58 0.65 0.19 0.38 -1 -1 0.65 0.0132399 0.0115817 147 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 27.82 vpr 64.61 MiB -1 -1 0.44 21280 1 0.26 -1 -1 33784 -1 -1 41 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66156 32 32 387 309 1 192 105 17 17 289 -1 unnamed_device 25.8 MiB 11.28 1067 14184 3960 9254 970 64.6 MiB 0.39 0.00 4.55456 -143.325 -4.55456 4.55456 2.33 0.000215782 0.000172066 0.012717 0.0103013 28 3027 43 6.87369e+06 572927 531479. 1839.03 4.93 0.207036 0.198649 24610 126494 -1 2564 21 1734 3064 262501 59491 3.8094 3.8094 -142.318 -3.8094 0 0 648988. 2245.63 0.73 0.10 0.61 -1 -1 0.73 0.0124746 0.0109496 148 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 22.48 vpr 63.75 MiB -1 -1 0.51 21280 1 0.15 -1 -1 33912 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65284 30 32 272 232 1 151 79 17 17 289 -1 unnamed_device 25.2 MiB 8.25 842 13261 4606 6728 1927 63.8 MiB 0.19 0.00 4.07653 -124.408 -4.07653 4.07653 2.42 7.0062e-05 5.5974e-05 0.146543 0.110637 34 2031 21 6.87369e+06 237555 618332. 2139.56 4.71 0.205748 0.163126 25762 151098 -1 1703 19 962 1667 121009 28305 3.04731 3.04731 -111.246 -3.04731 0 0 787024. 2723.27 0.69 0.03 0.37 -1 -1 0.69 0.00899034 0.00787086 99 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 26.21 vpr 64.23 MiB -1 -1 0.54 21432 1 0.20 -1 -1 34056 -1 -1 22 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65776 30 32 375 299 1 188 84 17 17 289 -1 unnamed_device 25.6 MiB 11.39 1040 10332 2707 6497 1128 64.2 MiB 0.16 0.00 4.61482 -143.916 -4.61482 4.61482 2.36 0.000170814 0.00013764 0.0113869 0.00931017 34 2561 21 6.87369e+06 307425 618332. 2139.56 4.62 0.0545508 0.0457899 25762 151098 -1 2200 24 1763 2818 238272 51426 3.9216 3.9216 -141.718 -3.9216 0 0 787024. 2723.27 1.03 0.10 0.30 -1 -1 1.03 0.0103456 0.00909204 136 63 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 24.47 vpr 64.24 MiB -1 -1 0.64 21280 1 0.02 -1 -1 33848 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65784 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 25.5 MiB 9.06 994 8535 2039 6107 389 64.2 MiB 0.08 0.00 5.21006 -151.071 -5.21006 5.21006 3.12 0.000159427 0.00012768 0.0114246 0.00944575 34 2957 23 6.87369e+06 321398 618332. 2139.56 5.03 0.0584212 0.0487153 25762 151098 -1 2368 21 1687 2792 256911 57806 3.94176 3.94176 -140.022 -3.94176 0 0 787024. 2723.27 0.78 0.18 0.28 -1 -1 0.78 0.00927597 0.00825864 140 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 22.36 vpr 64.05 MiB -1 -1 0.19 21280 1 0.11 -1 -1 33744 -1 -1 28 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65592 31 32 340 275 1 201 91 17 17 289 -1 unnamed_device 25.5 MiB 7.60 1089 16207 4865 8603 2739 64.1 MiB 0.39 0.13 5.3663 -151.531 -5.3663 5.3663 2.57 0.00016299 0.000132014 0.200524 0.197734 34 2572 26 6.87369e+06 391268 618332. 2139.56 4.68 0.309481 0.299374 25762 151098 -1 2215 23 1619 2600 183520 43034 4.4486 4.4486 -143.379 -4.4486 0 0 787024. 2723.27 0.90 0.16 0.46 -1 -1 0.90 0.0118623 0.010397 141 47 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 25.81 vpr 64.35 MiB -1 -1 0.62 21280 1 0.05 -1 -1 33828 -1 -1 31 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65892 30 32 377 310 1 181 93 17 17 289 -1 unnamed_device 25.8 MiB 11.50 902 15003 4201 8477 2325 64.3 MiB 0.20 0.10 4.69758 -137.432 -4.69758 4.69758 3.03 0.00017586 0.000138935 0.0145663 0.0117314 28 2839 48 6.87369e+06 433189 531479. 1839.03 4.43 0.204168 0.195417 24610 126494 -1 2184 20 1415 2315 189694 44392 3.7954 3.7954 -136.767 -3.7954 0 0 648988. 2245.63 0.58 0.27 0.18 -1 -1 0.58 0.11292 0.11145 136 83 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 24.15 vpr 64.06 MiB -1 -1 0.49 21584 1 0.15 -1 -1 33820 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65600 32 32 365 294 1 187 85 17 17 289 -1 unnamed_device 25.5 MiB 8.25 1057 15709 4238 9990 1481 64.1 MiB 0.15 0.00 4.73658 -142.328 -4.73658 4.73658 2.48 0.000192429 0.000158325 0.0160489 0.0130146 34 2693 29 6.87369e+06 293451 618332. 2139.56 4.46 0.365607 0.354475 25762 151098 -1 2414 24 1860 3277 244353 56063 3.99376 3.99376 -142.813 -3.99376 0 0 787024. 2723.27 0.73 0.41 0.32 -1 -1 0.73 0.14787 0.0116698 132 57 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 24.14 vpr 64.48 MiB -1 -1 0.49 21736 1 0.13 -1 -1 33836 -1 -1 29 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66032 29 32 378 310 1 179 90 17 17 289 -1 unnamed_device 25.8 MiB 8.36 980 14361 3795 8758 1808 64.5 MiB 0.23 0.00 4.08063 -123.956 -4.08063 4.08063 2.45 0.000173293 0.000138665 0.0116365 0.00942744 34 2277 22 6.87369e+06 405241 618332. 2139.56 4.29 0.0590924 0.0491969 25762 151098 -1 1855 21 1465 2435 157122 37309 2.92401 2.92401 -112.423 -2.92401 0 0 787024. 2723.27 1.19 0.24 0.72 -1 -1 1.19 0.165371 0.164343 132 85 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 17.87 vpr 63.86 MiB -1 -1 0.52 20824 1 0.07 -1 -1 33924 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65392 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 25.3 MiB 2.41 762 13381 3888 7655 1838 63.9 MiB 0.48 0.00 4.08063 -121.878 -4.08063 4.08063 2.17 0.000127364 0.000101096 0.0115594 0.00927363 30 1753 24 6.87369e+06 237555 556674. 1926.21 5.95 0.328848 0.272579 25186 138497 -1 1475 16 699 1012 58829 14121 2.80671 2.80671 -106.483 -2.80671 0 0 706193. 2443.58 0.72 0.11 0.13 -1 -1 0.72 0.00761888 0.00657686 96 3 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 27.83 vpr 64.21 MiB -1 -1 0.37 21432 1 0.07 -1 -1 33736 -1 -1 34 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65752 32 32 373 302 1 184 98 17 17 289 -1 unnamed_device 25.6 MiB 15.27 1078 12698 2986 8362 1350 64.2 MiB 0.16 0.00 4.62608 -141.267 -4.62608 4.62608 2.24 0.000195534 0.00016125 0.0119889 0.0096477 30 2506 24 6.87369e+06 475111 556674. 1926.21 2.89 0.287809 0.280734 25186 138497 -1 2052 20 1183 2080 122141 28584 3.6918 3.6918 -130.183 -3.6918 0 0 706193. 2443.58 0.85 0.14 0.25 -1 -1 0.85 0.0110472 0.00974873 137 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 30.14 vpr 63.94 MiB -1 -1 0.27 21432 1 0.25 -1 -1 33476 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65472 32 32 397 314 1 197 85 17 17 289 -1 unnamed_device 25.3 MiB 14.03 998 8827 2077 6176 574 63.9 MiB 0.19 0.00 4.56982 -152.779 -4.56982 4.56982 2.58 0.000311625 0.000272813 0.0111269 0.00904738 34 2791 32 6.87369e+06 293451 618332. 2139.56 4.84 0.14087 0.130225 25762 151098 -1 2300 24 2093 3481 261894 59837 3.6874 3.6874 -146.807 -3.6874 0 0 787024. 2723.27 1.10 0.13 0.37 -1 -1 1.10 0.0146963 0.012916 142 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 24.87 vpr 63.95 MiB -1 -1 0.44 21128 1 0.13 -1 -1 33740 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65484 32 32 269 231 1 170 80 17 17 289 -1 unnamed_device 25.3 MiB 10.55 877 12464 4060 6378 2026 63.9 MiB 0.23 0.00 4.35092 -123.721 -4.35092 4.35092 2.40 0.000138315 0.000109707 0.0291551 0.0267301 34 2390 25 6.87369e+06 223581 618332. 2139.56 4.00 0.180129 0.171184 25762 151098 -1 1912 19 1045 1383 113106 26026 3.3655 3.3655 -119.599 -3.3655 0 0 787024. 2723.27 1.16 0.25 0.24 -1 -1 1.16 0.00864042 0.00764285 106 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 13.91 vpr 63.88 MiB -1 -1 0.49 21280 1 0.05 -1 -1 33796 -1 -1 20 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65412 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 25.2 MiB 2.36 831 12143 3710 6458 1975 63.9 MiB 0.04 0.00 4.08753 -121.46 -4.08753 4.08753 2.51 0.000130321 0.000103266 0.00813313 0.00648839 28 2091 26 6.87369e+06 279477 531479. 1839.03 2.43 0.032326 0.0268989 24610 126494 -1 1926 22 1255 2098 151129 33631 3.06656 3.06656 -115.299 -3.06656 0 0 648988. 2245.63 0.97 0.10 0.32 -1 -1 0.97 0.0191137 0.018127 99 4 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 24.89 vpr 64.17 MiB -1 -1 0.43 21432 1 0.20 -1 -1 34116 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65708 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 25.6 MiB 10.15 1048 14871 5310 7645 1916 64.2 MiB 0.25 0.00 4.74578 -148.239 -4.74578 4.74578 2.16 0.000217443 0.000179441 0.154511 0.151479 36 2778 21 6.87369e+06 321398 648988. 2245.63 5.31 0.203356 0.19278 26050 158493 -1 2299 23 2032 2768 214916 47750 4.09326 4.09326 -145.711 -4.09326 0 0 828058. 2865.25 0.92 0.09 0.31 -1 -1 0.92 0.0144787 0.0129622 145 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 23.43 vpr 64.27 MiB -1 -1 0.56 21432 1 0.47 -1 -1 33828 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65816 32 32 356 289 1 202 91 17 17 289 -1 unnamed_device 25.6 MiB 8.04 1156 10291 2704 7024 563 64.3 MiB 0.20 0.00 5.18474 -149.951 -5.18474 5.18474 2.28 0.000173435 0.000138918 0.0106602 0.00869726 34 2836 43 6.87369e+06 377294 618332. 2139.56 4.75 0.177397 0.166598 25762 151098 -1 2209 21 1477 2262 145226 37923 4.8875 4.8875 -153.376 -4.8875 0 0 787024. 2723.27 1.03 0.16 0.41 -1 -1 1.03 0.0517608 0.0501269 142 56 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 17.97 vpr 64.36 MiB -1 -1 0.49 21432 1 0.09 -1 -1 33684 -1 -1 36 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65900 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 25.8 MiB 2.66 1079 20284 6521 10124 3639 64.4 MiB 0.23 0.00 5.37378 -147.82 -5.37378 5.37378 2.37 0.000175743 0.0001416 0.0177904 0.0144316 30 3023 31 6.87369e+06 503058 556674. 1926.21 4.03 0.139801 0.131127 25186 138497 -1 2085 21 1291 2437 138623 33500 4.25585 4.25585 -141.062 -4.25585 0 0 706193. 2443.58 1.08 0.08 0.31 -1 -1 1.08 0.0127445 0.0112248 157 3 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 22.13 vpr 64.25 MiB -1 -1 0.31 21432 1 0.03 -1 -1 33684 -1 -1 34 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65796 30 32 316 264 1 165 96 17 17 289 -1 unnamed_device 25.5 MiB 7.93 927 17397 5065 9948 2384 64.3 MiB 0.46 0.00 3.60295 -108.088 -3.60295 3.60295 2.72 0.000149172 0.000117939 0.083006 0.0801073 28 2143 16 6.87369e+06 475111 531479. 1839.03 3.59 0.104044 0.0978537 24610 126494 -1 1954 21 1477 2589 180577 42563 3.17156 3.17156 -109.399 -3.17156 0 0 648988. 2245.63 0.63 0.19 0.37 -1 -1 0.63 0.158986 0.0207749 119 52 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 17.86 vpr 63.88 MiB -1 -1 0.56 21128 1 0.02 -1 -1 34300 -1 -1 21 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65412 27 32 255 219 1 139 80 17 17 289 -1 unnamed_device 25.2 MiB 3.53 651 12980 3995 7984 1001 63.9 MiB 0.16 0.00 3.6605 -100.499 -3.6605 3.6605 2.63 0.000133245 0.000102794 0.0129438 0.0105119 34 1631 22 6.87369e+06 293451 618332. 2139.56 4.12 0.2536 0.244908 25762 151098 -1 1471 17 1000 1481 108358 24700 2.98326 2.98326 -98.5613 -2.98326 0 0 787024. 2723.27 0.89 0.16 0.37 -1 -1 0.89 0.00550685 0.0047051 96 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 28.21 vpr 64.48 MiB -1 -1 0.69 21432 1 0.03 -1 -1 33684 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66032 32 32 421 327 1 233 88 17 17 289 -1 unnamed_device 25.9 MiB 12.12 1305 7498 1697 5254 547 64.5 MiB 0.21 0.00 4.4144 -140.878 -4.4144 4.4144 3.05 0.000193586 0.000155586 0.0102645 0.00841528 34 3816 26 6.87369e+06 335372 618332. 2139.56 5.70 0.209201 0.19799 25762 151098 -1 3072 23 2124 3517 294906 66508 4.13856 4.13856 -146.202 -4.13856 0 0 787024. 2723.27 0.81 0.30 0.39 -1 -1 0.81 0.155998 0.154166 165 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 30.74 vpr 64.23 MiB -1 -1 0.39 21584 1 0.20 -1 -1 33564 -1 -1 22 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65776 31 32 365 296 1 202 85 17 17 289 -1 unnamed_device 25.6 MiB 15.64 1074 15151 5128 7714 2309 64.2 MiB 0.39 0.00 5.62787 -168.35 -5.62787 5.62787 3.08 0.000195413 0.000162177 0.0111841 0.00903981 34 2751 44 6.87369e+06 307425 618332. 2139.56 4.57 0.125654 0.115732 25762 151098 -1 2244 21 1792 2718 184181 44605 4.71195 4.71195 -159.067 -4.71195 0 0 787024. 2723.27 0.93 0.08 0.36 -1 -1 0.93 0.0648545 0.0634683 139 64 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 31.71 vpr 64.29 MiB -1 -1 0.48 21432 1 0.06 -1 -1 34084 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65832 32 32 331 280 1 185 82 17 17 289 -1 unnamed_device 25.6 MiB 16.51 919 12720 5050 5541 2129 64.3 MiB 0.41 0.00 4.44674 -144.261 -4.44674 4.44674 2.50 0.00016062 0.000126966 0.0264314 0.02378 34 2497 25 6.87369e+06 251529 618332. 2139.56 4.26 0.073152 0.0625917 25762 151098 -1 2129 21 1638 2383 187322 42493 3.67646 3.67646 -142.535 -3.67646 0 0 787024. 2723.27 0.88 0.11 0.30 -1 -1 0.88 0.0108914 0.00959515 118 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 17.61 vpr 64.34 MiB -1 -1 0.36 21432 1 0.03 -1 -1 33780 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65888 32 32 326 263 1 176 97 17 17 289 -1 unnamed_device 25.8 MiB 4.09 976 10753 2429 7569 755 64.3 MiB 0.54 0.00 5.00965 -138.522 -5.00965 5.00965 2.41 0.000155334 0.000124383 0.00679763 0.00545239 32 2763 27 6.87369e+06 461137 586450. 2029.24 2.44 0.131443 0.125685 25474 144626 -1 2212 23 1337 2115 197538 43683 3.6091 3.6091 -126.097 -3.6091 0 0 744469. 2576.02 1.15 0.21 0.49 -1 -1 1.15 0.00988829 0.00863721 129 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 19.99 vpr 64.30 MiB -1 -1 0.48 21432 1 0.11 -1 -1 33488 -1 -1 34 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65848 31 32 373 294 1 197 97 17 17 289 -1 unnamed_device 25.7 MiB 6.71 1013 10087 2314 7206 567 64.3 MiB 0.12 0.00 4.42234 -128.528 -4.42234 4.42234 2.48 0.000210025 0.000173901 0.0109573 0.00897473 26 2786 25 6.87369e+06 475111 503264. 1741.40 3.48 0.302713 0.119406 24322 120374 -1 2350 23 1643 2720 217701 49985 4.30596 4.30596 -136.052 -4.30596 0 0 618332. 2139.56 0.94 0.28 0.21 -1 -1 0.94 0.0130602 0.0113431 149 50 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 20.47 vpr 64.15 MiB -1 -1 0.47 21584 1 0.16 -1 -1 33892 -1 -1 31 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65688 30 32 325 268 1 172 93 17 17 289 -1 unnamed_device 25.6 MiB 6.88 789 16473 4509 9304 2660 64.1 MiB 0.16 0.00 3.6543 -102.402 -3.6543 3.6543 2.47 0.000157977 0.000125103 0.0114113 0.00918502 30 2403 31 6.87369e+06 433189 556674. 1926.21 3.36 0.0384202 0.0318093 25186 138497 -1 1692 21 1163 2079 118397 30989 2.84601 2.84601 -99.6498 -2.84601 0 0 706193. 2443.58 1.13 0.07 0.34 -1 -1 1.13 0.0105219 0.00923325 124 51 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 26.24 vpr 64.34 MiB -1 -1 0.33 21280 1 0.14 -1 -1 33420 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65880 32 32 350 275 1 216 86 17 17 289 -1 unnamed_device 25.8 MiB 11.25 1043 7835 1690 4990 1155 64.3 MiB 0.14 0.00 4.80948 -147.863 -4.80948 4.80948 2.35 8.083e-05 6.2918e-05 0.120904 0.119769 38 2793 25 6.87369e+06 307425 678818. 2348.85 4.77 0.356462 0.331458 26626 170182 -1 2286 21 1725 2702 176685 43882 3.97676 3.97676 -143.812 -3.97676 0 0 902133. 3121.57 1.04 0.14 0.53 -1 -1 1.04 0.0118122 0.0104249 148 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 27.06 vpr 64.31 MiB -1 -1 0.30 21280 1 0.02 -1 -1 33584 -1 -1 36 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65856 32 32 386 307 1 196 100 17 17 289 -1 unnamed_device 25.8 MiB 11.54 1116 17964 5292 9872 2800 64.3 MiB 0.17 0.00 4.13563 -137.404 -4.13563 4.13563 2.45 8.7406e-05 6.708e-05 0.00957555 0.00757502 34 2483 28 6.87369e+06 503058 618332. 2139.56 5.17 0.233915 0.223526 25762 151098 -1 2092 21 1459 2325 157406 36334 3.12431 3.12431 -122.815 -3.12431 0 0 787024. 2723.27 1.11 0.06 0.37 -1 -1 1.11 0.0132458 0.0118161 147 62 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 20.09 vpr 63.97 MiB -1 -1 0.43 21128 1 0.06 -1 -1 33816 -1 -1 19 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65504 29 32 269 229 1 150 80 17 17 289 -1 unnamed_device 25.3 MiB 6.95 703 14184 4182 7144 2858 64.0 MiB 0.28 0.00 3.92008 -117.095 -3.92008 3.92008 2.06 0.000130365 0.000101356 0.0130918 0.0104772 32 1786 22 6.87369e+06 265503 586450. 2029.24 2.57 0.0443424 0.03859 25474 144626 -1 1465 18 1159 1649 106327 25517 2.95216 2.95216 -109.502 -2.95216 0 0 744469. 2576.02 0.96 0.05 0.37 -1 -1 0.96 0.00803945 0.00704296 101 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 20.83 vpr 64.20 MiB -1 -1 0.44 21280 1 0.02 -1 -1 33756 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65740 32 32 310 266 1 176 81 17 17 289 -1 unnamed_device 25.5 MiB 5.94 745 10056 2219 6943 894 64.2 MiB 0.08 0.00 4.29715 -118.471 -4.29715 4.29715 2.67 0.000175463 0.000142299 0.0117008 0.0095659 34 2412 25 6.87369e+06 237555 618332. 2139.56 4.97 0.121361 0.11164 25762 151098 -1 1921 22 1172 1607 147553 34263 3.38331 3.38331 -128.591 -3.38331 0 0 787024. 2723.27 0.84 0.02 0.34 -1 -1 0.84 0.00952054 0.00885081 112 58 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 21.30 vpr 64.37 MiB -1 -1 0.47 21432 1 0.02 -1 -1 34036 -1 -1 39 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65912 31 32 326 261 1 178 102 17 17 289 -1 unnamed_device 25.8 MiB 6.44 902 19380 5589 10619 3172 64.4 MiB 0.18 0.00 4.58512 -127.193 -4.58512 4.58512 2.91 0.000155813 0.000124815 0.0154607 0.0123699 30 2365 22 6.87369e+06 544980 556674. 1926.21 3.93 0.0444408 0.0369961 25186 138497 -1 1716 22 1241 2354 128386 30446 3.5538 3.5538 -120.664 -3.5538 0 0 706193. 2443.58 0.82 0.07 0.19 -1 -1 0.82 0.0114633 0.0100832 135 33 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 24.06 vpr 63.75 MiB -1 -1 0.55 21280 1 0.20 -1 -1 33708 -1 -1 19 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65276 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 25.2 MiB 11.31 749 6788 1524 4749 515 63.7 MiB 0.08 0.00 4.6958 -121.206 -4.6958 4.6958 2.31 0.000169203 0.00013788 0.00655129 0.00534007 30 1952 20 6.87369e+06 265503 556674. 1926.21 2.30 0.0735153 0.0687536 25186 138497 -1 1612 16 830 1112 65319 16469 3.46886 3.46886 -112.916 -3.46886 0 0 706193. 2443.58 1.12 0.01 0.38 -1 -1 1.12 0.00378377 0.00333699 107 31 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 26.02 vpr 64.00 MiB -1 -1 0.58 21280 1 0.21 -1 -1 33960 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65540 32 32 278 238 1 158 79 17 17 289 -1 unnamed_device 25.3 MiB 11.72 866 13092 4214 7388 1490 64.0 MiB 0.10 0.00 4.09853 -129.916 -4.09853 4.09853 2.25 0.00017348 0.000144012 0.0517077 0.0492828 34 2136 19 6.87369e+06 209608 618332. 2139.56 4.28 0.160242 0.15194 25762 151098 -1 1876 19 1305 2186 179380 40088 3.06026 3.06026 -118.527 -3.06026 0 0 787024. 2723.27 1.00 0.13 0.34 -1 -1 1.00 0.0974043 0.0963665 101 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 25.95 vpr 64.29 MiB -1 -1 0.41 21432 1 0.18 -1 -1 33660 -1 -1 37 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65836 31 32 373 300 1 185 100 17 17 289 -1 unnamed_device 25.8 MiB 9.88 1039 12628 3304 8275 1049 64.3 MiB 0.32 0.00 4.00054 -129.166 -4.00054 4.00054 2.13 0.000210017 0.000174169 0.0122035 0.00990819 30 2209 47 6.87369e+06 517032 556674. 1926.21 6.04 0.554985 0.542485 25186 138497 -1 1847 24 1361 2336 125733 29890 2.85166 2.85166 -116.929 -2.85166 0 0 706193. 2443.58 0.64 0.07 0.17 -1 -1 0.64 0.045678 0.0436281 141 64 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 26.14 vpr 63.98 MiB -1 -1 0.40 21128 1 0.13 -1 -1 33904 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65520 31 32 265 230 1 169 80 17 17 289 -1 unnamed_device 25.4 MiB 11.40 739 6272 1406 4366 500 64.0 MiB 0.04 0.00 3.6584 -112.307 -3.6584 3.6584 2.53 0.000146881 0.000116644 0.00726985 0.00588212 34 2230 21 6.87369e+06 237555 618332. 2139.56 4.11 0.12054 0.113228 25762 151098 -1 1774 21 1275 1857 140665 34493 3.10761 3.10761 -112.25 -3.10761 0 0 787024. 2723.27 1.02 0.23 0.53 -1 -1 1.02 0.00745575 0.00654715 105 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 23.18 vpr 64.41 MiB -1 -1 0.42 21280 1 0.05 -1 -1 33692 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65960 32 32 349 286 1 177 95 17 17 289 -1 unnamed_device 25.6 MiB 9.96 994 15431 4930 8161 2340 64.4 MiB 0.38 0.00 3.71714 -116.274 -3.71714 3.71714 2.43 0.00016668 0.000132315 0.154015 0.151407 28 2577 30 6.87369e+06 433189 531479. 1839.03 3.18 0.260622 0.253714 24610 126494 -1 2282 22 1256 2064 170461 37936 2.99431 2.99431 -116.308 -2.99431 0 0 648988. 2245.63 0.97 0.08 0.39 -1 -1 0.97 0.0116898 0.010177 129 57 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 24.73 vpr 64.34 MiB -1 -1 0.42 21584 1 0.06 -1 -1 33836 -1 -1 32 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65880 31 32 396 325 1 185 95 17 17 289 -1 unnamed_device 25.7 MiB 11.44 961 16511 5552 8275 2684 64.3 MiB 0.17 0.00 3.7214 -123.871 -3.7214 3.7214 3.01 0.000210592 0.00017045 0.0799071 0.0769423 30 2253 32 6.87369e+06 447163 556674. 1926.21 3.39 0.11623 0.107678 25186 138497 -1 1722 20 1533 2326 127313 31294 2.93501 2.93501 -117.678 -2.93501 0 0 706193. 2443.58 1.19 0.09 0.27 -1 -1 1.19 0.0117807 0.0103106 137 91 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 21.28 vpr 63.85 MiB -1 -1 0.42 21432 1 0.21 -1 -1 33736 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65380 32 32 303 262 1 154 80 17 17 289 -1 unnamed_device 25.3 MiB 8.28 737 5584 1099 4145 340 63.8 MiB 0.04 0.00 3.6034 -109.653 -3.6034 3.6034 2.54 0.000172967 0.000138711 0.00655164 0.00533344 34 2010 22 6.87369e+06 223581 618332. 2139.56 3.44 0.197616 0.173372 25762 151098 -1 1778 20 1088 1717 149494 35149 2.93831 2.93831 -111.61 -2.93831 0 0 787024. 2723.27 0.96 0.04 0.25 -1 -1 0.96 0.00950595 0.00830105 99 57 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 20.96 vpr 64.08 MiB -1 -1 0.35 21128 1 0.03 -1 -1 33692 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65616 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 25.3 MiB 5.02 952 10940 2740 7203 997 64.1 MiB 0.14 0.00 4.25609 -132.381 -4.25609 4.25609 2.59 0.000151853 0.000121995 0.0911806 0.0892098 34 2539 31 6.87369e+06 251529 618332. 2139.56 4.62 0.254826 0.209777 25762 151098 -1 2274 20 1537 2285 205051 45570 3.43621 3.43621 -129.843 -3.43621 0 0 787024. 2723.27 1.20 0.20 0.44 -1 -1 1.20 0.00827715 0.00723661 114 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 23.20 vpr 64.33 MiB -1 -1 0.42 21280 1 0.16 -1 -1 33688 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65876 32 32 318 257 1 197 86 17 17 289 -1 unnamed_device 25.6 MiB 8.99 1054 12749 3289 7313 2147 64.3 MiB 0.36 0.00 4.80313 -136.884 -4.80313 4.80313 2.18 7.4449e-05 5.8209e-05 0.0104704 0.00848545 34 2729 44 6.87369e+06 307425 618332. 2139.56 4.30 0.0586755 0.0488335 25762 151098 -1 2281 19 1506 2130 160084 36271 4.02506 4.02506 -135.193 -4.02506 0 0 787024. 2723.27 1.01 0.21 0.21 -1 -1 1.01 0.0102614 0.00911307 132 30 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 24.01 vpr 63.86 MiB -1 -1 0.40 21584 1 0.02 -1 -1 33852 -1 -1 29 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65388 29 32 324 268 1 169 90 17 17 289 -1 unnamed_device 25.4 MiB 9.05 910 8331 2069 5702 560 63.9 MiB 0.06 0.00 4.10263 -113.755 -4.10263 4.10263 2.81 0.000161988 0.000130599 0.0169096 0.0153965 26 2355 25 6.87369e+06 405241 503264. 1741.40 3.92 0.205653 0.0381333 24322 120374 -1 2033 23 1259 2182 174835 40984 3.55251 3.55251 -115.502 -3.55251 0 0 618332. 2139.56 0.78 0.10 0.21 -1 -1 0.78 0.011704 0.0102749 123 55 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 25.23 vpr 64.60 MiB -1 -1 0.62 21584 1 0.14 -1 -1 33436 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66148 32 32 393 312 1 215 86 17 17 289 -1 unnamed_device 26.1 MiB 10.23 1103 15395 4881 8425 2089 64.6 MiB 0.25 0.00 5.21806 -166.108 -5.21806 5.21806 2.43 0.000182827 0.000148202 0.0237798 0.0138708 34 2947 24 6.87369e+06 307425 618332. 2139.56 4.30 0.152651 0.134698 25762 151098 -1 2371 20 1678 2569 223080 48160 4.13096 4.13096 -154.719 -4.13096 0 0 787024. 2723.27 1.05 0.12 0.42 -1 -1 1.05 0.01251 0.0110478 151 65 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 17.53 vpr 63.66 MiB -1 -1 0.52 21128 1 0.10 -1 -1 33776 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65192 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 25.2 MiB 2.28 761 8164 2247 5336 581 63.7 MiB 0.19 0.00 3.6213 -109.656 -3.6213 3.6213 2.52 0.000132315 0.000104858 0.00764862 0.00618307 34 1877 21 6.87369e+06 237555 618332. 2139.56 4.02 0.153606 0.146459 25762 151098 -1 1560 22 878 1383 100065 23147 2.69971 2.69971 -100.34 -2.69971 0 0 787024. 2723.27 0.87 0.18 0.14 -1 -1 0.87 0.00978808 0.0085085 92 4 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 22.05 vpr 64.48 MiB -1 -1 0.43 21432 1 0.22 -1 -1 33844 -1 -1 35 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66028 32 32 412 334 1 194 99 17 17 289 -1 unnamed_device 25.9 MiB 6.44 1098 17883 5450 10197 2236 64.5 MiB 0.21 0.00 4.40215 -147.898 -4.40215 4.40215 2.31 0.0001791 0.000141826 0.016478 0.0133201 34 2698 23 6.87369e+06 489084 618332. 2139.56 4.46 0.204551 0.192941 25762 151098 -1 2289 19 1528 2195 163597 37531 4.09906 4.09906 -150.382 -4.09906 0 0 787024. 2723.27 1.25 0.14 0.42 -1 -1 1.25 0.0123489 0.010729 145 90 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 28.71 vpr 64.36 MiB -1 -1 0.34 21280 1 0.15 -1 -1 33432 -1 -1 16 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65908 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 25.8 MiB 14.98 884 12808 4071 7270 1467 64.4 MiB 0.10 0.00 3.7416 -133.639 -3.7416 3.7416 2.39 0.000185557 0.000133548 0.0145308 0.0116667 34 2203 22 6.87369e+06 223581 618332. 2139.56 3.97 0.167213 0.138523 25762 151098 -1 1898 22 1647 2358 207288 44212 3.09651 3.09651 -131.753 -3.09651 0 0 787024. 2723.27 1.01 0.21 0.15 -1 -1 1.01 0.177656 0.176112 114 96 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 23.18 vpr 64.25 MiB -1 -1 0.35 21432 1 0.03 -1 -1 33524 -1 -1 32 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65796 32 32 360 293 1 182 96 17 17 289 -1 unnamed_device 25.6 MiB 9.73 1035 16083 4540 9099 2444 64.3 MiB 0.33 0.00 4.13563 -128.556 -4.13563 4.13563 2.53 0.000178336 0.000141814 0.155804 0.0300563 34 2321 22 6.87369e+06 447163 618332. 2139.56 3.70 0.241406 0.108683 25762 151098 -1 1975 20 1113 1723 125202 28251 2.88171 2.88171 -110.937 -2.88171 0 0 787024. 2723.27 0.78 0.14 0.36 -1 -1 0.78 0.12239 0.121288 134 60 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 27.47 vpr 64.60 MiB -1 -1 0.61 21432 1 0.13 -1 -1 33808 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66148 32 32 396 299 1 240 89 17 17 289 -1 unnamed_device 25.9 MiB 12.84 1287 13355 3699 8482 1174 64.6 MiB 0.26 0.00 5.89739 -178.153 -5.89739 5.89739 2.62 0.000182643 0.000146677 0.0152379 0.0125769 30 3132 24 6.87369e+06 349346 556674. 1926.21 3.01 0.0535792 0.0453289 25186 138497 -1 2431 22 1816 2892 196741 44670 4.7438 4.7438 -161.916 -4.7438 0 0 706193. 2443.58 0.97 0.06 0.23 -1 -1 0.97 0.0124387 0.0109805 171 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 19.27 vpr 63.44 MiB -1 -1 0.59 21128 1 0.43 -1 -1 33428 -1 -1 15 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64964 30 32 224 207 1 137 77 17 17 289 -1 unnamed_device 24.9 MiB 4.17 701 8879 2399 5497 983 63.4 MiB 0.02 0.00 3.00866 -95.1783 -3.00866 3.00866 2.29 5.4423e-05 4.1445e-05 0.00344419 0.0026929 34 1714 22 6.87369e+06 209608 618332. 2139.56 3.49 0.0229619 0.018796 25762 151098 -1 1446 22 914 1239 95931 21985 2.41177 2.41177 -93.2366 -2.41177 0 0 787024. 2723.27 0.86 0.08 0.56 -1 -1 0.86 0.155442 0.154411 81 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 21.09 vpr 64.02 MiB -1 -1 0.25 21128 1 0.03 -1 -1 34020 -1 -1 19 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65560 30 32 286 239 1 151 81 17 17 289 -1 unnamed_device 25.3 MiB 4.35 780 10931 2920 7179 832 64.0 MiB 0.14 0.00 4.09289 -125.17 -4.09289 4.09289 2.63 0.000138732 0.000110097 0.0106528 0.00854101 30 1832 21 6.87369e+06 265503 556674. 1926.21 6.08 0.052991 0.0439109 25186 138497 -1 1484 19 812 1262 82398 18839 2.96331 2.96331 -113.015 -2.96331 0 0 706193. 2443.58 0.91 0.04 0.35 -1 -1 0.91 0.0184988 0.0174497 105 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 15.59 vpr 63.84 MiB -1 -1 0.38 21280 1 0.08 -1 -1 33992 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65368 32 32 296 247 1 158 87 17 17 289 -1 unnamed_device 25.3 MiB 2.97 823 13335 3842 8129 1364 63.8 MiB 0.22 0.00 3.6323 -119.992 -3.6323 3.6323 2.25 0.000169515 0.000139323 0.00897186 0.00703524 32 2531 30 6.87369e+06 321398 586450. 2029.24 2.94 0.0389559 0.0323161 25474 144626 -1 2024 23 1432 2515 224836 51322 3.19191 3.19191 -122.428 -3.19191 0 0 744469. 2576.02 0.71 0.15 0.21 -1 -1 0.71 0.0323575 0.0308986 109 34 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 14.86 vpr 63.75 MiB -1 -1 0.18 21280 1 0.03 -1 -1 33660 -1 -1 29 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65284 25 32 216 194 1 123 86 17 17 289 -1 unnamed_device 24.9 MiB 2.77 478 11804 3373 6324 2107 63.8 MiB 0.29 0.00 3.5473 -82.0121 -3.5473 3.5473 2.29 0.00011186 8.6921e-05 0.00848826 0.00661571 32 1406 23 6.87369e+06 405241 586450. 2029.24 2.43 0.224238 0.219024 25474 144626 -1 1113 21 759 1365 103068 25092 3.24821 3.24821 -82.8494 -3.24821 0 0 744469. 2576.02 0.96 0.14 0.29 -1 -1 0.96 0.00721949 0.00620565 87 29 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 25.09 vpr 64.49 MiB -1 -1 0.68 21280 1 0.03 -1 -1 33836 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66036 32 32 376 307 1 193 84 17 17 289 -1 unnamed_device 25.8 MiB 9.26 1101 11796 3845 6942 1009 64.5 MiB 0.11 0.00 4.3434 -133.109 -4.3434 4.3434 2.62 8.5428e-05 6.6476e-05 0.0118216 0.00980211 34 2898 48 6.87369e+06 279477 618332. 2139.56 4.35 0.0697086 0.057851 25762 151098 -1 2423 20 1440 2558 195916 43947 3.72146 3.72146 -131.72 -3.72146 0 0 787024. 2723.27 0.94 0.26 0.28 -1 -1 0.94 0.0118249 0.010334 133 72 -1 -1 -1 -1 +fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 24.82 vpr 64.62 MiB -1 -1 0.61 21584 1 0.04 -1 -1 33868 -1 -1 31 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66168 31 32 409 331 1 193 94 17 17 289 -1 unnamed_device 26.1 MiB 9.55 1005 17347 4974 10720 1653 64.6 MiB 0.48 0.08 4.12463 -135.699 -4.12463 4.12463 2.30 0.000174695 0.000139207 0.0174827 0.0142548 34 2407 22 6.87369e+06 433189 618332. 2139.56 3.99 0.0820274 0.0713159 25762 151098 -1 2069 22 1746 2660 180911 42069 3.30881 3.30881 -130.418 -3.30881 0 0 787024. 2723.27 0.88 0.15 0.36 -1 -1 0.88 0.0142291 0.0125481 143 90 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 24.91 vpr 64.22 MiB -1 -1 0.45 21128 1 0.25 -1 -1 33628 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65760 32 32 354 285 1 223 88 17 17 289 -1 unnamed_device 25.4 MiB 8.52 1161 17053 5989 8608 2456 64.2 MiB 0.25 0.00 5.4505 -158.266 -5.4505 5.4505 2.07 0.000176998 0.000143319 0.0795813 0.0763266 36 2675 22 6.89349e+06 338252 648988. 2245.63 5.71 0.128371 0.117427 26050 158493 -1 2304 20 1673 2418 173005 38338 4.47565 4.47565 -149.167 -4.47565 0 0 828058. 2865.25 0.85 0.18 0.63 -1 -1 0.85 0.00957657 0.0082788 149 50 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 20.74 vpr 64.20 MiB -1 -1 0.40 21280 1 0.08 -1 -1 33564 -1 -1 26 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65740 30 32 363 293 1 229 88 17 17 289 -1 unnamed_device 25.6 MiB 5.58 1183 17053 6028 7314 3711 64.2 MiB 0.32 0.00 4.96382 -147.599 -4.96382 4.96382 2.48 0.000185221 0.000152978 0.0174222 0.0142415 40 2569 24 6.89349e+06 366440 706193. 2443.58 4.65 0.0633972 0.0531138 26914 176310 -1 2410 21 2086 3030 245852 53097 4.44693 4.44693 -146.332 -4.44693 0 0 926341. 3205.33 1.30 0.09 0.24 -1 -1 1.30 0.0121252 0.0105801 157 63 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 21.58 vpr 63.75 MiB -1 -1 0.30 21280 1 0.23 -1 -1 33560 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65280 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 25.2 MiB 6.30 1005 8641 2306 5994 341 63.8 MiB 0.18 0.00 4.21693 -118.79 -4.21693 4.21693 2.64 0.000165577 0.000136217 0.00595313 0.00481065 34 2497 30 6.89349e+06 295971 618332. 2139.56 5.69 0.0524443 0.0442435 25762 151098 -1 2075 21 1394 1953 140315 31761 3.481 3.481 -115.563 -3.481 0 0 787024. 2723.27 0.96 0.10 0.28 -1 -1 0.96 0.0681163 0.0668224 125 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 21.95 vpr 63.76 MiB -1 -1 0.57 21432 1 0.02 -1 -1 33496 -1 -1 24 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65288 29 32 308 248 1 195 85 17 17 289 -1 unnamed_device 25.2 MiB 5.76 1042 11245 2935 7152 1158 63.8 MiB 0.36 0.00 4.87453 -132.17 -4.87453 4.87453 2.76 0.000131131 0.000103231 0.00891168 0.00719115 40 2177 26 6.89349e+06 338252 706193. 2443.58 3.98 0.118991 0.110701 26914 176310 -1 2110 21 1379 2258 178424 40311 3.77346 3.77346 -124.825 -3.77346 0 0 926341. 3205.33 1.49 0.07 0.38 -1 -1 1.49 0.0106657 0.00938011 134 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 23.32 vpr 64.04 MiB -1 -1 0.57 21584 1 0.22 -1 -1 33576 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65580 32 32 336 268 1 212 87 17 17 289 -1 unnamed_device 25.5 MiB 5.13 1138 14679 5112 7369 2198 64.0 MiB 0.19 0.00 5.26431 -151.77 -5.26431 5.26431 2.81 8.4178e-05 6.6136e-05 0.0106353 0.00857157 34 3290 30 6.89349e+06 324158 618332. 2139.56 6.37 0.115847 0.105756 25762 151098 -1 2611 22 1920 3410 320266 76372 4.37429 4.37429 -147.257 -4.37429 0 0 787024. 2723.27 0.91 0.24 0.35 -1 -1 0.91 0.0117727 0.0103205 142 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 24.12 vpr 64.25 MiB -1 -1 0.45 21432 1 0.04 -1 -1 33972 -1 -1 33 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65788 32 32 366 295 1 231 97 17 17 289 -1 unnamed_device 25.5 MiB 7.12 1321 18079 5361 9939 2779 64.2 MiB 0.45 0.00 4.04936 -131.962 -4.04936 4.04936 2.50 0.000178121 0.000132771 0.0628183 0.0122638 34 3361 47 6.89349e+06 465097 618332. 2139.56 6.19 0.31131 0.251722 25762 151098 -1 2778 21 1732 2914 219808 48366 3.70335 3.70335 -137.69 -3.70335 0 0 787024. 2723.27 1.06 0.28 0.25 -1 -1 1.06 0.0107926 0.00956445 162 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 18.37 vpr 63.77 MiB -1 -1 0.61 21128 1 0.04 -1 -1 34176 -1 -1 21 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65304 27 32 259 221 1 160 80 17 17 289 -1 unnamed_device 25.1 MiB 4.35 839 11260 3450 5917 1893 63.8 MiB 0.09 0.00 4.19007 -114.449 -4.19007 4.19007 2.64 0.000137292 0.000108949 0.044233 0.00826467 34 1915 21 6.89349e+06 295971 618332. 2139.56 4.34 0.119948 0.0784687 25762 151098 -1 1655 18 1154 1659 146368 31515 3.17986 3.17986 -105.452 -3.17986 0 0 787024. 2723.27 0.78 0.07 0.21 -1 -1 0.78 0.00843702 0.00722768 107 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 16.07 vpr 63.86 MiB -1 -1 0.38 21280 1 0.08 -1 -1 33720 -1 -1 32 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65392 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 25.2 MiB 2.73 949 9383 2442 6271 670 63.9 MiB 0.16 0.00 3.43417 -103.803 -3.43417 3.43417 2.64 0.000147994 0.000117041 0.00818077 0.00660448 26 2457 27 6.89349e+06 451003 503264. 1741.40 3.83 0.130788 0.125164 24322 120374 -1 2161 21 1217 2108 198951 44635 2.60681 2.60681 -101.55 -2.60681 0 0 618332. 2139.56 0.94 0.02 0.17 -1 -1 0.94 0.00539005 0.00467584 119 4 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 21.79 vpr 63.85 MiB -1 -1 0.29 21280 1 0.02 -1 -1 33580 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65384 31 32 317 271 1 207 82 17 17 289 -1 unnamed_device 25.2 MiB 6.39 1057 11652 3291 6897 1464 63.9 MiB 0.15 0.00 3.72781 -126.045 -3.72781 3.72781 2.44 6.9584e-05 5.2628e-05 0.00794743 0.00642072 36 2479 32 6.89349e+06 267783 648988. 2245.63 5.19 0.25551 0.246837 26050 158493 -1 2104 21 1429 1952 165378 35709 2.76806 2.76806 -114.99 -2.76806 0 0 828058. 2865.25 1.13 0.19 0.32 -1 -1 1.13 0.0860574 0.0846668 131 64 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 21.88 vpr 63.96 MiB -1 -1 0.27 21432 1 0.06 -1 -1 33500 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65496 32 32 298 248 1 185 82 17 17 289 -1 unnamed_device 25.2 MiB 7.59 828 7914 1799 5700 415 64.0 MiB 0.08 0.00 4.03358 -129.578 -4.03358 4.03358 2.79 0.000155201 0.000122814 0.00860274 0.00698644 34 2423 23 6.89349e+06 253689 618332. 2139.56 4.90 0.157708 0.149603 25762 151098 -1 1889 18 1292 1700 120374 28926 3.2385 3.2385 -123.374 -3.2385 0 0 787024. 2723.27 1.03 0.04 0.17 -1 -1 1.03 0.0185968 0.0173179 120 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 20.73 vpr 63.75 MiB -1 -1 0.37 21128 1 0.13 -1 -1 33884 -1 -1 21 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65276 30 32 303 262 1 191 83 17 17 289 -1 unnamed_device 25.1 MiB 6.51 926 14123 4183 7803 2137 63.7 MiB 0.14 0.00 4.47797 -129.601 -4.47797 4.47797 2.37 6.263e-05 4.9121e-05 0.00666466 0.00535488 36 2199 23 6.89349e+06 295971 648988. 2245.63 4.51 0.0440458 0.0363173 26050 158493 -1 1863 20 1236 1654 121267 27418 3.4952 3.4952 -120.568 -3.4952 0 0 828058. 2865.25 1.07 0.12 0.27 -1 -1 1.07 0.00947721 0.00827429 124 63 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 19.03 vpr 63.84 MiB -1 -1 0.39 21128 1 0.03 -1 -1 33688 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65376 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 25.4 MiB 4.68 952 13206 3630 8318 1258 63.8 MiB 0.10 0.00 3.6807 -113.75 -3.6807 3.6807 2.33 0.000156193 0.000127332 0.0299875 0.00961667 34 2268 22 6.89349e+06 239595 618332. 2139.56 4.23 0.290009 0.263579 25762 151098 -1 1953 19 1085 1467 115324 26320 3.22811 3.22811 -116.042 -3.22811 0 0 787024. 2723.27 1.11 0.13 0.46 -1 -1 1.11 0.106119 0.104901 108 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 21.93 vpr 63.82 MiB -1 -1 0.47 21584 1 0.04 -1 -1 33980 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65348 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 25.2 MiB 7.61 1096 16791 5267 9304 2220 63.8 MiB 0.20 0.00 4.09068 -133.499 -4.09068 4.09068 2.50 0.000198508 0.000163314 0.0170644 0.0137481 34 2716 23 6.89349e+06 324158 618332. 2139.56 4.67 0.0958319 0.0848305 25762 151098 -1 2330 21 1747 2714 224269 47993 3.20486 3.20486 -124.183 -3.20486 0 0 787024. 2723.27 0.76 0.04 0.25 -1 -1 0.76 0.0141967 0.0131484 143 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 23.57 vpr 64.22 MiB -1 -1 0.36 21280 1 0.16 -1 -1 33840 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65760 32 32 363 295 1 232 88 17 17 289 -1 unnamed_device 25.6 MiB 6.26 1098 13348 3832 7332 2184 64.2 MiB 0.17 0.00 5.44961 -153.357 -5.44961 5.44961 2.31 0.000166728 0.000133456 0.0133028 0.010714 36 3003 29 6.89349e+06 338252 648988. 2245.63 7.54 0.158609 0.147505 26050 158493 -1 2305 21 2012 2798 189613 45478 4.37909 4.37909 -148.168 -4.37909 0 0 828058. 2865.25 0.87 0.25 0.38 -1 -1 0.87 0.0117438 0.0103645 153 61 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 21.27 vpr 63.53 MiB -1 -1 0.38 21280 1 0.08 -1 -1 33736 -1 -1 18 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65052 29 32 248 215 1 160 79 17 17 289 -1 unnamed_device 24.9 MiB 6.93 831 9374 2437 5969 968 63.5 MiB 0.18 0.00 3.17792 -97.7522 -3.17792 3.17792 2.69 0.000125564 9.9846e-05 0.00871104 0.00701499 34 1972 27 6.89349e+06 253689 618332. 2139.56 3.59 0.0596259 0.0364528 25762 151098 -1 1638 18 940 1326 89510 20951 2.71661 2.71661 -94.194 -2.71661 0 0 787024. 2723.27 1.03 0.16 0.31 -1 -1 1.03 0.00531485 0.00468482 102 27 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 23.39 vpr 64.37 MiB -1 -1 0.46 21432 1 0.03 -1 -1 33548 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65912 32 32 370 297 1 234 88 17 17 289 -1 unnamed_device 25.7 MiB 7.86 1293 12568 3874 7582 1112 64.4 MiB 0.28 0.00 4.1299 -137.165 -4.1299 4.1299 2.34 0.000193488 0.000155335 0.129421 0.126839 34 3535 29 6.89349e+06 338252 618332. 2139.56 5.03 0.177301 0.167009 25762 151098 -1 2788 23 2219 3501 275962 61516 3.65345 3.65345 -137.227 -3.65345 0 0 787024. 2723.27 0.96 0.30 0.26 -1 -1 0.96 0.0125185 0.0109158 159 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 24.27 vpr 63.88 MiB -1 -1 0.56 21584 1 0.03 -1 -1 33708 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65412 32 32 338 269 1 205 86 17 17 289 -1 unnamed_device 25.4 MiB 6.83 1050 11237 3157 6324 1756 63.9 MiB 0.19 0.00 4.12104 -133.15 -4.12104 4.12104 2.51 0.000201959 0.000135361 0.0114769 0.00929293 34 2644 33 6.89349e+06 310065 618332. 2139.56 5.08 0.302628 0.292097 25762 151098 -1 2226 20 1454 2138 168154 37059 2.99151 2.99151 -119.597 -2.99151 0 0 787024. 2723.27 1.10 0.03 0.30 -1 -1 1.10 0.00779777 0.00688851 142 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 21.98 vpr 64.17 MiB -1 -1 0.73 21128 1 0.08 -1 -1 33408 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65708 32 32 323 276 1 215 85 17 17 289 -1 unnamed_device 25.4 MiB 6.58 1106 9943 2735 6276 932 64.2 MiB 0.34 0.00 3.55425 -126.676 -3.55425 3.55425 2.33 0.000191948 0.00015908 0.0102347 0.0083218 34 2862 34 6.89349e+06 295971 618332. 2139.56 4.80 0.081078 0.0710734 25762 151098 -1 2261 21 1595 2084 154635 34776 2.92521 2.92521 -121.736 -2.92521 0 0 787024. 2723.27 1.00 0.29 0.27 -1 -1 1.00 0.00865996 0.00745008 131 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 17.39 vpr 63.63 MiB -1 -1 0.53 20976 1 0.03 -1 -1 33700 -1 -1 15 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65156 30 32 222 206 1 140 77 17 17 289 -1 unnamed_device 25.1 MiB 3.33 750 8227 2512 4388 1327 63.6 MiB 0.22 0.00 2.66469 -91.1536 -2.66469 2.66469 2.59 5.4469e-05 4.1216e-05 0.200041 0.198555 34 1569 21 6.89349e+06 211408 618332. 2139.56 3.74 0.409015 0.320328 25762 151098 -1 1355 14 606 676 48927 10985 2.17217 2.17217 -89.9899 -2.17217 0 0 787024. 2723.27 1.21 0.05 0.38 -1 -1 1.21 0.00583853 0.00512938 82 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 22.09 vpr 63.93 MiB -1 -1 0.24 21128 1 0.09 -1 -1 33852 -1 -1 19 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65460 31 32 291 243 1 179 82 17 17 289 -1 unnamed_device 25.2 MiB 7.05 945 9338 2566 6202 570 63.9 MiB 0.23 0.00 4.75752 -142.801 -4.75752 4.75752 2.42 0.000146087 0.000116232 0.00942941 0.00743356 36 2155 20 6.89349e+06 267783 648988. 2245.63 4.43 0.0493733 0.0406779 26050 158493 -1 1957 22 1225 1925 163923 35742 3.32256 3.32256 -127.555 -3.32256 0 0 828058. 2865.25 0.89 0.20 0.36 -1 -1 0.89 0.010323 0.00904507 117 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 19.62 vpr 64.27 MiB -1 -1 0.36 21432 1 0.14 -1 -1 34096 -1 -1 34 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65808 32 32 342 271 1 207 98 17 17 289 -1 unnamed_device 25.5 MiB 3.79 1106 14498 3974 9407 1117 64.3 MiB 0.31 0.00 4.73843 -148.84 -4.73843 4.73843 2.10 0.000159838 0.000128976 0.0480151 0.0455712 34 2741 36 6.89349e+06 479191 618332. 2139.56 4.53 0.0924301 0.0826887 25762 151098 -1 2294 20 1441 2135 164381 36417 4.00824 4.00824 -141.721 -4.00824 0 0 787024. 2723.27 1.00 0.20 0.18 -1 -1 1.00 0.0500119 0.0486807 151 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 20.70 vpr 64.38 MiB -1 -1 0.53 21432 1 0.10 -1 -1 33484 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65920 32 32 372 300 1 229 87 17 17 289 -1 unnamed_device 25.7 MiB 4.49 1263 9303 2806 5911 586 64.4 MiB 0.13 0.00 4.4892 -137.729 -4.4892 4.4892 2.30 0.000179038 0.000145934 0.0107695 0.00879205 34 3261 30 6.89349e+06 324158 618332. 2139.56 5.69 0.0984196 0.0884654 25762 151098 -1 2599 19 1835 2784 217381 46351 3.69166 3.69166 -135.469 -3.69166 0 0 787024. 2723.27 1.04 0.33 0.41 -1 -1 1.04 0.0120759 0.0106086 155 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 18.67 vpr 63.48 MiB -1 -1 0.39 20976 1 0.07 -1 -1 34132 -1 -1 18 26 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65000 26 32 190 182 1 126 76 17 17 289 -1 unnamed_device 24.8 MiB 3.35 418 9996 4123 4950 923 63.5 MiB 0.22 0.00 2.70371 -73.2251 -2.70371 2.70371 2.81 0.000105946 8.1485e-05 0.00853983 0.00661842 36 1235 30 6.89349e+06 253689 648988. 2245.63 4.26 0.0795398 0.0720086 26050 158493 -1 969 17 715 861 71372 17438 2.14365 2.14365 -67.9526 -2.14365 0 0 828058. 2865.25 0.82 0.17 0.22 -1 -1 0.82 0.00591336 0.00494052 75 30 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 17.79 vpr 63.89 MiB -1 -1 0.38 21128 1 0.06 -1 -1 33600 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65420 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 25.2 MiB 3.25 1037 13527 3557 8136 1834 63.9 MiB 0.22 0.00 4.54937 -129.45 -4.54937 4.54937 2.28 0.000152252 0.000120273 0.0120581 0.00969019 34 2454 22 6.89349e+06 324158 618332. 2139.56 3.94 0.0937873 0.0853824 25762 151098 -1 2055 23 1346 2426 175903 39239 3.5448 3.5448 -121.004 -3.5448 0 0 787024. 2723.27 1.19 0.08 0.32 -1 -1 1.19 0.0445351 0.0432386 119 3 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 14.96 vpr 63.37 MiB -1 -1 0.22 20976 1 0.07 -1 -1 33288 -1 -1 12 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64888 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 24.8 MiB 1.20 387 9836 3174 4591 2071 63.4 MiB 0.19 0.00 2.35942 -72.6422 -2.35942 2.35942 2.94 9.4139e-05 7.0639e-05 0.00748048 0.00591742 34 1203 34 6.89349e+06 169126 618332. 2139.56 4.50 0.0385843 0.0313698 25762 151098 -1 923 18 587 743 56885 15810 2.15406 2.15406 -75.681 -2.15406 0 0 787024. 2723.27 0.74 0.02 0.17 -1 -1 0.74 0.0058296 0.00487531 65 3 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 20.68 vpr 63.97 MiB -1 -1 0.26 21432 1 0.23 -1 -1 33540 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65504 32 32 300 245 1 187 84 17 17 289 -1 unnamed_device 25.4 MiB 5.16 1049 14175 4566 7521 2088 64.0 MiB 0.38 0.00 4.89708 -136.784 -4.89708 4.89708 2.42 0.000153844 0.000121804 0.0486893 0.0459391 34 2358 23 6.89349e+06 281877 618332. 2139.56 5.03 0.162207 0.152474 25762 151098 -1 2096 20 1206 1786 142525 31564 3.97096 3.97096 -128.615 -3.97096 0 0 787024. 2723.27 0.91 0.09 0.39 -1 -1 0.91 0.010172 0.00897861 125 24 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 15.77 vpr 63.89 MiB -1 -1 0.59 21128 1 0.04 -1 -1 33924 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65428 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.4 MiB 2.37 982 15863 4499 9572 1792 63.9 MiB 0.29 0.00 3.4448 -108.59 -3.4448 3.4448 2.47 0.000160124 0.000127726 0.00992976 0.00796932 30 2387 22 6.89349e+06 436909 556674. 1926.21 2.85 0.333538 0.327146 25186 138497 -1 1959 20 1197 2106 124320 29935 2.79101 2.79101 -104.316 -2.79101 0 0 706193. 2443.58 0.87 0.02 0.32 -1 -1 0.87 0.00564937 0.00503124 130 3 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 28.86 vpr 64.22 MiB -1 -1 2.74 21280 1 0.08 -1 -1 33544 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65760 32 32 338 277 1 215 87 17 17 289 -1 unnamed_device 25.5 MiB 7.70 1071 15639 5177 7703 2759 64.2 MiB 0.40 0.00 4.83798 -133.537 -4.83798 4.83798 2.27 7.6476e-05 6.0777e-05 0.0142363 0.0114557 36 2758 21 6.89349e+06 324158 648988. 2245.63 6.89 0.0615648 0.0513071 26050 158493 -1 2302 18 1377 2115 163695 35160 3.78876 3.78876 -128.419 -3.78876 0 0 828058. 2865.25 0.86 0.12 0.35 -1 -1 0.86 0.0105428 0.00937975 142 50 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 20.96 vpr 63.79 MiB -1 -1 0.29 21128 1 0.10 -1 -1 33676 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65316 32 32 284 241 1 177 81 17 17 289 -1 unnamed_device 25.2 MiB 6.22 990 13381 4387 7225 1769 63.8 MiB 0.23 0.00 3.7357 -124.935 -3.7357 3.7357 2.94 0.000158141 0.000125865 0.0131214 0.0104593 34 2226 21 6.89349e+06 239595 618332. 2139.56 4.00 0.577318 0.351787 25762 151098 -1 1912 21 1234 1820 133303 30491 3.11201 3.11201 -123.369 -3.11201 0 0 787024. 2723.27 0.91 0.11 0.30 -1 -1 0.91 0.0103176 0.00900796 112 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 21.87 vpr 63.79 MiB -1 -1 0.34 21128 1 0.08 -1 -1 33752 -1 -1 17 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65316 30 32 262 227 1 161 79 17 17 289 -1 unnamed_device 25.1 MiB 6.05 909 12754 4897 5949 1908 63.8 MiB 0.07 0.00 4.01762 -115.9 -4.01762 4.01762 2.53 0.000132799 0.00010365 0.0126227 0.00986177 34 2278 33 6.89349e+06 239595 618332. 2139.56 5.98 0.0506795 0.0414166 25762 151098 -1 1998 21 1029 1668 193909 63148 3.61455 3.61455 -117.663 -3.61455 0 0 787024. 2723.27 0.97 0.26 0.25 -1 -1 0.97 0.00960677 0.00840918 104 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 20.37 vpr 63.77 MiB -1 -1 0.57 21280 1 0.25 -1 -1 33740 -1 -1 20 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65304 28 32 260 223 1 163 80 17 17 289 -1 unnamed_device 25.1 MiB 5.26 770 10744 2793 6819 1132 63.8 MiB 0.27 0.00 4.27226 -116.484 -4.27226 4.27226 2.46 0.000147524 0.000117958 0.149002 0.00824494 34 2208 21 6.89349e+06 281877 618332. 2139.56 4.76 0.249153 0.102272 25762 151098 -1 1705 19 1133 1910 128252 31463 3.82765 3.82765 -122.486 -3.82765 0 0 787024. 2723.27 1.06 0.17 0.48 -1 -1 1.06 0.146382 0.145231 107 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 15.41 vpr 63.73 MiB -1 -1 0.56 21280 1 0.16 -1 -1 33720 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65256 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 24.9 MiB 2.13 762 11106 3345 5408 2353 63.7 MiB 0.08 0.00 3.95122 -118.483 -3.95122 3.95122 2.73 0.000146478 0.000116101 0.0119581 0.00988952 32 2205 41 6.89349e+06 239595 586450. 2029.24 3.25 0.0422495 0.0356058 25474 144626 -1 1767 20 1241 2040 155089 37004 2.94736 2.94736 -113.578 -2.94736 0 0 744469. 2576.02 0.71 0.14 0.26 -1 -1 0.71 0.00961496 0.00840644 101 3 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 18.64 vpr 63.83 MiB -1 -1 0.41 21432 1 0.03 -1 -1 33792 -1 -1 18 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65360 31 32 271 231 1 172 81 17 17 289 -1 unnamed_device 25.1 MiB 4.98 947 14081 4218 7922 1941 63.8 MiB 0.13 0.00 3.58045 -111.985 -3.58045 3.58045 2.71 0.000150592 0.000119266 0.0100022 0.00805501 34 2183 24 6.89349e+06 253689 618332. 2139.56 4.24 0.0864726 0.0782567 25762 151098 -1 1888 17 1055 1549 124959 27488 2.96526 2.96526 -111.334 -2.96526 0 0 787024. 2723.27 0.71 0.07 0.24 -1 -1 0.71 0.00751459 0.00642917 108 30 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 20.80 vpr 63.95 MiB -1 -1 0.33 21280 1 0.11 -1 -1 33804 -1 -1 22 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65484 29 32 291 250 1 185 83 17 17 289 -1 unnamed_device 25.4 MiB 5.88 967 13763 3852 8263 1648 63.9 MiB 0.15 0.00 3.56757 -107.571 -3.56757 3.56757 2.31 0.000149774 0.000117813 0.0925902 0.0901656 34 2211 20 6.89349e+06 310065 618332. 2139.56 3.99 0.219681 0.210222 25762 151098 -1 1919 21 1319 1792 133626 30538 2.68166 2.68166 -105.653 -2.68166 0 0 787024. 2723.27 0.89 0.27 0.28 -1 -1 0.89 0.184225 0.182958 120 54 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 24.19 vpr 64.19 MiB -1 -1 0.56 21280 1 0.07 -1 -1 33984 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65728 32 32 367 282 1 224 89 17 17 289 -1 unnamed_device 25.5 MiB 6.21 1340 9395 2079 6207 1109 64.2 MiB 0.14 0.00 4.57545 -132.533 -4.57545 4.57545 2.52 8.4226e-05 6.7783e-05 0.00503451 0.00413113 36 2944 20 6.89349e+06 352346 648988. 2245.63 6.30 0.473721 0.358325 26050 158493 -1 2516 22 1406 2458 184861 40610 3.93936 3.93936 -129.14 -3.93936 0 0 828058. 2865.25 0.90 0.21 0.26 -1 -1 0.90 0.0103301 0.00902842 159 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 25.06 vpr 64.46 MiB -1 -1 0.47 21432 1 0.02 -1 -1 33840 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66004 32 32 391 311 1 250 88 17 17 289 -1 unnamed_device 25.7 MiB 7.15 1272 11983 3218 7752 1013 64.5 MiB 0.30 0.05 4.72237 -154.591 -4.72237 4.72237 2.46 0.000182637 0.000146584 0.158053 0.155323 36 3300 35 6.89349e+06 338252 648988. 2245.63 7.15 0.301791 0.289655 26050 158493 -1 2824 20 2224 3106 243367 52681 3.69635 3.69635 -143.014 -3.69635 0 0 828058. 2865.25 0.98 0.33 0.29 -1 -1 0.98 0.123518 0.122076 168 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 18.79 vpr 63.70 MiB -1 -1 0.47 21432 1 0.17 -1 -1 33696 -1 -1 18 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65224 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 25.2 MiB 4.48 841 12681 4546 5579 2556 63.7 MiB 0.09 0.00 4.0919 -121.826 -4.0919 4.0919 2.46 6.4517e-05 4.9961e-05 0.0111589 0.00889523 34 2184 25 6.89349e+06 253689 618332. 2139.56 4.61 0.052851 0.0435675 25762 151098 -1 1749 31 1232 2059 335521 138536 3.03485 3.03485 -111.97 -3.03485 0 0 787024. 2723.27 1.07 0.37 0.25 -1 -1 1.07 0.0107278 0.00910154 109 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 23.18 vpr 64.05 MiB -1 -1 0.33 21280 1 0.02 -1 -1 33904 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65584 31 32 370 297 1 235 88 17 17 289 -1 unnamed_device 25.4 MiB 7.83 1305 10618 3120 6525 973 64.0 MiB 0.22 0.00 4.27101 -135.48 -4.27101 4.27101 2.47 0.000182376 0.000148056 0.0114622 0.00929948 34 3173 45 6.89349e+06 352346 618332. 2139.56 5.47 0.182183 0.17048 25762 151098 -1 2697 22 1768 2621 206634 45615 3.61005 3.61005 -135.072 -3.61005 0 0 787024. 2723.27 0.90 0.16 0.25 -1 -1 0.90 0.0261556 0.0244935 160 61 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 27.70 vpr 64.15 MiB -1 -1 0.35 21432 1 0.06 -1 -1 33864 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65688 31 32 377 302 1 241 88 17 17 289 -1 unnamed_device 25.5 MiB 8.63 1252 16858 5939 8225 2694 64.1 MiB 0.39 0.00 5.45066 -163.759 -5.45066 5.45066 2.65 0.000229146 0.000188047 0.0179937 0.014615 36 3174 28 6.89349e+06 352346 648988. 2245.63 8.51 0.276731 0.165252 26050 158493 -1 2710 20 2061 2993 257997 53984 4.53995 4.53995 -156.682 -4.53995 0 0 828058. 2865.25 1.04 0.13 0.25 -1 -1 1.04 0.0115974 0.0101953 163 64 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 23.94 vpr 64.57 MiB -1 -1 0.50 21736 1 0.16 -1 -1 33784 -1 -1 25 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66116 31 32 383 305 1 240 88 17 17 289 -1 unnamed_device 25.8 MiB 7.73 1213 15688 5612 7679 2397 64.6 MiB 0.34 0.00 5.84064 -169.918 -5.84064 5.84064 2.54 0.000207408 0.000159411 0.0171914 0.0138646 34 3647 42 6.89349e+06 352346 618332. 2139.56 6.28 0.144176 0.132151 25762 151098 -1 2864 25 2196 3313 285768 66829 5.40808 5.40808 -173.675 -5.40808 0 0 787024. 2723.27 0.88 0.35 0.45 -1 -1 0.88 0.193491 0.191939 166 64 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 21.49 vpr 64.15 MiB -1 -1 0.44 21432 1 0.12 -1 -1 33824 -1 -1 24 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65692 31 32 352 285 1 223 87 17 17 289 -1 unnamed_device 25.5 MiB 6.29 1263 9879 2667 6477 735 64.2 MiB 0.18 0.00 4.11004 -128.233 -4.11004 4.11004 2.30 8.4418e-05 6.5533e-05 0.0085894 0.00702498 36 2766 20 6.89349e+06 338252 648988. 2245.63 5.15 0.199224 0.110009 26050 158493 -1 2415 22 1610 2307 167831 36881 3.20466 3.20466 -120.439 -3.20466 0 0 828058. 2865.25 1.00 0.07 0.21 -1 -1 1.00 0.0124044 0.0106203 148 55 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 22.97 vpr 63.79 MiB -1 -1 0.40 21128 1 0.02 -1 -1 33604 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65324 32 32 291 242 1 188 84 17 17 289 -1 unnamed_device 25.1 MiB 5.90 1023 13992 4497 7044 2451 63.8 MiB 0.36 0.00 4.45885 -119.521 -4.45885 4.45885 2.43 6.2569e-05 4.934e-05 0.0111908 0.00876703 34 2549 18 6.89349e+06 281877 618332. 2139.56 5.60 0.278267 0.0799272 25762 151098 -1 2088 21 1165 1640 132247 28991 3.7047 3.7047 -118.264 -3.7047 0 0 787024. 2723.27 0.73 0.07 0.20 -1 -1 0.73 0.0104538 0.00903745 120 27 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 28.93 vpr 64.66 MiB -1 -1 0.75 21888 1 0.16 -1 -1 33936 -1 -1 31 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66216 32 32 457 356 1 296 95 17 17 289 -1 unnamed_device 26.0 MiB 7.24 1484 18239 5692 9124 3423 64.7 MiB 0.31 0.00 5.31607 -168.894 -5.31607 5.31607 2.48 0.000202766 0.000164484 0.163627 0.160066 36 4388 31 6.89349e+06 436909 648988. 2245.63 11.40 0.371241 0.358157 26050 158493 -1 3294 23 2757 4157 326606 70776 4.48819 4.48819 -160.677 -4.48819 0 0 828058. 2865.25 0.85 0.27 0.39 -1 -1 0.85 0.0108999 0.00935499 203 87 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 20.37 vpr 63.79 MiB -1 -1 0.41 21128 1 0.22 -1 -1 33616 -1 -1 18 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65316 31 32 261 225 1 171 81 17 17 289 -1 unnamed_device 25.1 MiB 5.34 846 14606 5266 7102 2238 63.8 MiB 0.24 0.00 3.7437 -110.334 -3.7437 3.7437 2.80 0.000133293 0.000105081 0.012947 0.0103795 34 2165 23 6.89349e+06 253689 618332. 2139.56 4.19 0.204173 0.195921 25762 151098 -1 1930 20 1178 1601 118497 27392 3.02146 3.02146 -107.83 -3.02146 0 0 787024. 2723.27 1.01 0.14 0.37 -1 -1 1.01 0.117757 0.116637 106 28 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 18.75 vpr 64.16 MiB -1 -1 0.47 21432 1 0.19 -1 -1 33900 -1 -1 23 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65700 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 25.4 MiB 5.09 1166 14639 3979 9152 1508 64.2 MiB 0.31 0.00 4.78472 -144.026 -4.78472 4.78472 2.32 0.000162417 0.000131542 0.0144069 0.0117087 30 2873 22 6.89349e+06 324158 556674. 1926.21 3.01 0.0414557 0.0347172 25186 138497 -1 2298 18 1267 2011 140907 30722 3.7785 3.7785 -134.678 -3.7785 0 0 706193. 2443.58 0.98 0.07 0.23 -1 -1 0.98 0.00949522 0.00836261 140 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 23.51 vpr 64.31 MiB -1 -1 0.49 21584 1 0.07 -1 -1 33708 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65852 32 32 349 284 1 222 87 17 17 289 -1 unnamed_device 25.5 MiB 7.31 1247 9495 2379 6397 719 64.3 MiB 0.22 0.00 4.43179 -132.548 -4.43179 4.43179 2.33 0.000181305 0.00014822 0.0100271 0.00815757 34 3354 35 6.89349e+06 324158 618332. 2139.56 5.32 0.0605553 0.0502183 25762 151098 -1 2766 20 1589 2479 199855 43969 3.7586 3.7586 -136.828 -3.7586 0 0 787024. 2723.27 1.17 0.21 0.35 -1 -1 1.17 0.0114649 0.010084 149 53 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 15.31 vpr 63.92 MiB -1 -1 0.33 21280 1 0.06 -1 -1 33716 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65456 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 25.2 MiB 2.03 983 11748 2712 8028 1008 63.9 MiB 0.31 0.00 4.26729 -129.037 -4.26729 4.26729 2.47 0.000158889 0.000127092 0.0103642 0.00837274 30 2359 20 6.89349e+06 366440 556674. 1926.21 2.71 0.135345 0.129445 25186 138497 -1 2080 23 1168 2312 157273 35494 3.5399 3.5399 -124.689 -3.5399 0 0 706193. 2443.58 0.85 0.04 0.28 -1 -1 0.85 0.010869 0.00949345 123 3 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 23.38 vpr 64.15 MiB -1 -1 0.40 21584 1 0.03 -1 -1 33808 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65692 32 32 353 287 1 220 87 17 17 289 -1 unnamed_device 25.5 MiB 6.08 1053 12951 4521 6182 2248 64.2 MiB 0.21 0.00 4.44301 -128.576 -4.44301 4.44301 2.52 0.000166899 0.000132888 0.0202605 0.0111968 36 2766 29 6.89349e+06 324158 648988. 2245.63 6.60 0.0667079 0.0499854 26050 158493 -1 2198 23 1688 2397 202147 44724 3.11036 3.11036 -115.539 -3.11036 0 0 828058. 2865.25 1.03 0.15 0.32 -1 -1 1.03 0.0118027 0.0102965 148 55 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 24.13 vpr 64.14 MiB -1 -1 0.41 21432 1 0.11 -1 -1 33712 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65684 32 32 361 291 1 233 88 17 17 289 -1 unnamed_device 25.6 MiB 6.97 1202 11788 3122 7816 850 64.1 MiB 0.25 0.10 4.19329 -133.825 -4.19329 4.19329 2.49 0.000183749 0.000148657 0.0126274 0.010324 36 2908 34 6.89349e+06 338252 648988. 2245.63 6.10 0.179532 0.0537203 26050 158493 -1 2519 23 1772 2730 228071 48644 3.66955 3.66955 -130.973 -3.66955 0 0 828058. 2865.25 0.98 0.45 0.48 -1 -1 0.98 0.0136083 0.012064 154 55 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 21.47 vpr 64.14 MiB -1 -1 0.32 21584 1 0.06 -1 -1 33708 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65684 32 32 382 305 1 243 90 17 17 289 -1 unnamed_device 25.6 MiB 6.79 1324 15969 4574 9361 2034 64.1 MiB 0.44 0.00 4.16268 -137.652 -4.16268 4.16268 2.63 0.000185264 0.000149438 0.0173496 0.014153 34 3306 30 6.89349e+06 366440 618332. 2139.56 4.25 0.0703779 0.0585609 25762 151098 -1 2613 35 2421 3460 433837 152341 3.23786 3.23786 -127.791 -3.23786 0 0 787024. 2723.27 0.80 0.32 0.21 -1 -1 0.80 0.0174378 0.0151386 164 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 20.71 vpr 63.71 MiB -1 -1 0.42 21128 1 0.23 -1 -1 33856 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65240 32 32 306 248 1 188 85 17 17 289 -1 unnamed_device 25.2 MiB 5.93 1043 15337 4572 8852 1913 63.7 MiB 0.27 0.00 4.60527 -135.983 -4.60527 4.60527 2.38 0.000151061 0.000118608 0.00866557 0.00693249 34 2447 21 6.89349e+06 295971 618332. 2139.56 4.67 0.184195 0.175787 25762 151098 -1 2097 19 1155 1733 125369 28657 4.00016 4.00016 -131.526 -4.00016 0 0 787024. 2723.27 0.99 0.06 0.49 -1 -1 0.99 0.00991432 0.00858093 128 24 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 21.76 vpr 63.96 MiB -1 -1 0.74 21584 1 0.17 -1 -1 33968 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65492 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 25.2 MiB 5.06 999 13883 3878 7792 2213 64.0 MiB 0.30 0.00 4.84598 -138.728 -4.84598 4.84598 2.74 0.000152575 0.00012219 0.122713 0.120135 34 2662 35 6.89349e+06 310065 618332. 2139.56 5.00 0.206561 0.1953 25762 151098 -1 2249 18 1376 1994 140570 32119 3.8456 3.8456 -130.88 -3.8456 0 0 787024. 2723.27 1.03 0.11 0.43 -1 -1 1.03 0.00974207 0.00861996 135 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 20.99 vpr 64.38 MiB -1 -1 0.47 21584 1 0.02 -1 -1 33664 -1 -1 24 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65924 31 32 373 299 1 227 87 17 17 289 -1 unnamed_device 25.5 MiB 4.92 1200 9111 2304 5966 841 64.4 MiB 0.05 0.00 4.69282 -141.391 -4.69282 4.69282 2.41 0.000178572 0.000145133 0.00648746 0.00525394 34 3322 27 6.89349e+06 338252 618332. 2139.56 6.69 0.0559609 0.0465733 25762 151098 -1 2687 20 1784 2831 220165 49356 4.12199 4.12199 -138.153 -4.12199 0 0 787024. 2723.27 0.90 0.27 0.37 -1 -1 0.90 0.0119034 0.0105188 156 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 26.04 vpr 64.46 MiB -1 -1 0.48 21584 1 0.19 -1 -1 33508 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66008 32 32 387 315 1 249 89 17 17 289 -1 unnamed_device 25.7 MiB 8.53 1343 12167 3516 8024 627 64.5 MiB 0.31 0.04 4.50405 -138.501 -4.50405 4.50405 2.48 0.0390411 0.0390027 0.242501 0.239967 38 3202 26 6.89349e+06 352346 678818. 2348.85 5.83 0.293853 0.28163 26626 170182 -1 2797 19 1761 2600 192101 40142 3.86596 3.86596 -136.397 -3.86596 0 0 902133. 3121.57 0.87 0.04 0.37 -1 -1 0.87 0.00867032 0.00763446 166 77 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 19.98 vpr 63.59 MiB -1 -1 0.50 21128 1 0.12 -1 -1 33556 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65112 32 32 251 219 1 156 79 17 17 289 -1 unnamed_device 25.1 MiB 5.65 626 7008 1670 4854 484 63.6 MiB 0.04 0.00 3.61459 -104.917 -3.61459 3.61459 2.67 0.000127579 9.9978e-05 0.00710085 0.00559399 34 2255 39 6.89349e+06 211408 618332. 2139.56 5.01 0.0472596 0.0387255 25762 151098 -1 1534 21 1103 1639 109289 28405 2.72791 2.72791 -97.7045 -2.72791 0 0 787024. 2723.27 0.88 0.14 0.38 -1 -1 0.88 0.119369 0.118679 96 23 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 21.84 vpr 64.22 MiB -1 -1 0.29 21280 1 0.05 -1 -1 33924 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65764 32 32 341 285 1 219 84 17 17 289 -1 unnamed_device 25.5 MiB 4.38 1155 12345 3444 6961 1940 64.2 MiB 0.16 0.00 4.26535 -147.353 -4.26535 4.26535 2.87 0.000160263 0.000127956 0.0299192 0.0270681 34 3076 30 6.89349e+06 281877 618332. 2139.56 5.54 0.184282 0.173925 25762 151098 -1 2487 22 1956 2642 242136 49222 3.74935 3.74935 -148.334 -3.74935 0 0 787024. 2723.27 1.06 0.16 0.53 -1 -1 1.06 0.0134525 0.0116382 138 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 25.54 vpr 64.24 MiB -1 -1 0.28 21584 1 0.19 -1 -1 33952 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65780 32 32 387 293 1 237 89 17 17 289 -1 unnamed_device 25.7 MiB 7.14 1356 17711 6423 8690 2598 64.2 MiB 0.29 0.00 5.51412 -162.32 -5.51412 5.51412 2.28 0.000243559 0.000202251 0.0641045 0.0604416 34 3734 45 6.89349e+06 352346 618332. 2139.56 7.59 0.481313 0.468255 25762 151098 -1 2852 21 1994 3095 235675 53439 4.68885 4.68885 -157.727 -4.68885 0 0 787024. 2723.27 0.94 0.30 0.35 -1 -1 0.94 0.125407 0.123868 168 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 24.40 vpr 64.20 MiB -1 -1 0.45 21432 1 0.08 -1 -1 33936 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65736 32 32 340 270 1 212 86 17 17 289 -1 unnamed_device 25.5 MiB 6.80 1176 13694 3960 8110 1624 64.2 MiB 0.26 0.00 4.43296 -141.765 -4.43296 4.43296 2.85 7.9431e-05 6.1889e-05 0.0112061 0.00943761 36 2597 19 6.89349e+06 310065 648988. 2245.63 6.72 0.146085 0.0507587 26050 158493 -1 2335 18 1526 2255 185076 38993 3.01051 3.01051 -123.037 -3.01051 0 0 828058. 2865.25 0.69 0.16 0.28 -1 -1 0.69 0.126641 0.125282 144 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 20.00 vpr 63.73 MiB -1 -1 0.27 21280 1 0.09 -1 -1 33768 -1 -1 27 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65260 30 32 278 235 1 175 89 17 17 289 -1 unnamed_device 25.2 MiB 4.79 953 10979 2941 7323 715 63.7 MiB 0.21 0.00 4.13238 -125.523 -4.13238 4.13238 2.81 0.00015005 0.000118858 0.00707004 0.00557941 34 2254 24 6.89349e+06 380534 618332. 2139.56 4.82 0.0457448 0.0376598 25762 151098 -1 2013 22 1356 2162 198958 42605 3.39485 3.39485 -121.521 -3.39485 0 0 787024. 2723.27 1.02 0.15 0.36 -1 -1 1.02 0.0523629 0.00843968 118 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 34.47 vpr 64.69 MiB -1 -1 0.34 21736 1 0.17 -1 -1 33908 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66244 32 32 431 332 1 270 91 17 17 289 -1 unnamed_device 26.0 MiB 11.68 1587 13555 4266 6864 2425 64.7 MiB 0.44 0.00 6.66529 -189.759 -6.66529 6.66529 2.09 0.000191159 0.000153143 0.0559942 0.053203 36 3787 26 6.89349e+06 380534 648988. 2245.63 11.99 0.18235 0.133739 26050 158493 -1 3300 21 2337 3726 323115 67739 5.11714 5.11714 -175.516 -5.11714 0 0 828058. 2865.25 1.19 0.33 0.21 -1 -1 1.19 0.155697 0.153936 188 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 20.23 vpr 64.16 MiB -1 -1 0.69 21584 1 0.03 -1 -1 33960 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65696 32 32 336 268 1 205 85 17 17 289 -1 unnamed_device 25.4 MiB 4.71 1084 14035 4347 7601 2087 64.2 MiB 0.22 0.00 4.71732 -146.054 -4.71732 4.71732 3.00 0.000158516 0.000125927 0.014086 0.011392 34 2665 25 6.89349e+06 295971 618332. 2139.56 5.05 0.152688 0.143841 25762 151098 -1 2181 22 1699 2407 168402 38947 3.8375 3.8375 -138.918 -3.8375 0 0 787024. 2723.27 0.82 0.02 0.30 -1 -1 0.82 0.00659974 0.00590448 139 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 17.30 vpr 63.68 MiB -1 -1 0.48 20976 1 0.03 -1 -1 33484 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65204 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 25.1 MiB 2.05 733 14713 4574 7961 2178 63.7 MiB 0.39 0.00 3.6346 -103.696 -3.6346 3.6346 2.99 0.000133784 0.000104322 0.127527 0.00840601 34 1906 21 6.89349e+06 338252 618332. 2139.56 4.33 0.257882 0.133671 25762 151098 -1 1531 18 844 1462 108240 25496 2.80501 2.80501 -101.087 -2.80501 0 0 787024. 2723.27 0.88 0.14 0.35 -1 -1 0.88 0.00724094 0.00628905 94 3 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 21.96 vpr 64.11 MiB -1 -1 0.46 21280 1 0.21 -1 -1 33596 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65644 32 32 349 273 1 214 87 17 17 289 -1 unnamed_device 25.4 MiB 5.87 1286 14679 3997 8814 1868 64.1 MiB 0.28 0.00 5.36187 -142.911 -5.36187 5.36187 2.16 0.000175792 0.00014046 0.0148892 0.0120684 36 2850 21 6.89349e+06 324158 648988. 2245.63 6.61 0.122603 0.111944 26050 158493 -1 2566 22 1351 2504 229048 46874 4.49165 4.49165 -140.844 -4.49165 0 0 828058. 2865.25 0.96 0.29 0.37 -1 -1 0.96 0.116779 0.115266 149 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 15.99 vpr 63.72 MiB -1 -1 0.25 21128 1 0.23 -1 -1 33748 -1 -1 19 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65252 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 25.1 MiB 2.26 839 14303 4497 7906 1900 63.7 MiB 0.21 0.00 3.56945 -113.475 -3.56945 3.56945 2.32 0.000137515 0.000108184 0.011778 0.00932198 34 2028 26 6.89349e+06 267783 618332. 2139.56 3.74 0.159196 0.151276 25762 151098 -1 1724 20 1026 1876 147325 31715 2.81586 2.81586 -107.951 -2.81586 0 0 787024. 2723.27 0.97 0.08 0.30 -1 -1 0.97 0.00823069 0.00682716 98 3 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 20.40 vpr 63.73 MiB -1 -1 0.44 21128 1 0.17 -1 -1 33900 -1 -1 20 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65256 30 32 278 235 1 175 82 17 17 289 -1 unnamed_device 25.2 MiB 4.82 765 9872 2693 6531 648 63.7 MiB 0.23 0.00 4.06868 -115.875 -4.06868 4.06868 2.47 0.000138523 0.000109592 0.103906 0.102106 36 2037 21 6.89349e+06 281877 648988. 2245.63 5.18 0.261776 0.25349 26050 158493 -1 1725 19 1151 1651 123279 28535 3.27511 3.27511 -112.954 -3.27511 0 0 828058. 2865.25 1.00 0.13 0.31 -1 -1 1.00 0.0078477 0.00685102 113 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 26.71 vpr 64.15 MiB -1 -1 0.32 21280 1 0.02 -1 -1 33952 -1 -1 26 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65692 29 32 355 287 1 224 87 17 17 289 -1 unnamed_device 25.5 MiB 11.40 1238 16215 4925 9204 2086 64.2 MiB 0.26 0.00 4.47797 -134.56 -4.47797 4.47797 2.34 0.000181848 0.000149429 0.119696 0.116428 34 3148 29 6.89349e+06 366440 618332. 2139.56 5.06 0.335322 0.154526 25762 151098 -1 2482 23 1798 2645 192061 44510 3.62725 3.62725 -129.121 -3.62725 0 0 787024. 2723.27 1.11 0.14 0.34 -1 -1 1.11 0.0124727 0.0109654 154 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 22.38 vpr 64.33 MiB -1 -1 0.62 21128 1 0.06 -1 -1 33576 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65876 32 32 358 289 1 230 86 17 17 289 -1 unnamed_device 25.5 MiB 5.93 1158 9158 2522 5945 691 64.3 MiB 0.32 0.00 5.08364 -155.965 -5.08364 5.08364 2.12 0.00021094 0.000172734 0.0105648 0.00865069 34 3265 45 6.89349e+06 310065 618332. 2139.56 5.59 0.176481 0.16554 25762 151098 -1 2677 20 1821 2669 195441 45785 4.26489 4.26489 -151.208 -4.26489 0 0 787024. 2723.27 1.01 0.06 0.25 -1 -1 1.01 0.0111339 0.00967436 151 54 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 21.35 vpr 64.05 MiB -1 -1 0.31 21280 1 0.21 -1 -1 33604 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65584 32 32 353 285 1 228 87 17 17 289 -1 unnamed_device 25.4 MiB 5.39 1179 9495 2108 6587 800 64.0 MiB 0.27 0.00 5.42099 -154.483 -5.42099 5.42099 2.69 0.000231471 0.000194874 0.0108126 0.00894246 36 3089 22 6.89349e+06 324158 648988. 2245.63 6.21 0.472744 0.13703 26050 158493 -1 2679 22 1863 2759 218703 47951 4.88775 4.88775 -161.286 -4.88775 0 0 828058. 2865.25 0.90 0.10 0.44 -1 -1 0.90 0.0131315 0.0115332 150 51 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 17.67 vpr 63.82 MiB -1 -1 0.41 21280 1 0.27 -1 -1 33524 -1 -1 15 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65356 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 25.2 MiB 5.01 809 7684 1992 5210 482 63.8 MiB 0.11 0.00 4.51797 -123.273 -4.51797 4.51797 2.40 0.000137539 0.000109505 0.00799187 0.00644564 30 2237 24 6.89349e+06 211408 556674. 1926.21 2.79 0.033585 0.0280054 25186 138497 -1 1774 18 900 1288 91307 20980 3.18905 3.18905 -113.358 -3.18905 0 0 706193. 2443.58 0.91 0.03 0.31 -1 -1 0.91 0.00875331 0.00772807 105 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 20.03 vpr 64.12 MiB -1 -1 0.46 21280 1 0.07 -1 -1 33632 -1 -1 20 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65660 31 32 319 272 1 203 83 17 17 289 -1 unnamed_device 25.4 MiB 5.82 1070 14483 4888 7061 2534 64.1 MiB 0.41 0.00 3.67535 -124.357 -3.67535 3.67535 2.74 0.000155012 0.000123106 0.0146842 0.0117282 36 2551 21 6.89349e+06 281877 648988. 2245.63 4.10 0.0533338 0.0440892 26050 158493 -1 2220 16 1362 1896 131066 29818 3.42295 3.42295 -124.954 -3.42295 0 0 828058. 2865.25 1.06 0.22 0.25 -1 -1 1.06 0.00921183 0.00807401 131 64 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 24.57 vpr 64.20 MiB -1 -1 0.45 21280 1 0.13 -1 -1 33880 -1 -1 26 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65744 30 32 329 273 1 213 88 17 17 289 -1 unnamed_device 25.5 MiB 7.78 978 15493 5829 7048 2616 64.2 MiB 0.18 0.00 3.74244 -106.797 -3.74244 3.74244 2.75 0.000158246 0.000126657 0.0150579 0.0121518 36 2729 30 6.89349e+06 366440 648988. 2245.63 6.40 0.173613 0.162962 26050 158493 -1 2255 25 1674 2511 187286 43762 3.33836 3.33836 -110.581 -3.33836 0 0 828058. 2865.25 1.18 0.09 0.33 -1 -1 1.18 0.0369334 0.0107638 142 57 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 19.91 vpr 63.88 MiB -1 -1 0.67 21128 1 0.16 -1 -1 33764 -1 -1 23 28 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65408 28 32 277 229 1 171 83 17 17 289 -1 unnamed_device 25.2 MiB 4.57 909 14123 3981 8851 1291 63.9 MiB 0.26 0.00 4.4511 -113.633 -4.4511 4.4511 2.39 0.000133776 0.000105272 0.180451 0.178298 34 2249 22 6.89349e+06 324158 618332. 2139.56 4.87 0.217041 0.208433 25762 151098 -1 1933 21 1113 1927 152728 34237 3.56526 3.56526 -111.597 -3.56526 0 0 787024. 2723.27 0.97 0.04 0.50 -1 -1 0.97 0.00940156 0.00821871 119 27 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 22.57 vpr 63.92 MiB -1 -1 0.59 21432 1 0.15 -1 -1 33852 -1 -1 21 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65456 30 32 317 269 1 202 83 17 17 289 -1 unnamed_device 25.4 MiB 8.14 1061 15203 4868 8207 2128 63.9 MiB 0.30 0.00 4.60916 -138.593 -4.60916 4.60916 2.62 0.000153547 0.000121529 0.0147817 0.0119665 34 2809 29 6.89349e+06 295971 618332. 2139.56 4.94 0.146731 0.136262 25762 151098 -1 2270 20 1711 2405 200868 43200 3.8459 3.8459 -136.425 -3.8459 0 0 787024. 2723.27 0.69 0.18 0.33 -1 -1 0.69 0.00728554 0.00641479 130 63 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 23.77 vpr 63.92 MiB -1 -1 0.40 21280 1 0.20 -1 -1 33724 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65452 32 32 335 282 1 222 84 17 17 289 -1 unnamed_device 25.4 MiB 7.03 1154 13443 3441 8088 1914 63.9 MiB 0.34 0.00 4.07374 -139.312 -4.07374 4.07374 2.27 0.00016575 0.00012968 0.218277 0.215377 36 2669 24 6.89349e+06 281877 648988. 2245.63 5.63 0.266215 0.255767 26050 158493 -1 2331 22 1487 1998 151487 33232 3.09105 3.09105 -125.673 -3.09105 0 0 828058. 2865.25 1.14 0.02 0.28 -1 -1 1.14 0.00550433 0.00469847 138 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 20.36 vpr 63.93 MiB -1 -1 0.27 21128 1 0.42 -1 -1 33604 -1 -1 31 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65464 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 25.4 MiB 2.24 955 9679 2173 6860 646 63.9 MiB 0.24 0.00 4.69362 -132.871 -4.69362 4.69362 2.35 0.000150226 0.000120644 0.0407615 0.00696356 30 2522 22 6.89349e+06 436909 556674. 1926.21 9.30 0.165277 0.123646 25186 138497 -1 1987 18 919 1672 119220 26827 3.39635 3.39635 -119.763 -3.39635 0 0 706193. 2443.58 0.89 0.05 0.34 -1 -1 0.89 0.00911603 0.00806411 129 4 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 25.41 vpr 64.28 MiB -1 -1 0.38 21432 1 0.23 -1 -1 33972 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65820 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 25.5 MiB 6.60 941 15063 4400 7415 3248 64.3 MiB 0.37 0.00 4.82162 -147.572 -4.82162 4.82162 2.15 0.000162229 0.000129513 0.0841057 0.0811864 36 2908 28 6.89349e+06 324158 648988. 2245.63 7.91 0.130746 0.120406 26050 158493 -1 2183 23 1824 2782 213354 50451 4.03946 4.03946 -138.68 -4.03946 0 0 828058. 2865.25 0.85 0.19 0.27 -1 -1 0.85 0.0881961 0.0864791 148 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 24.97 vpr 64.44 MiB -1 -1 0.40 21432 1 0.07 -1 -1 33548 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65984 32 32 385 308 1 244 91 17 17 289 -1 unnamed_device 25.7 MiB 6.13 1350 12331 3666 6215 2450 64.4 MiB 0.28 0.00 5.44225 -166.483 -5.44225 5.44225 2.09 0.000204204 0.000167247 0.012945 0.0105622 34 3934 50 6.89349e+06 380534 618332. 2139.56 9.26 0.282495 0.269832 25762 151098 -1 2785 21 2139 3000 277051 60549 4.55095 4.55095 -162.881 -4.55095 0 0 787024. 2723.27 0.77 0.43 0.28 -1 -1 0.77 0.175876 0.174293 164 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 26.30 vpr 64.32 MiB -1 -1 0.62 21432 1 0.13 -1 -1 33596 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65864 32 32 387 309 1 248 90 17 17 289 -1 unnamed_device 25.5 MiB 6.74 1256 15366 4541 7767 3058 64.3 MiB 0.26 0.00 4.55797 -146.919 -4.55797 4.55797 2.46 0.000173389 0.000138913 0.0168525 0.0137073 36 3206 25 6.89349e+06 366440 648988. 2245.63 8.46 0.0670195 0.055905 26050 158493 -1 2691 20 1778 2589 202528 44258 3.77455 3.77455 -140.722 -3.77455 0 0 828058. 2865.25 0.95 0.23 0.31 -1 -1 0.95 0.0122185 0.0108175 164 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 20.54 vpr 63.87 MiB -1 -1 0.39 21128 1 0.02 -1 -1 33888 -1 -1 21 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65404 30 32 272 232 1 176 83 17 17 289 -1 unnamed_device 25.2 MiB 5.47 896 15383 5005 7997 2381 63.9 MiB 0.28 0.00 4.16933 -123.957 -4.16933 4.16933 2.83 0.000145567 0.000105814 0.0132442 0.0105646 34 2255 20 6.89349e+06 295971 618332. 2139.56 4.00 0.0482679 0.0398604 25762 151098 -1 1950 23 1239 1726 166521 34490 3.09671 3.09671 -112.07 -3.09671 0 0 787024. 2723.27 0.87 0.19 0.30 -1 -1 0.87 0.010198 0.00885843 112 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 25.43 vpr 64.20 MiB -1 -1 0.39 21432 1 0.17 -1 -1 34064 -1 -1 26 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65736 30 32 375 299 1 236 88 17 17 289 -1 unnamed_device 25.5 MiB 8.51 1198 5938 1274 4302 362 64.2 MiB 0.18 0.00 5.49187 -164.452 -5.49187 5.49187 2.04 0.000193889 0.000145403 0.0296397 0.0282922 34 3051 34 6.89349e+06 366440 618332. 2139.56 5.80 0.0770409 0.068139 25762 151098 -1 2580 20 1865 2528 220135 47612 4.59669 4.59669 -160.513 -4.59669 0 0 787024. 2723.27 1.21 0.25 0.47 -1 -1 1.21 0.0115218 0.0102854 162 63 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 20.64 vpr 64.15 MiB -1 -1 0.29 21280 1 0.02 -1 -1 33696 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65692 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 25.4 MiB 5.30 1140 15255 5147 8101 2007 64.2 MiB 0.45 0.00 5.21835 -154.719 -5.21835 5.21835 2.00 0.000163035 0.000128621 0.0157478 0.0126687 34 2848 21 6.89349e+06 324158 618332. 2139.56 5.75 0.224547 0.0521443 25762 151098 -1 2452 21 1640 2738 249504 52720 4.0231 4.0231 -141.136 -4.0231 0 0 787024. 2723.27 1.07 0.16 0.25 -1 -1 1.07 0.00805975 0.00704008 139 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 23.77 vpr 64.03 MiB -1 -1 0.49 21432 1 0.17 -1 -1 33776 -1 -1 23 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65568 31 32 340 275 1 211 86 17 17 289 -1 unnamed_device 25.5 MiB 6.83 1179 13505 4412 7143 1950 64.0 MiB 0.19 0.00 4.98904 -144.643 -4.98904 4.98904 2.90 0.000159733 0.000128128 0.0137356 0.0111582 38 2759 30 6.89349e+06 324158 678818. 2348.85 5.97 0.184194 0.173879 26626 170182 -1 2440 19 1314 2028 181681 37011 4.15485 4.15485 -140.802 -4.15485 0 0 902133. 3121.57 1.00 0.04 0.23 -1 -1 1.00 0.0102647 0.00907893 142 47 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 24.91 vpr 64.27 MiB -1 -1 0.49 21280 1 0.18 -1 -1 33832 -1 -1 26 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65812 30 32 377 310 1 239 88 17 17 289 -1 unnamed_device 25.7 MiB 7.78 1244 15103 4566 8211 2326 64.3 MiB 0.26 0.00 4.69488 -139.913 -4.69488 4.69488 2.40 0.000185554 0.00015161 0.0159818 0.0129162 36 2900 49 6.89349e+06 366440 648988. 2245.63 6.60 0.178138 0.166468 26050 158493 -1 2417 22 1825 2690 193242 42062 3.69799 3.69799 -131.492 -3.69799 0 0 828058. 2865.25 0.86 0.10 0.31 -1 -1 0.86 0.0090156 0.00780908 162 83 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 26.99 vpr 64.36 MiB -1 -1 0.43 21280 1 0.21 -1 -1 33832 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65900 32 32 365 294 1 230 87 17 17 289 -1 unnamed_device 25.7 MiB 9.80 1232 16599 5975 8052 2572 64.4 MiB 0.48 0.00 5.50928 -158.621 -5.50928 5.50928 2.77 0.000170116 0.000135799 0.0172355 0.013889 34 3271 23 6.89349e+06 324158 618332. 2139.56 5.55 0.184658 0.173751 25762 151098 -1 2589 22 1900 2887 231938 49963 4.39435 4.39435 -155.2 -4.39435 0 0 787024. 2723.27 1.16 0.11 0.32 -1 -1 1.16 0.0122461 0.0106283 155 57 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 21.88 vpr 64.45 MiB -1 -1 0.35 21280 1 0.06 -1 -1 33804 -1 -1 30 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65996 29 32 378 310 1 247 91 17 17 289 -1 unnamed_device 25.8 MiB 5.97 1305 10699 2770 6940 989 64.4 MiB 0.29 0.00 4.6273 -137.721 -4.6273 4.6273 2.25 0.000190568 0.000153878 0.177611 0.00927994 36 2780 26 6.89349e+06 422815 648988. 2245.63 6.12 0.314205 0.138065 26050 158493 -1 2445 20 1548 2135 144509 33144 3.4748 3.4748 -124.133 -3.4748 0 0 828058. 2865.25 0.92 0.16 0.47 -1 -1 0.92 0.0123846 0.0109614 166 85 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 13.98 vpr 63.70 MiB -1 -1 0.43 20976 1 0.03 -1 -1 34100 -1 -1 17 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65228 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 25.1 MiB 1.68 779 13381 4026 7576 1779 63.7 MiB 0.13 0.00 4.15903 -123.364 -4.15903 4.15903 2.35 0.000129179 0.000101415 0.0116914 0.00939573 30 1811 19 6.89349e+06 239595 556674. 1926.21 2.60 0.0333979 0.0276437 25186 138497 -1 1542 20 745 1194 79326 18467 2.80671 2.80671 -105.542 -2.80671 0 0 706193. 2443.58 1.17 0.04 0.48 -1 -1 1.17 0.0170474 0.0160413 96 3 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 22.89 vpr 64.39 MiB -1 -1 0.28 21280 1 0.20 -1 -1 33532 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65936 32 32 373 302 1 241 89 17 17 289 -1 unnamed_device 25.7 MiB 5.92 1363 14741 4757 7501 2483 64.4 MiB 0.11 0.00 5.7749 -170.63 -5.7749 5.7749 2.96 0.000165631 0.00013264 0.010877 0.00874846 38 2791 29 6.89349e+06 352346 678818. 2348.85 6.75 0.266175 0.252132 26626 170182 -1 2525 19 1500 2156 164571 35271 4.25068 4.25068 -147.335 -4.25068 0 0 902133. 3121.57 1.09 0.02 0.32 -1 -1 1.09 0.00660438 0.00591996 156 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 32.15 vpr 64.40 MiB -1 -1 0.30 21280 1 0.12 -1 -1 33512 -1 -1 25 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65948 32 32 397 314 1 256 89 17 17 289 -1 unnamed_device 25.7 MiB 11.10 1408 14543 4021 8480 2042 64.4 MiB 0.34 0.00 5.38563 -175.555 -5.38563 5.38563 2.57 0.000204368 0.00016567 0.212069 0.209123 34 3658 36 6.89349e+06 352346 618332. 2139.56 10.79 0.582621 0.565787 25762 151098 -1 2905 20 2190 3138 275923 60217 4.60928 4.60928 -169.451 -4.60928 0 0 787024. 2723.27 0.85 0.23 0.26 -1 -1 0.85 0.0124664 0.0110569 171 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 23.11 vpr 63.67 MiB -1 -1 0.33 21128 1 0.12 -1 -1 33724 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65200 32 32 269 231 1 172 82 17 17 289 -1 unnamed_device 25.1 MiB 8.98 833 8448 2039 5944 465 63.7 MiB 0.06 0.00 4.14342 -115.158 -4.14342 4.14342 2.51 0.000147778 0.000118352 0.00858533 0.00694423 34 2126 22 6.89349e+06 253689 618332. 2139.56 4.34 0.149866 0.142818 25762 151098 -1 1850 19 1229 1651 116347 27406 2.87616 2.87616 -103.554 -2.87616 0 0 787024. 2723.27 0.96 0.21 0.34 -1 -1 0.96 0.00842915 0.00737429 108 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 15.11 vpr 63.60 MiB -1 -1 0.57 21128 1 0.39 -1 -1 33792 -1 -1 20 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65128 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 24.9 MiB 2.01 851 11783 3652 6352 1779 63.6 MiB 0.09 0.00 4.15709 -122.39 -4.15709 4.15709 2.44 0.000131275 0.000103685 0.0101455 0.00816832 32 2162 37 6.89349e+06 281877 586450. 2029.24 3.02 0.142633 0.136407 25474 144626 -1 1820 20 1206 1988 166657 36264 2.86611 2.86611 -109.421 -2.86611 0 0 744469. 2576.02 1.22 0.25 0.58 -1 -1 1.22 0.0617261 0.0606918 99 4 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 21.74 vpr 64.25 MiB -1 -1 0.73 21280 1 0.13 -1 -1 34060 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65792 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 25.5 MiB 6.26 1128 5463 1080 3935 448 64.2 MiB 0.02 0.00 4.60732 -147.469 -4.60732 4.60732 2.85 8.3022e-05 6.5473e-05 0.00313374 0.0025469 34 2986 22 6.89349e+06 324158 618332. 2139.56 4.43 0.0510189 0.0427267 25762 151098 -1 2576 22 1848 2639 205468 44723 3.81745 3.81745 -144.162 -3.81745 0 0 787024. 2723.27 0.88 0.17 0.41 -1 -1 0.88 0.0542968 0.0527716 145 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 22.46 vpr 64.18 MiB -1 -1 0.44 21432 1 0.12 -1 -1 33732 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65716 32 32 356 289 1 224 87 17 17 289 -1 unnamed_device 25.5 MiB 6.02 1149 15639 4916 8896 1827 64.2 MiB 0.20 0.00 4.95049 -142.516 -4.95049 4.95049 2.61 0.000177933 0.000144383 0.0157059 0.0127585 36 2484 31 6.89349e+06 324158 648988. 2245.63 6.14 0.193422 0.0559911 26050 158493 -1 2192 17 1390 1998 142878 33097 4.10595 4.10595 -134.504 -4.10595 0 0 828058. 2865.25 0.96 0.11 0.26 -1 -1 0.96 0.0108504 0.009661 149 56 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 16.53 vpr 64.28 MiB -1 -1 0.50 21584 1 0.11 -1 -1 33644 -1 -1 36 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65824 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 25.5 MiB 2.05 1164 18660 4771 12167 1722 64.3 MiB 0.25 0.00 5.17601 -145.908 -5.17601 5.17601 2.93 0.000177074 0.000140351 0.0171829 0.0137555 30 2907 20 6.89349e+06 507378 556674. 1926.21 3.68 0.051244 0.0432518 25186 138497 -1 2337 23 1541 2927 191095 44081 4.29189 4.29189 -141.668 -4.29189 0 0 706193. 2443.58 1.05 0.08 0.17 -1 -1 1.05 0.0127475 0.0111675 157 3 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 19.33 vpr 64.14 MiB -1 -1 0.49 21280 1 0.21 -1 -1 33732 -1 -1 25 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65680 30 32 316 264 1 208 87 17 17 289 -1 unnamed_device 25.4 MiB 5.02 1063 8727 2092 6073 562 64.1 MiB 0.19 0.00 3.88834 -114.425 -3.88834 3.88834 2.49 0.000157164 0.000124618 0.0088473 0.00720077 34 2680 27 6.89349e+06 352346 618332. 2139.56 4.40 0.163025 0.154217 25762 151098 -1 2246 24 2014 2925 210422 47024 3.00136 3.00136 -105.925 -3.00136 0 0 787024. 2723.27 1.04 0.10 0.35 -1 -1 1.04 0.0117087 0.010213 136 52 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 22.21 vpr 63.61 MiB -1 -1 0.42 21280 1 0.20 -1 -1 34212 -1 -1 20 27 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65132 27 32 255 219 1 162 79 17 17 289 -1 unnamed_device 24.9 MiB 4.97 671 12416 5199 6032 1185 63.6 MiB 0.21 0.00 4.37503 -112.665 -4.37503 4.37503 3.09 0.000129922 0.00010165 0.0114461 0.00910148 36 1798 46 6.89349e+06 281877 648988. 2245.63 5.88 0.293177 0.102297 26050 158493 -1 1495 16 1035 1508 126330 31089 4.20355 4.20355 -115.594 -4.20355 0 0 828058. 2865.25 0.85 0.20 0.57 -1 -1 0.85 0.168288 0.167406 106 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 32.30 vpr 64.62 MiB -1 -1 0.57 21584 1 0.19 -1 -1 33808 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66172 32 32 421 327 1 271 91 17 17 289 -1 unnamed_device 25.8 MiB 10.54 1548 10495 2998 6825 672 64.6 MiB 0.28 0.00 4.58631 -145.891 -4.58631 4.58631 3.18 0.000217986 0.00017784 0.081175 0.0789575 36 3695 31 6.89349e+06 380534 648988. 2245.63 10.29 0.152387 0.141344 26050 158493 -1 3222 19 2063 3223 245817 53698 4.34139 4.34139 -147.194 -4.34139 0 0 828058. 2865.25 0.92 0.03 0.17 -1 -1 0.92 0.00747472 0.00668442 185 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 23.01 vpr 64.51 MiB -1 -1 0.42 21584 1 0.02 -1 -1 33528 -1 -1 24 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66056 31 32 365 296 1 233 87 17 17 289 -1 unnamed_device 25.8 MiB 6.69 1076 15639 5741 7479 2419 64.5 MiB 0.43 0.00 5.4794 -158.779 -5.4794 5.4794 2.29 0.000174894 0.000140044 0.27292 0.269701 36 3098 30 6.89349e+06 338252 648988. 2245.63 6.39 0.557437 0.441769 26050 158493 -1 2267 23 2051 2967 192027 45783 4.52198 4.52198 -150.578 -4.52198 0 0 828058. 2865.25 0.87 0.08 0.32 -1 -1 0.87 0.0121644 0.0106245 155 64 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 21.60 vpr 64.20 MiB -1 -1 0.36 21584 1 0.06 -1 -1 33932 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65740 32 32 331 280 1 221 85 17 17 289 -1 unnamed_device 25.5 MiB 6.07 1166 15523 4854 8569 2100 64.2 MiB 0.36 0.00 4.30939 -141.47 -4.30939 4.30939 2.54 0.00016881 0.000131832 0.0698507 0.0666225 34 2899 42 6.89349e+06 295971 618332. 2139.56 4.71 0.204328 0.112313 25762 151098 -1 2437 26 1907 2549 229050 49696 3.5282 3.5282 -138.137 -3.5282 0 0 787024. 2723.27 0.90 0.05 0.48 -1 -1 0.90 0.0122093 0.0106321 137 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 22.33 vpr 64.13 MiB -1 -1 0.34 21584 1 0.16 -1 -1 33904 -1 -1 21 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65672 32 32 326 263 1 203 85 17 17 289 -1 unnamed_device 25.5 MiB 6.16 1094 11617 2997 7709 911 64.1 MiB 0.07 0.00 5.20986 -144.706 -5.20986 5.20986 2.49 0.00016337 0.000130837 0.0120427 0.00981594 34 2652 23 6.89349e+06 295971 618332. 2139.56 5.52 0.148601 0.139401 25762 151098 -1 2163 20 1231 1828 141013 31610 3.72616 3.72616 -128.964 -3.72616 0 0 787024. 2723.27 1.03 0.09 0.30 -1 -1 1.03 0.0592577 0.0579475 135 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 21.74 vpr 64.39 MiB -1 -1 0.46 21584 1 0.13 -1 -1 33808 -1 -1 26 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65932 31 32 373 294 1 231 89 17 17 289 -1 unnamed_device 25.7 MiB 7.05 1250 13751 4489 7484 1778 64.4 MiB 0.38 0.04 4.48785 -130.629 -4.48785 4.48785 2.88 0.0394262 0.000164113 0.0512839 0.00977326 34 3040 22 6.89349e+06 366440 618332. 2139.56 4.20 0.152598 0.103546 25762 151098 -1 2564 18 1728 2629 184543 42607 4.0604 4.0604 -128.889 -4.0604 0 0 787024. 2723.27 0.80 0.26 0.20 -1 -1 0.80 0.0113901 0.0100323 163 50 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 24.92 vpr 64.16 MiB -1 -1 0.67 21432 1 0.02 -1 -1 34064 -1 -1 24 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65704 30 32 325 268 1 210 86 17 17 289 -1 unnamed_device 25.4 MiB 7.27 1146 9158 2597 5794 767 64.2 MiB 0.32 0.00 4.26851 -119.64 -4.26851 4.26851 3.09 0.000157528 0.000127065 0.0589576 0.0571749 34 3101 28 6.89349e+06 338252 618332. 2139.56 6.53 0.667182 0.657828 25762 151098 -1 2575 18 1343 2150 176039 37969 3.456 3.456 -117.55 -3.456 0 0 787024. 2723.27 0.98 0.12 0.38 -1 -1 0.98 0.0101841 0.00899752 140 51 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 26.40 vpr 64.28 MiB -1 -1 0.35 21432 1 0.12 -1 -1 33832 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65820 32 32 350 275 1 215 86 17 17 289 -1 unnamed_device 25.5 MiB 8.30 1212 14828 4814 7843 2171 64.3 MiB 0.16 0.00 4.88838 -154.361 -4.88838 4.88838 2.40 0.000186929 0.000139129 0.0168369 0.0137369 36 2984 22 6.89349e+06 310065 648988. 2245.63 7.18 0.0680473 0.0569205 26050 158493 -1 2636 20 1645 2634 228939 46913 3.99939 3.99939 -144.13 -3.99939 0 0 828058. 2865.25 0.89 0.26 0.35 -1 -1 0.89 0.0800076 0.0102794 148 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 24.87 vpr 64.45 MiB -1 -1 0.42 21584 1 0.20 -1 -1 33388 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65992 32 32 386 307 1 246 90 17 17 289 -1 unnamed_device 25.7 MiB 8.55 1282 15969 5653 7267 3049 64.4 MiB 0.30 0.00 4.10098 -137.106 -4.10098 4.10098 2.19 9.2791e-05 7.1517e-05 0.0798272 0.0765536 34 3469 29 6.89349e+06 366440 618332. 2139.56 6.08 0.31073 0.298644 25762 151098 -1 2577 22 2108 2976 221177 51691 3.61546 3.61546 -136.434 -3.61546 0 0 787024. 2723.27 0.91 0.25 0.30 -1 -1 0.91 0.154424 0.0115099 167 62 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 19.96 vpr 63.85 MiB -1 -1 0.29 21128 1 0.17 -1 -1 33804 -1 -1 20 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65380 29 32 269 229 1 173 81 17 17 289 -1 unnamed_device 25.1 MiB 4.49 640 9706 2308 6853 545 63.8 MiB 0.28 0.00 4.18793 -120.962 -4.18793 4.18793 2.42 0.000135154 0.000106849 0.00898731 0.00710241 34 1711 40 6.89349e+06 281877 618332. 2139.56 4.21 0.0452745 0.0372849 25762 151098 -1 1396 22 1306 1759 120180 30461 3.46451 3.46451 -114.15 -3.46451 0 0 787024. 2723.27 1.16 0.15 0.28 -1 -1 1.16 0.0102211 0.00900716 110 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 20.98 vpr 63.81 MiB -1 -1 0.38 21280 1 0.02 -1 -1 34044 -1 -1 20 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65340 32 32 310 266 1 200 84 17 17 289 -1 unnamed_device 25.2 MiB 3.74 1151 15456 4811 8697 1948 63.8 MiB 0.15 0.00 4.32729 -137.057 -4.32729 4.32729 2.39 6.9456e-05 5.3731e-05 0.00831482 0.00661248 36 2629 22 6.89349e+06 281877 648988. 2245.63 7.12 0.236735 0.21181 26050 158493 -1 2352 19 1523 2100 174325 37350 3.5651 3.5651 -130.487 -3.5651 0 0 828058. 2865.25 0.81 0.17 0.29 -1 -1 0.81 0.0100452 0.00876141 125 58 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 26.98 vpr 64.29 MiB -1 -1 0.61 21128 1 0.08 -1 -1 33996 -1 -1 22 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65836 31 32 326 261 1 204 85 17 17 289 -1 unnamed_device 25.5 MiB 4.47 1140 13477 4008 7256 2213 64.3 MiB 0.35 0.00 4.87863 -139.65 -4.87863 4.87863 2.54 0.000178144 0.000142033 0.0140783 0.0114068 34 2816 38 6.89349e+06 310065 618332. 2139.56 11.59 0.149882 0.135834 25762 151098 -1 2261 20 1585 2469 195102 43554 3.98916 3.98916 -133.793 -3.98916 0 0 787024. 2723.27 0.91 0.17 0.16 -1 -1 0.91 0.0107526 0.0094819 137 33 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 24.70 vpr 63.57 MiB -1 -1 0.54 21280 1 0.03 -1 -1 33880 -1 -1 19 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65100 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 25.1 MiB 9.78 743 7992 1817 5819 356 63.6 MiB 0.21 0.00 4.21347 -111.925 -4.21347 4.21347 2.81 0.000139216 0.000110547 0.00766615 0.00618746 30 2121 33 6.89349e+06 267783 556674. 1926.21 3.58 0.0342471 0.0284364 25186 138497 -1 1734 19 961 1330 97844 23122 2.9715 2.9715 -103.215 -2.9715 0 0 706193. 2443.58 1.34 0.05 0.26 -1 -1 1.34 0.00857104 0.00759085 108 31 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 21.99 vpr 63.62 MiB -1 -1 0.31 21584 1 0.05 -1 -1 33516 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65152 32 32 278 238 1 182 82 17 17 289 -1 unnamed_device 25.1 MiB 6.04 963 11652 3141 7413 1098 63.6 MiB 0.33 0.00 4.14413 -129.495 -4.14413 4.14413 2.20 6.5384e-05 4.9992e-05 0.0911093 0.00831123 34 2440 49 6.89349e+06 253689 618332. 2139.56 6.05 0.233424 0.143217 25762 151098 -1 2081 21 1519 2129 189778 40165 3.15871 3.15871 -120.031 -3.15871 0 0 787024. 2723.27 0.97 0.05 0.21 -1 -1 0.97 0.0100594 0.00879218 114 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 21.71 vpr 64.23 MiB -1 -1 0.53 21432 1 0.16 -1 -1 33840 -1 -1 26 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65776 31 32 373 300 1 236 89 17 17 289 -1 unnamed_device 25.5 MiB 5.19 1148 8801 2055 6262 484 64.2 MiB 0.25 0.00 4.66911 -146.299 -4.66911 4.66911 2.42 0.000177482 0.000142542 0.00977455 0.00805415 34 3184 42 6.89349e+06 366440 618332. 2139.56 5.96 0.661935 0.548411 25762 151098 -1 2593 21 2070 2865 230832 52120 3.73625 3.73625 -139.661 -3.73625 0 0 787024. 2723.27 0.87 0.34 0.69 -1 -1 0.87 0.010731 0.00935867 160 64 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 20.99 vpr 63.68 MiB -1 -1 0.41 21280 1 0.23 -1 -1 33756 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65212 31 32 265 230 1 175 80 17 17 289 -1 unnamed_device 25.1 MiB 6.21 799 6960 1451 5242 267 63.7 MiB 0.15 0.00 3.55845 -110.116 -3.55845 3.55845 2.46 0.000130468 0.000103685 0.121818 0.120414 34 2362 25 6.89349e+06 239595 618332. 2139.56 4.88 0.154775 0.147745 25762 151098 -1 1961 18 1203 1687 149474 33371 2.87011 2.87011 -108.988 -2.87011 0 0 787024. 2723.27 0.84 0.07 0.30 -1 -1 0.84 0.00842668 0.00740156 108 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 22.78 vpr 64.29 MiB -1 -1 0.52 21280 1 0.07 -1 -1 33688 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65828 32 32 349 286 1 221 86 17 17 289 -1 unnamed_device 25.5 MiB 5.95 1229 13883 4377 7381 2125 64.3 MiB 0.26 0.00 4.30445 -130.63 -4.30445 4.30445 3.21 0.00017229 0.00012905 0.0787474 0.0759874 34 3092 29 6.89349e+06 310065 618332. 2139.56 6.00 0.289372 0.278589 25762 151098 -1 2481 21 1411 2036 157419 35147 3.398 3.398 -125.222 -3.398 0 0 787024. 2723.27 0.77 0.17 0.19 -1 -1 0.77 0.0118959 0.0104286 146 57 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 26.14 vpr 64.38 MiB -1 -1 0.54 21736 1 0.03 -1 -1 33804 -1 -1 26 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65920 31 32 396 325 1 259 89 17 17 289 -1 unnamed_device 25.7 MiB 6.03 1410 16127 5422 8584 2121 64.4 MiB 0.28 0.00 4.86804 -156.439 -4.86804 4.86804 2.23 0.000178334 0.000143382 0.0171012 0.0139332 36 3574 24 6.89349e+06 366440 648988. 2245.63 8.64 0.223924 0.211835 26050 158493 -1 2991 22 2294 3249 274679 57779 4.43249 4.43249 -161.158 -4.43249 0 0 828058. 2865.25 1.05 0.09 0.42 -1 -1 1.05 0.0129085 0.0113396 167 91 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 24.21 vpr 63.61 MiB -1 -1 0.52 21128 1 0.08 -1 -1 33704 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65140 32 32 303 262 1 200 82 17 17 289 -1 unnamed_device 25.1 MiB 9.84 1102 7914 1891 5147 876 63.6 MiB 0.09 0.00 3.8031 -118.938 -3.8031 3.8031 2.79 0.000174316 0.00014394 0.0518347 0.0502704 34 2636 25 6.89349e+06 253689 618332. 2139.56 4.65 0.104329 0.097019 25762 151098 -1 2252 20 1548 2123 174961 37783 2.90126 2.90126 -115.992 -2.90126 0 0 787024. 2723.27 0.92 0.19 0.24 -1 -1 0.92 0.0100668 0.00877884 124 57 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 19.34 vpr 63.92 MiB -1 -1 0.38 21280 1 0.02 -1 -1 33808 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65456 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 25.2 MiB 4.22 807 8448 2163 5780 505 63.9 MiB 0.16 0.00 4.17839 -126.972 -4.17839 4.17839 2.34 0.000151446 0.0001198 0.00937569 0.00768463 34 2328 25 6.89349e+06 253689 618332. 2139.56 4.59 0.0498073 0.0416968 25762 151098 -1 1966 17 1279 1909 140751 34111 3.24765 3.24765 -119.345 -3.24765 0 0 787024. 2723.27 1.21 0.09 0.38 -1 -1 1.21 0.00962645 0.00838545 115 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 20.55 vpr 63.96 MiB -1 -1 0.36 21432 1 0.03 -1 -1 33832 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65492 32 32 318 257 1 198 86 17 17 289 -1 unnamed_device 25.2 MiB 5.84 1027 14828 4768 7813 2247 64.0 MiB 0.31 0.00 4.90028 -135.696 -4.90028 4.90028 2.64 0.000181285 0.000145784 0.0160408 0.0129457 34 2558 23 6.89349e+06 310065 618332. 2139.56 4.30 0.276127 0.265548 25762 151098 -1 2264 19 1350 1887 133010 30628 3.69936 3.69936 -130.261 -3.69936 0 0 787024. 2723.27 0.96 0.20 0.42 -1 -1 0.96 0.0100675 0.00882803 133 30 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 20.95 vpr 64.16 MiB -1 -1 0.32 21432 1 0.13 -1 -1 33764 -1 -1 25 29 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65696 29 32 324 268 1 207 86 17 17 289 -1 unnamed_device 25.4 MiB 6.87 1109 14639 4187 8652 1800 64.2 MiB 0.34 0.00 4.08804 -114.007 -4.08804 4.08804 2.65 0.000164732 0.000132755 0.0145936 0.0118895 30 2421 25 6.89349e+06 352346 556674. 1926.21 3.37 0.0420948 0.0349819 25186 138497 -1 2027 20 1092 1557 101389 22884 3.32475 3.32475 -110.151 -3.32475 0 0 706193. 2443.58 0.75 0.24 0.33 -1 -1 0.75 0.00763949 0.0067353 138 55 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 25.12 vpr 64.32 MiB -1 -1 0.37 21432 1 0.11 -1 -1 33632 -1 -1 24 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65868 32 32 393 312 1 243 88 17 17 289 -1 unnamed_device 25.7 MiB 6.68 1260 16078 5801 8535 1742 64.3 MiB 0.26 0.00 5.65598 -180.247 -5.65598 5.65598 3.01 0.000205848 0.00016758 0.0663335 0.0629995 34 3621 37 6.89349e+06 338252 618332. 2139.56 7.89 0.126222 0.113304 25762 151098 -1 2914 23 2099 3261 294480 62314 4.80588 4.80588 -169.563 -4.80588 0 0 787024. 2723.27 1.00 0.16 0.28 -1 -1 1.00 0.0118916 0.0102498 166 65 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 18.17 vpr 63.65 MiB -1 -1 0.30 21128 1 0.03 -1 -1 33780 -1 -1 17 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65176 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 25.1 MiB 1.94 765 8164 2211 5437 516 63.6 MiB 0.08 0.00 3.49795 -107.657 -3.49795 3.49795 2.65 0.000147879 0.000119776 0.00792074 0.00635542 34 1845 19 6.89349e+06 239595 618332. 2139.56 4.82 0.221076 0.21405 25762 151098 -1 1589 19 725 1119 83681 18632 2.57636 2.57636 -97.7119 -2.57636 0 0 787024. 2723.27 1.05 0.12 0.29 -1 -1 1.05 0.103386 0.102359 92 4 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 22.88 vpr 64.55 MiB -1 -1 0.43 21736 1 0.03 -1 -1 33804 -1 -1 27 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66096 32 32 412 334 1 269 91 17 17 289 -1 unnamed_device 25.8 MiB 6.10 1449 14167 4014 7626 2527 64.5 MiB 0.23 0.00 5.78233 -180.669 -5.78233 5.78233 2.40 0.000215442 0.000175505 0.0276983 0.0247365 34 3752 47 6.89349e+06 380534 618332. 2139.56 7.01 0.0858023 0.0734008 25762 151098 -1 3040 19 2102 2772 224967 49169 5.38524 5.38524 -185.112 -5.38524 0 0 787024. 2723.27 1.08 0.11 0.35 -1 -1 1.08 0.0499225 0.0485076 175 90 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 22.25 vpr 64.44 MiB -1 -1 0.40 21432 1 0.07 -1 -1 33712 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65984 32 32 376 318 1 259 87 17 17 289 -1 unnamed_device 25.5 MiB 7.19 1300 9495 2262 6592 641 64.4 MiB 0.18 0.00 4.81994 -164.712 -4.81994 4.81994 2.69 0.000210846 0.000162027 0.0103378 0.00842966 36 3397 34 6.89349e+06 324158 648988. 2245.63 5.49 0.0630784 0.052594 26050 158493 -1 2633 21 2418 2999 239568 51827 4.33539 4.33539 -159.505 -4.33539 0 0 828058. 2865.25 0.91 0.08 0.18 -1 -1 0.91 0.0556903 0.0548207 160 96 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 23.34 vpr 64.34 MiB -1 -1 0.26 21584 1 0.04 -1 -1 33812 -1 -1 22 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65884 32 32 360 293 1 227 86 17 17 289 -1 unnamed_device 25.5 MiB 6.30 1234 15017 4303 8531 2183 64.3 MiB 0.40 0.00 4.10098 -126.009 -4.10098 4.10098 2.77 0.00020311 0.000167695 0.0166606 0.0136713 34 2988 24 6.89349e+06 310065 618332. 2139.56 6.09 0.329835 0.319239 25762 151098 -1 2338 21 1700 2332 199279 43093 3.23576 3.23576 -119.971 -3.23576 0 0 787024. 2723.27 0.85 0.29 0.23 -1 -1 0.85 0.0124456 0.0109865 152 60 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 25.30 vpr 64.45 MiB -1 -1 0.43 21736 1 0.09 -1 -1 33532 -1 -1 26 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65996 32 32 396 299 1 241 90 17 17 289 -1 unnamed_device 25.7 MiB 8.33 1279 16170 5076 8373 2721 64.4 MiB 0.41 0.00 5.8006 -172.976 -5.8006 5.8006 2.31 9.1537e-05 7.1825e-05 0.0174817 0.0143455 34 3567 22 6.89349e+06 366440 618332. 2139.56 6.93 0.0716582 0.0600033 25762 151098 -1 2699 21 2086 3337 323710 67797 4.54445 4.54445 -156.128 -4.54445 0 0 787024. 2723.27 0.87 0.07 0.18 -1 -1 0.87 0.012756 0.0112965 172 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 14.06 vpr 63.63 MiB -1 -1 0.41 21128 1 0.05 -1 -1 33724 -1 -1 15 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65156 30 32 224 207 1 137 77 17 17 289 -1 unnamed_device 24.9 MiB 2.63 775 6434 1498 4493 443 63.6 MiB 0.13 0.00 3.08622 -96.4372 -3.08622 3.08622 2.50 0.000143054 0.000116213 0.0059216 0.00471773 30 1655 23 6.89349e+06 211408 556674. 1926.21 2.33 0.0226946 0.018387 25186 138497 -1 1548 16 662 874 63994 14007 2.32501 2.32501 -94.7646 -2.32501 0 0 706193. 2443.58 0.75 0.17 0.19 -1 -1 0.75 0.00709399 0.0062645 82 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 18.36 vpr 63.91 MiB -1 -1 0.28 21128 1 0.03 -1 -1 33848 -1 -1 20 30 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65444 30 32 286 239 1 176 82 17 17 289 -1 unnamed_device 25.1 MiB 4.19 965 13610 5107 7005 1498 63.9 MiB 0.15 0.00 4.62117 -141.292 -4.62117 4.62117 2.66 0.000164657 0.000130325 0.00929135 0.00738936 34 2261 32 6.89349e+06 281877 618332. 2139.56 4.53 0.196293 0.154331 25762 151098 -1 1973 18 1265 1860 176101 36344 3.6743 3.6743 -133.516 -3.6743 0 0 787024. 2723.27 0.93 0.06 0.41 -1 -1 0.93 0.0329342 0.00771684 119 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 27.63 vpr 63.88 MiB -1 -1 0.36 21280 1 0.03 -1 -1 33684 -1 -1 18 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65412 32 32 296 247 1 187 82 17 17 289 -1 unnamed_device 25.2 MiB 6.08 1149 10406 3059 5866 1481 63.9 MiB 0.12 0.00 4.19289 -140.143 -4.19289 4.19289 3.15 0.000149437 0.000117561 0.010765 0.00861864 36 2692 44 6.89349e+06 253689 648988. 2245.63 11.23 0.151484 0.136889 26050 158493 -1 2234 18 1335 2383 172957 38095 3.5312 3.5312 -138.222 -3.5312 0 0 828058. 2865.25 0.91 0.11 0.27 -1 -1 0.91 0.0643106 0.063138 120 34 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 20.30 vpr 63.45 MiB -1 -1 0.33 21128 1 0.07 -1 -1 34004 -1 -1 21 25 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 64976 25 32 216 194 1 138 78 17 17 289 -1 unnamed_device 25.0 MiB 4.14 538 11200 3798 4353 3049 63.5 MiB 0.18 0.00 3.6656 -83.8355 -3.6656 3.6656 2.77 0.000119147 9.3182e-05 0.00925102 0.00735563 34 1768 43 6.89349e+06 295971 618332. 2139.56 6.26 0.0455755 0.0373787 25762 151098 -1 1290 19 793 1238 107114 27053 2.84936 2.84936 -80.5665 -2.84936 0 0 787024. 2723.27 0.86 0.13 0.28 -1 -1 0.86 0.110124 0.00441335 92 29 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 26.03 vpr 64.23 MiB -1 -1 0.32 21432 1 0.03 -1 -1 33552 -1 -1 23 32 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 65772 32 32 376 307 1 242 87 17 17 289 -1 unnamed_device 25.5 MiB 7.02 1224 10455 2606 6691 1158 64.2 MiB 0.42 0.00 4.36555 -131.719 -4.36555 4.36555 2.83 0.000207291 0.000166062 0.124815 0.122383 36 3235 24 6.89349e+06 324158 648988. 2245.63 8.62 0.446978 0.436299 26050 158493 -1 2709 22 2008 3044 219720 49398 3.76586 3.76586 -131.33 -3.76586 0 0 828058. 2865.25 0.94 0.16 0.31 -1 -1 0.94 0.0120957 0.0105961 161 72 -1 -1 -1 -1 +fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 23.80 vpr 64.59 MiB -1 -1 0.40 21584 1 0.06 -1 -1 33876 -1 -1 29 31 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66140 31 32 409 331 1 264 92 17 17 289 -1 unnamed_device 25.7 MiB 7.63 1242 10442 2632 6826 984 64.6 MiB 0.42 0.00 4.77028 -152.218 -4.77028 4.77028 2.47 0.000200944 0.000162858 0.0120702 0.0099316 34 3362 29 6.89349e+06 408721 618332. 2139.56 6.38 0.456192 0.324359 25762 151098 -1 2697 22 2278 3206 240107 54749 4.44149 4.44149 -153.801 -4.44149 0 0 787024. 2723.27 0.69 0.22 0.23 -1 -1 0.69 0.0137196 0.012184 179 90 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores/config/golden_results.txt index 4ad620feddc..14b02df4c6e 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores/config/golden_results.txt @@ -1,7 +1,7 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - k6_N8_gate_boost_0.2V_22nm.xml Md5Core.v common 891.61 vpr 934.46 MiB -1 -1 27.14 326268 27 22.80 -1 -1 141788 -1 -1 5694 641 0 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 956888 641 128 52026 52154 1 24058 6463 90 90 8100 clb auto 346.1 MiB 248.45 312828 934.5 MiB 145.79 1.16 12.2664 -33975.2 -12.2664 12.2664 117.15 0.0882271 0.0767682 12.6953 10.5551 74 448391 32 2.50222e+08 6.86455e+07 3.72985e+07 4604.75 188.93 39.0877 33.1897 418547 17 104887 229635 18003954 3362218 13.234 13.234 -36422.7 -13.234 0 0 4.63728e+07 5725.03 37.97 10.39 4.55225 4.00545 41833 14777 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml cordic.v common 6.51 vpr 62.03 MiB -1 -1 0.71 29428 11 0.38 -1 -1 36688 -1 -1 49 54 0 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 63516 54 51 465 516 1 301 154 11 11 121 clb auto 24.0 MiB 0.16 2292 62.0 MiB 0.10 0.00 4.9508 -205.649 -4.9508 4.9508 0.34 0.000643118 0.000529344 0.0248522 0.0210447 48 5695 35 2.09946e+06 590695 317060. 2620.33 3.05 0.257101 0.22269 4871 21 2150 10679 707105 153170 5.36286 5.36286 -233.356 -5.36286 0 0 382250. 3159.09 0.16 0.22 0.0507321 0.0456471 355 355 -1 -1 -1 -1 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 784.51 vpr 874.42 MiB -1 -1 17.97 221420 1 5.61 -1 -1 148452 -1 -1 5125 641 0 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 895404 641 128 55563 47815 1 19235 5894 85 85 7225 clb auto 354.8 MiB 76.08 237870 874.4 MiB 249.97 1.73 5.74411 -22836.7 -5.74411 5.74411 105.32 0.065045 0.055807 11.4486 9.41981 78 306321 28 2.22196e+08 6.43647e+07 3.44250e+07 4764.71 204.26 41.6029 35.4381 291043 18 68261 110489 10827436 1893374 4.92108 4.92108 -22366.4 -4.92108 0 0 4.31121e+07 5967.07 36.68 6.75 3.44589 3.01626 39986 2048 -1 -1 -1 -1 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 10.52 vpr 62.59 MiB -1 -1 0.65 29192 4 0.23 -1 -1 36268 -1 -1 41 54 0 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64092 54 51 503 502 1 302 146 10 10 100 clb auto 24.6 MiB 2.19 2018 62.6 MiB 0.14 0.00 4.0367 -191.892 -4.0367 4.0367 0.27 0.000855179 0.000728856 0.0357914 0.0311147 48 4428 39 1.94278e+06 514878 254498. 2544.98 5.08 0.52382 0.461165 4152 21 1803 7688 462873 111112 4.65806 4.65806 -223.324 -4.65806 0 0 306731. 3067.31 0.12 0.19 0.0629149 0.0574304 310 279 -1 -1 -1 -1 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 876.12 vpr 897.15 MiB -1 -1 17.32 222108 1 4.75 -1 -1 148404 -1 -1 5176 641 0 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 918684 641 128 55563 47815 1 19575 5945 86 86 7396 clb auto 363.3 MiB 204.88 229889 897.2 MiB 271.18 2.17 5.94805 -22791.4 -5.94805 5.94805 104.99 0.105912 0.0849653 12.2351 10.0443 70 304821 35 2.34635e+08 6.56264e+07 3.26175e+07 4410.15 145.85 37.4824 31.5982 285741 33 72065 105124 10431520 1973062 4.75157 4.75157 -22591.2 -4.75157 0 0 4.09886e+07 5542.00 34.60 11.75 7.95486 6.84428 40262 2048 -1 -1 -1 -1 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 7.26 vpr 62.75 MiB -1 -1 0.65 29252 4 0.24 -1 -1 36368 -1 -1 39 54 0 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64252 54 51 503 502 1 307 144 10 10 100 clb auto 24.9 MiB 1.63 2060 62.7 MiB 0.15 0.01 3.696 -183.234 -3.696 3.696 0.27 0.000674288 0.000558701 0.0315237 0.0269096 54 4491 34 1.94854e+06 494442 279084. 2790.84 2.75 0.310335 0.26989 3744 15 1595 5975 310629 72327 3.79249 3.79249 -198.025 -3.79249 0 0 343682. 3436.82 0.13 0.13 0.0431736 0.0397482 305 279 -1 -1 -1 -1 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length +k6_N8_gate_boost_0.2V_22nm.xml Md5Core.v common 1864.14 vpr 957.58 MiB -1 -1 22.42 331380 27 13.20 -1 -1 142432 -1 -1 5694 641 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 980564 641 128 52026 52154 1 24058 6463 90 90 8100 clb auto 349.0 MiB 173.06 299893 8009738 3248634 4687011 74093 957.6 MiB 90.11 0.74 14.696 -38781.3 -14.696 14.696 78.67 0.0454146 0.0364574 6.41213 5.29191 66 440752 49 2.50222e+08 6.86455e+07 3.39805e+07 4195.12 1395.25 30.8998 26.3648 844532 8713078 -1 405206 17 108336 237098 17288222 3304110 13.1078 13.1078 -35765.6 -13.1078 0 0 4.24114e+07 5235.97 21.75 5.86 5.90 -1 -1 21.75 2.25901 2.0474 41833 14777 -1 -1 -1 -1 +k6_N8_gate_boost_0.2V_22nm.xml cordic.v common 7.58 vpr 64.93 MiB -1 -1 0.84 29792 11 0.37 -1 -1 37192 -1 -1 47 54 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66492 54 51 461 512 1 307 152 10 10 100 clb auto 26.7 MiB 0.17 2373 13922 2643 10142 1137 64.9 MiB 0.13 0.00 6.47024 -256.927 -6.47024 6.47024 0.33 0.00042886 0.000357536 0.0688225 0.0500239 54 5335 26 1.91864e+06 566585 279084. 2790.84 3.59 0.401441 0.318793 8874 64549 -1 4508 18 1941 9258 477400 107312 5.64329 5.64329 -238.143 -5.64329 0 0 343682. 3436.82 0.14 0.15 0.08 -1 -1 0.14 0.031029 0.0281091 351 351 -1 -1 -1 -1 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 496.86 vpr 906.63 MiB -1 -1 14.92 221280 1 3.28 -1 -1 149256 -1 -1 5125 641 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 928388 641 128 55563 47815 1 19235 5894 85 85 7225 clb auto 357.9 MiB 53.49 222909 7988444 3311697 4529990 146757 906.6 MiB 158.12 1.29 7.30188 -25593.7 -7.30188 7.30188 59.85 0.0346093 0.0287273 5.37677 4.40327 72 301784 47 2.22196e+08 6.43647e+07 3.24327e+07 4488.96 129.63 18.1686 15.4729 798746 8418143 -1 279076 27 66623 107145 10680598 2015876 5.67328 5.67328 -22253.4 -5.67328 0 0 4.04835e+07 5603.25 23.02 4.40 5.91 -1 -1 23.02 2.21605 1.94489 39986 2048 -1 -1 -1 -1 +k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 8.87 vpr 65.29 MiB -1 -1 0.79 28728 4 0.20 -1 -1 36472 -1 -1 41 54 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66852 54 51 503 502 1 302 146 10 10 100 clb auto 27.3 MiB 2.56 2020 13202 2597 9296 1309 65.3 MiB 0.11 0.00 4.8288 -228.616 -4.8288 4.8288 0.37 0.000364269 0.000298402 0.0192023 0.0163646 54 4296 26 1.94278e+06 514878 279084. 2790.84 2.89 0.263514 0.235912 9066 64687 -1 3771 16 1579 6792 333407 78376 3.86988 3.86988 -205.334 -3.86988 0 0 343682. 3436.82 0.12 0.10 0.06 -1 -1 0.12 0.0312726 0.0289765 310 279 -1 -1 -1 -1 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 1397.07 vpr 910.60 MiB -1 -1 14.85 221360 1 3.28 -1 -1 149104 -1 -1 5176 641 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 932456 641 128 55563 47815 1 19575 5945 86 86 7396 clb auto 365.9 MiB 147.36 216449 8026913 3325604 4544181 157128 910.6 MiB 163.05 1.15 7.79808 -26173.5 -7.79808 7.79808 74.45 0.0333148 0.0256067 5.40235 4.3967 64 296093 41 2.34635e+08 6.56264e+07 3.02030e+07 4083.70 918.35 25.6673 21.649 786056 7778811 -1 273121 29 74523 108445 10800591 2118622 5.10857 5.10857 -22338.5 -5.10857 0 0 3.78329e+07 5115.32 18.90 4.37 4.97 -1 -1 18.90 2.1942 1.92409 40262 2048 -1 -1 -1 -1 +k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 7.20 vpr 65.30 MiB -1 -1 0.75 28880 4 0.23 -1 -1 36456 -1 -1 39 54 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66864 54 51 503 502 1 307 144 10 10 100 clb auto 27.3 MiB 1.64 2063 8438 1287 6455 696 65.3 MiB 0.11 0.04 4.75191 -227.336 -4.75191 4.75191 0.39 0.0381416 0.0380647 0.0525767 0.050608 52 4645 30 1.94854e+06 494442 271502. 2715.02 1.67 0.208403 0.193565 8966 63017 -1 3899 50 1667 6383 746112 263022 3.83606 3.83606 -202.472 -3.83606 0 0 335037. 3350.37 0.15 0.37 0.15 -1 -1 0.15 0.120279 0.114452 305 279 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt index 01aee2c8841..88295e998b9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt @@ -1,31 +1,31 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.60 vpr 66.65 MiB -1 -1 0.29 20848 3 0.10 -1 -1 36796 -1 -1 68 99 1 0 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68248 99 130 343 473 1 230 298 12 12 144 clb auto 28.0 MiB 0.08 575 71938 22962 36263 12713 66.6 MiB 0.19 0.00 1.865 -120.725 -1.865 1.865 0.35 0.000815861 0.000748755 0.0617856 0.0568042 48 1298 10 5.66058e+06 4.21279e+06 394078. 2736.65 0.90 0.214795 0.194146 13382 75762 -1 1209 12 404 645 32631 9803 2.02042 2.02042 -137.126 -2.02042 -0.563537 -0.200829 503207. 3494.49 0.15 0.04 0.08 -1 -1 0.15 0.0258055 0.0238079 0.009948 0.2516 0.08188 0.6665 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 14.13 vpr 69.70 MiB -1 -1 0.45 25932 15 0.39 -1 -1 37716 -1 -1 38 162 0 5 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71372 162 96 994 935 1 693 301 16 16 256 mult_36 auto 31.5 MiB 0.27 5403 85981 26951 52367 6663 69.7 MiB 0.55 0.01 21.1329 -1677.85 -21.1329 21.1329 0.70 0.00246832 0.00228744 0.233934 0.216378 48 12202 43 1.21132e+07 4.02797e+06 756778. 2956.16 7.65 1.12946 1.03662 25228 149258 -1 9996 17 3110 6320 1606287 397256 22.4645 22.4645 -1794.92 -22.4645 0 0 968034. 3781.38 0.33 0.44 0.16 -1 -1 0.33 0.117727 0.11029 0.007642 0.3669 0.0171 0.616 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 600.92 vpr 464.61 MiB -1 -1 65.23 338648 122 76.78 -1 -1 83316 -1 -1 1377 114 45 8 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 475760 114 102 21867 21777 1 11713 1646 50 50 2500 memory auto 194.0 MiB 19.12 158027 1079900 387262 672407 20231 464.6 MiB 26.67 0.24 78.8362 -52334.1 -78.8362 78.8362 27.83 0.0501868 0.0433544 6.07162 5.06108 92 239808 35 1.47946e+08 1.02043e+08 1.52089e+07 6083.58 292.79 22.9131 19.2573 338772 3221652 -1 215840 20 45193 170020 34120371 7547042 79.2114 79.2114 -63324.9 -79.2114 -27.5943 -0.296573 1.93279e+07 7731.17 9.08 12.95 3.86 -1 -1 9.08 3.13819 2.76074 0.08144 0.428 0.01144 0.5606 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.74 vpr 66.20 MiB -1 -1 0.28 21136 3 0.10 -1 -1 37124 -1 -1 68 99 1 0 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67784 99 130 343 473 1 232 298 12 12 144 clb auto 27.8 MiB 0.11 574 69948 22489 35398 12061 66.2 MiB 0.19 0.00 1.865 -123.177 -1.865 1.865 0.35 0.00076293 0.00070322 0.057574 0.052908 54 1285 10 5.66058e+06 4.21279e+06 434679. 3018.61 0.94 0.205654 0.185365 13954 85374 -1 1148 10 425 690 31206 8619 1.95525 1.95525 -135.363 -1.95525 -1.22707 -0.320482 565229. 3925.20 0.17 0.04 0.10 -1 -1 0.17 0.0215127 0.0198103 0.01168 0.2282 0.07635 0.6954 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 12.97 vpr 69.81 MiB -1 -1 0.45 26044 15 0.40 -1 -1 37832 -1 -1 38 162 0 5 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71488 162 96 994 935 1 693 301 16 16 256 mult_36 auto 31.8 MiB 0.38 5549 72877 19214 46632 7031 69.8 MiB 0.50 0.01 21.1195 -1704.09 -21.1195 21.1195 0.72 0.00285249 0.00265419 0.217117 0.201931 48 12685 31 1.21132e+07 4.02797e+06 756778. 2956.16 6.14 0.900467 0.829897 25228 149258 -1 10232 16 3305 6771 2350801 597324 22.6533 22.6533 -1813.43 -22.6533 0 0 968034. 3781.38 0.33 0.61 0.15 -1 -1 0.33 0.121135 0.113629 0.007906 0.3543 0.01641 0.6293 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 681.66 vpr 465.20 MiB -1 -1 63.83 338012 122 80.42 -1 -1 82900 -1 -1 1266 114 45 8 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 476368 114 102 21867 21777 1 11313 1535 50 50 2500 memory auto 194.3 MiB 36.40 153774 983996 362572 600931 20493 465.2 MiB 25.42 0.21 78.6962 -51318.9 -78.6962 78.6962 27.98 0.0511208 0.0415951 6.197 5.12134 98 235687 31 1.47946e+08 9.60601e+07 1.60641e+07 6425.63 344.41 26.0738 21.7058 348768 3430976 -1 208276 21 43003 163786 53231941 14496011 80.3246 80.3246 -64952.4 -80.3246 -23.2381 -0.296573 2.03677e+07 8147.07 10.20 23.25 3.92 -1 -1 10.20 3.83421 3.26511 0.08388 0.4279 0.0113 0.5608 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.58 vpr 66.74 MiB -1 -1 0.29 20736 3 0.10 -1 -1 37008 -1 -1 68 99 1 0 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68344 99 130 343 473 1 228 298 12 12 144 clb auto 28.1 MiB 0.12 564 73928 23247 37393 13288 66.7 MiB 0.19 0.00 1.696 -122.279 -1.696 1.696 0.35 0.000732008 0.000673213 0.0597764 0.0551337 48 1099 13 5.66058e+06 4.21279e+06 411630. 2858.54 0.87 0.208058 0.188144 13872 80872 -1 998 10 395 609 36422 11872 1.909 1.909 -133.315 -1.909 -0.67911 -0.298787 526257. 3654.56 0.16 0.04 0.09 -1 -1 0.16 0.021713 0.0201049 0.01042 0.2387 0.08301 0.6783 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 13.79 vpr 69.92 MiB -1 -1 0.46 26104 15 0.40 -1 -1 37740 -1 -1 38 162 0 5 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71596 162 96 994 935 1 696 301 16 16 256 mult_36 auto 31.7 MiB 0.98 5074 83965 23415 52823 7727 69.9 MiB 0.55 0.01 21.1448 -1773.98 -21.1448 21.1448 0.78 0.00278688 0.00257786 0.24095 0.223096 44 12552 40 1.21132e+07 4.02797e+06 727469. 2841.68 6.31 0.947639 0.871174 25696 150430 -1 9574 20 3014 5787 1693041 422086 22.6508 22.6508 -1874.75 -22.6508 0 0 947281. 3700.32 0.33 0.46 0.15 -1 -1 0.33 0.124691 0.116285 0.007761 0.3489 0.01616 0.635 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 939.54 vpr 521.84 MiB -1 -1 65.02 339268 122 79.46 -1 -1 82900 -1 -1 1285 114 45 8 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 534360 114 102 21867 21777 1 11916 1554 50 50 2500 memory auto 193.0 MiB 360.81 160671 973230 341049 615032 17149 496.4 MiB 28.98 0.25 79.5283 -52971.2 -79.5283 79.5283 31.65 0.0564089 0.0468529 6.45275 5.37655 100 229842 22 1.47946e+08 9.70841e+07 1.70584e+07 6823.36 275.02 29.3021 24.4694 363360 3730996 -1 210777 19 39735 152623 35740430 8533255 80.5981 80.5981 -62184.9 -80.5981 -27.6794 -0.218703 2.14473e+07 8578.92 9.66 15.76 4.41 -1 -1 9.66 3.71125 3.19492 0.08729 0.4251 0.01138 0.5636 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.67 vpr 66.64 MiB -1 -1 0.31 21284 3 0.10 -1 -1 37164 -1 -1 68 99 1 0 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68236 99 130 343 473 1 225 298 12 12 144 clb auto 28.2 MiB 0.13 547 72933 24263 35607 13063 66.6 MiB 0.19 0.00 1.696 -118.157 -1.696 1.696 0.37 0.000806382 0.00074675 0.0625901 0.0578156 38 1343 16 5.66058e+06 4.21279e+06 334530. 2323.13 0.82 0.226119 0.204681 13012 66834 -1 1180 13 428 629 29651 9921 1.79083 1.79083 -136.144 -1.79083 -1.33719 -0.298787 424691. 2949.24 0.14 0.04 0.07 -1 -1 0.14 0.0278926 0.025754 0.01219 0.202 0.0676 0.7304 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 15.70 vpr 70.03 MiB -1 -1 0.46 25680 15 0.38 -1 -1 37632 -1 -1 38 162 0 5 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71708 162 96 994 935 1 695 301 16 16 256 mult_36 auto 31.8 MiB 0.83 5189 80941 24382 48242 8317 70.0 MiB 0.49 0.01 21.3233 -1781.39 -21.3233 21.3233 0.73 0.00241375 0.00221581 0.211085 0.194444 54 12518 38 1.21132e+07 4.02797e+06 875436. 3419.67 8.58 1.11261 1.01891 27228 179190 -1 9359 17 2923 5859 1515299 452004 22.3476 22.3476 -1883.67 -22.3476 0 0 1.13617e+06 4438.18 0.36 0.41 0.18 -1 -1 0.36 0.112458 0.105361 0.008313 0.3501 0.01687 0.633 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 997.62 vpr 497.06 MiB -1 -1 63.01 338984 122 82.33 -1 -1 83068 -1 -1 1189 114 45 8 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 508992 114 102 21867 21777 1 11141 1458 50 50 2500 memory auto 193.2 MiB 356.37 154217 893970 310056 563309 20605 497.1 MiB 26.22 0.21 77.977 -52377.6 -77.977 77.977 31.56 0.0510464 0.0439876 6.19765 5.19271 98 231483 31 1.47946e+08 9.19101e+07 1.67994e+07 6719.74 337.51 24.8147 20.6324 360864 3674624 -1 204981 18 39330 157165 41672116 10474139 78.4675 78.4675 -65908.8 -78.4675 -27.0397 -0.295467 2.12220e+07 8488.81 9.73 16.99 4.21 -1 -1 9.73 3.04278 2.67476 0.08866 0.4126 0.01145 0.576 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.33 vpr 66.57 MiB -1 -1 0.29 20824 3 0.10 -1 -1 36944 -1 -1 68 99 1 0 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68168 99 130 343 473 1 229 298 12 12 144 clb auto 28.2 MiB 0.14 625 72933 23170 36916 12847 66.6 MiB 0.19 0.00 1.696 -122.589 -1.696 1.696 0.38 0.000701566 0.000650373 0.0594935 0.0548722 32 1521 11 5.66058e+06 4.21279e+06 307825. 2137.67 0.52 0.148686 0.135213 12860 59602 -1 1532 7 379 525 45601 15043 1.98753 1.98753 -149.88 -1.98753 -0.544616 -0.296573 375846. 2610.04 0.13 0.03 0.06 -1 -1 0.13 0.0192473 0.0179469 0.01029 0.2696 0.06819 0.6622 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 16.40 vpr 70.24 MiB -1 -1 0.45 25900 15 0.39 -1 -1 37996 -1 -1 36 162 0 5 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71928 162 96 994 935 1 695 299 16 16 256 mult_36 auto 32.1 MiB 1.08 5458 84215 27445 50531 6239 70.2 MiB 0.57 0.01 20.9732 -1696.36 -20.9732 20.9732 0.78 0.002678 0.00246123 0.243163 0.224989 46 13060 49 1.21132e+07 3.92018e+06 791147. 3090.42 8.68 0.891534 0.819982 26792 163197 -1 10077 21 3191 6618 1994586 487970 22.5538 22.5538 -1803.34 -22.5538 0 0 1.01637e+06 3970.19 0.34 0.54 0.16 -1 -1 0.34 0.132896 0.123805 0.007978 0.3555 0.01627 0.6282 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1099.99 vpr 542.89 MiB -1 -1 62.78 337816 122 82.47 -1 -1 83208 -1 -1 1284 114 45 8 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 555924 114 102 21867 21777 1 11781 1553 50 50 2500 memory auto 192.7 MiB 458.90 159248 972365 340589 611685 20091 542.9 MiB 32.35 0.28 79.6755 -52039.2 -79.6755 79.6755 35.44 0.0687935 0.0558384 7.83175 6.43884 94 246449 44 1.47946e+08 9.70302e+07 1.68500e+07 6739.98 322.42 29.6188 24.5714 363732 3705320 -1 214729 22 39713 155273 47721884 11744308 80.4266 80.4266 -64889.5 -80.4266 -13.2266 -0.339827 2.11127e+07 8445.07 9.61 19.51 4.44 -1 -1 9.61 3.53141 3.07879 0.08945 0.4089 0.01166 0.5794 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.36 vpr 67.05 MiB -1 -1 0.29 20924 3 0.10 -1 -1 37148 -1 -1 68 99 1 0 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68664 99 130 343 473 1 229 298 12 12 144 clb auto 28.7 MiB 0.15 625 72933 23170 36916 12847 67.1 MiB 0.19 0.00 1.696 -122.589 -1.696 1.696 0.37 0.000763631 0.000704287 0.0601119 0.0553147 32 1510 14 5.66058e+06 4.21279e+06 307825. 2137.67 0.53 0.152274 0.138227 12860 59602 -1 1522 7 397 547 46415 15231 1.98753 1.98753 -149.467 -1.98753 -0.544616 -0.296573 375846. 2610.04 0.13 0.03 0.07 -1 -1 0.13 0.0191064 0.0177799 0.01159 0.2411 0.06055 0.6983 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 16.33 vpr 70.46 MiB -1 -1 0.44 25860 15 0.39 -1 -1 37688 -1 -1 36 162 0 5 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72152 162 96 994 935 1 695 299 16 16 256 mult_36 auto 32.4 MiB 1.19 5485 77222 22800 47614 6808 70.5 MiB 0.53 0.01 21.0073 -1705.46 -21.0073 21.0073 0.80 0.00256127 0.00237899 0.225193 0.208569 50 12007 21 1.21132e+07 3.92018e+06 848054. 3312.71 8.53 1.02785 0.942023 27304 172908 -1 10008 20 3088 6377 1585829 393503 22.3306 22.3306 -1824.19 -22.3306 0 0 1.09096e+06 4261.55 0.36 0.46 0.17 -1 -1 0.36 0.132522 0.123631 0.008403 0.3495 0.0155 0.635 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1037.28 vpr 527.96 MiB -1 -1 63.34 338900 122 83.05 -1 -1 83028 -1 -1 1172 114 45 8 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 540632 114 102 21867 21777 1 10748 1441 50 50 2500 memory auto 191.3 MiB 443.94 148863 888265 316807 552869 18589 528.0 MiB 29.71 0.25 80.3781 -51821.7 -80.3781 80.3781 35.37 0.0658797 0.0532274 7.86676 6.43018 92 223094 41 1.47946e+08 9.09939e+07 1.65231e+07 6609.23 283.91 28.7738 23.8076 361236 3648468 -1 198315 20 36076 149792 32327143 7197388 82.8873 82.8873 -62668.3 -82.8873 -17.3714 -0.174033 2.08892e+07 8355.67 9.55 12.75 4.22 -1 -1 9.55 3.2673 2.85714 0.08896 0.395 0.01138 0.5936 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.60 vpr 66.73 MiB -1 -1 0.30 20956 3 0.11 -1 -1 36692 -1 -1 68 99 1 0 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68336 99 130 343 473 1 228 298 12 12 144 clb auto 28.1 MiB 0.13 564 73928 23247 37393 13288 66.7 MiB 0.18 0.00 1.696 -122.279 -1.696 1.696 0.33 0.000690582 0.000632028 0.0582905 0.0536405 42 1329 19 5.66058e+06 4.21279e+06 345702. 2400.71 0.83 0.226979 0.205356 12810 66778 -1 1166 10 447 714 41192 12794 2.03227 2.03227 -146.289 -2.03227 -1.0964 -0.298787 434679. 3018.61 0.14 0.04 0.08 -1 -1 0.14 0.0245169 0.022748 0.009788 0.2439 0.07787 0.6782 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 15.62 vpr 70.06 MiB -1 -1 0.44 25964 15 0.38 -1 -1 37896 -1 -1 37 162 0 5 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71744 162 96 994 935 1 696 300 16 16 256 mult_36 auto 31.8 MiB 0.60 5236 89567 28727 53459 7381 70.1 MiB 0.56 0.01 21.2123 -1766.84 -21.2123 21.2123 0.69 0.00246129 0.00225697 0.238775 0.22024 50 11391 31 1.21132e+07 3.97408e+06 780512. 3048.87 8.78 1.24466 1.14016 25484 153448 -1 9569 20 3013 6108 1693639 414139 22.7013 22.7013 -1855.03 -22.7013 0 0 1.00276e+06 3917.05 0.32 0.47 0.16 -1 -1 0.32 0.130345 0.121698 0.007682 0.3608 0.01656 0.6227 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1005.02 vpr 462.19 MiB -1 -1 64.15 338628 122 80.59 -1 -1 83416 -1 -1 1319 114 45 8 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 473280 114 102 21867 21777 1 11967 1588 50 50 2500 memory auto 191.2 MiB 245.02 160659 983254 341779 619040 22435 462.2 MiB 28.20 0.25 78.8754 -50592.1 -78.8754 78.8754 28.48 0.0609285 0.0543682 6.94102 5.80409 98 244578 32 1.47946e+08 9.89166e+07 1.60641e+07 6425.63 454.32 24.0197 20.026 348768 3430976 -1 217089 23 45747 171825 50482725 12870103 79.9366 79.9366 -61209.6 -79.9366 -38.3447 -0.29436 2.03677e+07 8147.07 9.72 20.49 4.24 -1 -1 9.72 3.46999 3.02906 0.08405 0.4326 0.0115 0.5558 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.71 vpr 66.20 MiB -1 -1 0.30 21296 3 0.10 -1 -1 36784 -1 -1 68 99 1 0 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 67788 99 130 343 473 1 225 298 12 12 144 clb auto 27.8 MiB 0.12 547 72933 24263 35607 13063 66.2 MiB 0.20 0.00 1.696 -118.157 -1.696 1.696 0.35 0.000761432 0.000700498 0.0625776 0.0578178 48 1074 11 5.66058e+06 4.21279e+06 394078. 2736.65 0.89 0.217234 0.196539 13382 75762 -1 1127 9 397 613 34025 10771 1.93851 1.93851 -131.386 -1.93851 -0.715103 -0.298787 503207. 3494.49 0.15 0.04 0.09 -1 -1 0.15 0.0216434 0.0200725 0.01165 0.2201 0.07284 0.707 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 16.06 vpr 70.19 MiB -1 -1 0.45 25892 15 0.41 -1 -1 37708 -1 -1 37 162 0 5 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71876 162 96 994 935 1 695 300 16 16 256 mult_36 auto 31.9 MiB 0.61 5234 87561 27411 52708 7442 70.2 MiB 0.55 0.01 21.7842 -1750.03 -21.7842 21.7842 0.74 0.00274809 0.00253506 0.231863 0.213988 50 11353 26 1.21132e+07 3.97408e+06 780512. 3048.87 9.05 1.20855 1.10853 25484 153448 -1 9545 17 2914 5688 1598836 398479 22.7576 22.7576 -1901.76 -22.7576 0 0 1.00276e+06 3917.05 0.33 0.45 0.16 -1 -1 0.33 0.122404 0.114816 0.007978 0.3485 0.01591 0.6356 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1054.43 vpr 463.28 MiB -1 -1 63.41 337836 122 81.76 -1 -1 83280 -1 -1 1218 114 45 8 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 474396 114 102 21867 21777 1 11178 1487 50 50 2500 memory auto 192.4 MiB 263.42 154706 883823 298850 566535 18438 463.3 MiB 23.57 0.23 79.5364 -52561.2 -79.5364 79.5364 28.81 0.0538801 0.0447466 5.88144 4.89573 98 237685 48 1.47946e+08 9.34731e+07 1.60641e+07 6425.63 493.38 28.0815 23.3826 348768 3430976 -1 209008 21 43238 165461 40950197 10270033 79.938 79.938 -64302 -79.938 -11.3207 -0.172573 2.03677e+07 8147.07 10.20 17.09 3.95 -1 -1 10.20 3.80221 3.26183 0.0851 0.4217 0.01143 0.5668 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.80 vpr 67.08 MiB -1 -1 0.30 20876 3 0.11 -1 -1 36864 -1 -1 68 99 1 0 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68692 99 130 343 473 1 229 298 12 12 144 clb auto 28.4 MiB 0.13 600 72933 23473 36928 12532 67.1 MiB 0.21 0.00 1.696 -119.711 -1.696 1.696 0.38 0.000801871 0.00073182 0.0670582 0.0618617 46 1322 12 5.66058e+06 4.21279e+06 378970. 2631.74 0.92 0.233322 0.211178 13238 73581 -1 1264 9 424 628 35038 10711 1.84708 1.84708 -137.295 -1.84708 -1.24178 -0.320482 486261. 3376.82 0.15 0.04 0.09 -1 -1 0.15 0.0224805 0.0208482 0.01091 0.2546 0.08033 0.6651 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 16.20 vpr 70.15 MiB -1 -1 0.44 25972 15 0.40 -1 -1 37780 -1 -1 36 162 0 5 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71836 162 96 994 935 1 692 299 16 16 256 mult_36 auto 32.0 MiB 0.80 5190 85214 26746 50913 7555 70.2 MiB 0.56 0.01 21.0406 -1713.01 -21.0406 21.0406 0.73 0.00249827 0.00230086 0.229983 0.212424 50 10972 24 1.21132e+07 3.92018e+06 780512. 3048.87 8.90 1.07818 0.987985 25484 153448 -1 9569 18 3125 6222 1808922 447355 22.2102 22.2102 -1794.02 -22.2102 0 0 1.00276e+06 3917.05 0.32 0.48 0.17 -1 -1 0.32 0.119674 0.111822 0.007904 0.3557 0.01642 0.6279 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 882.46 vpr 462.77 MiB -1 -1 61.79 338664 122 78.91 -1 -1 83044 -1 -1 1300 114 45 8 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 473872 114 102 21867 21777 1 11836 1569 50 50 2500 memory auto 191.7 MiB 242.34 155826 976660 342397 612158 22105 462.8 MiB 26.41 0.22 78.5313 -51850 -78.5313 78.5313 26.56 0.0506502 0.0449052 6.58032 5.44019 98 236294 27 1.47946e+08 9.78926e+07 1.60641e+07 6425.63 346.83 28.1395 23.3242 348768 3430976 -1 211840 21 44912 170320 38693129 9632722 80.4704 80.4704 -64801.7 -80.4704 -25.5629 -0.295467 2.03677e+07 8147.07 10.67 17.33 4.04 -1 -1 10.67 3.68821 3.19444 0.0848 0.4256 0.01159 0.5628 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.68 vpr 66.68 MiB -1 -1 0.27 21348 3 0.10 -1 -1 37016 -1 -1 68 99 1 0 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68284 99 130 343 473 1 229 298 12 12 144 clb auto 28.3 MiB 0.13 600 72933 23473 36928 12532 66.7 MiB 0.18 0.00 1.696 -119.711 -1.696 1.696 0.35 0.000760395 0.000698578 0.0581751 0.0534093 46 1350 17 5.66058e+06 4.21279e+06 378970. 2631.74 0.87 0.213815 0.191886 13238 73581 -1 1244 11 424 623 34139 10493 1.84708 1.84708 -135.069 -1.84708 -1.24178 -0.320482 486261. 3376.82 0.14 0.04 0.09 -1 -1 0.14 0.0237484 0.0218101 0.01229 0.227 0.07134 0.7016 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 15.51 vpr 70.14 MiB -1 -1 0.45 25952 15 0.39 -1 -1 37700 -1 -1 36 162 0 5 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71824 162 96 994 935 1 692 299 16 16 256 mult_36 auto 32.1 MiB 0.79 5273 79220 23230 49089 6901 70.1 MiB 0.51 0.01 20.8357 -1730.13 -20.8357 20.8357 0.72 0.00261631 0.00241255 0.218534 0.202065 50 11078 27 1.21132e+07 3.92018e+06 780512. 3048.87 8.35 1.07479 0.986217 25484 153448 -1 9530 17 2996 5942 1627953 403012 22.3086 22.3086 -1833.79 -22.3086 0 0 1.00276e+06 3917.05 0.33 0.46 0.16 -1 -1 0.33 0.121117 0.113388 0.008195 0.3451 0.01577 0.6392 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 991.87 vpr 463.02 MiB -1 -1 63.17 339232 122 80.46 -1 -1 83200 -1 -1 1196 114 45 8 success 33829c2-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-04T15:25:46 gh-actions-runner-vtr-auto-spawned151 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 474136 114 102 21867 21777 1 11032 1465 50 50 2500 memory auto 191.7 MiB 265.85 145762 916335 321906 571781 22648 463.0 MiB 24.63 0.20 78.5699 -49656.4 -78.5699 78.5699 28.62 0.0508222 0.0441833 6.15495 5.16457 94 231039 49 1.47946e+08 9.22874e+07 1.55181e+07 6207.23 429.61 28.6829 23.9844 341268 3271592 -1 203951 22 43156 167896 42691733 9807132 78.2436 78.2436 -60332.1 -78.2436 -47.3724 -0.29436 1.95446e+07 7817.85 8.30 17.72 3.79 -1 -1 8.30 3.84184 3.30593 0.08521 0.4059 0.01177 0.5823 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 11.93 vpr 65.76 MiB -1 -1 0.78 21736 3 0.47 -1 -1 36920 -1 -1 68 99 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67340 99 130 344 474 1 226 298 12 12 144 clb auto 26.9 MiB 0.14 538 74923 23743 38186 12994 65.8 MiB 0.44 0.00 1.85836 -118.859 -1.85836 1.85836 0.84 0.000408519 0.000364671 0.184671 0.181849 48 1120 12 5.66058e+06 4.21279e+06 394078. 2736.65 2.57 0.568796 0.558488 13382 75762 -1 1135 9 413 643 29837 9031 1.90517 1.90517 -131.612 -1.90517 -1.14837 -0.298787 503207. 3494.49 0.23 0.30 0.20 -1 -1 0.23 0.291907 0.29099 0.01054 0.2563 0.08194 0.6618 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 27.94 vpr 68.73 MiB -1 -1 1.81 26840 15 1.79 -1 -1 38032 -1 -1 41 162 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70380 162 96 1009 950 1 703 304 16 16 256 mult_36 auto 30.6 MiB 0.50 5227 78921 22014 50546 6361 68.7 MiB 0.94 0.01 21.1088 -1572.42 -21.1088 21.1088 1.81 0.0013239 0.00120799 0.360424 0.349771 46 11694 25 1.21132e+07 4.18965e+06 727248. 2840.81 9.09 1.89864 1.86775 24972 144857 -1 9825 16 3189 6463 1052158 265115 22.5834 22.5834 -1743.32 -22.5834 0 0 934704. 3651.19 0.66 0.81 0.69 -1 -1 0.66 0.191856 0.186748 0.007573 0.3608 0.01692 0.6223 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 971.39 vpr 417.21 MiB -1 -1 136.56 351828 123 163.84 -1 -1 82460 -1 -1 1358 114 45 8 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 427224 114 102 21994 21904 1 11874 1627 50 50 2500 memory auto 194.6 MiB 40.69 156844 1044212 377727 645941 20544 417.2 MiB 50.77 0.52 78.9156 -53096.5 -78.9156 78.9156 55.59 0.0726829 0.0689205 7.9984 7.08451 90 237837 41 1.47946e+08 1.01019e+08 1.49211e+07 5968.42 321.09 28.7799 25.2504 333772 3118116 -1 214457 20 45318 170990 10540927 1886623 78.9114 78.9114 -67440.7 -78.9114 -14.3667 -0.295467 1.86646e+07 7465.86 17.49 10.34 5.27 -1 -1 17.49 4.79057 4.32098 0.08049 0.4194 0.01155 0.5691 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 14.90 vpr 65.43 MiB -1 -1 1.16 21432 3 0.22 -1 -1 37072 -1 -1 68 99 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66996 99 130 344 474 1 226 298 12 12 144 clb auto 26.9 MiB 0.26 629 72933 24501 35348 13084 65.4 MiB 0.72 0.00 1.87772 -119.549 -1.87772 1.87772 1.14 0.000479167 0.00042192 0.212818 0.209003 44 1500 15 5.66058e+06 4.21279e+06 360780. 2505.42 5.58 1.12644 1.10872 13094 71552 -1 1272 11 403 626 28515 8379 1.88524 1.88524 -136.944 -1.88524 -0.676272 -0.265573 470765. 3269.20 0.41 0.04 0.19 -1 -1 0.41 0.0274683 0.0265622 0.01202 0.2318 0.0696 0.6986 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 40.08 vpr 69.02 MiB -1 -1 1.40 26524 15 1.73 -1 -1 38204 -1 -1 40 162 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70672 162 96 1009 950 1 703 303 16 16 256 mult_36 auto 30.8 MiB 1.35 5429 85731 25421 52883 7427 69.0 MiB 1.27 0.01 20.9899 -1533.86 -20.9899 20.9899 2.08 0.00121353 0.00108507 0.507519 0.481322 50 12052 37 1.21132e+07 4.13576e+06 780512. 3048.87 19.61 2.10484 1.8922 25484 153448 -1 10094 17 3136 6091 944877 237338 22.9092 22.9092 -1705.45 -22.9092 0 0 1.00276e+06 3917.05 0.78 0.57 0.44 -1 -1 0.78 0.125277 0.122118 0.007901 0.3567 0.01597 0.6273 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 920.00 vpr 427.51 MiB -1 -1 139.70 351276 123 168.15 -1 -1 82008 -1 -1 1278 114 45 8 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 437768 114 102 21994 21904 1 11394 1547 50 50 2500 memory auto 193.8 MiB 84.56 155462 967391 346358 602799 18234 427.5 MiB 46.41 0.37 79.1658 -49689.3 -79.1658 79.1658 56.74 0.103633 0.0992122 8.06245 6.91846 96 240817 38 1.47946e+08 9.67069e+07 1.58254e+07 6330.17 228.24 30.7597 26.6251 343768 3324272 -1 213621 20 46955 176640 11088774 1958407 81.0653 81.0653 -60662.6 -81.0653 -20.9911 -0.197508 1.97871e+07 7914.84 17.55 10.21 6.24 -1 -1 17.55 4.84567 4.57718 0.08295 0.4232 0.01129 0.5655 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 16.91 vpr 65.43 MiB -1 -1 1.16 21736 3 0.65 -1 -1 36916 -1 -1 68 99 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67000 99 130 344 474 1 226 298 12 12 144 clb auto 27.1 MiB 0.53 538 74923 23743 38186 12994 65.4 MiB 1.07 0.00 1.85836 -118.859 -1.85836 1.85836 1.33 0.000186152 0.000164307 0.172613 0.16947 44 1225 10 5.66058e+06 4.21279e+06 377431. 2621.05 5.28 0.585898 0.568378 13584 76382 -1 1026 7 371 587 21190 6589 1.9572 1.9572 -129.175 -1.9572 -1.32765 -0.320482 492119. 3417.49 0.49 0.04 0.36 -1 -1 0.49 0.238059 0.0128334 0.01016 0.2377 0.08 0.6823 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 58.79 vpr 68.94 MiB -1 -1 1.73 26836 15 1.23 -1 -1 38508 -1 -1 37 162 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70596 162 96 1009 950 1 715 300 16 16 256 mult_36 auto 30.9 MiB 3.88 5395 81543 23350 50681 7512 68.9 MiB 1.53 0.00 20.8098 -1508.89 -20.8098 20.8098 1.91 0.000558472 0.000495563 0.368414 0.35917 56 12401 28 1.21132e+07 3.97408e+06 911589. 3560.89 34.45 2.04765 2.00047 27484 183688 -1 9683 17 3070 6168 1098441 282892 22.0044 22.0044 -1619.24 -22.0044 0 0 1.16227e+06 4540.11 1.03 0.87 0.39 -1 -1 1.03 0.312795 0.305315 0.008246 0.3685 0.01686 0.6146 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1573.89 vpr 455.68 MiB -1 -1 141.95 352652 123 167.28 -1 -1 82160 -1 -1 1291 114 45 8 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 466612 114 102 21994 21904 1 12048 1560 50 50 2500 memory auto 192.1 MiB 646.85 163983 969268 328314 619639 21315 455.7 MiB 44.23 0.44 79.6079 -54089.1 -79.6079 79.6079 55.73 0.115444 0.110958 6.94791 5.93632 100 236092 23 1.47946e+08 9.74075e+07 1.70584e+07 6823.36 367.16 19.4598 16.8584 363360 3730996 -1 215771 19 40895 156451 9739432 1761939 80.7225 80.7225 -67991.3 -80.7225 -19.0561 -0.29436 2.14473e+07 8578.92 8.23 4.07 2.89 -1 -1 8.23 2.15856 1.98292 0.08741 0.4253 0.01135 0.5634 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 13.14 vpr 65.50 MiB -1 -1 0.91 21736 3 0.32 -1 -1 37224 -1 -1 68 99 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67076 99 130 344 474 1 226 298 12 12 144 clb auto 27.0 MiB 0.27 629 72933 24501 35348 13084 65.5 MiB 0.46 0.00 1.87772 -119.549 -1.87772 1.87772 1.34 0.000461057 0.000414004 0.168372 0.164632 34 1558 17 5.66058e+06 4.21279e+06 307677. 2136.65 3.61 0.731952 0.716879 12584 59343 -1 1425 11 394 640 30288 9228 2.15283 2.15283 -138.724 -2.15283 -0.152537 -0.0520174 377431. 2621.05 0.42 0.43 0.18 -1 -1 0.42 0.0171947 0.0162506 0.01078 0.2391 0.06093 0.6999 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 49.71 vpr 68.93 MiB -1 -1 1.12 26684 15 1.28 -1 -1 38208 -1 -1 37 162 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70580 162 96 1009 950 1 715 300 16 16 256 mult_36 auto 30.9 MiB 4.72 5395 81543 23350 50681 7512 68.9 MiB 1.19 0.01 20.8098 -1508.89 -20.8098 20.8098 2.07 0.00131862 0.00119429 0.27794 0.267234 56 12691 45 1.21132e+07 3.97408e+06 911589. 3560.89 25.18 2.24193 2.17 27484 183688 -1 9721 17 3144 6378 1106486 283876 22.1411 22.1411 -1617.04 -22.1411 0 0 1.16227e+06 4540.11 1.14 0.77 0.35 -1 -1 1.14 0.125803 0.121804 0.008525 0.3564 0.01622 0.6273 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1437.01 vpr 446.18 MiB -1 -1 148.65 351224 123 169.85 -1 -1 82612 -1 -1 1201 114 45 8 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 456884 114 102 21994 21904 1 11290 1470 50 50 2500 memory auto 191.0 MiB 648.45 148158 912165 314749 574211 23205 446.2 MiB 41.39 0.31 79.4554 -51068 -79.4554 79.4554 57.06 0.0584539 0.0538898 7.36841 6.01039 92 223660 32 1.47946e+08 9.25569e+07 1.59225e+07 6369.02 195.34 24.4233 20.6408 350868 3451476 -1 200356 21 40851 161569 10051585 1827302 79.5633 79.5633 -65693.9 -79.5633 -41.7379 -0.295467 2.01686e+07 8067.44 13.73 6.98 4.95 -1 -1 13.73 3.92051 3.55382 0.08666 0.4013 0.0114 0.5873 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 15.04 vpr 65.53 MiB -1 -1 0.81 21736 3 0.09 -1 -1 37220 -1 -1 68 99 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67100 99 130 344 474 1 224 298 12 12 144 clb auto 27.2 MiB 0.97 549 73928 23829 36791 13308 65.5 MiB 0.52 0.00 1.8401 -118.152 -1.8401 1.8401 1.13 0.000186215 0.000161836 0.0287834 0.025549 34 1372 17 5.66058e+06 4.21279e+06 320229. 2223.82 4.56 0.455391 0.445202 13004 62563 -1 1233 15 463 706 34457 11587 1.99839 1.99839 -140.337 -1.99839 -0.526504 -0.320482 391831. 2721.05 0.46 0.04 0.15 -1 -1 0.46 0.0182445 0.0171197 0.009937 0.244 0.07196 0.684 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 41.84 vpr 69.40 MiB -1 -1 1.22 26688 15 2.05 -1 -1 38352 -1 -1 37 162 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 71064 162 96 1009 950 1 708 300 16 16 256 mult_36 auto 31.2 MiB 3.56 5371 88564 26733 54405 7426 69.4 MiB 1.60 0.01 20.9753 -1548.5 -20.9753 20.9753 2.39 0.00142561 0.00128936 0.187074 0.175506 46 12058 27 1.21132e+07 3.97408e+06 791147. 3090.42 16.13 0.952523 0.920295 26792 163197 -1 10037 19 3263 6488 1051073 260909 22.1926 22.1926 -1736.57 -22.1926 0 0 1.01637e+06 3970.19 1.20 1.06 0.35 -1 -1 1.20 0.234786 0.23044 0.008092 0.3537 0.01628 0.63 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1667.34 vpr 498.08 MiB -1 -1 144.32 350948 123 172.00 -1 -1 82156 -1 -1 1277 114 45 8 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 510036 114 102 21994 21904 1 11867 1546 50 50 2500 memory auto 191.2 MiB 797.15 164477 984396 341560 619558 23278 480.8 MiB 43.60 0.39 81.3796 -51372.9 -81.3796 81.3796 64.66 0.0580813 0.0538211 7.45432 6.37272 100 240715 32 1.47946e+08 9.6653e+07 1.76909e+07 7076.35 287.93 19.8524 17.0744 373728 3941812 -1 214762 21 37798 149570 9908123 1796529 82.1147 82.1147 -65653.6 -82.1147 -27.1769 -0.293253 2.21802e+07 8872.08 8.04 3.84 2.85 -1 -1 8.04 2.10564 1.93225 0.09065 0.4176 0.01141 0.571 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 17.54 vpr 65.28 MiB -1 -1 0.81 21584 3 0.87 -1 -1 37068 -1 -1 68 99 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66844 99 130 344 474 1 224 298 12 12 144 clb auto 27.0 MiB 0.24 613 74923 25398 37402 12123 65.3 MiB 0.23 0.00 1.839 -119.464 -1.839 1.839 1.08 0.0004368 0.00039467 0.0297252 0.0265196 50 1247 9 5.66058e+06 4.21279e+06 440062. 3055.98 6.47 0.558669 0.547423 14436 87570 -1 1189 7 289 409 21086 6553 1.98899 1.98899 -140.896 -1.98899 -1.29567 -0.29768 564899. 3922.91 0.72 0.03 0.08 -1 -1 0.72 0.00982496 0.0093716 0.01164 0.2334 0.07046 0.6962 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 49.11 vpr 69.34 MiB -1 -1 1.01 26676 15 1.07 -1 -1 38200 -1 -1 37 162 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 71000 162 96 1009 950 1 708 300 16 16 256 mult_36 auto 31.2 MiB 4.48 5371 88564 26733 54405 7426 69.3 MiB 2.30 0.00 20.9753 -1548.5 -20.9753 20.9753 2.26 0.000601515 0.000520993 0.886993 0.76491 46 11676 22 1.21132e+07 3.97408e+06 791147. 3090.42 23.41 1.73898 1.5887 26792 163197 -1 10085 19 3292 6578 1048327 260277 22.2433 22.2433 -1672.58 -22.2433 0 0 1.01637e+06 3970.19 0.99 0.71 0.17 -1 -1 0.99 0.401058 0.396803 0.008387 0.342 0.01567 0.6423 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1633.80 vpr 496.20 MiB -1 -1 147.19 352640 123 168.91 -1 -1 82564 -1 -1 1182 114 45 8 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 508112 114 102 21994 21904 1 10940 1451 50 50 2500 memory auto 191.6 MiB 877.79 154452 888239 304307 561658 22274 481.8 MiB 37.23 0.30 78.2539 -52586.4 -78.2539 78.2539 58.97 0.0684197 0.0639946 6.31801 5.30985 98 229563 46 1.47946e+08 9.15329e+07 1.74237e+07 6969.48 192.91 20.0051 17.0121 371232 3885440 -1 203027 22 36338 146810 9236519 1669830 79.2502 79.2502 -62195.8 -79.2502 -16.5833 -0.293253 2.19566e+07 8782.65 8.00 3.87 2.70 -1 -1 8.00 2.15194 1.96794 0.09196 0.4057 0.01158 0.5827 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 16.91 vpr 65.74 MiB -1 -1 0.87 21888 3 0.25 -1 -1 36784 -1 -1 68 99 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67316 99 130 344 474 1 226 298 12 12 144 clb auto 27.0 MiB 0.74 538 74923 23743 38186 12994 65.7 MiB 0.46 0.00 1.85836 -118.859 -1.85836 1.85836 1.25 0.00044323 0.00039874 0.0833149 0.0794368 44 1213 11 5.66058e+06 4.21279e+06 360780. 2505.42 6.90 0.345088 0.171848 13094 71552 -1 1006 9 361 566 21966 6628 1.95498 1.95498 -124.29 -1.95498 -1.3969 -0.320482 470765. 3269.20 0.34 0.20 0.23 -1 -1 0.34 0.193415 0.193005 0.0101 0.2387 0.07992 0.6814 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 47.92 vpr 68.84 MiB -1 -1 0.99 26840 15 1.36 -1 -1 38504 -1 -1 37 162 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70492 162 96 1009 950 1 711 300 16 16 256 mult_36 auto 30.7 MiB 3.23 5580 88564 28209 53179 7176 68.8 MiB 1.44 0.00 21.167 -1577.48 -21.167 21.167 2.90 0.000553365 0.00049063 0.333332 0.322203 52 12084 35 1.21132e+07 3.97408e+06 805949. 3148.24 22.47 2.73815 2.21138 25992 162577 -1 10001 21 3150 6471 953126 250011 22.6633 22.6633 -1754.49 -22.6633 0 0 1.06067e+06 4143.25 1.21 1.18 0.67 -1 -1 1.21 0.232977 0.228467 0.007879 0.3702 0.0172 0.6126 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1418.28 vpr 470.36 MiB -1 -1 145.00 351576 123 171.93 -1 -1 82612 -1 -1 1315 114 45 8 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 481648 114 102 21994 21904 1 12069 1584 50 50 2500 memory auto 192.3 MiB 494.09 161733 1035344 376809 638291 20244 426.2 MiB 47.71 0.39 79.7884 -52633 -79.7884 79.7884 51.20 0.0795758 0.073882 7.82214 6.15179 100 239535 23 1.47946e+08 9.8701e+07 1.63173e+07 6526.93 333.66 33.9002 28.5792 351264 3480436 -1 216591 19 45827 171695 10458335 1849574 80.5324 80.5324 -65613.9 -80.5324 -28.5065 -0.295467 2.05845e+07 8233.80 17.07 8.12 5.20 -1 -1 17.07 4.09986 3.75578 0.08432 0.4351 0.01143 0.5535 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 16.83 vpr 65.55 MiB -1 -1 0.83 21736 3 0.19 -1 -1 36916 -1 -1 68 99 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67124 99 130 344 474 1 226 298 12 12 144 clb auto 27.1 MiB 0.46 629 72933 24501 35348 13084 65.6 MiB 0.48 0.00 1.87772 -119.549 -1.87772 1.87772 1.04 0.000452653 0.00040623 0.174031 0.170487 44 1518 15 5.66058e+06 4.21279e+06 360780. 2505.42 6.14 1.10383 1.08443 13094 71552 -1 1281 11 402 620 30031 8814 1.88524 1.88524 -135.78 -1.88524 -0.676272 -0.265573 470765. 3269.20 0.44 0.03 0.20 -1 -1 0.44 0.0156127 0.0147278 0.01213 0.2346 0.06899 0.6964 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 46.26 vpr 68.68 MiB -1 -1 1.55 26524 15 1.10 -1 -1 38504 -1 -1 37 162 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70332 162 96 1009 950 1 711 300 16 16 256 mult_36 auto 30.6 MiB 3.51 5580 88564 28209 53179 7176 68.7 MiB 1.17 0.01 21.167 -1577.48 -21.167 21.167 2.19 0.00115365 0.00103787 0.263251 0.254836 52 11848 29 1.21132e+07 3.97408e+06 805949. 3148.24 19.66 1.68755 1.64436 25992 162577 -1 10011 19 3121 6382 869066 230925 22.6666 22.6666 -1713.82 -22.6666 0 0 1.06067e+06 4143.25 1.22 1.09 0.49 -1 -1 1.22 0.362726 0.358428 0.008166 0.3564 0.01659 0.627 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1328.64 vpr 427.14 MiB -1 -1 142.77 350980 123 176.23 -1 -1 82612 -1 -1 1230 114 45 8 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 437396 114 102 21994 21904 1 11404 1499 50 50 2500 memory auto 192.8 MiB 529.83 147778 953324 346443 585551 21330 427.1 MiB 40.69 0.27 78.2524 -50084.1 -78.2524 78.2524 54.46 0.0277941 0.0234826 7.20707 6.24514 92 230324 36 1.47946e+08 9.41199e+07 1.52089e+07 6083.58 204.30 25.2542 21.7376 338772 3221652 -1 204378 20 45281 171417 10544859 1903351 77.7124 77.7124 -63142.5 -77.7124 -11.6434 -0.17368 1.93279e+07 7731.17 17.86 9.85 5.34 -1 -1 17.86 4.98385 4.62141 0.08373 0.4084 0.01162 0.5799 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 13.89 vpr 65.46 MiB -1 -1 1.17 21584 3 0.36 -1 -1 36936 -1 -1 68 99 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67028 99 130 344 474 1 224 298 12 12 144 clb auto 27.0 MiB 0.57 639 73928 23996 36536 13396 65.5 MiB 0.70 0.00 1.839 -119.624 -1.839 1.839 1.17 0.000186206 0.00016243 0.0288122 0.0256314 46 1373 14 5.66058e+06 4.21279e+06 378970. 2631.74 2.82 0.363528 0.315944 13238 73581 -1 1270 10 404 640 27867 7804 1.92827 1.92827 -132.912 -1.92827 -1.34165 -0.320482 486261. 3376.82 0.64 0.03 0.16 -1 -1 0.64 0.0169172 0.0159901 0.01068 0.2679 0.07864 0.6535 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 45.63 vpr 68.88 MiB -1 -1 1.14 26840 15 1.36 -1 -1 38200 -1 -1 37 162 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70528 162 96 1009 950 1 710 300 16 16 256 mult_36 auto 30.7 MiB 3.31 5491 86558 27021 52195 7342 68.9 MiB 1.25 0.34 20.8422 -1499.39 -20.8422 20.8422 2.21 0.00126488 0.00115014 0.355281 0.343671 52 12476 25 1.21132e+07 3.97408e+06 805949. 3148.24 21.70 2.51603 2.46771 25992 162577 -1 9995 18 3172 6560 1017823 266673 22.9367 22.9367 -1682.08 -22.9367 0 0 1.06067e+06 4143.25 1.26 0.80 0.64 -1 -1 1.26 0.072601 0.068555 0.00792 0.3643 0.01693 0.6188 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 1479.70 vpr 470.31 MiB -1 -1 146.52 350988 123 170.60 -1 -1 82460 -1 -1 1303 114 45 8 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 481600 114 102 21994 21904 1 12000 1572 50 50 2500 memory auto 192.2 MiB 511.84 161777 1024804 369415 632178 23211 426.2 MiB 45.74 0.52 79.8923 -52835.3 -79.8923 79.8923 53.16 0.0653945 0.0613355 7.28465 5.98334 102 240718 39 1.47946e+08 9.80543e+07 1.66061e+07 6642.43 398.17 36.5754 31.2569 353764 3530188 -1 218796 20 45896 172140 11008942 1948718 82.1463 82.1463 -66824.1 -82.1463 -47.1487 -0.200829 2.08230e+07 8329.19 10.19 5.12 3.00 -1 -1 10.19 2.57727 2.35988 0.08548 0.4322 0.01138 0.5564 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 17.72 vpr 65.50 MiB -1 -1 0.83 21584 3 0.17 -1 -1 37220 -1 -1 68 99 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67076 99 130 344 474 1 224 298 12 12 144 clb auto 27.0 MiB 0.26 640 74923 24032 38029 12862 65.5 MiB 0.43 0.00 1.85836 -119.386 -1.85836 1.85836 1.19 0.000427283 0.000383087 0.120511 0.0306172 48 1330 15 5.66058e+06 4.21279e+06 394078. 2736.65 6.99 0.537171 0.439507 13382 75762 -1 1278 9 390 592 29773 8505 1.97104 1.97104 -133.596 -1.97104 -0.999065 -0.298787 503207. 3494.49 0.58 0.11 0.14 -1 -1 0.58 0.10463 0.103805 0.0119 0.2454 0.07017 0.6845 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 42.62 vpr 69.23 MiB -1 -1 1.12 26524 15 1.65 -1 -1 38200 -1 -1 37 162 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70892 162 96 1009 950 1 710 300 16 16 256 mult_36 auto 31.0 MiB 4.17 5491 86558 27021 52195 7342 69.2 MiB 1.25 0.09 20.8422 -1499.39 -20.8422 20.8422 1.99 0.000650897 0.000577386 0.516363 0.50516 50 12593 36 1.21132e+07 3.97408e+06 780512. 3048.87 18.20 1.59155 1.53776 25484 153448 -1 9868 18 3208 6547 956943 250643 22.4753 22.4753 -1640.64 -22.4753 0 0 1.00276e+06 3917.05 1.19 1.66 0.25 -1 -1 1.19 0.973698 0.35489 0.008176 0.3414 0.01571 0.6429 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 1450.83 vpr 451.48 MiB -1 -1 145.88 351092 123 171.94 -1 -1 82308 -1 -1 1214 114 45 8 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 462320 114 102 21994 21904 1 11183 1483 50 50 2500 memory auto 191.6 MiB 562.84 147783 922860 327293 577723 17844 426.0 MiB 38.37 0.28 78.8747 -50577.6 -78.8747 78.8747 49.09 0.0250041 0.0209632 7.20624 5.97775 98 225377 21 1.47946e+08 9.32575e+07 1.60641e+07 6425.63 317.00 34.1863 29.5372 348768 3430976 -1 200896 21 42829 165324 9641173 1718310 79.0088 79.0088 -60411.8 -79.0088 -30.4278 -0.295467 2.03677e+07 8147.07 14.76 6.23 4.38 -1 -1 14.76 3.1241 2.84352 0.08621 0.4138 0.01162 0.5746 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/task_list.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/task_list.txt index 5714a36569d..359cb73b4bb 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/task_list.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/task_list.txt @@ -13,4 +13,4 @@ regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores_frac #regression_tests/vtr_reg_nightly_test1/symbiflow regression_tests/vtr_reg_nightly_test1/power_extended_arch_list -regression_tests/vtr_reg_nightly_test1/power_extended_circuit_list +regression_tests/vtr_reg_nightly_test1/power_extended_circuit_list \ No newline at end of file diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain/config/golden_results.txt index ae79cfe8d64..898805268aa 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain/config/golden_results.txt @@ -1,22 +1,20 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 410.49 vpr 247.93 MiB -1 -1 32.15 123816 20 87.03 -1 -1 70872 -1 -1 847 133 25 0 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 253880 133 179 14247 14104 1 7175 1184 36 36 1296 clb memory auto 153.3 MiB 50.61 124164 184.8 MiB 21.54 0.20 20.1971 -196187 -20.1971 20.1971 6.68 0.065806 0.0554361 6.95264 5.66225 116 184307 30 7.21828e+07 5.93492e+07 9.38276e+06 7239.79 177.77 18.9577 15.5062 194868 1992610 -1 167943 14 31188 120710 37625861 8623615 0 0 37625861 8623615 107763 41941 0 0 574769 541317 0 0 662041 583372 0 0 111775 46780 0 0 18123157 3657337 0 0 18046356 3752868 0 0 107763 0 0 79310 698204 707334 3708165 14829 4645 22.9142 22.9142 -219306 -22.9142 0 0 1.18192e+07 9119.77 3.82 10.63 1.87 -1 -1 3.82 1.7689 1.57821 - k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 656.48 vpr 649.96 MiB -1 -1 55.85 621404 14 112.02 -1 -1 122708 -1 -1 2696 257 0 11 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 665564 257 32 35881 33523 1 19445 2996 62 62 3844 clb auto 373.2 MiB 112.47 252894 650.0 MiB 106.22 0.54 18.0476 -23441.6 -18.0476 18.0476 68.39 0.0888286 0.0718024 14.145 11.0996 74 402334 48 2.30929e+08 1.49656e+08 1.95699e+07 5091.03 120.89 34.9086 28.222 486884 4059627 -1 382077 20 95525 429835 27701264 4438423 0 0 27701264 4438423 429835 154897 0 0 668323 540084 0 0 974327 672168 0 0 451593 179858 0 0 12565365 1444359 0 0 12611821 1447057 0 0 429835 0 0 347139 2225493 2201164 15059253 0 0 20.2826 20.2826 -26579.7 -20.2826 0 0 2.45573e+07 6388.47 8.82 12.37 3.35 -1 -1 8.82 5.45561 4.76318 - k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 116.65 parmys 260.12 MiB -1 -1 14.82 266364 5 6.58 -1 -1 57716 -1 -1 494 36 0 0 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 143860 36 100 10175 7629 1 2793 630 28 28 784 clb auto 106.3 MiB 26.47 40406 139.7 MiB 6.27 0.06 13.8907 -2243.5 -13.8907 13.8907 3.56 0.026724 0.0227478 2.82369 2.31027 70 68634 45 4.25198e+07 2.66236e+07 3.59791e+06 4589.17 40.47 10.7728 8.86161 94322 733910 -1 60756 14 12416 64374 2584240 372322 0 0 2584240 372322 64374 16853 0 0 79783 64889 0 0 116149 79800 0 0 66844 20480 0 0 1114016 90074 0 0 1143074 100226 0 0 64374 0 0 54035 288877 320817 1693591 0 0 15.7509 15.7509 -2589.41 -15.7509 0 0 4.52633e+06 5773.37 2.12 2.36 0.94 -1 -1 2.12 1.49619 1.29488 - k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 27.34 vpr 72.43 MiB -1 -1 17.59 46836 3 0.86 -1 -1 38532 -1 -1 44 196 1 0 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74168 196 193 1202 1347 1 614 434 15 15 225 io auto 34.4 MiB 0.96 2959 72.4 MiB 0.83 0.01 2.02269 -977.766 -2.02269 2.02269 0.78 0.00401035 0.00366227 0.363492 0.330989 38 6366 23 1.03862e+07 2.91934e+06 544116. 2418.30 3.00 1.33966 1.21888 21558 109668 -1 5536 11 1841 2762 250892 63287 0 0 250892 63287 2762 2079 0 0 9948 9247 0 0 10819 9960 0 0 2853 2234 0 0 114330 19696 0 0 110180 20071 0 0 2762 0 0 927 2070 2736 17957 0 0 2.46579 2.46579 -1209.4 -2.46579 0 0 690508. 3068.92 0.26 0.22 0.13 -1 -1 0.26 0.142745 0.134823 - k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 3.97 vpr 67.61 MiB -1 -1 0.35 20916 3 0.09 -1 -1 35788 -1 -1 68 99 1 0 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69236 99 130 343 473 1 217 298 12 12 144 clb auto 29.2 MiB 0.30 494 67.6 MiB 0.25 0.00 1.48813 -107.16 -1.48813 1.48813 0.42 0.00103449 0.000954227 0.0813882 0.0749218 46 1057 9 5.66058e+06 4.21279e+06 378966. 2631.71 0.98 0.280223 0.255323 13518 73784 -1 1006 11 447 729 31364 10104 0 0 31364 10104 729 514 0 0 1918 1723 0 0 2674 1919 0 0 768 583 0 0 12561 3136 0 0 12714 2229 0 0 729 0 0 282 413 454 3102 0 0 1.91436 1.91436 -129.917 -1.91436 0 0 486261. 3376.82 0.16 0.05 0.10 -1 -1 0.16 0.0358634 0.0335493 - k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 15.96 vpr 70.80 MiB -1 -1 0.43 24488 5 0.22 -1 -1 37184 -1 -1 31 162 0 5 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72496 162 96 1070 887 1 659 294 16 16 256 mult_36 auto 33.1 MiB 0.50 4847 70.8 MiB 0.77 0.01 15.3312 -1171.96 -15.3312 15.3312 0.91 0.00340029 0.00316111 0.34711 0.320261 58 9528 25 1.21132e+07 3.65071e+06 904541. 3533.36 9.77 1.59771 1.46539 27572 180683 -1 8410 20 2566 4073 1387787 349685 0 0 1387787 349685 4073 3049 0 0 69481 68582 0 0 72823 69782 0 0 4333 3409 0 0 624566 103890 0 0 612511 100973 0 0 4073 0 0 1528 3875 3716 21922 0 0 17.126 17.126 -1337.32 -17.126 0 0 1.15318e+06 4504.63 0.45 0.52 0.23 -1 -1 0.45 0.168054 0.157024 - k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 19.46 vpr 69.28 MiB -1 -1 0.30 23600 5 0.17 -1 -1 36696 -1 -1 22 66 0 5 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70944 66 96 780 597 1 469 189 16 16 256 mult_36 auto 31.2 MiB 0.67 3528 69.3 MiB 0.51 0.01 11.4423 -692.28 -11.4423 11.4423 0.92 0.00237204 0.00221104 0.244167 0.226432 60 7762 25 1.21132e+07 3.16567e+06 934704. 3651.19 13.46 1.30311 1.1985 27828 185084 -1 6796 22 3481 7550 2322059 624819 0 0 2322059 624819 7550 5477 0 0 109913 108872 0 0 122502 110413 0 0 8190 5990 0 0 1045776 198980 0 0 1028128 195087 0 0 7550 0 0 4080 9181 9731 47318 0 0 12.6561 12.6561 -816.855 -12.6561 0 0 1.17753e+06 4599.72 0.44 0.77 0.23 -1 -1 0.44 0.149045 0.139492 - k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 128.87 vpr 317.14 MiB -1 -1 15.94 120720 5 5.16 -1 -1 47476 -1 -1 464 506 44 0 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 324756 506 553 3236 3734 1 2873 1567 50 50 2500 memory auto 60.1 MiB 6.11 16530 317.1 MiB 6.60 0.07 6.5587 -2064.11 -6.5587 6.5587 41.00 0.0217426 0.0197759 2.91889 2.63587 38 25063 15 1.47946e+08 4.91194e+07 6.86579e+06 2746.32 26.27 8.33918 7.67025 258216 1426232 -1 23931 15 4399 5669 4223937 1062482 0 0 4223937 1062482 5269 5153 0 0 111015 109614 0 0 114361 111587 0 0 5674 5481 0 0 1975177 414793 0 0 2012441 415854 0 0 5269 0 0 874 6520 5278 11010 405 693 7.25494 7.25494 -2525.54 -7.25494 0 0 8.69102e+06 3476.41 4.89 2.05 1.63 -1 -1 4.89 0.909881 0.855705 - k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 35.42 vpr 73.33 MiB -1 -1 1.30 27920 2 0.15 -1 -1 36924 -1 -1 30 311 15 0 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75092 311 156 1015 1158 1 965 512 28 28 784 memory auto 35.5 MiB 0.91 7920 73.3 MiB 1.27 0.02 3.81344 -4181.51 -3.81344 3.81344 3.53 0.00538017 0.00465878 0.567556 0.495943 36 14928 16 4.25198e+07 9.83682e+06 1.94918e+06 2486.20 20.73 2.58546 2.30186 76314 389223 -1 13555 13 2939 3321 2467815 697757 0 0 2467815 697757 3321 3071 0 0 78555 77676 0 0 80178 78793 0 0 3351 3117 0 0 1157183 268007 0 0 1145227 267093 0 0 3321 0 0 382 2728 2532 11725 0 0 4.4448 4.4448 -5019.22 -4.4448 0 0 2.40571e+06 3068.51 1.22 0.96 0.44 -1 -1 1.22 0.225155 0.208091 - k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 36.13 vpr 84.81 MiB -1 -1 7.35 54744 5 2.70 -1 -1 40540 -1 -1 175 193 5 0 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 86848 193 205 2771 2705 1 1368 578 20 20 400 memory auto 47.6 MiB 4.31 11113 84.8 MiB 2.34 0.03 5.23435 -2522.06 -5.23435 5.23435 1.62 0.00833023 0.00752518 0.9609 0.84644 52 19410 26 2.07112e+07 1.21714e+07 1.31074e+06 3276.84 11.44 3.26763 2.90235 42580 268535 -1 17407 13 4413 10923 1108643 243152 0 0 1108643 243152 10510 5488 0 0 35341 30980 0 0 40483 35495 0 0 10879 6021 0 0 515801 82152 0 0 495629 83016 0 0 10510 0 0 6386 36199 34608 249625 436 34 6.24907 6.24907 -3031.86 -6.24907 0 0 1.72518e+06 4312.96 0.71 0.71 0.31 -1 -1 0.71 0.391367 0.360728 - k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 90.68 vpr 116.33 MiB -1 -1 6.24 63900 8 5.12 -1 -1 43808 -1 -1 246 385 2 1 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 119124 385 362 4434 4322 1 2384 996 26 26 676 io auto 62.2 MiB 9.42 29053 98.9 MiB 7.33 0.08 8.25506 -9374.4 -8.25506 8.25506 3.24 0.0179221 0.0162798 2.43977 2.08881 88 45940 41 3.69863e+07 1.47499e+07 3.77884e+06 5590.00 46.25 11.3089 9.88989 89712 781758 -1 41613 19 10306 33786 3148189 609073 0 0 3148189 609073 32510 14801 0 0 79948 72279 0 0 100823 80017 0 0 34137 16595 0 0 1472148 205944 0 0 1428623 219437 0 0 32510 0 0 22833 109217 114101 681016 1512 278 9.38469 9.38469 -10816.1 -9.38469 0 0 4.73879e+06 7010.04 2.17 2.12 1.06 -1 -1 2.17 1.03994 0.944849 - k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 34.54 vpr 85.78 MiB -1 -1 4.38 44508 3 1.05 -1 -1 39876 -1 -1 120 236 1 6 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87836 236 305 3195 3007 1 1534 668 19 19 361 io auto 48.7 MiB 3.33 12524 85.8 MiB 2.77 0.03 4.26501 -2620.32 -4.26501 4.26501 1.44 0.01019 0.00931193 1.14033 1.01857 62 25344 28 1.72706e+07 9.39128e+06 1.42198e+06 3939.00 14.52 3.4611 3.08438 40483 281719 -1 21416 22 6829 19117 3520990 772892 0 0 3520990 772892 19117 11564 0 0 135357 131265 0 0 151809 135817 0 0 20512 12837 0 0 1588198 238811 0 0 1605997 242598 0 0 19117 0 0 12471 45282 45850 271868 0 0 5.15833 5.15833 -3045 -5.15833 0 0 1.76637e+06 4892.99 0.76 1.62 0.35 -1 -1 0.76 0.635537 0.581875 - k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 21.16 vpr 83.14 MiB -1 -1 2.89 46332 4 2.29 -1 -1 40824 -1 -1 132 38 0 0 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85132 38 36 2744 2493 1 1037 206 16 16 256 clb auto 46.1 MiB 2.60 8605 83.1 MiB 1.04 0.02 9.2557 -2488.28 -9.2557 9.2557 0.91 0.00913363 0.00746336 0.564793 0.483165 64 12823 20 1.21132e+07 7.11401e+06 1.00276e+06 3917.05 6.46 3.0148 2.62134 28592 198411 -1 12333 22 4332 9782 375885 65609 0 0 375885 65609 9182 5006 0 0 13374 9992 0 0 20044 13409 0 0 9413 5384 0 0 163146 15567 0 0 160726 16251 0 0 9182 0 0 5051 27553 26289 197761 730 107 10.9115 10.9115 -3081.15 -10.9115 0 0 1.25521e+06 4903.16 0.47 0.70 0.25 -1 -1 0.47 0.557217 0.494414 - k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 25.23 vpr 74.60 MiB -1 -1 3.34 34324 16 0.71 -1 -1 37800 -1 -1 61 45 3 1 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76388 45 32 1193 1152 1 791 142 14 14 196 memory auto 36.9 MiB 2.89 6696 74.6 MiB 0.72 0.01 9.71 -6283.34 -9.71 9.71 0.68 0.00422407 0.00378027 0.385671 0.338114 60 14858 44 9.20055e+06 5.32753e+06 710723. 3626.14 13.08 2.15118 1.89406 21456 140545 -1 11653 14 3704 9997 1842989 446772 0 0 1842989 446772 9997 5411 0 0 62472 60193 0 0 68126 62798 0 0 10426 6123 0 0 849342 158146 0 0 842626 154101 0 0 9997 0 0 6458 20629 22240 155104 0 0 11.3818 11.3818 -7431.53 -11.3818 0 0 894373. 4563.13 0.31 0.73 0.18 -1 -1 0.31 0.225156 0.207036 - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 111.98 vpr 235.43 MiB -1 -1 12.31 101572 5 12.46 -1 -1 68608 -1 -1 710 169 0 0 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 241076 169 197 23321 21461 1 6583 1076 33 33 1089 clb auto 177.1 MiB 14.96 40982 210.9 MiB 12.30 0.11 3.03587 -13154.2 -3.03587 3.03587 5.55 0.0490204 0.0442584 6.05107 5.03887 56 61157 24 6.0475e+07 3.82649e+07 4.09277e+06 3758.28 28.81 21.4407 17.8586 121655 832457 -1 57524 16 17484 27064 1063275 206702 0 0 1063275 206702 25415 19052 0 0 37966 28659 0 0 50636 38010 0 0 26029 19941 0 0 467714 50281 0 0 455515 50759 0 0 25415 0 0 8091 34720 35364 208223 1879 2046 3.7082 3.7082 -15176.1 -3.7082 0 0 5.21984e+06 4793.24 2.47 3.20 1.02 -1 -1 2.47 3.00445 2.6385 - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 215.96 vpr 260.57 MiB -1 -1 10.85 123472 3 18.57 -1 -1 77008 -1 -1 680 115 0 40 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 266824 115 145 22868 19305 1 9678 980 40 40 1600 mult_36 auto 172.5 MiB 13.41 85076 205.9 MiB 13.66 0.13 5.23187 -21800.3 -5.23187 5.23187 7.95 0.0403819 0.0355147 5.31263 4.45229 88 131011 37 9.16046e+07 5.24886e+07 9.19823e+06 5748.90 110.77 21.6179 17.9277 213624 1916262 -1 121150 15 33306 52413 27638660 5590543 0 0 27638660 5590543 47486 38101 0 0 603337 590193 0 0 638433 604646 0 0 48900 39273 0 0 13116047 2122596 0 0 13184457 2195734 0 0 47486 0 0 14789 194171 200346 743137 5350 2860 5.44068 5.44068 -25073.2 -5.44068 0 0 1.15336e+07 7208.51 5.66 11.18 2.54 -1 -1 5.66 2.46486 2.17612 - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 716.30 vpr 923.09 MiB -1 -1 17.05 197132 3 9.97 -1 -1 155012 -1 -1 1498 149 0 179 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 945244 149 182 55416 37075 1 28615 2008 80 80 6400 mult_36 auto 356.7 MiB 29.56 292875 923.1 MiB 86.89 0.59 12.0992 -47669.5 -12.0992 12.0992 126.87 0.132042 0.114467 20.0391 16.3461 96 422372 45 3.90281e+08 1.51617e+08 4.11781e+07 6434.07 312.23 65.3324 53.9182 901880 8701757 -1 383436 19 101430 119780 44985362 9118338 0 0 44985362 9118338 117847 105453 0 0 974905 936173 0 0 1099495 978614 0 0 118863 106726 0 0 21396619 3441321 0 0 21277633 3550051 0 0 117847 0 0 16517 101953 97518 383293 2408 3049 13.7193 13.7193 -54713.6 -13.7193 0 0 5.14892e+07 8045.19 28.90 24.87 12.88 -1 -1 28.90 7.41219 6.41351 - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.79 vpr 66.95 MiB -1 -1 0.73 25044 4 0.18 -1 -1 35640 -1 -1 15 11 0 0 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68560 11 2 303 283 2 80 28 7 7 49 clb auto 28.6 MiB 0.26 262 67.0 MiB 0.05 0.00 1.86328 -148.615 -1.86328 1.77125 0.09 0.000619303 0.000545237 0.0270593 0.024287 26 348 20 1.07788e+06 808410 68696.0 1401.96 0.20 0.121964 0.106082 3516 12294 -1 329 11 202 331 5307 1941 0 0 5307 1941 331 277 0 0 422 331 0 0 488 422 0 0 395 317 0 0 1902 351 0 0 1769 243 0 0 331 0 0 129 177 156 1255 0 0 1.98243 1.82748 -169.552 -1.98243 0 0 84249.8 1719.38 0.02 0.04 0.01 -1 -1 0.02 0.0323454 0.0298014 - k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 923.34 vpr 621.21 MiB -1 -1 65.69 454672 98 120.41 -1 -1 114976 -1 -1 2126 114 45 8 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 636124 114 102 35713 31804 1 16877 2395 56 56 3136 clb auto 345.6 MiB 87.41 226044 553.2 MiB 91.76 0.70 66.0506 -53632.5 -66.0506 66.0506 50.57 0.127645 0.111196 16.7216 13.249 96 340308 28 1.8697e+08 1.42409e+08 1.98848e+07 6340.81 430.88 88.096 69.945 439150 4183214 -1 313293 23 65139 255112 41062722 8870199 0 0 41062722 8870199 248386 84504 0 0 698308 624575 0 0 868831 704028 0 0 258041 97160 0 0 19237817 3628633 0 0 19751339 3731299 0 0 248386 0 0 190255 880054 894728 5690042 7245 6009 74.2404 74.2404 -68009 -74.2404 0 0 2.48675e+07 7929.69 8.82 16.16 3.72 -1 -1 8.82 5.43805 4.71662 - k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 4696.50 vpr 2.22 GiB -1 -1 224.16 1476188 97 1295.36 -1 -1 357704 -1 -1 7412 114 168 32 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2331516 114 102 120062 107871 1 57253 7828 102 102 10404 clb auto 1117.3 MiB 311.31 1026779 1827.8 MiB 468.75 2.94 64.7172 -343930 -64.7172 64.7172 132.49 0.278765 0.244287 39.56 33.0954 132 1348522 23 6.36957e+08 5.04159e+08 8.88356e+07 8538.60 1927.09 174.105 142.084 1719732 19599881 -1 1294641 20 206456 897577 259367945 68896390 0 0 259367945 68896390 844868 255276 0 0 2617408 2394583 0 0 3226115 2635699 0 0 877238 309016 0 0 124439792 31032970 0 0 127362524 32268846 0 0 844868 0 0 663878 3915548 3927216 21958437 54562 195130 73.1695 73.1695 -478357 -73.1695 0 0 1.13733e+08 10931.6 57.08 112.55 20.01 -1 -1 57.08 18.0642 15.6145 - k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 6538.59 vpr 2.03 GiB -1 -1 293.14 1241956 25 4708.27 -1 -1 371036 -1 -1 6438 36 159 27 success 168f007-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-09-10T13:47:58 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2132944 36 356 184794 159441 1 63873 7016 95 95 9025 clb auto 1304.2 MiB 150.60 762501 1810.7 MiB 483.65 3.05 41.756 -304360 -41.756 41.756 103.52 0.250235 0.198828 37.009 30.2993 142 999026 44 5.4965e+08 4.44764e+08 8.24996e+07 9141.23 565.60 140.497 115.874 1545258 18129248 -1 961473 21 220892 499165 103698408 24915605 0 0 103698408 24915605 438773 253639 0 0 1517742 1393247 0 0 1870287 1525002 0 0 450080 273409 0 0 49548980 10620812 0 0 49872546 10849496 0 0 438773 0 0 220927 1134104 1047230 3824786 68547 252639 45.4769 45.4769 -371165 -45.4769 0 0 1.04574e+08 11587.1 44.65 45.09 18.73 -1 -1 44.65 15.6757 13.7463 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time +k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 347.81 vpr 304.34 MiB -1 -1 53.82 126076 20 102.96 -1 -1 71768 -1 -1 845 133 25 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 311640 133 179 14228 14085 1 7103 1182 36 36 1296 clb memory auto 151.9 MiB 50.58 126345 644623 217282 405134 22207 183.8 MiB 12.91 0.12 22.8575 -211550 -22.8575 22.8575 4.26 0.0208839 0.0180153 2.30248 1.95168 118 188142 29 7.21828e+07 5.92414e+07 9.54364e+06 7363.92 90.75 10.1752 8.78955 197456 2042276 -1 170705 18 30798 120350 10309620 1762251 23.8995 23.8995 -217733 -23.8995 0 0 1.20761e+07 9318.00 4.68 3.99 1.91 -1 -1 4.68 1.80003 1.67655 +k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 609.57 vpr 673.32 MiB -1 -1 110.72 637372 14 109.75 -1 -1 123556 -1 -1 2709 257 0 11 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 689476 257 32 36080 33722 1 19523 3009 63 63 3969 clb auto 374.2 MiB 80.49 245363 2130981 751008 1352357 27616 673.3 MiB 62.83 0.50 19.0314 -25569.1 -19.0314 19.0314 46.35 0.0460908 0.0383097 6.55815 5.62157 74 395685 32 2.36641e+08 1.50357e+08 2.02178e+07 5093.92 106.43 20.8968 18.3205 502298 4195434 -1 378412 19 99298 447896 21524472 3194789 19.3163 19.3163 -26089.1 -19.3163 0 0 2.53694e+07 6391.88 9.63 8.78 3.12 -1 -1 9.63 4.18202 3.90547 +k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 201.41 parmys 257.95 MiB -1 -1 35.09 264144 5 13.58 -1 -1 58532 -1 -1 495 36 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 144028 36 100 10178 7632 1 2757 631 29 29 841 clb auto 105.3 MiB 42.59 42156 227851 67230 146075 14546 139.0 MiB 9.05 0.07 15.3153 -2397.59 -15.3153 15.3153 5.91 0.00886581 0.00764147 1.62099 1.37247 70 73490 44 4.4999e+07 2.66775e+07 3.87716e+06 4610.18 56.82 7.51808 6.43158 101140 791177 -1 62580 14 12938 66339 2702589 385416 15.7284 15.7284 -2623.12 -15.7284 0 0 4.87732e+06 5799.43 3.65 2.41 1.30 -1 -1 3.65 1.41093 1.29701 +k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 68.83 vpr 71.76 MiB -1 -1 42.19 47728 3 2.80 -1 -1 38764 -1 -1 45 196 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 73484 196 193 1201 1346 1 603 435 15 15 225 io auto 33.9 MiB 2.13 2836 148665 42382 92853 13430 71.8 MiB 1.73 0.05 2.37784 -1101.45 -2.37784 2.37784 2.08 0.00161879 0.00149054 0.54454 0.52938 38 6358 28 1.03862e+07 2.97323e+06 544116. 2418.30 6.71 2.2937 2.24835 21558 109668 -1 5494 12 1894 2912 215378 57193 2.6555 2.6555 -1189.81 -2.6555 0 0 690508. 3068.92 0.86 0.36 0.25 -1 -1 0.86 0.22943 0.226118 +k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 27.79 vpr 65.73 MiB -1 -1 0.79 20976 3 2.93 -1 -1 36028 -1 -1 68 99 1 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67308 99 130 344 474 1 227 298 12 12 144 clb auto 27.6 MiB 0.58 665 70943 20214 37821 12908 65.7 MiB 0.55 0.00 1.89985 -118.566 -1.89985 1.89985 1.38 0.000421795 0.00037894 0.0828386 0.0318536 34 1521 10 5.66058e+06 4.21279e+06 293035. 2034.97 6.21 1.02139 0.805486 12374 55836 -1 1460 10 374 563 37546 11495 1.99363 1.99363 -142.699 -1.99363 0 0 360780. 2505.42 0.27 0.36 0.05 -1 -1 0.27 0.161709 0.160873 +k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 44.43 vpr 69.55 MiB -1 -1 0.97 24456 5 3.01 -1 -1 36672 -1 -1 32 162 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 71220 162 96 1075 892 1 666 295 16 16 256 mult_36 auto 31.9 MiB 2.13 4888 98395 35924 54623 7848 69.6 MiB 1.77 0.01 15.9849 -1245.5 -15.9849 15.9849 2.29 0.00115952 0.00104783 0.386678 0.374306 54 11517 39 1.21132e+07 3.70461e+06 835786. 3264.79 16.35 2.53611 2.49579 26808 168260 -1 9045 20 3002 4894 999650 272771 17.368 17.368 -1361.81 -17.368 0 0 1.08607e+06 4242.47 0.87 1.21 0.25 -1 -1 0.87 0.122627 0.118201 +k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 46.07 vpr 67.30 MiB -1 -1 0.72 23560 5 3.00 -1 -1 36612 -1 -1 22 66 0 5 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 68912 66 96 778 595 1 467 189 16 16 256 mult_36 auto 29.4 MiB 1.79 3517 47885 16325 27094 4466 67.3 MiB 1.18 0.00 12.1762 -748.789 -12.1762 12.1762 2.58 0.000842852 0.000763987 0.359297 0.351805 58 7863 24 1.21132e+07 3.16567e+06 904541. 3533.36 18.49 1.41528 1.26838 27572 180683 -1 6689 20 3225 6789 1347145 406246 12.9279 12.9279 -808.846 -12.9279 0 0 1.15318e+06 4504.63 1.45 1.49 0.68 -1 -1 1.45 0.520221 0.517106 +k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 222.40 vpr 316.47 MiB -1 -1 37.56 120696 5 12.17 -1 -1 48188 -1 -1 476 506 44 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 324064 506 553 3236 3734 1 2873 1579 50 50 2500 memory auto 59.2 MiB 13.91 15054 1214791 602860 418994 192937 316.5 MiB 10.67 0.15 8.181 -2068.91 -8.181 8.181 61.93 0.0478123 0.0467326 4.39858 4.10555 38 22965 15 1.47946e+08 4.97661e+07 6.86579e+06 2746.32 37.86 11.6146 11.063 258216 1426232 -1 21977 13 3929 4973 1082649 250286 8.4247 8.4247 -2363.08 -8.4247 0 0 8.69102e+06 3476.41 6.90 1.02 2.33 -1 -1 6.90 0.89153 0.854835 +k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 80.08 vpr 73.72 MiB -1 -1 2.94 28272 2 2.91 -1 -1 37080 -1 -1 30 311 15 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 75492 311 156 1015 1158 1 965 512 28 28 784 memory auto 33.5 MiB 2.55 8030 186789 65892 110912 9985 71.5 MiB 2.59 0.01 4.09928 -4345.67 -4.09928 4.09928 7.88 0.00264172 0.00233751 1.56568 1.07686 40 14144 15 4.25198e+07 9.83682e+06 2.13295e+06 2720.61 37.35 4.22736 3.45946 78662 432578 -1 13229 14 2738 3114 772542 202185 4.11992 4.11992 -5043.32 -4.11992 -0.00271738 -0.00135869 2.67004e+06 3405.67 3.00 0.93 1.00 -1 -1 3.00 0.313183 0.307375 +k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 84.20 vpr 83.47 MiB -1 -1 16.05 54968 5 7.85 -1 -1 42776 -1 -1 167 193 5 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 85476 193 205 2718 2652 1 1363 570 20 20 400 memory auto 46.0 MiB 10.28 10491 250942 88000 136250 26692 83.5 MiB 4.78 0.02 5.61304 -2876.77 -5.61304 5.61304 3.18 0.00324013 0.00285266 1.01821 0.915768 50 19733 40 2.07112e+07 1.17403e+07 1.26946e+06 3173.65 24.29 3.27003 3.08922 41784 253636 -1 16894 15 4991 12342 710062 143690 5.67088 5.67088 -3192.42 -5.67088 0 0 1.63222e+06 4080.54 1.47 0.91 0.47 -1 -1 1.47 0.694707 0.682032 +k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 169.02 vpr 124.16 MiB -1 -1 13.02 65968 8 13.01 -1 -1 44472 -1 -1 246 385 2 1 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 127144 385 362 4415 4299 1 2357 996 26 26 676 io auto 61.4 MiB 24.26 29214 557860 213197 320693 23970 98.2 MiB 14.97 0.17 8.92238 -9638.73 -8.92238 8.92238 5.87 0.0071698 0.00653702 2.02958 1.88836 104 42662 20 3.69863e+07 1.47499e+07 4.35880e+06 6447.93 71.20 9.70041 8.85034 97140 924598 -1 41533 17 8831 29448 1716817 288797 8.83648 8.83648 -9970.03 -8.83648 0 0 5.53220e+06 8183.73 4.32 1.77 1.63 -1 -1 4.32 0.929065 0.874115 +k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 79.85 vpr 85.10 MiB -1 -1 9.42 44716 3 3.09 -1 -1 40836 -1 -1 122 236 1 6 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 87140 236 305 3199 3011 1 1513 670 19 19 361 io auto 48.1 MiB 9.05 11986 276090 94198 168472 13420 85.1 MiB 5.65 0.28 4.7515 -2838.34 -4.7515 4.7515 3.68 0.00383922 0.00344295 1.71538 1.62701 62 24333 38 1.72706e+07 9.49907e+06 1.42198e+06 3939.00 31.27 5.68352 4.99371 40483 281719 -1 20473 16 5845 14538 1304206 307506 5.04173 5.04173 -3066.08 -5.04173 0 0 1.76637e+06 4892.99 1.37 1.62 0.51 -1 -1 1.37 0.980672 0.969505 +k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 59.15 vpr 82.88 MiB -1 -1 5.62 47460 3 5.84 -1 -1 42856 -1 -1 139 38 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 84872 38 36 2739 2488 1 1037 213 16 16 256 clb auto 45.7 MiB 6.78 8683 35138 8440 23818 2880 82.9 MiB 1.92 0.01 10.5532 -2964 -10.5532 10.5532 2.76 0.00221601 0.00187896 0.634926 0.269636 58 13510 42 1.21132e+07 7.49127e+06 904541. 3533.36 20.75 3.53901 2.77536 27572 180683 -1 11978 21 4001 9180 321108 56174 11.0143 11.0143 -3262.56 -11.0143 0 0 1.15318e+06 4504.63 1.42 0.92 0.46 -1 -1 1.42 0.520373 0.50522 +k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 48.71 vpr 73.43 MiB -1 -1 7.46 34864 16 2.07 -1 -1 38264 -1 -1 60 45 3 1 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 75192 45 32 1192 1151 1 782 141 14 14 196 memory auto 35.8 MiB 7.86 6410 20637 4949 13652 2036 73.4 MiB 0.99 0.00 10.3793 -6863.03 -10.3793 10.3793 1.73 0.000510972 0.000411354 0.320782 0.307111 58 12825 20 9.20055e+06 5.27364e+06 687722. 3508.79 16.72 1.80931 1.49467 21260 137239 -1 10935 15 3457 9035 790330 187947 10.8157 10.8157 -7288.37 -10.8157 0 0 876180. 4470.31 1.00 0.75 0.34 -1 -1 1.00 0.215598 0.208783 +k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 214.41 vpr 231.37 MiB -1 -1 28.40 101752 5 22.98 -1 -1 69572 -1 -1 707 169 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 236924 169 197 23225 21365 1 6482 1073 33 33 1089 clb auto 176.0 MiB 30.75 39441 555664 177689 355200 22775 209.6 MiB 14.76 0.11 3.49056 -13720.1 -3.49056 3.49056 7.69 0.0159828 0.013251 4.26642 3.67362 50 62353 46 6.0475e+07 3.81032e+07 3.66263e+06 3363.29 66.28 17.9847 15.396 117303 744553 -1 55179 14 16293 25082 928288 182208 3.67335 3.67335 -14773.3 -3.67335 0 0 4.71657e+06 4331.10 4.56 3.09 1.04 -1 -1 4.56 2.86949 2.67286 +k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 248.31 vpr 257.57 MiB -1 -1 23.50 124136 3 30.99 -1 -1 77592 -1 -1 679 115 0 40 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 263748 115 145 22864 19301 1 9652 979 40 40 1600 mult_36 auto 171.5 MiB 26.70 79679 506315 166111 319409 20795 206.4 MiB 17.36 0.16 5.28452 -22625 -5.28452 5.28452 11.55 0.0145416 0.0122082 4.30753 3.77434 86 127927 38 9.16046e+07 5.24347e+07 8.98461e+06 5615.38 89.60 17.7748 15.2986 212028 1885476 -1 116528 16 32579 50376 8549482 1753543 5.41965 5.41965 -25069.6 -5.41965 0 0 1.13675e+07 7104.67 5.41 3.42 2.27 -1 -1 5.41 1.63902 1.54586 +k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 678.77 vpr 977.16 MiB -1 -1 34.91 197452 3 16.89 -1 -1 155756 -1 -1 1503 149 0 179 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 1000616 149 182 55415 37074 1 28618 2013 80 80 6400 mult_36 auto 355.4 MiB 54.28 292699 1704483 597811 1047225 59447 932.7 MiB 34.31 0.28 13.6001 -51949.9 -13.6001 13.6001 95.49 0.0496311 0.0435272 5.96807 5.1399 98 403418 22 3.90281e+08 1.51886e+08 4.18005e+07 6531.32 305.19 24.6846 21.6949 914680 8979364 -1 379407 21 98693 116240 14827595 2931097 14.4246 14.4246 -56744.4 -14.4246 0 0 5.30091e+07 8282.68 23.99 5.42 6.67 -1 -1 23.99 2.93403 2.73413 +k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 16.45 vpr 65.95 MiB -1 -1 1.59 25536 4 3.60 -1 -1 35268 -1 -1 15 11 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67536 11 2 303 283 2 80 28 7 7 49 clb auto 27.5 MiB 0.47 271 994 177 758 59 66.0 MiB 0.13 0.00 2.03512 -161.709 -2.03512 1.89824 0.09 0.000210013 0.000166542 0.120271 0.119043 26 394 10 1.07788e+06 808410 68696.0 1401.96 0.54 0.156422 0.150238 3516 12294 -1 368 11 215 371 6520 2328 2.14356 1.95295 -169.844 -2.14356 0 0 84249.8 1719.38 0.15 0.02 0.01 -1 -1 0.15 0.0160037 0.0148764 +k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 672.40 vpr 645.20 MiB -1 -1 138.72 453444 97 117.11 -1 -1 115976 -1 -1 2135 114 45 8 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 660688 114 102 35834 31925 1 17063 2404 56 56 3136 clb auto 347.6 MiB 67.29 228215 1805268 676105 1101345 27818 558.2 MiB 52.66 0.47 74.09 -55972 -74.09 74.09 35.19 0.0472997 0.0409668 6.53089 5.49597 100 328871 23 1.8697e+08 1.42894e+08 2.05038e+07 6538.21 184.66 29.9856 25.7028 448554 4379381 -1 309498 22 65393 254908 13629212 2316157 74.6103 74.6103 -67429.4 -74.6103 0 0 2.58676e+07 8248.60 10.66 6.38 3.38 -1 -1 10.66 3.79848 3.49687 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_depop/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_depop/config/golden_results.txt index 52645d47c66..87e9637f9ad 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_depop/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_depop/config/golden_results.txt @@ -1,22 +1,22 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml arm_core.v common 545.64 vpr 346.07 MiB -1 -1 29.90 123068 20 97.42 -1 -1 73164 -1 -1 678 133 25 0 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 354372 133 179 14247 14104 1 6984 1015 36 36 1296 memory auto 153.1 MiB 32.08 113413 185.4 MiB 21.76 0.15 19.9189 -193674 -19.9189 19.9189 6.44 0.0512806 0.0392212 5.02432 4.19075 154 206362 36 7.21828e+07 5.02408e+07 1.28857e+07 9942.66 304.02 25.9725 21.2329 239994 2946416 -1 187180 16 34914 147358 43549116 9406829 0 0 43549116 9406829 128796 52721 0 0 796667 773582 0 0 1183163 952258 0 0 135026 60639 0 0 20402678 3789924 0 0 20902786 3777705 0 0 128796 0 0 97173 1051857 1049770 5043924 20799 3936 22.75 22.75 -217270 -22.75 -9.06156 -0.318417 1.62481e+07 12537.1 8.23 14.78 3.62 -1 -1 8.23 2.22202 1.99128 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml bgm.v common 916.69 vpr 696.57 MiB -1 -1 52.71 616036 14 131.99 -1 -1 123236 -1 -1 2262 257 0 11 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 713292 257 32 35881 33523 1 18654 2562 58 58 3364 clb auto 367.5 MiB 62.17 234382 678.5 MiB 108.21 0.91 16.7655 -22604.2 -16.7655 16.7655 65.54 0.110066 0.0923915 11.6459 9.67862 116 471469 40 2.00088e+08 1.26268e+08 2.71672e+07 8075.87 384.87 52.4918 43.6872 551390 6104869 -1 446793 21 103550 485803 44896743 8058653 0 0 44896743 8058653 485803 193679 0 0 1494424 1414397 0 0 2729411 1994725 0 0 516475 233709 0 0 19823146 2094256 0 0 19847484 2127887 0 0 485803 0 0 401608 3364918 3264114 19931597 0 0 19.1568 19.1568 -25453.6 -19.1568 0 0 3.40353e+07 10117.5 16.25 18.90 6.71 -1 -1 16.25 6.1806 5.51673 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml blob_merge.v common 197.18 parmys 257.84 MiB -1 -1 13.35 264024 5 8.09 -1 -1 57872 -1 -1 457 36 0 0 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 159772 36 100 10175 7629 1 2974 593 27 27 729 clb auto 106.9 MiB 27.83 42128 142.6 MiB 7.02 0.08 13.7533 -2228.18 -13.7533 13.7533 3.46 0.0244555 0.0210381 2.43882 2.06003 100 87535 49 3.93038e+07 2.46296e+07 4.90255e+06 6725.04 115.21 14.9657 12.2191 109618 1078381 -1 77019 16 14464 73262 5496259 1015970 0 0 5496259 1015970 73006 22458 0 0 210042 196832 0 0 368789 286982 0 0 76902 27413 0 0 2354010 242472 0 0 2413510 239813 0 0 73006 0 0 61110 436875 418289 2147399 294 1 15.511 15.511 -2652.93 -15.511 0 0 6.15199e+06 8438.94 3.18 3.27 1.33 -1 -1 3.18 1.40873 1.24341 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml boundtop.v common 29.96 vpr 74.69 MiB -1 -1 16.44 46876 3 1.03 -1 -1 40252 -1 -1 44 196 1 0 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 76484 196 193 1202 1347 1 609 434 15 15 225 io auto 36.5 MiB 0.68 3010 74.7 MiB 0.85 0.01 2.16087 -974.233 -2.16087 2.16087 0.93 0.00291436 0.00253728 0.269948 0.239355 46 6701 18 1.03862e+07 2.91934e+06 698613. 3104.95 5.36 1.17064 1.03678 24628 145134 -1 6132 13 1970 3512 338399 93046 0 0 338399 93046 3512 2632 0 0 15349 14348 0 0 20394 18565 0 0 3701 2853 0 0 148499 26988 0 0 146944 27660 0 0 3512 0 0 1559 5536 5491 37604 0 0 2.76282 2.76282 -1228.81 -2.76282 -0.125944 -0.0328952 899203. 3996.46 0.39 0.23 0.15 -1 -1 0.39 0.127692 0.116743 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml ch_intrinsics.v common 5.16 vpr 69.41 MiB -1 -1 0.32 21140 3 0.09 -1 -1 37708 -1 -1 65 99 1 0 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 71080 99 130 343 473 1 224 295 12 12 144 clb auto 31.2 MiB 0.15 510 69.4 MiB 0.25 0.00 1.48078 -108.611 -1.48078 1.48078 0.47 0.000466184 0.000420489 0.0550261 0.049682 42 1415 11 5.66058e+06 4.05111e+06 373597. 2594.42 2.17 0.241108 0.219032 14140 74821 -1 1202 12 506 825 49045 16861 0 0 49045 16861 825 620 0 0 3184 2978 0 0 4918 4135 0 0 885 744 0 0 19369 4525 0 0 19864 3859 0 0 825 0 0 319 685 400 4081 0 0 2.02783 2.02783 -137.202 -2.02783 -1.25569 -0.298787 468675. 3254.69 0.17 0.05 0.08 -1 -1 0.17 0.0303242 0.0283179 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq1.v common 23.40 vpr 72.51 MiB -1 -1 0.40 24704 5 0.24 -1 -1 37440 -1 -1 27 162 0 5 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 74248 162 96 1070 887 1 659 290 16 16 256 mult_36 auto 34.5 MiB 0.55 4955 72.5 MiB 0.46 0.01 15.7359 -1183.88 -15.7359 15.7359 0.74 0.0015618 0.00144336 0.15971 0.144871 74 10345 24 1.21132e+07 3.43514e+06 1.21814e+06 4758.35 17.00 1.13425 1.01847 32224 250998 -1 9356 21 3025 5063 1917203 515989 0 0 1917203 515989 5063 3937 0 0 71465 70311 0 0 83578 76990 0 0 5603 4305 0 0 885898 179981 0 0 865596 180465 0 0 5063 0 0 2061 6773 6807 42224 0 0 17.2555 17.2555 -1414.82 -17.2555 0 0 1.52272e+06 5948.13 0.52 1.07 0.26 -1 -1 0.52 0.189198 0.177736 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq2.v common 23.76 vpr 70.83 MiB -1 -1 0.32 23664 5 0.15 -1 -1 37356 -1 -1 18 66 0 5 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 72532 66 96 780 597 1 451 185 16 16 256 mult_36 auto 32.7 MiB 0.43 3373 70.8 MiB 0.37 0.01 11.5635 -690.79 -11.5635 11.5635 0.98 0.0013991 0.00126992 0.143864 0.130612 64 9288 43 1.21132e+07 2.95009e+06 1.08719e+06 4246.82 17.06 0.825223 0.746478 30692 221371 -1 7456 36 4915 10680 3626838 1068766 0 0 3626838 1068766 10680 8367 0 0 119161 117606 0 0 155051 125323 0 0 11344 9127 0 0 1696720 414975 0 0 1633882 393368 0 0 10680 0 0 5778 14544 14843 68687 0 0 13.1971 13.1971 -854.98 -13.1971 0 0 1.34733e+06 5263.00 0.57 0.93 0.24 -1 -1 0.57 0.137847 0.126881 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU8PEEng.v common 1137.42 vpr 605.38 MiB -1 -1 65.07 453864 98 159.27 -1 -1 115012 -1 -1 1800 114 45 8 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 619908 114 102 35713 31804 1 16705 2069 51 51 2601 clb auto 338.8 MiB 62.68 216212 562.0 MiB 109.37 0.92 65.1279 -53179 -65.1279 65.1279 51.20 0.115797 0.0993619 14.912 12.244 126 392450 38 1.52527e+08 1.2484e+08 2.24362e+07 8626.00 574.60 66.8865 54.2519 443726 5099711 -1 363207 24 79344 328232 54538222 11461906 0 0 54538222 11461906 319436 123796 0 0 1309584 1255331 0 0 2187384 1661743 0 0 337525 148871 0 0 25015668 4112075 0 0 25368625 4160090 0 0 319436 0 0 249736 1464608 1468056 8468955 9016 6358 76.0747 76.0747 -67722.1 -76.0747 -27.1496 -0.195295 2.82603e+07 10865.1 16.33 26.33 7.14 -1 -1 16.33 7.10841 6.15306 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU32PEEng.v common 5460.67 vpr 2.25 GiB -1 -1 204.09 1469716 97 1270.78 -1 -1 376704 -1 -1 6215 114 168 32 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 2356056 114 102 120062 107871 1 56756 6631 94 94 8836 clb auto 1096.8 MiB 161.65 1026245 1864.5 MiB 414.93 2.46 61.856 -342036 -61.856 61.856 127.26 0.214256 0.181937 34.0309 28.4655 164 1619287 39 5.40921e+08 4.39655e+08 9.81028e+07 11102.6 2921.96 150.146 126.472 1741328 23094485 -1 1509791 24 239982 1084153 347215008 92316822 0 0 347215008 92316822 1021771 355684 0 0 4690594 4489970 0 0 7624654 5795000 0 0 1077549 441474 0 0 165493958 40224130 0 0 167306482 41010564 0 0 1021771 0 0 815629 5697127 5782048 29953255 64454 297504 74.7282 74.7282 -492621 -74.7282 -43.8142 -0.29436 1.25175e+08 14166.5 45.64 106.84 19.07 -1 -1 45.64 14.2441 12.686 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mcml.v common 6514.10 vpr 2.35 GiB -1 -1 273.97 1238052 25 3629.32 -1 -1 372464 -1 -1 6040 36 159 27 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 2461804 36 356 184794 159441 1 63844 6618 93 93 8649 clb auto 1315.9 MiB 101.20 761761 1980.2 MiB 452.96 2.62 42.5926 -275094 -42.5926 42.5926 95.50 0.169429 0.134345 26.7855 22.0562 158 1103853 32 5.27943e+08 4.23316e+08 9.25072e+07 10695.7 1691.99 113.417 94.2878 1667048 21718160 -1 1046278 22 251197 612929 123566250 27262156 0 0 123566250 27262156 526934 313948 0 0 2698183 2576132 0 0 4050018 3326023 0 0 544113 350710 0 0 57911189 10164778 0 0 57835813 10530565 0 0 526934 0 0 279966 1659463 1658463 5585096 94831 756500 45.7759 45.7759 -340713 -45.7759 0 0 1.17788e+08 13618.7 40.92 35.94 17.19 -1 -1 40.92 11.3268 10.0706 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkDelayWorker32B.v common 183.79 vpr 376.73 MiB -1 -1 15.42 122092 5 7.48 -1 -1 48112 -1 -1 456 506 44 0 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 385768 506 553 3236 3734 1 2845 1559 50 50 2500 memory auto 61.4 MiB 5.87 16661 376.7 MiB 7.68 0.07 6.08946 -1918.26 -6.08946 6.08946 48.86 0.0180085 0.0161754 2.42886 2.134 38 26043 20 1.47946e+08 4.86882e+07 7.51727e+06 3006.91 67.78 11.2247 10.1645 284136 1605944 -1 24776 18 4890 6097 5082714 1206233 0 0 5082714 1206233 5818 5691 0 0 127018 125750 0 0 137709 132675 0 0 6258 5994 0 0 2367367 459975 0 0 2438544 476148 0 0 5818 0 0 932 6599 5267 11846 282 627 7.03549 7.03549 -2373.9 -7.03549 -1.12373 -0.213983 9.46795e+06 3787.18 5.38 2.42 1.63 -1 -1 5.38 0.997662 0.932421 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkPktMerge.v common 37.91 vpr 75.98 MiB -1 -1 1.32 28304 2 0.15 -1 -1 39160 -1 -1 27 311 15 0 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 77800 311 156 1015 1158 1 965 509 28 28 784 memory auto 36.7 MiB 0.79 8531 74.6 MiB 1.09 0.01 3.95535 -4203.47 -3.95535 3.95535 4.05 0.00313526 0.00254555 0.396748 0.345481 40 15122 15 4.25198e+07 9.67514e+06 2.32339e+06 2963.51 21.22 1.91402 1.69167 86072 485930 -1 14238 14 3030 3473 2777829 766783 0 0 2777829 766783 3473 3257 0 0 84812 84205 0 0 89366 87285 0 0 3569 3363 0 0 1319099 293134 0 0 1277510 295539 0 0 3473 0 0 443 3232 3126 13282 0 0 4.55303 4.55303 -5053.65 -4.55303 -15.3602 -0.340786 2.89875e+06 3697.39 1.72 1.46 0.39 -1 -1 1.72 0.246517 0.225111 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 87.47 vpr 86.68 MiB -1 -1 6.69 54540 5 2.92 -1 -1 41848 -1 -1 151 193 5 0 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 88764 193 205 2771 2705 1 1306 554 20 20 400 memory auto 49.4 MiB 2.07 10333 86.7 MiB 2.26 0.03 4.41661 -2584.71 -4.41661 4.41661 1.91 0.00677448 0.00580945 0.715977 0.613892 70 22640 44 2.07112e+07 1.0878e+07 1.91061e+06 4776.53 63.83 4.08251 3.49397 50878 398303 -1 18746 16 5242 14268 1664881 365488 0 0 1664881 365488 13435 7952 0 0 64486 61570 0 0 92291 79694 0 0 14490 8855 0 0 747059 103694 0 0 733120 103723 0 0 13435 0 0 8560 55859 58640 361400 838 147 5.12411 5.12411 -2960.64 -5.12411 -9.25411 -0.298787 2.38830e+06 5970.76 1.22 0.85 0.35 -1 -1 1.22 0.357317 0.320467 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml or1200.v common 165.29 vpr 129.20 MiB -1 -1 5.86 64248 8 5.86 -1 -1 45748 -1 -1 205 385 2 1 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 132296 385 362 4434 4322 1 2359 955 26 26 676 io auto 62.8 MiB 4.49 30146 99.9 MiB 7.37 0.08 8.16594 -8759.31 -8.16594 8.16594 2.86 0.0156776 0.0133762 1.75918 1.52151 126 52951 19 3.69863e+07 1.25403e+07 5.54371e+06 8200.76 121.01 8.3922 7.28661 113068 1241010 -1 49687 18 11061 38659 4973418 972806 0 0 4973418 972806 36300 17515 0 0 144694 138473 0 0 236099 183765 0 0 38678 20387 0 0 2249119 305906 0 0 2268528 306760 0 0 36300 0 0 25965 167898 168626 881524 2607 272 9.04328 9.04328 -9965.8 -9.04328 0 0 6.98504e+06 10332.9 3.61 2.07 1.65 -1 -1 3.61 0.79898 0.729692 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml raygentop.v common 51.19 vpr 87.62 MiB -1 -1 4.10 44184 3 1.12 -1 -1 40264 -1 -1 112 236 1 6 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 89728 236 305 3195 3007 1 1538 660 19 19 361 io auto 50.2 MiB 2.00 12646 87.6 MiB 2.45 0.02 4.33653 -2645.65 -4.33653 4.33653 1.33 0.00405988 0.00366692 0.74619 0.661349 88 25126 23 1.72706e+07 8.96013e+06 2.08404e+06 5772.96 31.02 4.34992 3.82971 49918 442207 -1 23360 16 6285 16877 3437091 744040 0 0 3437091 744040 16092 9762 0 0 128757 125471 0 0 160801 146027 0 0 17477 11007 0 0 1566470 223155 0 0 1547494 228618 0 0 16092 0 0 10099 45915 44800 230552 842 41 4.76083 4.76083 -3149.62 -4.76083 -3.86567 -0.169679 2.59929e+06 7200.24 1.38 1.28 0.37 -1 -1 1.38 0.387934 0.357277 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml sha.v common 28.29 vpr 84.93 MiB -1 -1 2.59 46604 4 2.64 -1 -1 41212 -1 -1 117 38 0 0 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 86972 38 36 2744 2493 1 1026 191 15 15 225 clb auto 47.8 MiB 1.83 8867 84.9 MiB 1.04 0.02 9.32796 -2447.88 -9.32796 9.32796 1.01 0.0058094 0.00486326 0.427394 0.363152 78 18988 30 1.03862e+07 6.3056e+06 1.12226e+06 4987.81 13.46 2.96217 2.5002 29332 237424 -1 15470 18 4847 13872 883945 191798 0 0 883945 191798 11584 6211 0 0 41783 38412 0 0 70229 57971 0 0 11986 6787 0 0 375407 40931 0 0 372956 41486 0 0 11584 0 0 7012 55203 55214 285092 2680 227 10.8148 10.8148 -3186.58 -10.8148 0 0 1.41477e+06 6287.88 0.61 0.59 0.27 -1 -1 0.61 0.3587 0.319312 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml spree.v common 28.39 vpr 76.00 MiB -1 -1 2.95 34356 16 0.78 -1 -1 38304 -1 -1 46 45 3 1 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 77824 45 32 1193 1152 1 771 127 14 14 196 memory auto 38.5 MiB 1.24 6049 76.0 MiB 0.65 0.01 9.279 -6076.56 -9.279 9.279 0.80 0.00288547 0.00239332 0.291076 0.244835 90 13081 35 9.20055e+06 4.51912e+06 1.09403e+06 5581.78 17.44 1.81468 1.53341 26780 228147 -1 11860 14 3540 10244 2006820 500194 0 0 2006820 500194 10244 5702 0 0 69812 68133 0 0 91271 79384 0 0 10702 6685 0 0 913321 175447 0 0 911470 164843 0 0 10244 0 0 6849 25537 25219 171895 0 0 10.2605 10.2605 -7127.39 -10.2605 -14.2672 -0.317384 1.36167e+06 6947.29 0.59 0.65 0.24 -1 -1 0.59 0.147106 0.135394 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision0.v common 141.74 vpr 247.67 MiB -1 -1 9.78 102876 5 9.82 -1 -1 69436 -1 -1 673 169 0 0 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 253612 169 197 23321 21461 1 6785 1039 33 33 1089 clb auto 179.3 MiB 10.76 41251 213.2 MiB 10.82 0.10 3.08234 -13147 -3.08234 3.08234 5.55 0.0273427 0.023104 3.42361 2.83565 78 65470 35 6.0475e+07 3.62708e+07 5.97661e+06 5488.16 67.21 18.087 14.9138 148670 1295497 -1 61251 14 16594 29274 1699709 394274 0 0 1699709 394274 25875 19353 0 0 89056 80593 0 0 137119 118902 0 0 26797 20616 0 0 711313 77700 0 0 709549 77110 0 0 25875 0 0 9458 48935 54831 239069 3826 1927 3.87583 3.87583 -15634.2 -3.87583 0 0 7.53085e+06 6915.38 4.02 2.38 1.47 -1 -1 4.02 1.98836 1.77451 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision1.v common 356.60 vpr 298.27 MiB -1 -1 9.50 123468 3 17.20 -1 -1 79532 -1 -1 655 115 0 40 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 305432 115 145 22868 19305 1 9712 955 40 40 1600 mult_36 auto 175.4 MiB 10.12 82272 210.1 MiB 13.21 0.12 5.15059 -21406.4 -5.15059 5.15059 8.98 0.0283561 0.0236999 3.68002 3.10504 100 136709 35 9.16046e+07 5.11412e+07 1.10258e+07 6891.10 253.71 21.791 18.0567 242376 2436732 -1 123699 17 34263 54166 18393474 3828800 0 0 18393474 3828800 46677 38279 0 0 561638 549249 0 0 662523 619771 0 0 48054 39439 0 0 8579349 1288609 0 0 8495233 1293453 0 0 46677 0 0 12866 162410 159065 555314 8140 5217 5.48539 5.48539 -24969.7 -5.48539 0 0 1.38359e+07 8647.47 8.02 6.57 2.47 -1 -1 8.02 2.01249 1.77565 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision2.v common 1568.29 vpr 1.06 GiB -1 -1 13.62 197080 3 8.22 -1 -1 157328 -1 -1 1490 149 0 179 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 1107964 149 182 55416 37075 1 28670 2000 80 80 6400 mult_36 auto 361.6 MiB 28.98 291939 1082.0 MiB 70.87 0.42 12.5458 -48952.6 -12.5458 12.5458 137.07 0.0571067 0.050096 12.1912 10.3311 100 418048 35 3.90281e+08 1.51186e+08 4.58129e+07 7158.27 1169.23 65.0704 54.0002 988936 10231362 -1 389032 20 98976 121041 47809628 9731402 0 0 47809628 9731402 115777 103569 0 0 1169182 1140059 0 0 1411483 1297865 0 0 116899 105223 0 0 22494027 3480737 0 0 22502260 3603949 0 0 115777 0 0 16949 122925 119822 398678 5579 10350 13.8912 13.8912 -57858.9 -13.8912 0 0 5.74647e+07 8978.85 35.65 20.89 11.96 -1 -1 35.65 5.31911 4.69368 - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision3.v common 3.09 vpr 68.77 MiB -1 -1 0.67 24964 4 0.19 -1 -1 36240 -1 -1 13 11 0 0 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev /home/vtr-verilog-to-routing 70420 11 2 303 283 2 70 26 7 7 49 clb auto 30.4 MiB 0.18 218 68.8 MiB 0.04 0.00 1.86682 -151.802 -1.86682 1.77386 0.09 0.000344302 0.000276027 0.0236224 0.0194743 26 512 13 1.07788e+06 700622 75813.7 1547.22 0.47 0.140131 0.117455 3816 13734 -1 438 11 240 500 16504 6703 0 0 16504 6703 500 416 0 0 1541 1353 0 0 2437 2240 0 0 580 522 0 0 5898 1098 0 0 5548 1074 0 0 500 0 0 260 476 425 2907 0 0 2.16847 1.939 -177.724 -2.16847 0 0 91376.6 1864.83 0.02 0.03 0.01 -1 -1 0.02 0.0203121 0.0186171 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml arm_core.v common 277.11 vpr 289.11 MiB -1 -1 16.67 124648 20 39.27 -1 -1 72328 -1 -1 679 133 25 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 296044 133 179 14228 14085 1 6986 1016 36 36 1296 memory auto 149.9 MiB 23.02 111869 506411 160230 326278 19903 181.8 MiB 9.47 0.08 19.5094 -192112 -19.5094 19.5094 4.12 0.0160466 0.0136643 1.86358 1.55727 154 199903 32 7.21828e+07 5.02946e+07 1.28857e+07 9942.66 153.26 8.23573 7.08873 239994 2946416 -1 183903 16 33799 138265 51564315 11944708 22.41 22.41 -214787 -22.41 -3.1378 -0.29436 1.62481e+07 12537.1 5.26 10.90 2.38 -1 -1 5.26 1.4659 1.3784 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml bgm.v common 434.85 vpr 712.33 MiB -1 -1 33.46 637524 14 62.12 -1 -1 123408 -1 -1 2287 257 0 11 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 729428 257 32 36080 33722 1 18672 2587 58 58 3364 clb auto 366.8 MiB 41.13 238750 1777787 613628 1135373 28786 691.6 MiB 44.36 0.36 16.9078 -22798.4 -16.9078 16.9078 38.14 0.0417663 0.0365797 5.01023 4.34011 114 489515 43 2.00088e+08 1.27615e+08 2.67492e+07 7951.60 147.45 22.3155 19.5798 548026 6020043 -1 456285 20 103684 494058 43713903 7882929 19.3528 19.3528 -25719.6 -19.3528 0 0 3.36466e+07 10002.0 12.44 11.94 4.53 -1 -1 12.44 3.97353 3.71849 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml blob_merge.v common 87.69 parmys 262.07 MiB -1 -1 8.08 268356 5 3.85 -1 -1 58680 -1 -1 447 36 0 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 179696 36 100 10178 7632 1 2978 583 27 27 729 clb auto 104.4 MiB 16.70 43318 219643 62652 141928 15063 140.1 MiB 3.02 0.03 13.6111 -2272.14 -13.6111 13.6111 2.20 0.00651588 0.00551055 0.768924 0.662803 110 84751 33 3.93038e+07 2.40906e+07 5.33614e+06 7319.81 41.58 4.4518 3.87219 114714 1189977 -1 77052 17 14017 69317 4925517 913768 15.4853 15.4853 -2667.39 -15.4853 0 0 6.77266e+06 9290.34 1.99 1.35 0.86 -1 -1 1.99 0.624448 0.586094 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml boundtop.v common 17.08 vpr 71.96 MiB -1 -1 9.15 48936 3 0.67 -1 -1 39220 -1 -1 45 196 1 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 73692 196 193 1201 1346 1 604 435 15 15 225 io auto 33.8 MiB 0.47 2981 150312 44495 93614 12203 72.0 MiB 0.47 0.01 2.05507 -979.869 -2.05507 2.05507 0.57 0.00161266 0.00148292 0.165224 0.151168 40 7176 44 1.03862e+07 2.97323e+06 618415. 2748.51 3.52 0.779086 0.725008 23732 127356 -1 6238 14 2121 3542 344117 91418 2.57674 2.57674 -1194.23 -2.57674 -0.478269 -0.152189 773047. 3435.76 0.25 0.14 0.09 -1 -1 0.25 0.0824519 0.078801 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml ch_intrinsics.v common 3.66 vpr 66.66 MiB -1 -1 0.24 21888 3 0.09 -1 -1 36920 -1 -1 65 99 1 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 68260 99 130 344 474 1 221 295 12 12 144 clb auto 28.3 MiB 0.10 584 75832 24915 37314 13603 66.7 MiB 0.14 0.00 1.60782 -108.554 -1.60782 1.60782 0.30 0.000408101 0.000365301 0.032699 0.0291703 46 1375 14 5.66058e+06 4.05111e+06 408669. 2837.98 1.60 0.165947 0.151987 14568 82464 -1 1241 9 501 815 42163 14297 1.90052 1.90052 -138.848 -1.90052 -0.522528 -0.192271 525203. 3647.24 0.16 0.02 0.06 -1 -1 0.16 0.0164117 0.0156824 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq1.v common 13.23 vpr 69.73 MiB -1 -1 0.30 25348 5 0.16 -1 -1 38040 -1 -1 26 162 0 5 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 71408 162 96 1075 892 1 662 289 16 16 256 mult_36 auto 31.7 MiB 0.29 5020 87194 31634 49300 6260 69.7 MiB 0.37 0.01 15.5513 -1198.01 -15.5513 15.5513 0.60 0.00108968 0.00087173 0.117896 0.106419 62 11041 23 1.21132e+07 3.38124e+06 1.04918e+06 4098.38 9.27 0.629926 0.579055 30184 211102 -1 9365 22 3684 6482 1932785 501322 17.3515 17.3515 -1402.06 -17.3515 0 0 1.29183e+06 5046.22 0.37 0.35 0.14 -1 -1 0.37 0.0801783 0.0761602 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq2.v common 16.37 vpr 68.38 MiB -1 -1 0.22 24472 5 0.12 -1 -1 37504 -1 -1 16 66 0 5 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70016 66 96 778 595 1 452 183 16 16 256 mult_36 auto 30.2 MiB 0.25 3796 47931 18238 24999 4694 68.4 MiB 0.23 0.01 11.6653 -721.689 -11.6653 11.6653 0.61 0.0021724 0.000634322 0.0820875 0.0733953 54 8985 24 1.21132e+07 2.8423e+06 903890. 3530.82 12.65 0.47082 0.431882 28908 188420 -1 7667 19 3422 6989 2894348 736724 13.1378 13.1378 -884.515 -13.1378 0 0 1.17254e+06 4580.24 0.33 0.47 0.12 -1 -1 0.33 0.054892 0.0522077 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU8PEEng.v common 453.19 vpr 634.28 MiB -1 -1 40.35 458136 97 67.61 -1 -1 116280 -1 -1 1817 114 45 8 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 649504 114 102 35834 31925 1 16655 2086 52 52 2704 clb auto 338.8 MiB 38.84 215398 1480939 529962 920626 30351 592.6 MiB 35.90 0.30 63.9023 -51832.3 -63.9023 63.9023 29.27 0.0365643 0.0313887 4.72225 3.98955 118 403134 41 1.58905e+08 1.25757e+08 2.19720e+07 8125.73 179.07 22.5222 19.4447 445196 4945367 -1 365440 26 84812 347712 59122473 12667064 72.9598 72.9598 -67594.2 -72.9598 -22.3536 -0.293253 2.76197e+07 10214.4 10.15 15.98 3.68 -1 -1 10.15 3.82828 3.51735 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU32PEEng.v common 4545.11 vpr 2.15 GiB -1 -1 128.48 1499332 97 635.41 -1 -1 358708 -1 -1 6264 114 168 32 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 2253068 114 102 120350 108159 1 57393 6680 94 94 8836 clb auto 1099.3 MiB 142.33 1002377 7741412 3101845 4587037 52530 1910.5 MiB 279.40 2.10 61.1772 -294786 -61.1772 61.1772 110.76 0.150743 0.129744 22.1123 18.9696 164 1599216 47 5.40921e+08 4.42296e+08 9.81028e+07 11102.6 2935.70 80.2872 69.5089 1741328 23094485 -1 1497489 23 245053 1111111 345304904 91442091 72.177 72.177 -449510 -72.177 -38.9067 -0.292146 1.25175e+08 14166.5 51.93 126.42 20.24 -1 -1 51.93 14.3855 13.1443 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mcml.v common 4052.63 vpr 2.22 GiB -1 -1 164.66 1254260 25 2216.02 -1 -1 373144 -1 -1 6092 36 159 27 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 2323188 36 356 185159 159806 1 63968 6670 93 93 8649 clb auto 1312.7 MiB 122.70 764984 9043966 3452533 5377457 213976 2034.1 MiB 415.93 2.64 44.0249 -277627 -44.0249 44.0249 108.37 0.156207 0.128577 24.3516 20.2318 154 1118635 43 5.27943e+08 4.26118e+08 9.06356e+07 10479.3 795.63 98.3709 83.4586 1641104 21087044 -1 1050884 22 254701 613161 130623601 28909159 47.7077 47.7077 -351690 -47.7077 -0.240863 -0.0215478 1.14427e+08 13230.1 50.66 42.81 17.78 -1 -1 50.66 12.7798 11.6449 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkDelayWorker32B.v common 81.80 vpr 381.34 MiB -1 -1 8.92 121524 5 3.40 -1 -1 48636 -1 -1 465 506 44 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 390492 506 553 3236 3734 1 2854 1568 50 50 2500 memory auto 59.1 MiB 3.82 16229 1176113 568893 419592 187628 381.3 MiB 3.45 0.04 6.96637 -2056.03 -6.96637 6.96637 26.67 0.0110014 0.0102196 1.51912 1.39252 38 25725 17 1.47946e+08 4.91733e+07 7.51727e+06 3006.91 17.42 4.40965 4.1239 284136 1605944 -1 24262 17 4753 6161 4413542 1107869 7.71942 7.71942 -2574.22 -7.71942 -2.60855 -0.216197 9.46795e+06 3787.18 3.63 1.26 1.04 -1 -1 3.63 0.594962 0.567469 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkPktMerge.v common 25.63 vpr 72.07 MiB -1 -1 0.91 29184 2 0.11 -1 -1 37840 -1 -1 27 311 15 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 73804 311 156 1015 1158 1 965 509 28 28 784 memory auto 34.2 MiB 0.53 8982 197516 69517 118417 9582 72.1 MiB 0.65 0.01 4.2275 -4143.2 -4.2275 4.2275 2.35 0.00294516 0.00258436 0.247937 0.21669 36 16496 41 4.25198e+07 9.67514e+06 2.12999e+06 2716.82 15.87 1.26374 1.13519 83724 436647 -1 14920 15 3351 3803 2953163 794022 4.6504 4.6504 -4999.11 -4.6504 -9.7666 -0.29768 2.61523e+06 3335.75 0.93 0.60 0.28 -1 -1 0.93 0.120155 0.111439 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 30.85 vpr 83.23 MiB -1 -1 4.12 55588 5 1.66 -1 -1 42932 -1 -1 149 193 5 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 85224 193 205 2718 2652 1 1332 552 20 20 400 memory auto 45.8 MiB 1.29 10421 235904 85730 126610 23564 83.2 MiB 1.30 0.02 4.51877 -2546.56 -4.51877 4.51877 1.07 0.00365451 0.00316066 0.355002 0.307152 70 21547 47 2.07112e+07 1.07702e+07 1.91061e+06 4776.53 16.53 1.28063 1.14003 50878 398303 -1 18601 27 5203 13861 2099937 567638 5.29174 5.29174 -3059.47 -5.29174 -15.9103 -0.360359 2.38830e+06 5970.76 0.71 0.66 0.29 -1 -1 0.71 0.266539 0.248322 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml or1200.v common 154.07 vpr 112.54 MiB -1 -1 3.35 66424 8 3.17 -1 -1 44760 -1 -1 197 385 2 1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 115244 385 362 4415 4299 1 2339 947 26 26 676 io auto 60.2 MiB 2.89 28988 521523 209405 290824 21294 97.3 MiB 3.58 0.04 8.42241 -8911.15 -8.42241 8.42241 1.90 0.00690316 0.00633374 0.750406 0.678248 112 56033 38 3.69863e+07 1.21091e+07 5.00714e+06 7407.01 130.40 4.44196 4.09271 106992 1111850 -1 49084 16 11578 40007 5288009 1017433 9.38843 9.38843 -10181.8 -9.38843 0 0 6.33320e+06 9368.63 1.92 1.30 0.83 -1 -1 1.92 0.479412 0.461578 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml raygentop.v common 30.67 vpr 85.10 MiB -1 -1 2.53 45276 3 0.64 -1 -1 40988 -1 -1 111 236 1 6 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 87144 236 305 3199 3011 1 1531 659 19 19 361 io auto 47.6 MiB 1.39 12224 264331 92913 159695 11723 85.1 MiB 1.28 0.02 4.40121 -2674.93 -4.40121 4.40121 0.96 0.00318628 0.00288552 0.34631 0.311379 80 25950 44 1.72706e+07 8.90623e+06 1.90610e+06 5280.05 19.35 2.13825 1.95394 48118 405907 -1 22961 18 6262 17295 2780033 621184 4.77789 4.77789 -3156.13 -4.77789 -1.83131 -0.196402 2.39503e+06 6634.44 0.68 0.62 0.30 -1 -1 0.68 0.24386 0.231537 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml sha.v common 17.48 vpr 82.26 MiB -1 -1 1.70 47948 3 1.20 -1 -1 43316 -1 -1 115 38 0 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 84236 38 36 2739 2488 1 1004 189 15 15 225 clb auto 45.2 MiB 1.14 8356 37045 9649 24758 2638 82.3 MiB 0.55 0.01 9.28401 -2528.93 -9.28401 9.28401 0.56 0.00175184 0.00144902 0.173924 0.145902 70 18776 49 1.03862e+07 6.19781e+06 1.04071e+06 4625.39 9.14 1.24178 1.07119 28212 215244 -1 15278 27 5167 14875 913664 202424 11.1228 11.1228 -3189.18 -11.1228 0 0 1.29999e+06 5777.74 0.38 0.35 0.14 -1 -1 0.38 0.209582 0.192104 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml spree.v common 11.99 vpr 73.34 MiB -1 -1 2.03 35788 16 0.48 -1 -1 38724 -1 -1 46 45 3 1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 75100 45 32 1192 1151 1 782 127 14 14 196 memory auto 35.7 MiB 0.77 6226 27880 8859 15719 3302 73.3 MiB 0.36 0.00 9.20301 -5956.36 -9.20301 9.20301 0.47 0.00111759 0.000917258 0.129181 0.108048 88 13652 32 9.20055e+06 4.51912e+06 1.07466e+06 5482.98 5.14 0.554105 0.478437 26584 224627 -1 12292 13 3673 10311 2455365 598014 10.5235 10.5235 -7231.16 -10.5235 -14.7391 -0.317384 1.34088e+06 6841.21 0.42 0.47 0.17 -1 -1 0.42 0.10313 0.0972234 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision0.v common 72.70 vpr 233.89 MiB -1 -1 6.73 102884 5 4.96 -1 -1 70160 -1 -1 682 169 0 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 239500 169 197 23225 21365 1 6678 1048 33 33 1089 clb auto 176.4 MiB 7.14 40853 549111 176435 350699 21977 210.6 MiB 4.93 0.05 3.08163 -12979.4 -3.08163 3.08163 3.21 0.0144561 0.0118314 1.51886 1.26053 66 68359 29 6.0475e+07 3.67558e+07 5.30978e+06 4875.83 29.88 7.52762 6.45734 139966 1102889 -1 63046 18 17522 29961 1904789 430843 4.01414 4.01414 -15376.1 -4.01414 0 0 6.51388e+06 5981.53 2.19 1.26 0.69 -1 -1 2.19 1.14384 1.06893 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision1.v common 106.32 vpr 277.75 MiB -1 -1 5.67 125212 3 7.51 -1 -1 77896 -1 -1 650 115 0 40 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 284416 115 145 22864 19301 1 9740 950 40 40 1600 mult_36 auto 173.1 MiB 6.83 79459 505094 163866 316078 25150 211.3 MiB 6.13 0.07 5.4916 -21966.6 -5.4916 5.4916 5.36 0.0120955 0.0100814 1.64412 1.38057 98 135498 25 9.16046e+07 5.08717e+07 1.08598e+07 6787.37 50.76 6.70421 5.8006 240780 2401780 -1 120755 16 32923 51866 21019041 4322088 5.75602 5.75602 -25929.5 -5.75602 0 0 1.36941e+07 8558.84 4.59 4.18 1.69 -1 -1 4.59 1.13229 1.0594 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision2.v common 435.72 vpr 1.07 GiB -1 -1 8.47 198472 3 4.65 -1 -1 155908 -1 -1 1500 149 0 179 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 1120368 149 182 55415 37074 1 28661 2010 80 80 6400 mult_36 auto 356.6 MiB 18.61 288964 1701130 572744 1062078 66308 1094.1 MiB 30.13 0.23 12.2118 -49442.5 -12.2118 12.2118 77.26 0.032975 0.0275799 5.18024 4.47614 94 427916 46 3.90281e+08 1.51724e+08 4.36043e+07 6813.17 210.91 22.444 19.7811 963340 9615902 -1 403969 19 110729 132979 60616727 12419672 13.8032 13.8032 -59813.2 -13.8032 0 0 5.46467e+07 8538.54 21.96 13.00 6.92 -1 -1 21.96 2.69993 2.50975 +k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision3.v common 2.16 vpr 65.91 MiB -1 -1 0.49 26296 4 0.14 -1 -1 36304 -1 -1 13 11 0 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67496 11 2 303 283 2 70 26 7 7 49 clb auto 27.5 MiB 0.13 214 1964 572 1226 166 65.9 MiB 0.03 0.00 1.86682 -151.278 -1.86682 1.77432 0.06 0.00023271 0.000187802 0.0145243 0.0116567 28 568 19 1.07788e+06 700622 79600.7 1624.51 0.31 0.0757083 0.0623371 3864 14328 -1 429 11 257 502 15631 6445 2.07763 1.88327 -173.551 -2.07763 0 0 95067.4 1940.15 0.02 0.02 0.01 -1 -1 0.02 0.0157527 0.0148059 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/config.txt index 8ab936423a7..9c26386bee3 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/config.txt @@ -9,6 +9,11 @@ circuits_dir=benchmarks/verilog # Path to directory of architectures to use archs_dir=arch/timing +# Turned off the largest circuits since they sometimes cause the runtime of this +# test to take too long. +# circuit_list_add=LU32PEEng.v +# circuit_list_add=mcml.v + # Add circuits to list to sweep circuit_list_add=arm_core.v circuit_list_add=bgm.v @@ -18,8 +23,6 @@ circuit_list_add=ch_intrinsics.v circuit_list_add=diffeq1.v circuit_list_add=diffeq2.v circuit_list_add=LU8PEEng.v -circuit_list_add=LU32PEEng.v -circuit_list_add=mcml.v circuit_list_add=mkDelayWorker32B.v circuit_list_add=mkPktMerge.v circuit_list_add=mkSMAdapter4B.v diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/golden_results.txt index 2c43cbe8bd9..8af30fb0763 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/golden_results.txt @@ -1,22 +1,20 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 662.90 vpr 246.16 MiB -1 -1 29.70 123508 20 74.87 -1 -1 70936 -1 -1 847 133 25 0 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 252068 133 179 14247 14104 1 7175 1184 36 36 1296 clb memory auto 152.9 MiB 53.43 124776 633545 211897 398952 22696 185.0 MiB 23.54 0.21 20.3505 -191488 -20.3505 20.3505 7.11 0.0538231 0.0466267 6.37083 5.18968 114 188655 41 7.21828e+07 5.93492e+07 9.23903e+06 7128.88 419.76 29.9675 24.0725 193572 1964291 -1 170575 15 30747 118723 46198310 10629482 0 0 46198310 10629482 105863 40322 0 0 579634 544274 0 0 665347 588373 0 0 109729 44936 0 0 22510045 4696854 0 0 22227692 4714723 0 0 105863 0 0 77845 715282 675014 3675845 14755 3974 23.5006 23.5006 -216365 -23.5006 0 0 1.16798e+07 9012.23 7.30 23.23 2.75 -1 -1 7.30 3.04443 2.62974 -k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 933.24 vpr 651.30 MiB -1 -1 55.67 621584 14 111.04 -1 -1 122860 -1 -1 2696 257 0 11 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 666932 257 32 35881 33523 1 19445 2996 62 62 3844 clb auto 373.1 MiB 114.33 255790 2183485 783716 1368019 31750 648.7 MiB 131.39 0.96 18.436 -23852.7 -18.436 18.436 72.31 0.130172 0.112954 16.3846 12.9435 76 399987 47 2.30929e+08 1.49656e+08 1.99380e+07 5186.80 342.86 69.8405 55.5622 490724 4141743 -1 375131 21 97160 445177 29563204 4751982 0 0 29563204 4751982 445177 160609 0 0 676658 566225 0 0 960164 679631 0 0 469923 181977 0 0 13269982 1560492 0 0 13741300 1603048 0 0 445177 0 0 362256 2243966 2246907 15317408 0 0 20.5434 20.5434 -26836.5 -20.5434 0 0 2.49292e+07 6485.22 13.60 21.04 5.24 -1 -1 13.60 8.72632 7.43822 -k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 126.19 parmys 260.11 MiB -1 -1 13.85 266348 5 6.48 -1 -1 57656 -1 -1 494 36 0 0 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 143676 36 100 10175 7629 1 2793 630 28 28 784 clb auto 106.2 MiB 25.57 41246 224647 68328 142791 13528 139.6 MiB 5.63 0.06 13.5627 -2244.11 -13.5627 13.5627 3.57 0.0218009 0.0194185 2.20148 1.88779 70 69915 38 4.25198e+07 2.66236e+07 3.59791e+06 4589.17 52.84 9.56396 7.91345 94322 733910 -1 60825 14 12355 63451 2519813 363843 0 0 2519813 363843 63451 16038 0 0 78545 63843 0 0 114058 78556 0 0 65877 19328 0 0 1080972 92710 0 0 1116910 93368 0 0 63451 0 0 53124 302755 301446 1667430 0 0 15.9356 15.9356 -2614.43 -15.9356 0 0 4.52633e+06 5773.37 2.10 2.07 0.94 -1 -1 2.10 1.31412 1.15584 -k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 25.02 vpr 72.69 MiB -1 -1 15.85 47336 3 0.87 -1 -1 38504 -1 -1 44 196 1 0 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74432 196 193 1202 1347 1 614 434 15 15 225 io auto 34.7 MiB 0.92 2900 136720 34509 89771 12440 72.7 MiB 0.72 0.01 1.97071 -963.576 -1.97071 1.97071 0.78 0.00324965 0.00300489 0.292143 0.267685 38 6083 24 1.03862e+07 2.91934e+06 544116. 2418.30 2.69 1.09471 0.998606 21558 109668 -1 5456 11 1743 2574 215105 56195 0 0 215105 56195 2574 2011 0 0 8684 8026 0 0 9496 8694 0 0 2705 2127 0 0 96975 17430 0 0 94671 17907 0 0 2574 0 0 837 2716 2312 17083 0 0 2.63128 2.63128 -1201.53 -2.63128 0 0 690508. 3068.92 0.27 0.18 0.13 -1 -1 0.27 0.119568 0.112923 -k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 3.81 vpr 67.50 MiB -1 -1 0.35 21344 3 0.09 -1 -1 35912 -1 -1 68 99 1 0 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 69120 99 130 343 473 1 217 298 12 12 144 clb auto 29.0 MiB 0.28 528 78903 25073 40118 13712 67.5 MiB 0.27 0.00 1.48813 -106.534 -1.48813 1.48813 0.43 0.000973189 0.000888661 0.0801078 0.0737014 38 1426 9 5.66058e+06 4.21279e+06 319126. 2216.15 0.88 0.260036 0.236161 12802 62767 -1 1200 7 370 587 33660 11154 0 0 33660 11154 587 474 0 0 1916 1774 0 0 2379 1918 0 0 658 545 0 0 13929 3525 0 0 14191 2918 0 0 587 0 0 217 290 264 2194 0 0 2.05225 2.05225 -139.908 -2.05225 0 0 406307. 2821.58 0.15 0.04 0.08 -1 -1 0.15 0.0269922 0.0254845 -k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 13.67 vpr 70.82 MiB -1 -1 0.42 24544 5 0.20 -1 -1 36916 -1 -1 31 162 0 5 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 72516 162 96 1070 887 1 659 294 16 16 256 mult_36 auto 33.1 MiB 0.48 4939 89201 31846 50165 7190 70.8 MiB 0.68 0.01 15.4576 -1184.08 -15.4576 15.4576 0.89 0.00311228 0.00284804 0.286005 0.264276 60 10060 43 1.21132e+07 3.65071e+06 934704. 3651.19 7.64 1.22761 1.13057 27828 185084 -1 8628 21 2914 5058 1744747 454671 0 0 1744747 454671 5058 3818 0 0 79245 78276 0 0 83992 79551 0 0 5595 4351 0 0 795448 148260 0 0 775409 140415 0 0 5058 0 0 2164 5459 5331 29592 0 0 16.9974 16.9974 -1348.27 -16.9974 0 0 1.17753e+06 4599.72 0.45 0.62 0.23 -1 -1 0.45 0.160523 0.150246 -k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 16.11 vpr 69.57 MiB -1 -1 0.28 23824 5 0.16 -1 -1 36664 -1 -1 22 66 0 5 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71236 66 96 780 597 1 469 189 16 16 256 mult_36 auto 31.5 MiB 0.64 3526 46801 16553 26380 3868 69.6 MiB 0.44 0.01 11.6851 -709.25 -11.6851 11.6851 0.92 0.00212032 0.00196569 0.200507 0.185855 50 8448 41 1.21132e+07 3.16567e+06 780532. 3048.95 10.20 0.816673 0.753486 26044 153858 -1 7233 23 3644 7691 3153030 829597 0 0 3153030 829597 7691 5655 0 0 106925 105962 0 0 118209 107404 0 0 8374 6346 0 0 1477559 306373 0 0 1434272 297857 0 0 7691 0 0 4058 9574 10187 48642 0 0 12.7739 12.7739 -825.445 -12.7739 0 0 1.00276e+06 3917.05 0.38 0.95 0.19 -1 -1 0.38 0.131645 0.123166 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 1024.32 vpr 583.37 MiB -1 -1 68.76 456164 98 120.48 -1 -1 115016 -1 -1 2126 114 45 8 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 597368 114 102 35713 31804 1 16877 2395 56 56 3136 clb auto 348.3 MiB 94.46 225629 1828333 682393 1114002 31938 555.8 MiB 105.63 0.84 66.7728 -53770.5 -66.7728 66.7728 56.79 0.135459 0.115272 17.051 13.7454 90 338247 34 1.8697e+08 1.42409e+08 1.87445e+07 5977.21 473.48 68.1463 54.4084 426610 3924124 -1 312213 22 69914 275085 38425627 8087296 0 0 38425627 8087296 267350 93882 0 0 730069 662093 0 0 905289 735847 0 0 278509 106077 0 0 17977454 3245056 0 0 18266956 3244341 0 0 267350 0 0 204831 940601 926855 5971273 8150 7176 75.429 75.429 -67708.4 -75.429 0 0 2.34582e+07 7480.28 15.77 24.35 5.71 -1 -1 15.77 8.58371 7.20655 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 9212.35 vpr 2.03 GiB -1 -1 224.70 1459188 97 1277.45 -1 -1 357456 -1 -1 7412 114 168 32 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2130276 114 102 120062 107871 1 57253 7828 102 102 10404 clb auto 1117.2 MiB 289.39 1024166 9796894 4083424 5665631 47839 1828.0 MiB 758.50 5.25 63.6041 -357241 -63.6041 63.6041 136.18 0.53157 0.404365 64.2643 50.441 128 1352332 37 6.36957e+08 5.04159e+08 8.68880e+07 8351.40 6215.26 227.51 181.983 1688524 18950277 -1 1303316 21 208175 902044 237197876 60295767 0 0 237197876 60295767 846344 259659 0 0 2631493 2390813 0 0 3259511 2650739 0 0 879418 311750 0 0 113460829 26737869 0 0 116120281 27944937 0 0 846344 0 0 663648 3943837 4017603 21966204 57498 279443 72.5406 72.5406 -484679 -72.5406 0 0 1.09718e+08 10545.7 43.74 97.99 17.89 -1 -1 43.74 18.2373 15.723 -k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 6909.26 vpr 2.34 GiB -1 -1 290.87 1239900 25 4350.45 -1 -1 371452 -1 -1 6438 36 159 27 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2451808 36 356 184794 159441 1 63873 7016 95 95 9025 clb auto 1305.0 MiB 151.02 759894 9607464 3664288 5746086 197090 1804.9 MiB 633.64 3.79 43.1973 -293373 -43.1973 43.1973 112.26 0.240815 0.207537 39.1572 32.1741 152 984555 25 5.4965e+08 4.44764e+08 8.78148e+07 9730.17 1108.58 154.017 126.285 1608426 19471936 -1 957945 22 214560 488113 107294273 26019685 0 0 107294273 26019685 428419 245683 0 0 1501699 1372581 0 0 1862230 1509040 0 0 439720 264705 0 0 51410076 11234945 0 0 51652129 11392731 0 0 428419 0 0 216875 1106259 1067558 3809376 67943 246526 45.7578 45.7578 -384786 -45.7578 0 0 1.11224e+08 12324.0 59.37 53.12 19.47 -1 -1 59.37 16.7718 14.644 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 126.79 vpr 316.96 MiB -1 -1 15.39 120184 5 4.83 -1 -1 47292 -1 -1 464 506 44 0 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 324568 506 553 3236 3734 1 2873 1567 50 50 2500 memory auto 60.0 MiB 5.84 16535 1120621 524938 418099 177584 317.0 MiB 6.24 0.07 6.2106 -2113.5 -6.2106 6.2106 39.67 0.0230701 0.021012 2.90067 2.63037 38 25302 16 1.47946e+08 4.91194e+07 6.86579e+06 2746.32 26.75 8.41289 7.75774 258216 1426232 -1 24296 16 4346 5518 4596791 1178788 0 0 4596791 1178788 5223 5115 0 0 107346 106105 0 0 110685 107981 0 0 5567 5288 0 0 2173701 469905 0 0 2194269 484394 0 0 5223 0 0 880 7517 4542 11190 300 1122 6.98529 6.98529 -2527.42 -6.98529 0 0 8.69102e+06 3476.41 5.15 2.31 1.58 -1 -1 5.15 0.979462 0.919925 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 25.13 vpr 72.91 MiB -1 -1 1.36 28004 2 0.15 -1 -1 36988 -1 -1 30 311 15 0 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 74656 311 156 1015 1158 1 965 512 28 28 784 memory auto 35.0 MiB 0.95 8275 203165 72588 120470 10107 72.9 MiB 1.37 0.02 4.20059 -4098.85 -4.20059 4.20059 3.68 0.00599598 0.00530973 0.635437 0.55687 36 15033 26 4.25198e+07 9.83682e+06 1.94918e+06 2486.20 9.71 2.26962 2.02635 76314 389223 -1 13725 16 2876 3222 2410976 705944 0 0 2410976 705944 3222 3038 0 0 76800 75920 0 0 78413 77050 0 0 3237 3087 0 0 1130269 270540 0 0 1119035 276309 0 0 3222 0 0 346 2316 2325 10639 0 0 4.39753 4.39753 -4844.41 -4.39753 -0.00135869 -0.00135869 2.40571e+06 3068.51 1.26 1.04 0.46 -1 -1 1.26 0.284562 0.260933 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 40.06 vpr 85.11 MiB -1 -1 7.19 54544 5 2.59 -1 -1 40296 -1 -1 175 193 5 0 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 87156 193 205 2771 2705 1 1368 578 20 20 400 memory auto 47.9 MiB 4.30 10901 248396 85321 136093 26982 85.1 MiB 2.45 0.03 5.23435 -2567.54 -5.23435 5.23435 1.63 0.00968721 0.00861775 1.00975 0.880622 52 18605 23 2.07112e+07 1.21714e+07 1.31074e+06 3276.84 15.28 4.23251 3.74731 42580 268535 -1 16970 13 4378 10863 1001721 230098 0 0 1001721 230098 10394 5473 0 0 36036 31697 0 0 41304 36161 0 0 10811 5969 0 0 457998 75918 0 0 445178 74880 0 0 10394 0 0 6305 33223 35948 243692 488 56 6.21476 6.21476 -3025.7 -6.21476 -0.00271738 -0.00135869 1.72518e+06 4312.96 0.75 0.72 0.31 -1 -1 0.75 0.419585 0.387491 -k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 68.50 vpr 103.54 MiB -1 -1 6.05 63948 8 5.15 -1 -1 43868 -1 -1 246 385 2 1 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 106024 385 362 4434 4322 1 2384 996 26 26 676 io auto 62.1 MiB 9.56 28983 562832 214284 325113 23435 99.0 MiB 6.34 0.07 8.37172 -9112.86 -8.37172 8.37172 3.28 0.0136175 0.0122986 1.79813 1.57702 94 45290 25 3.69863e+07 1.47499e+07 3.99964e+06 5916.62 24.67 6.43151 5.70521 92412 832514 -1 42363 21 10243 33387 3946046 862639 0 0 3946046 862639 32212 14699 0 0 82299 72851 0 0 106077 82414 0 0 33832 16916 0 0 1883676 328579 0 0 1807950 347180 0 0 32212 0 0 22588 105310 113456 658980 1333 135 9.1711 9.1711 -10675.2 -9.1711 0 0 5.03706e+06 7451.27 2.36 2.28 1.10 -1 -1 2.36 0.928647 0.848515 -k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 41.17 vpr 86.06 MiB -1 -1 4.26 44448 3 1.03 -1 -1 39872 -1 -1 120 236 1 6 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 88124 236 305 3195 3007 1 1534 668 19 19 361 io auto 49.0 MiB 3.31 12474 307163 103546 188228 15389 86.1 MiB 2.59 0.03 4.74865 -2646.7 -4.74865 4.74865 1.47 0.00856408 0.00782682 0.960333 0.86685 62 24568 35 1.72706e+07 9.39128e+06 1.42198e+06 3939.00 21.66 3.3274 2.99175 40483 281719 -1 21006 17 6202 15986 2641506 594566 0 0 2641506 594566 15986 9612 0 0 105493 101768 0 0 112950 105579 0 0 17266 10559 0 0 1205055 182492 0 0 1184756 184556 0 0 15986 0 0 9966 34500 34926 232920 0 0 4.9391 4.9391 -3109.3 -4.9391 0 0 1.76637e+06 4892.99 0.79 1.24 0.38 -1 -1 0.79 0.490069 0.458621 -k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 25.46 vpr 83.45 MiB -1 -1 2.78 46252 4 2.27 -1 -1 40660 -1 -1 132 38 0 0 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85452 38 36 2744 2493 1 1037 206 16 16 256 clb auto 46.4 MiB 2.55 9094 35470 8431 24282 2757 83.4 MiB 1.03 0.02 9.21792 -2353.81 -9.21792 9.21792 0.97 0.00703916 0.00632108 0.490059 0.423797 70 13556 22 1.21132e+07 7.11401e+06 1.08607e+06 4242.47 10.84 3.26485 2.83319 29612 216119 -1 12471 17 3698 8935 335097 56115 0 0 335097 56115 8397 4245 0 0 11808 9171 0 0 16135 11809 0 0 8681 4574 0 0 145828 13163 0 0 144248 13153 0 0 8397 0 0 4902 28487 28142 197384 719 170 10.9584 10.9584 -2769.6 -10.9584 0 0 1.36713e+06 5340.37 0.56 0.49 0.30 -1 -1 0.56 0.377829 0.344326 -k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 20.56 vpr 74.61 MiB -1 -1 3.43 34252 16 0.78 -1 -1 37672 -1 -1 61 45 3 1 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76404 45 32 1193 1152 1 791 142 14 14 196 memory auto 36.9 MiB 2.95 6701 31222 8618 19667 2937 74.6 MiB 0.76 0.01 9.99115 -6263.09 -9.99115 9.99115 0.70 0.00400411 0.00353876 0.398255 0.347107 68 13704 33 9.20055e+06 5.32753e+06 806220. 4113.37 8.14 1.58016 1.39392 22432 157909 -1 11068 13 3341 9036 1477132 354668 0 0 1477132 354668 9036 4711 0 0 52891 50650 0 0 58484 53356 0 0 9465 5304 0 0 680818 122374 0 0 666438 118273 0 0 9036 0 0 5835 18021 18729 137127 0 0 11.9185 11.9185 -7484.35 -11.9185 0 0 1.00082e+06 5106.22 0.36 0.60 0.20 -1 -1 0.36 0.20169 0.187461 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 116.32 vpr 235.82 MiB -1 -1 12.10 101816 5 11.35 -1 -1 69036 -1 -1 710 169 0 0 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 241480 169 197 23321 21461 1 6583 1076 33 33 1089 clb auto 176.9 MiB 15.11 40276 563198 187838 353389 21971 210.7 MiB 11.76 0.12 3.01195 -12887.4 -3.01195 3.01195 5.81 0.0462242 0.0364246 5.17001 4.16054 52 61266 48 6.0475e+07 3.82649e+07 3.78249e+06 3473.36 35.17 21.4575 17.5431 119479 787594 -1 56858 14 16331 25954 1090179 212298 0 0 1090179 212298 24081 18002 0 0 40349 27909 0 0 49998 40362 0 0 24738 18810 0 0 490494 54157 0 0 460519 53058 0 0 24081 0 0 7928 36731 35516 203315 2194 1565 3.68983 3.68983 -14802.2 -3.68983 0 0 4.97914e+06 4572.21 2.44 2.78 0.89 -1 -1 2.44 2.61769 2.30003 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 257.03 vpr 255.08 MiB -1 -1 10.95 123244 3 18.01 -1 -1 77124 -1 -1 680 115 0 40 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 261200 115 145 22868 19305 1 9678 980 40 40 1600 mult_36 auto 172.3 MiB 13.60 77004 521535 166159 328473 26903 206.0 MiB 15.27 0.13 5.19755 -22073.5 -5.19755 5.19755 8.27 0.0434768 0.0349463 6.23639 5.16045 78 130798 49 9.16046e+07 5.24886e+07 8.23220e+06 5145.12 153.43 23.6065 19.6701 204032 1723206 -1 113582 17 33817 50858 19369779 4027860 0 0 19369779 4027860 46014 37950 0 0 538189 525191 0 0 567468 539030 0 0 47269 39192 0 0 9174017 1419409 0 0 8996822 1467088 0 0 46014 0 0 12828 156571 165873 628601 5240 3520 5.43847 5.43847 -26381.3 -5.43847 0 0 1.04203e+07 6512.68 5.10 8.01 2.32 -1 -1 5.10 2.42921 2.17269 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 1016.90 vpr 953.05 MiB -1 -1 14.98 197048 3 9.02 -1 -1 154980 -1 -1 1498 149 0 179 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 975920 149 182 55416 37075 1 28615 2008 80 80 6400 mult_36 auto 356.8 MiB 28.10 293386 1711513 583343 1064171 63999 923.1 MiB 82.15 0.61 12.6347 -48866.8 -12.6347 12.6347 121.08 0.10496 0.0926263 15.5454 13.1262 110 394399 20 3.90281e+08 1.51617e+08 4.62462e+07 7225.96 611.02 71.6742 59.7456 965872 10051040 -1 378796 19 92460 109437 38454265 7903630 0 0 38454265 7903630 107401 95506 0 0 861422 827828 0 0 983698 863698 0 0 108290 96850 0 0 18228968 2979731 0 0 18164486 3040017 0 0 107401 0 0 15020 93351 86587 347844 2385 3121 14.0202 14.0202 -56587.5 -14.0202 0 0 5.90184e+07 9221.63 46.89 22.95 14.52 -1 -1 46.89 7.18949 6.21525 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.60 vpr 67.06 MiB -1 -1 0.66 24968 4 0.17 -1 -1 35580 -1 -1 15 11 0 0 success f0f2ad5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2023-11-11T02:06:25 gh-actions-runner-vtr-auto-spawned30 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68672 11 2 303 283 2 80 28 7 7 49 clb auto 28.6 MiB 0.25 270 1708 420 1122 166 67.1 MiB 0.05 0.00 1.87789 -148.64 -1.87789 1.77818 0.08 0.000570424 0.000495341 0.029369 0.0260188 26 374 9 1.07788e+06 808410 68696.0 1401.96 0.17 0.101465 0.0879536 3516 12294 -1 307 9 141 212 3276 1221 0 0 3276 1221 212 188 0 0 289 212 0 0 320 289 0 0 247 190 0 0 1188 153 0 0 1020 189 0 0 212 0 0 71 72 62 663 0 0 2.09646 1.95353 -166.28 -2.09646 0 0 84249.8 1719.38 0.02 0.03 0.01 -1 -1 0.02 0.0251139 0.0233527 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time +k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 441.81 vpr 246.12 MiB -1 -1 60.18 124640 20 103.60 -1 -1 72624 -1 -1 845 133 25 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 252032 133 179 14228 14085 1 7103 1182 36 36 1296 clb memory auto 151.9 MiB 66.79 118924 594647 194976 378111 21560 183.7 MiB 18.70 0.21 22.9084 -204192 -22.9084 22.9084 6.52 0.040446 0.0376686 3.26268 2.75196 110 178335 36 7.21828e+07 5.92414e+07 8.93497e+06 6894.27 152.90 13.2287 11.3737 190984 1913024 -1 161823 15 30054 117753 9419873 1670055 24.2109 24.2109 -215085 -24.2109 0 0 1.14064e+07 8801.20 4.45 3.92 1.78 -1 -1 4.45 1.78942 1.66279 +k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 655.75 vpr 675.60 MiB -1 -1 113.25 637280 14 140.05 -1 -1 123864 -1 -1 2709 257 0 11 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 691816 257 32 36080 33722 1 19523 3009 63 63 3969 clb auto 374.5 MiB 112.62 245016 2196123 784924 1379682 31517 675.6 MiB 60.56 0.52 20.3938 -25436.9 -20.3938 20.3938 49.43 0.0480246 0.0421418 5.98976 5.15123 76 385516 49 2.36641e+08 1.50357e+08 2.05973e+07 5189.55 98.90 23.8416 20.8749 506266 4280222 -1 367033 20 91970 420346 18607055 2752931 20.356 20.356 -25872.9 -20.356 0 0 2.57532e+07 6488.59 9.66 8.10 3.29 -1 -1 9.66 4.27224 4.00002 +k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 214.47 parmys 258.88 MiB -1 -1 34.49 265092 5 13.42 -1 -1 58832 -1 -1 495 36 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 143784 36 100 10178 7632 1 2757 631 29 29 841 clb auto 105.1 MiB 41.66 41815 227851 66553 146878 14420 138.9 MiB 8.22 0.09 15.4276 -2482.62 -15.4276 15.4276 5.75 0.00883094 0.00764747 2.03704 1.76139 70 70652 35 4.4999e+07 2.66775e+07 3.87716e+06 4610.18 80.82 8.06895 6.99536 101140 791177 -1 61302 14 12424 63518 2535193 364441 15.7375 15.7375 -2759.66 -15.7375 0 0 4.87732e+06 5799.43 3.57 2.37 1.19 -1 -1 3.57 1.51455 1.47325 +k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 61.09 vpr 71.91 MiB -1 -1 38.53 48640 3 2.36 -1 -1 39372 -1 -1 45 196 1 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 73640 196 193 1201 1346 1 603 435 15 15 225 io auto 34.0 MiB 2.13 2906 143724 39989 91049 12686 71.9 MiB 1.66 0.01 2.23678 -1083.88 -2.23678 2.23678 1.70 0.00181406 0.00164697 0.544581 0.53014 36 6414 25 1.03862e+07 2.97323e+06 520410. 2312.93 6.26 1.96779 1.8761 21110 102306 -1 5630 14 1931 2862 228911 59108 2.77547 2.77547 -1223.72 -2.77547 0 0 643451. 2859.78 0.94 0.37 0.20 -1 -1 0.94 0.232963 0.229076 +k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 11.33 vpr 66.88 MiB -1 -1 1.25 21888 3 0.20 -1 -1 37068 -1 -1 68 99 1 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 68480 99 130 344 474 1 227 298 12 12 144 clb auto 28.5 MiB 0.74 552 74923 20922 40083 13918 66.9 MiB 0.65 0.00 1.839 -120.424 -1.839 1.839 0.94 0.000434168 0.000386242 0.305889 0.0259251 40 1366 19 5.66058e+06 4.21279e+06 333335. 2314.82 2.41 0.731611 0.443883 12946 64812 -1 1197 11 406 615 31856 9598 1.98484 1.98484 -138.688 -1.98484 0 0 419432. 2912.72 0.36 0.08 0.15 -1 -1 0.36 0.0688819 0.0679694 +k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 31.34 vpr 69.97 MiB -1 -1 1.36 25080 5 0.50 -1 -1 38040 -1 -1 32 162 0 5 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 71648 162 96 1075 892 1 666 295 16 16 256 mult_36 auto 32.1 MiB 1.35 5055 92509 33442 52071 6996 70.0 MiB 1.54 0.08 15.9193 -1240.37 -15.9193 15.9193 2.20 0.00115195 0.00103926 0.511273 0.499883 52 11221 44 1.21132e+07 3.70461e+06 805949. 3148.24 15.34 1.52513 1.488 26552 162987 -1 9285 21 2899 4869 996144 262436 17.1487 17.1487 -1335.43 -17.1487 0 0 1.06067e+06 4143.25 1.05 0.72 0.31 -1 -1 1.05 0.251949 0.24803 +k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 33.55 vpr 68.45 MiB -1 -1 0.92 24624 5 0.36 -1 -1 37504 -1 -1 22 66 0 5 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70092 66 96 778 595 1 467 189 16 16 256 mult_36 auto 30.3 MiB 1.18 3573 45175 14938 25152 5085 68.4 MiB 0.59 0.00 12.2285 -757.263 -12.2285 12.2285 2.64 0.000829089 0.000741288 0.296001 0.207582 50 8196 32 1.21132e+07 3.16567e+06 780532. 3048.95 17.54 0.91882 0.801916 26044 153858 -1 7038 20 2831 5567 1083476 276961 12.8517 12.8517 -827.298 -12.8517 0 0 1.00276e+06 3917.05 1.20 1.24 0.26 -1 -1 1.20 0.55112 0.547864 +k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 634.49 vpr 583.27 MiB -1 -1 134.94 457728 97 140.08 -1 -1 116436 -1 -1 2135 114 45 8 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 597272 114 102 35834 31925 1 17063 2404 56 56 3136 clb auto 346.8 MiB 94.88 223999 1805268 668923 1105538 30807 556.0 MiB 52.78 0.42 74.8526 -53067.9 -74.8526 74.8526 37.99 0.0426053 0.036302 6.15283 5.16283 98 328098 28 1.8697e+08 1.42894e+08 2.01848e+07 6436.49 102.99 24.6827 21.1736 445422 4317135 -1 306424 22 66707 259251 13340453 2269989 73.9663 73.9663 -62346.4 -73.9663 0 0 2.55970e+07 8162.30 11.96 6.08 3.50 -1 -1 11.96 3.57722 3.28859 +k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 214.25 vpr 316.48 MiB -1 -1 35.79 122444 5 12.90 -1 -1 48632 -1 -1 476 506 44 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 324076 506 553 3236 3734 1 2873 1579 50 50 2500 memory auto 59.4 MiB 12.14 15208 1187218 574336 423866 189016 316.5 MiB 10.41 0.15 7.88836 -2144.82 -7.88836 7.88836 62.81 0.0598338 0.0589533 4.17334 3.8936 38 23209 14 1.47946e+08 4.97661e+07 6.86579e+06 2746.32 34.36 10.6284 10.0642 258216 1426232 -1 22350 14 3839 5032 1037208 241380 8.26327 8.26327 -2426.39 -8.26327 0 0 8.69102e+06 3476.41 8.30 1.38 2.20 -1 -1 8.30 1.08952 1.03144 +k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 48.99 vpr 72.51 MiB -1 -1 3.14 28880 2 0.61 -1 -1 38076 -1 -1 30 311 15 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 74248 311 156 1015 1158 1 965 512 28 28 784 memory auto 34.6 MiB 1.99 8123 209306 77878 121727 9701 72.5 MiB 2.04 0.01 4.24034 -4312.49 -4.24034 4.24034 7.44 0.00230164 0.00200065 0.537309 0.377233 46 13506 14 4.25198e+07 9.83682e+06 2.40571e+06 3068.51 16.14 2.52678 2.31141 81794 492802 -1 13124 16 2811 3175 701350 185699 4.25987 4.25987 -4818.17 -4.25987 0 0 3.09729e+06 3950.62 3.48 0.92 0.82 -1 -1 3.48 0.640298 0.493211 +k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 69.07 vpr 83.67 MiB -1 -1 16.30 55624 5 6.10 -1 -1 43028 -1 -1 167 193 5 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 85676 193 205 2718 2652 1 1363 570 20 20 400 memory auto 46.2 MiB 8.35 10499 243856 86287 132432 25137 83.7 MiB 4.30 0.09 5.50153 -2864.86 -5.50153 5.50153 3.29 0.00291676 0.00248969 1.43876 1.31624 52 18796 27 2.07112e+07 1.17403e+07 1.31074e+06 3276.84 16.23 4.38953 4.10974 42580 268535 -1 16714 13 4494 11079 636879 128985 5.67199 5.67199 -3033.25 -5.67199 -0.00271738 -0.00135869 1.72518e+06 4312.96 1.59 0.82 0.50 -1 -1 1.59 0.60969 0.60135 +k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 379.24 vpr 105.15 MiB -1 -1 15.57 66120 8 11.75 -1 -1 44468 -1 -1 246 385 2 1 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 107676 385 362 4415 4299 1 2357 996 26 26 676 io auto 61.4 MiB 19.78 28726 547916 210036 314446 23434 98.4 MiB 10.73 0.07 9.08512 -9570.09 -9.08512 9.08512 5.96 0.00329531 0.00296933 2.17123 2.02972 102 41255 49 3.69863e+07 1.47499e+07 4.28034e+06 6331.86 297.81 8.74784 8.27578 95788 898762 -1 39972 16 9447 31588 1763573 297369 9.08065 9.08065 -9902.11 -9.08065 0 0 5.37165e+06 7946.23 2.37 0.94 0.92 -1 -1 2.37 0.548402 0.527779 +k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 77.40 vpr 84.96 MiB -1 -1 10.65 44824 3 1.95 -1 -1 40840 -1 -1 122 236 1 6 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 87004 236 305 3199 3011 1 1513 670 19 19 361 io auto 48.0 MiB 7.01 12400 264370 86759 164165 13446 85.0 MiB 4.47 0.02 4.73305 -2853.54 -4.73305 4.73305 2.93 0.00348242 0.0031267 0.749665 0.713428 62 26338 47 1.72706e+07 9.49907e+06 1.42198e+06 3939.00 36.48 4.8707 4.51469 40483 281719 -1 21312 17 7193 19188 2027773 455475 4.85695 4.85695 -3063.38 -4.85695 0 0 1.76637e+06 4892.99 1.34 1.46 0.49 -1 -1 1.34 0.600206 0.587981 +k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 41.21 vpr 82.93 MiB -1 -1 6.27 47796 3 4.75 -1 -1 43312 -1 -1 139 38 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 84924 38 36 2739 2488 1 1037 213 16 16 256 clb auto 45.7 MiB 5.08 9128 40218 10132 27089 2997 82.9 MiB 2.28 0.01 10.3799 -2787.19 -10.3799 10.3799 1.52 0.00206601 0.0016792 0.648359 0.576821 70 13785 27 1.21132e+07 7.49127e+06 1.08607e+06 4242.47 8.72 3.33834 2.93252 29612 216119 -1 12472 19 3746 8710 316144 53238 10.4324 10.4324 -3279.89 -10.4324 0 0 1.36713e+06 5340.37 1.37 0.74 0.32 -1 -1 1.37 0.718388 0.469764 +k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 40.32 vpr 73.88 MiB -1 -1 7.81 35564 16 1.73 -1 -1 38720 -1 -1 60 45 3 1 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 75656 45 32 1192 1151 1 782 141 14 14 196 memory auto 36.2 MiB 6.89 6359 25029 7172 15872 1985 73.9 MiB 0.88 0.01 10.5514 -6933.99 -10.5514 10.5514 1.76 0.00147002 0.00119631 0.424451 0.316307 70 12362 27 9.20055e+06 5.27364e+06 825316. 4210.80 12.19 2.10407 1.84446 22820 164109 -1 10157 16 3115 8010 611716 149589 10.6548 10.6548 -7166.09 -10.6548 0 0 1.03831e+06 5297.50 0.97 0.75 0.46 -1 -1 0.97 0.188719 0.181711 +k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 181.05 vpr 229.26 MiB -1 -1 27.74 102924 5 20.21 -1 -1 69852 -1 -1 707 169 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 234760 169 197 23225 21365 1 6482 1073 33 33 1089 clb auto 174.7 MiB 25.95 40507 561155 178846 355353 26956 208.5 MiB 13.25 0.12 3.5747 -13857.8 -3.5747 3.5747 8.08 0.0148748 0.0122654 4.09005 3.48051 56 60901 39 6.0475e+07 3.81032e+07 4.09277e+06 3758.28 39.60 16.1655 14.0507 121655 832457 -1 55874 14 16410 25120 1046349 197808 4.09433 4.09433 -15090.6 -4.09433 0 0 5.21984e+06 4793.24 4.23 3.32 1.26 -1 -1 4.23 3.36427 3.04225 +k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 372.31 vpr 255.63 MiB -1 -1 25.80 124968 3 27.12 -1 -1 78048 -1 -1 679 115 0 40 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 261768 115 145 22864 19301 1 9652 979 40 40 1600 mult_36 auto 171.8 MiB 23.53 84166 520892 166892 327998 26002 206.7 MiB 16.90 0.17 5.43112 -22815.3 -5.43112 5.43112 11.32 0.0623107 0.0599798 4.35297 3.45995 86 139376 46 9.16046e+07 5.24347e+07 8.98461e+06 5615.38 223.57 16.813 14.4233 212028 1885476 -1 121351 16 33519 51273 8827849 1814939 5.65594 5.65594 -25361.9 -5.65594 0 0 1.13675e+07 7104.67 6.10 3.89 2.27 -1 -1 6.10 1.83823 1.72266 +k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 624.84 vpr 932.79 MiB -1 -1 32.45 197976 3 16.94 -1 -1 155956 -1 -1 1503 149 0 179 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 955172 149 182 55415 37074 1 28618 2013 80 80 6400 mult_36 auto 355.5 MiB 48.89 286321 1679073 589581 1030407 59085 932.8 MiB 55.20 0.46 13.8384 -50424.9 -13.8384 13.8384 134.07 0.0681612 0.0631521 9.11899 7.87535 94 399646 42 3.90281e+08 1.51886e+08 4.03658e+07 6307.16 212.00 27.7631 24.2749 895480 8566334 -1 382155 20 103319 121546 18426157 3595010 14.2574 14.2574 -56221.1 -14.2574 0 0 5.08546e+07 7946.02 20.15 6.70 7.09 -1 -1 20.15 3.34157 3.08291 +k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 8.75 vpr 66.19 MiB -1 -1 1.74 26448 4 0.60 -1 -1 36452 -1 -1 15 11 0 0 success v8.0.0-11112-g5768d9d8a-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-21T13:24:05 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67776 11 2 303 283 2 80 28 7 7 49 clb auto 27.9 MiB 0.65 257 1330 280 924 126 66.2 MiB 0.02 0.00 2.03512 -164.27 -2.03512 1.89824 0.16 0.000217992 0.000162024 0.0106359 0.00877054 26 382 19 1.07788e+06 808410 68696.0 1401.96 0.57 0.204554 0.196831 3516 12294 -1 333 13 168 291 4761 1638 2.05049 1.95817 -175.115 -2.05049 0 0 84249.8 1719.38 0.13 0.11 0.01 -1 -1 0.13 0.0172823 0.0159874 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/golden_results.txt index f5fb0192fb8..9e8e6d93e99 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/golden_results.txt @@ -1,13 +1,13 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.os.v common 677.72 vpr 2.29 GiB -1 -1 19.40 195276 5 99.61 -1 -1 109760 -1 -1 492 355 32 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2400616 355 289 25429 18444 2 12313 1433 136 136 18496 dsp_top auto 208.3 MiB 14.61 359754 2344.4 MiB 16.75 0.18 5.12303 -82671.4 -5.12303 2.1842 6.09 0.0412666 0.0368158 6.35102 5.65512 -1 394367 16 5.92627e+08 8.53857e+07 4.08527e+08 22087.3 4.50 8.69097 7.85207 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.ws.v common 722.22 vpr 2.30 GiB -1 -1 23.09 242848 5 72.60 -1 -1 117236 -1 -1 686 357 58 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2415672 357 289 25686 20353 2 12799 1656 136 136 18496 dsp_top auto 233.3 MiB 98.40 226648 2359.1 MiB 20.07 0.17 8.31923 -74283.8 -8.31923 2.78336 6.05 0.0420585 0.0356747 6.53862 5.54952 -1 293644 13 5.92627e+08 9.4632e+07 4.08527e+08 22087.3 4.58 8.69976 7.55132 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dla_like.small.v common 2800.18 vpr 1.75 GiB -1 -1 94.38 736748 6 754.09 -1 -1 389988 -1 -1 3895 206 132 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1840088 206 13 165036 139551 1 69732 4358 88 88 7744 dsp_top auto 1052.4 MiB 1692.76 601396 1606.1 MiB 88.48 0.64 5.30279 -150931 -5.30279 5.30279 1.96 0.131322 0.104184 16.7561 13.7761 -1 876475 15 2.4541e+08 1.55281e+08 1.69370e+08 21871.2 14.42 24.7943 21.0377 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bnn.v common 797.74 vpr 2.01 GiB -1 -1 84.28 729308 3 56.57 -1 -1 411036 -1 -1 6190 260 0 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2106860 260 122 206251 154342 1 87361 6635 87 87 7569 clb auto 1300.8 MiB 202.79 910701 1723.3 MiB 174.17 1.12 6.77966 -140235 -6.77966 6.77966 1.97 0.198989 0.175034 29.926 24.7241 -1 1199797 17 2.37162e+08 1.88714e+08 1.65965e+08 21927.0 20.72 41.872 35.326 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml attention_layer.v common 778.08 vpr 1002.21 MiB -1 -1 34.70 314612 5 16.29 -1 -1 135008 -1 -1 1000 1052 194 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1026264 1052 32 45971 36479 1 23765 2376 82 82 6724 dsp_top auto 311.2 MiB 549.16 240205 1002.2 MiB 29.44 0.19 5.44091 -87140.2 -5.44091 5.44091 1.55 0.049896 0.0424754 8.68648 7.24743 -1 380516 19 2.09174e+08 7.94825e+07 1.47429e+08 21925.8 7.09 11.8806 10.0846 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer_hls.v common 494.69 vpr 1.34 GiB -1 -1 19.54 264520 3 15.16 -1 -1 56460 -1 -1 1739 1016 21 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1406888 1016 2244 12841 14383 1 7131 5032 104 104 10816 io auto 138.0 MiB 74.83 73655 1373.9 MiB 27.72 0.28 4.66975 -16444.1 -4.66975 4.66975 5.72 0.0687639 0.0660952 9.48152 9.00606 -1 100883 14 3.44415e+08 5.44618e+07 2.37404e+08 21949.3 3.58 12.2466 11.6618 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 261.70 vpr 539.64 MiB -1 -1 14.31 148624 4 106.09 -1 -1 82672 -1 -1 821 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 552588 91 65 33178 28065 2 12714 1075 56 56 3136 dsp_top auto 245.3 MiB 15.16 162218 539.6 MiB 20.95 0.16 4.63337 -55301.2 -4.63337 1.84791 1.03 0.064784 0.0563394 8.11436 6.88378 -1 232737 13 9.76016e+07 4.12774e+07 6.79229e+07 21659.1 6.42 11.3034 9.78771 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml eltwise_layer.v common 134.01 vpr 471.62 MiB -1 -1 5.54 84604 4 10.22 -1 -1 57240 -1 -1 358 152 72 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 482936 152 97 14484 12274 2 6814 726 56 56 3136 dsp_top auto 139.0 MiB 7.49 128840 471.6 MiB 10.79 0.08 3.7231 -22257.6 -3.7231 1.56771 1.07 0.0418396 0.0359169 5.57189 4.76335 -1 188991 14 9.76016e+07 3.18294e+07 6.79229e+07 21659.1 5.32 7.49194 6.52592 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml robot_rl.v common 161.71 vpr 468.34 MiB -1 -1 16.70 243012 5 9.37 -1 -1 77484 -1 -1 874 3 84 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 479580 3 384 24598 22966 1 12280 1363 52 52 2704 memory auto 216.5 MiB 18.36 105021 468.3 MiB 24.33 0.18 5.54985 -36353.1 -5.54985 5.54985 0.84 0.0529336 0.0457202 6.49893 5.4558 -1 173035 17 8.30642e+07 4.05203e+07 5.85728e+07 21661.5 5.88 9.68277 8.27364 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml reduction_layer.v common 112.24 vpr 312.18 MiB -1 -1 18.23 305628 6 11.33 -1 -1 74884 -1 -1 659 37 52 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 319672 37 17 16436 14191 1 8837 765 38 38 1444 memory auto 166.0 MiB 19.17 99898 301.3 MiB 12.00 0.15 5.43701 -40617.4 -5.43701 5.43701 0.38 0.0444985 0.0353751 3.86054 3.15721 -1 163885 16 4.31434e+07 2.55478e+07 3.09543e+07 21436.5 5.73 6.27904 5.31913 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml spmv.v common 281.23 vpr 940.78 MiB -1 -1 9.42 191424 6 15.99 -1 -1 69580 -1 -1 649 82 232 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 963360 82 17 16267 14363 1 9085 1012 84 84 7056 memory auto 154.7 MiB 20.89 173262 940.8 MiB 9.92 0.09 4.77582 -40195.5 -4.77582 4.77582 2.76 0.0348339 0.0273197 3.85139 3.13376 -1 231971 14 2.2198e+08 5.81696e+07 1.54484e+08 21894.0 5.04 5.62118 4.72078 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml softmax.v common 143.67 vpr 438.25 MiB -1 -1 12.83 292752 10 10.84 -1 -1 58644 -1 -1 517 402 0 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 448768 402 150 12953 11777 1 7813 1106 54 54 2916 dsp_top auto 131.0 MiB 14.38 80909 438.2 MiB 12.89 0.09 8.74709 -12940.5 -8.74709 8.74709 1.02 0.0242371 0.0213375 3.69252 3.20705 -1 130144 17 8.95105e+07 2.38165e+07 6.32721e+07 21698.2 3.46 5.30986 4.69795 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.os.v common 619.60 vpr 2.33 GiB -1 -1 13.39 196968 5 72.67 -1 -1 109604 -1 -1 496 355 32 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 2439252 355 289 25456 18471 2 12404 1437 136 136 18496 dsp_top auto 214.0 MiB 12.23 355505 1152489 467663 489673 195153 2382.1 MiB 22.48 0.22 5.68333 -83747.3 -5.68333 2.1842 6.98 0.0505978 0.046175 7.84344 7.09719 -1 385939 15 5.92627e+08 8.54973e+07 4.08527e+08 22087.3 4.41 10.6032 9.72523 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.ws.v common 662.30 vpr 2.34 GiB -1 -1 16.28 242356 5 50.41 -1 -1 116980 -1 -1 687 357 58 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 2456672 357 289 25618 20285 2 12726 1657 136 136 18496 dsp_top auto 238.1 MiB 62.99 206081 1520812 537522 740750 242540 2399.1 MiB 28.10 0.22 7.3529 -70878.9 -7.3529 2.61672 7.11 0.0472105 0.03978 7.5815 6.64026 -1 271791 19 5.92627e+08 9.46599e+07 4.08527e+08 22087.3 5.60 10.7015 9.55688 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dla_like.small.v common 3228.09 vpr 1.77 GiB -1 -1 67.41 743692 6 615.96 -1 -1 389608 -1 -1 3908 206 132 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 1850844 206 13 164958 139473 1 69650 4371 88 88 7744 dsp_top auto 1055.2 MiB 2190.38 594831 4326854 1606621 2631635 88598 1624.7 MiB 126.05 0.96 5.30279 -153323 -5.30279 5.30279 2.46 0.132724 0.110532 18.0376 15.1327 -1 866956 15 2.4541e+08 1.55644e+08 1.69370e+08 21871.2 16.81 27.5426 23.9585 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bnn.v common 673.61 vpr 2.02 GiB -1 -1 59.67 728992 3 36.85 -1 -1 417368 -1 -1 6187 260 0 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 2123212 260 122 206293 154384 1 87347 6632 87 87 7569 clb auto 1305.4 MiB 169.50 922485 7854164 3058750 4303825 491589 1741.7 MiB 173.10 1.21 6.93854 -143778 -6.93854 6.93854 2.22 0.1974 0.178302 23.7686 20.3 -1 1211007 17 2.37162e+08 1.88631e+08 1.65965e+08 21927.0 22.70 35.8566 31.4249 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml attention_layer.v common 769.78 vpr 1019.14 MiB -1 -1 25.31 316344 5 9.16 -1 -1 134712 -1 -1 995 1052 194 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 1043600 1052 32 45949 36457 1 23713 2371 82 82 6724 dsp_top auto 316.2 MiB 535.35 235206 2499245 888437 1481261 129547 1019.1 MiB 30.47 0.19 5.64881 -88843.7 -5.64881 5.64881 1.81 0.0461721 0.0396534 7.82698 6.6403 -1 373961 16 2.09174e+08 7.9343e+07 1.47429e+08 21925.8 6.61 10.7458 9.30974 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer_hls.v common 386.70 vpr 1.36 GiB -1 -1 18.23 255132 3 10.00 -1 -1 61072 -1 -1 1742 1016 21 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 1430828 1016 2244 12839 14381 1 7127 5035 104 104 10816 io auto 140.6 MiB 67.37 74682 5871531 3028982 2055644 786905 1397.3 MiB 21.15 0.19 5.72079 -17485.3 -5.72079 5.72079 3.75 0.053385 0.0516384 7.65676 7.41028 -1 101137 17 3.44415e+08 5.45455e+07 2.37404e+08 21949.3 3.04 10.2052 9.90229 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 167.72 vpr 548.32 MiB -1 -1 10.12 149124 4 57.67 -1 -1 82592 -1 -1 821 91 56 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 561480 91 65 33180 28067 2 12775 1075 56 56 3136 dsp_top auto 248.0 MiB 12.48 161649 617523 203048 388674 25801 548.3 MiB 12.44 0.10 4.71204 -49256.5 -4.71204 1.93601 0.74 0.0351018 0.0300777 4.47165 3.80648 -1 233134 14 9.76016e+07 4.12774e+07 6.79229e+07 21659.1 4.19 6.67861 5.86711 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml eltwise_layer.v common 96.94 vpr 480.44 MiB -1 -1 4.01 85204 4 6.23 -1 -1 56968 -1 -1 347 152 72 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 491968 152 97 14409 12199 2 6828 715 56 56 3136 dsp_top auto 141.6 MiB 8.20 133480 377843 120228 230660 26955 480.4 MiB 6.46 0.05 3.95733 -22810.1 -3.95733 1.56771 0.73 0.0220286 0.0187682 2.65044 2.28617 -1 195459 14 9.76016e+07 3.15225e+07 6.79229e+07 21659.1 3.44 3.88511 3.43833 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml robot_rl.v common 115.41 vpr 478.52 MiB -1 -1 12.99 239476 5 7.81 -1 -1 77052 -1 -1 876 3 84 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 490004 3 384 24647 23015 1 12352 1365 52 52 2704 memory auto 221.8 MiB 13.92 110684 818817 266813 480208 71796 478.5 MiB 14.39 0.12 5.75775 -36197.2 -5.75775 5.75775 0.58 0.0235431 0.0188135 2.93359 2.43328 -1 180370 18 8.30642e+07 4.05761e+07 5.85728e+07 21661.5 3.82 4.89173 4.24908 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml reduction_layer.v common 76.71 vpr 318.11 MiB -1 -1 13.06 307408 6 6.97 -1 -1 74924 -1 -1 735 37 52 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 325740 37 17 16391 14146 1 8955 841 38 38 1444 memory auto 169.5 MiB 12.70 101987 306377 77320 220137 8920 306.7 MiB 8.23 0.09 5.54334 -34945.2 -5.54334 5.54334 0.25 0.0173344 0.0141754 1.70924 1.42776 -1 167887 16 4.31434e+07 2.76685e+07 3.09543e+07 21436.5 3.61 3.17188 2.78308 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml spmv.v common 216.67 vpr 956.60 MiB -1 -1 7.64 195504 6 12.41 -1 -1 69980 -1 -1 643 82 232 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 979556 82 17 16311 14407 1 9023 1006 84 84 7056 memory auto 158.1 MiB 17.56 160557 509844 165549 329315 14980 956.6 MiB 6.62 0.06 4.90582 -39742 -4.90582 4.90582 1.96 0.0182862 0.0156519 2.06248 1.70904 -1 218320 13 2.2198e+08 5.80022e+07 1.54484e+08 21894.0 3.17 3.29314 2.85784 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml softmax.v common 105.95 vpr 447.11 MiB -1 -1 9.76 285876 10 8.07 -1 -1 57872 -1 -1 504 402 0 -1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 457844 402 150 12958 11781 1 7836 1093 54 54 2916 dsp_top auto 134.6 MiB 11.32 80267 772129 261682 467759 42688 447.1 MiB 8.77 0.07 7.40003 -12898.4 -7.40003 7.40003 0.69 0.0144036 0.0128586 1.97847 1.71617 -1 130877 17 8.95105e+07 2.34537e+07 6.32721e+07 21698.2 2.33 3.04257 2.72326 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_power/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_power/config/golden_results.txt index e90dc4ebc2a..c6e28cffde3 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_power/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_power/config/golden_results.txt @@ -1,3 +1,3 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 2.56 vpr 68.86 MiB -1 -1 0.16 21164 3 0.04 -1 -1 36732 -1 -1 68 99 1 0 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev vtr-verilog-to-routing 70512 99 130 343 473 1 225 298 12 12 144 clb auto 30.0 MiB 0.09 599 68.9 MiB 0.14 0.00 1.62851 -108.153 -1.62851 1.62851 0.24 0.000299717 0.000270177 0.0273918 0.0247539 36 1433 28 5.66058e+06 4.21279e+06 305235. 2119.69 0.89 0.154527 0.142184 12238 58442 -1 1268 11 415 652 36110 11199 0 0 36110 11199 652 509 0 0 1962 1778 0 0 2299 1962 0 0 702 569 0 0 14627 3510 0 0 15868 2871 0 0 652 0 0 237 348 302 2469 0 0 1.99752 1.99752 -139.864 -1.99752 -0.305022 -0.0771249 378970. 2631.74 0.08 0.02 0.03 -1 -1 0.08 0.0122486 0.0116483 0.01106 0.2164 0.06499 0.7186 - k6_frac_N10_mem32K_40nm.xml diffeq1.v common 11.60 vpr 71.82 MiB -1 -1 0.27 26032 15 0.29 -1 -1 39268 -1 -1 36 162 0 5 success v8.0.0-8313-gbd73e8384d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.1.1 on Linux-6.1.31-2-MANJARO x86_64 2023-07-20T13:36:56 dev vtr-verilog-to-routing 73548 162 96 994 935 1 694 299 16 16 256 mult_36 auto 33.9 MiB 0.18 5116 71.8 MiB 0.31 0.00 20.0144 -1558.29 -20.0144 20.0144 0.52 0.000865507 0.000778261 0.0906443 0.0820495 58 11189 29 1.21132e+07 3.92018e+06 904549. 3533.39 6.75 0.600626 0.551765 27012 180273 -1 8988 16 2806 5411 1373904 390089 0 0 1373904 390089 5411 3482 0 0 66539 65294 0 0 69304 66706 0 0 5863 3940 0 0 620903 124434 0 0 605884 126233 0 0 5411 0 0 2632 7125 7046 41280 0 0 22.5339 22.5339 -1730.29 -22.5339 0 0 1.15318e+06 4504.63 0.26 0.22 0.11 -1 -1 0.26 0.0593905 0.0563493 0.007993 0.3665 0.01796 0.6156 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc +k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 3.81 vpr 66.11 MiB -1 -1 0.26 21888 3 0.09 -1 -1 36764 -1 -1 68 99 1 0 success v8.0.0-10480-gb00638420 release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-07-09T01:24:04 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67692 99 130 344 474 1 223 298 12 12 144 clb auto 27.3 MiB 0.12 585 71938 22630 36940 12368 66.1 MiB 0.14 0.00 1.6034 -105.161 -1.6034 1.6034 0.29 0.00139437 0.00135258 0.0351572 0.0316889 50 1281 9 5.66058e+06 4.21279e+06 406292. 2821.48 1.38 0.208431 0.191734 13526 77840 -1 1197 13 384 639 38731 12079 1.94763 1.94763 -135.636 -1.94763 -0.246888 -0.0618635 520805. 3616.70 0.14 0.03 0.06 -1 -1 0.14 0.0186428 0.0176628 0.01175 0.2358 0.07065 0.6936 +k6_frac_N10_mem32K_40nm.xml diffeq1.v common 11.53 vpr 69.39 MiB -1 -1 0.37 26692 15 0.34 -1 -1 38048 -1 -1 36 162 0 5 success v8.0.0-10480-gb00638420 release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-07-09T01:24:04 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 71060 162 96 1009 950 1 702 299 16 16 256 mult_36 auto 31.3 MiB 0.25 5482 83216 24145 51990 7081 69.4 MiB 0.33 0.01 19.9021 -1505.63 -19.9021 19.9021 0.55 0.00116941 0.00106566 0.107758 0.0971761 48 12516 28 1.21132e+07 3.92018e+06 756778. 2956.16 6.27 0.595579 0.54881 25228 149258 -1 9859 18 3192 6328 1764441 435058 22.1605 22.1605 -1742.59 -22.1605 0 0 968034. 3781.38 0.29 0.35 0.10 -1 -1 0.29 0.0780723 0.07404 0.007937 0.3531 0.01667 0.6303 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_two_chains/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_two_chains/config/golden_results.txt index c9364e30733..af6f4da43ad 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_two_chains/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_two_chains/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml diffeq2.v common 15.33 vpr 67.04 MiB -1 -1 0.22 25508 5 0.13 -1 -1 37112 -1 -1 20 66 0 -1 success v8.0.0-6989-g4a9293e1e-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T01:37:29 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 68652 66 96 979 693 1 573 194 16 16 256 mult_27 auto 29.0 MiB 0.44 4603 67.0 MiB 0.41 0.01 14.521 -875.561 -14.521 14.521 0.69 0.00114902 0.00103798 0.120695 0.109525 60 12753 30 4.83877e+06 1.10888e+06 1.27241e+06 4970.35 11.04 0.678618 0.621526 10670 21 3983 8885 2811513 745110 17.1299 17.1299 -1141.38 -17.1299 0 0 1.57401e+06 6148.46 0.34 0.46 0.0715366 0.0672789 184 196 -1 -1 -1 -1 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length +k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml diffeq2.v common 14.42 vpr 67.74 MiB -1 -1 0.22 25248 5 0.14 -1 -1 37884 -1 -1 16 66 0 -1 success v8.0.0-10947-g0222054f4-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-08-02T07:25:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/tasks/regression_tests/vtr_reg_strong 69368 66 96 983 697 1 554 190 16 16 256 mult_27 auto 29.8 MiB 0.86 4414 48238 17023 25695 5520 67.7 MiB 0.38 0.01 17.8642 -987.177 -17.8642 17.8642 0.74 0.000855849 0.000743555 0.0943972 0.0830861 64 10764 25 4.83877e+06 1.00808e+06 1.35562e+06 5295.38 9.28 0.507301 0.459906 40360 280887 -1 9902 21 3569 7097 2047268 538057 17.7619 17.7619 -1087.06 -17.7619 0 0 1.67258e+06 6533.53 0.46 0.38 0.19 -1 -1 0.46 0.0706231 0.0666912 139 200 -1 -1 -1 -1 diff --git a/yosys/.gitcommit b/yosys/.gitcommit index 46b7856fbc8..6c5826ed776 100644 --- a/yosys/.gitcommit +++ b/yosys/.gitcommit @@ -1 +1 @@ -$Format:%h$ +dac5bd1983a diff --git a/yosys/.github/ISSUE_TEMPLATE/bug_report.yml b/yosys/.github/ISSUE_TEMPLATE/bug_report.yml index 27cfd09b7de..e4c776ed914 100644 --- a/yosys/.github/ISSUE_TEMPLATE/bug_report.yml +++ b/yosys/.github/ISSUE_TEMPLATE/bug_report.yml @@ -34,6 +34,7 @@ body: - macOS - Windows - BSD + - WebAssembly multiple: true validations: required: true @@ -42,7 +43,7 @@ body: attributes: value: > When providing steps to reproduce the issue, please ensure that the issue - is reproducible in the current git master of Yosys. Also ensure to + is reproducible in the current git main of Yosys. Also ensure to provide all necessary source files needed. diff --git a/yosys/.github/ISSUE_TEMPLATE/docs_report.yml b/yosys/.github/ISSUE_TEMPLATE/docs_report.yml new file mode 100644 index 00000000000..aa65c63b9c9 --- /dev/null +++ b/yosys/.github/ISSUE_TEMPLATE/docs_report.yml @@ -0,0 +1,55 @@ +name: Documentation Report +description: Report a problem with the Yosys documentation +labels: ["pending-verification"] +body: + - type: markdown + attributes: + value: > + + If you have a general question, please ask it in the [Discussions](https://github.com/YosysHQ/yosys/discussions) area + or join our [IRC Channel](https://web.libera.chat/#yosys) or [Community Slack](https://join.slack.com/t/yosyshq/shared_invite/zt-1aopkns2q-EiQ97BeQDt_pwvE41sGSuA). + + + If you have found a bug in Yosys, or in building the documentation, + please fill out the Bug Report issue form, this form is for problems + with the live documentation on [Read the + Docs](https://yosyshq.readthedocs.io/projects/yosys/). Please only + report problems that appear on the latest version of the documentation. + + + Please contact [YosysHQ GmbH](https://www.yosyshq.com/) if you need + commercial support for Yosys. + + - type: input + id: docs_url + attributes: + label: Link to page + description: "Please provide a link to the page where the problem was found." + placeholder: "e.g. https://yosyshq.readthedocs.io/projects/yosys/" + validations: + required: true + + - type: input + id: build_number + attributes: + label: Build number + description: "If possible, please provide the latest build number from https://readthedocs.org/projects/yosys/builds/." + placeholder: "e.g. Build #24078236" + validations: + required: false + + - type: textarea + id: problem + attributes: + label: Issue + description: "Please describe what is incorrect, invalid, or missing." + validations: + required: true + + - type: textarea + id: expected + attributes: + label: Expected + description: "If applicable, please describe what should appear instead." + validations: + required: false diff --git a/yosys/.github/PULL_REQUEST_TEMPLATE.md b/yosys/.github/PULL_REQUEST_TEMPLATE.md new file mode 100644 index 00000000000..82daf609d36 --- /dev/null +++ b/yosys/.github/PULL_REQUEST_TEMPLATE.md @@ -0,0 +1,5 @@ +_What are the reasons/motivation for this change?_ + +_Explain how this is achieved._ + +_If applicable, please suggest to reviewers how they can test the change._ diff --git a/yosys/.github/actions/setup-build-env/action.yml b/yosys/.github/actions/setup-build-env/action.yml new file mode 100644 index 00000000000..345b6db8ada --- /dev/null +++ b/yosys/.github/actions/setup-build-env/action.yml @@ -0,0 +1,33 @@ +name: Build environment setup +description: Configure build env for Yosys builds +runs: + using: composite + steps: + - name: Install Linux Dependencies + if: runner.os == 'Linux' + shell: bash + run: | + sudo apt-get update + sudo apt-get install gperf build-essential bison flex libreadline-dev gawk tcl-dev libffi-dev git graphviz xdot pkg-config python3 libboost-system-dev libboost-python-dev libboost-filesystem-dev zlib1g-dev + + - name: Install macOS Dependencies + if: runner.os == 'macOS' + shell: bash + run: | + HOMEBREW_NO_INSTALLED_DEPENDENTS_CHECK=1 brew install bison flex gawk libffi pkg-config bash autoconf + + - name: Linux runtime environment + if: runner.os == 'Linux' + shell: bash + run: | + echo "${{ github.workspace }}/.local/bin" >> $GITHUB_PATH + echo "procs=$(nproc)" >> $GITHUB_ENV + + - name: macOS runtime environment + if: runner.os == 'macOS' + shell: bash + run: | + echo "${{ github.workspace }}/.local/bin" >> $GITHUB_PATH + echo "$(brew --prefix bison)/bin" >> $GITHUB_PATH + echo "$(brew --prefix flex)/bin" >> $GITHUB_PATH + echo "procs=$(sysctl -n hw.ncpu)" >> $GITHUB_ENV diff --git a/yosys/.github/workflows/codeql.yml b/yosys/.github/workflows/codeql.yml index 2a046703bc0..24ae7c89883 100644 --- a/yosys/.github/workflows/codeql.yml +++ b/yosys/.github/workflows/codeql.yml @@ -14,10 +14,11 @@ jobs: run: sudo apt-get install bison flex libreadline-dev tcl-dev libffi-dev - name: Checkout repository - uses: actions/checkout@v3 - + uses: actions/checkout@v4 + with: + submodules: true - name: Initialize CodeQL - uses: github/codeql-action/init@v2 + uses: github/codeql-action/init@v3 with: languages: cpp queries: security-extended,security-and-quality @@ -26,4 +27,4 @@ jobs: run: make yosys -j6 - name: Perform CodeQL Analysis - uses: github/codeql-action/analyze@v2 + uses: github/codeql-action/analyze@v3 diff --git a/yosys/.github/workflows/emcc.yml b/yosys/.github/workflows/emcc.yml deleted file mode 100644 index 295d9554b81..00000000000 --- a/yosys/.github/workflows/emcc.yml +++ /dev/null @@ -1,18 +0,0 @@ -name: Emscripten Build - -on: [push, pull_request] - -jobs: - emcc: - runs-on: ubuntu-latest - steps: - - uses: mymindstorm/setup-emsdk@v11 - - uses: actions/checkout@v3 - - name: Build - run: | - make config-emcc - make YOSYS_VER=latest - - uses: actions/upload-artifact@v3 - with: - name: yosysjs - path: yosysjs-latest.zip diff --git a/yosys/.github/workflows/extra-builds.yml b/yosys/.github/workflows/extra-builds.yml new file mode 100644 index 00000000000..d7c6e13ff06 --- /dev/null +++ b/yosys/.github/workflows/extra-builds.yml @@ -0,0 +1,97 @@ +name: Test extra build flows + +on: [push, pull_request] + +jobs: + pre_job: + runs-on: ubuntu-latest + outputs: + should_skip: ${{ steps.skip_check.outputs.should_skip }} + steps: + - id: skip_check + uses: fkirc/skip-duplicate-actions@v5 + with: + paths_ignore: '["**/README.md", "docs/**", "guidelines/**"]' + # cancel previous builds if a new commit is pushed + cancel_others: 'true' + # only run on push *or* pull_request, not both + concurrent_skipping: 'same_content_newer' + + vs-prep: + name: Prepare Visual Studio build + runs-on: ubuntu-latest + needs: [pre_job] + if: needs.pre_job.outputs.should_skip != 'true' + steps: + - uses: actions/checkout@v4 + with: + submodules: true + - name: Build + run: make vcxsrc YOSYS_VER=latest + - uses: actions/upload-artifact@v4 + with: + name: vcxsrc + path: yosys-win32-vcxsrc-latest.zip + + vs-build: + name: Visual Studio build + runs-on: windows-2019 + needs: [vs-prep, pre_job] + if: needs.pre_job.outputs.should_skip != 'true' + steps: + - uses: actions/download-artifact@v4 + with: + name: vcxsrc + path: . + - name: unzip + run: unzip yosys-win32-vcxsrc-latest.zip + - name: setup-msbuild + uses: microsoft/setup-msbuild@v2 + - name: MSBuild + working-directory: yosys-win32-vcxsrc-latest + run: msbuild YosysVS.sln /p:PlatformToolset=v142 /p:Configuration=Release /p:WindowsTargetPlatformVersion=10.0.17763.0 + + wasi-build: + name: WASI build + needs: pre_job + if: needs.pre_job.outputs.should_skip != 'true' + runs-on: ubuntu-latest + steps: + - uses: actions/checkout@v4 + with: + submodules: true + - name: Build + run: | + WASI_SDK=wasi-sdk-19.0 + WASI_SDK_URL=https://github.com/WebAssembly/wasi-sdk/releases/download/wasi-sdk-19/wasi-sdk-19.0-linux.tar.gz + if ! [ -d ${WASI_SDK} ]; then curl -L ${WASI_SDK_URL} | tar xzf -; fi + + mkdir -p build + cat > build/Makefile.conf <> $GITHUB_ENV + + - name: Cache iverilog + id: cache-iverilog + uses: actions/cache@v4 + with: + path: .local/ + key: ${{ matrix.os }}-${{ env.IVERILOG_GIT }} + + - name: Build iverilog + if: steps.cache-iverilog.outputs.cache-hit != 'true' + shell: bash + run: | + mkdir -p ${{ github.workspace }}/.local/ + cd iverilog + autoconf + CC=gcc CXX=g++ ./configure --prefix=${{ github.workspace }}/.local + make -j$procs + make install + + - name: Download build artifact + uses: actions/download-artifact@v4 + with: + name: build-${{ matrix.os }} + + - name: Uncompress build + shell: bash + run: + tar -xvf build.tar + + - name: Log yosys-config output + run: | + ./yosys-config || true + + - name: Run tests + shell: bash + run: | + make -j$procs test TARGETS= EXTRA_TARGETS= CONFIG=$CC + + - name: Report errors + if: ${{ failure() }} + shell: bash + run: | + find tests/**/*.err -print -exec cat {} \; + + test-docs: + name: Run docs tests + runs-on: ${{ matrix.os }} + needs: [build-yosys, pre_docs_job] + if: needs.pre_docs_job.outputs.should_skip != 'true' + env: + CC: clang + strategy: + matrix: + os: [ubuntu-latest] + fail-fast: false + steps: + - name: Checkout Yosys + uses: actions/checkout@v4 + + - name: Setup environment + uses: ./.github/actions/setup-build-env + + - name: Download build artifact + uses: actions/download-artifact@v4 + with: + name: build-${{ matrix.os }} + + - name: Uncompress build + shell: bash + run: + tar -xvf build.tar + + - name: Log yosys-config output + run: | + ./yosys-config || true + + - name: Run tests + shell: bash + run: | + make -C docs test -j${{ env.procs }} diff --git a/yosys/.github/workflows/test-compile.yml b/yosys/.github/workflows/test-compile.yml new file mode 100644 index 00000000000..a892c91ceca --- /dev/null +++ b/yosys/.github/workflows/test-compile.yml @@ -0,0 +1,79 @@ +name: Compiler testing + +on: [push, pull_request] + +jobs: + pre_job: + runs-on: ubuntu-latest + outputs: + should_skip: ${{ steps.skip_check.outputs.should_skip }} + steps: + - id: skip_check + uses: fkirc/skip-duplicate-actions@v5 + with: + paths_ignore: '["**/README.md", "docs/**", "guidelines/**"]' + # cancel previous builds if a new commit is pushed + cancel_others: 'true' + # only run on push *or* pull_request, not both + concurrent_skipping: 'same_content_newer' + + test-compile: + runs-on: ${{ matrix.os }} + needs: pre_job + if: needs.pre_job.outputs.should_skip != 'true' + env: + CXXFLAGS: ${{ startsWith(matrix.compiler, 'gcc') && '-Wp,-D_GLIBCXX_ASSERTIONS' || ''}} + CC_SHORT: ${{ startsWith(matrix.compiler, 'gcc') && 'gcc' || 'clang' }} + strategy: + matrix: + os: + - ubuntu-latest + compiler: + # oldest supported + - 'clang-14' + - 'gcc-10' + # newest + - 'clang' + - 'gcc' + include: + # macOS + - os: macos-13 + compiler: 'clang' + # oldest clang not available on ubuntu-latest + - os: ubuntu-22.04 + compiler: 'clang-11' + fail-fast: false + steps: + - name: Checkout Yosys + uses: actions/checkout@v4 + with: + submodules: true + + - name: Setup environment + uses: ./.github/actions/setup-build-env + + - name: Setup Cpp + uses: aminya/setup-cpp@v1 + with: + compiler: ${{ matrix.compiler }} + + - name: Tool versions + shell: bash + run: | + $CC --version + $CXX --version + + # minimum standard + - name: Build C++17 + shell: bash + run: | + make config-$CC_SHORT + make -j$procs CXXSTD=c++17 compile-only + + # maximum standard, only on newest compilers + - name: Build C++20 + if: ${{ matrix.compiler == 'clang' || matrix.compiler == 'gcc'}} + shell: bash + run: | + make config-$CC_SHORT + make -j$procs CXXSTD=c++20 compile-only diff --git a/yosys/.github/workflows/test-linux.yml b/yosys/.github/workflows/test-linux.yml deleted file mode 100644 index eee556794af..00000000000 --- a/yosys/.github/workflows/test-linux.yml +++ /dev/null @@ -1,116 +0,0 @@ -name: Build and run tests (Linux) - -on: [push, pull_request] - -jobs: - test-linux: - runs-on: ${{ matrix.os.id }} - strategy: - matrix: - os: - - { id: ubuntu-20.04, name: focal } - compiler: - - 'clang-12' - - 'gcc-11' - cpp_std: - - 'c++11' - - 'c++14' - - 'c++17' - - 'c++20' - include: - # Limit the older compilers to C++11 mode - - os: { id: ubuntu-20.04, name: focal } - compiler: 'clang-11' - cpp_std: 'c++11' - - os: { id: ubuntu-20.04, name: focal } - compiler: 'gcc-10' - cpp_std: 'c++11' - fail-fast: false - steps: - - name: Install Dependencies - shell: bash - run: | - sudo apt-get update - sudo apt-get install gperf build-essential bison flex libreadline-dev gawk tcl-dev libffi-dev git graphviz xdot pkg-config python python3 libboost-system-dev libboost-python-dev libboost-filesystem-dev zlib1g-dev - - - name: Setup GCC - if: startsWith(matrix.compiler, 'gcc') - shell: bash - run: | - CXX=${CC/#gcc/g++} - sudo apt-add-repository ppa:ubuntu-toolchain-r/test - sudo apt-get update - sudo apt-get install $CC $CXX - echo "CC=$CC" >> $GITHUB_ENV - echo "CXX=$CXX" >> $GITHUB_ENV - env: - CC: ${{ matrix.compiler }} - - - name: Setup Clang - if: startsWith(matrix.compiler, 'clang') - shell: bash - run: | - wget https://apt.llvm.org/llvm-snapshot.gpg.key - sudo apt-key add llvm-snapshot.gpg.key - rm llvm-snapshot.gpg.key - sudo apt-add-repository "deb https://apt.llvm.org/${{ matrix.os.name }}/ llvm-toolchain-${{ matrix.os.name }} main" - sudo apt-get update - CXX=${CC/#clang/clang++} - sudo apt-get install $CC $CXX - echo "CC=$CC" >> $GITHUB_ENV - echo "CXX=$CXX" >> $GITHUB_ENV - env: - CC: ${{ matrix.compiler }} - - - name: Runtime environment - shell: bash - env: - WORKSPACE: ${{ github.workspace }} - run: | - echo "GITHUB_WORKSPACE=`pwd`" >> $GITHUB_ENV - echo "$GITHUB_WORKSPACE/.local/bin" >> $GITHUB_PATH - echo "procs=$(nproc)" >> $GITHUB_ENV - - - name: Tool versions - shell: bash - run: | - $CC --version - $CXX --version - - - name: Checkout Yosys - uses: actions/checkout@v3 - - - name: Get iverilog - shell: bash - run: | - git clone https://github.com/steveicarus/iverilog.git - - - name: Cache iverilog - id: cache-iverilog - uses: actions/cache@v3 - with: - path: .local/ - key: ${{ matrix.os.id }}-${{ hashFiles('iverilog/.git/refs/heads/master') }} - - - name: Build iverilog - if: steps.cache-iverilog.outputs.cache-hit != 'true' - shell: bash - run: | - mkdir -p $GITHUB_WORKSPACE/.local/ - cd iverilog - autoconf - CC=gcc CXX=g++ ./configure --prefix=$GITHUB_WORKSPACE/.local - make -j${{ env.procs }} - make install - - - name: Build yosys - shell: bash - run: | - make config-${CC%%-*} - make -j${{ env.procs }} CCXXSTD=${{ matrix.cpp_std }} CC=$CC CXX=$CC LD=$CC - - - name: Run tests - if: (matrix.cpp_std == 'c++11') && (matrix.compiler == 'gcc-11') - shell: bash - run: | - make -j${{ env.procs }} test CXXSTD=${{ matrix.cpp_std }} CC=$CC CXX=$CC LD=$CC diff --git a/yosys/.github/workflows/test-macos.yml b/yosys/.github/workflows/test-macos.yml deleted file mode 100644 index 04845723467..00000000000 --- a/yosys/.github/workflows/test-macos.yml +++ /dev/null @@ -1,73 +0,0 @@ -name: Build and run tests (macOS) - -on: [push, pull_request] - -jobs: - test-macos: - runs-on: ${{ matrix.os.id }} - strategy: - matrix: - os: - - { id: macos-11, name: 'Big Sur' } - cpp_std: - - 'c++11' - - 'c++17' - fail-fast: false - steps: - - name: Install Dependencies - run: | - brew install bison flex gawk libffi pkg-config bash - - - name: Runtime environment - shell: bash - env: - WORKSPACE: ${{ github.workspace }} - run: | - echo "GITHUB_WORKSPACE=`pwd`" >> $GITHUB_ENV - echo "$GITHUB_WORKSPACE/.local/bin" >> $GITHUB_PATH - echo "$(brew --prefix bison)/bin" >> $GITHUB_PATH - echo "$(brew --prefix flex)/bin" >> $GITHUB_PATH - echo "procs=$(sysctl -n hw.ncpu)" >> $GITHUB_ENV - - - name: Tool versions - shell: bash - run: | - cc --version - - - name: Checkout Yosys - uses: actions/checkout@v3 - - - name: Get iverilog - shell: bash - run: | - git clone https://github.com/steveicarus/iverilog.git - - - name: Cache iverilog - id: cache-iverilog - uses: actions/cache@v3 - with: - path: .local/ - key: ${{ matrix.os.id }}-${{ hashFiles('iverilog/.git/refs/heads/master') }} - - - name: Build iverilog - if: steps.cache-iverilog.outputs.cache-hit != 'true' - shell: bash - run: | - mkdir -p $GITHUB_WORKSPACE/.local/ - cd iverilog - autoconf - CC=gcc CXX=g++ ./configure --prefix=$GITHUB_WORKSPACE/.local/ - make -j${{ env.procs }} - make install - - - name: Build yosys - shell: bash - run: | - make config-clang - make -j${{ env.procs }} CXXSTD=${{ matrix.cpp_std }} CC=cc CXX=cc LD=cc - - - name: Run tests - if: matrix.cpp_std == 'c++11' - shell: bash - run: | - make -j${{ env.procs }} test CXXSTD=${{ matrix.cpp_std }} CC=cc CXX=cc LD=cc diff --git a/yosys/.github/workflows/test-verific.yml b/yosys/.github/workflows/test-verific.yml new file mode 100644 index 00000000000..54d9487acfb --- /dev/null +++ b/yosys/.github/workflows/test-verific.yml @@ -0,0 +1,95 @@ +name: Build and run tests with Verific (Linux) + +on: [push, pull_request] + +jobs: + pre_job: + runs-on: ubuntu-latest + outputs: + should_skip: ${{ steps.skip_check.outputs.should_skip }} + steps: + - id: skip_check + uses: fkirc/skip-duplicate-actions@v5 + with: + paths_ignore: '["**/README.md"]' + # don't cancel previous builds + cancel_others: 'true' + # only run on push *or* pull_request, not both + concurrent_skipping: 'same_content_newer' + # we have special actions when running on main, so this should be off + skip_after_successful_duplicate: 'false' + + test-verific: + needs: pre_job + if: needs.pre_job.outputs.should_skip != 'true' + runs-on: [self-hosted, linux, x64] + steps: + - name: Checkout Yosys + uses: actions/checkout@v4 + with: + persist-credentials: false + submodules: true + - name: Runtime environment + run: | + echo "procs=$(nproc)" >> $GITHUB_ENV + + - name: Build Yosys + run: | + make config-clang + echo "ENABLE_VERIFIC := 1" >> Makefile.conf + echo "ENABLE_VERIFIC_EDIF := 1" >> Makefile.conf + echo "ENABLE_VERIFIC_LIBERTY := 1" >> Makefile.conf + echo "ENABLE_CCACHE := 1" >> Makefile.conf + make -j${{ env.procs }} + + - name: Install Yosys + run: | + make install DESTDIR=${GITHUB_WORKSPACE}/.local PREFIX= + + - name: Checkout Documentation + if: ${{ github.ref == 'refs/heads/main' }} + uses: actions/checkout@v4 + with: + path: 'yosys-cmd-ref' + repository: 'YosysHQ-Docs/yosys-cmd-ref' + fetch-depth: 0 + token: ${{ secrets.CI_DOCS_UPDATE_PAT }} + persist-credentials: true + + - name: Update documentation + if: ${{ github.ref == 'refs/heads/main' }} + run: | + make docs + rm -rf docs/build + cd yosys-cmd-ref + rm -rf * + git checkout README.md + cp -R ../docs/* . + rm -rf util/__pycache__ + git add -A . + git diff-index --quiet HEAD || git commit -m "Update" + git push + + - name: Checkout SBY + uses: actions/checkout@v4 + with: + repository: 'YosysHQ/sby' + path: 'sby' + + - name: Build SBY + run: | + make -C sby install DESTDIR=${GITHUB_WORKSPACE}/.local PREFIX= + + - name: Run Yosys tests + run: | + make -j${{ env.procs }} test + + - name: Run Verific specific Yosys tests + run: | + make -C tests/sva + cd tests/svtypes && bash run-test.sh + + - name: Run SBY tests + if: ${{ github.ref == 'refs/heads/main' }} + run: | + make -C sby run_ci diff --git a/yosys/.github/workflows/update-flake-lock.yml b/yosys/.github/workflows/update-flake-lock.yml new file mode 100644 index 00000000000..c7aa6ecab70 --- /dev/null +++ b/yosys/.github/workflows/update-flake-lock.yml @@ -0,0 +1,22 @@ +name: update-flake-lock +on: + workflow_dispatch: # allows manual triggering + schedule: + - cron: '0 0 * * 0' # runs weekly on Sunday at 00:00 + +jobs: + lockfile: + runs-on: ubuntu-latest + steps: + - name: Checkout repository + uses: actions/checkout@v4 + - name: Install Nix + uses: DeterminateSystems/nix-installer-action@main + - name: Update flake.lock + uses: DeterminateSystems/update-flake-lock@main + with: + token: ${{CI_CREATE_PR_TOKEN}} + pr-title: "Update flake.lock" # Title of PR to be created + pr-labels: | # Labels to be set on the PR + dependencies + automated diff --git a/yosys/.github/workflows/version.yml b/yosys/.github/workflows/version.yml index c2a1756e9c6..f73c68bdf12 100644 --- a/yosys/.github/workflows/version.yml +++ b/yosys/.github/workflows/version.yml @@ -10,9 +10,10 @@ jobs: runs-on: ubuntu-latest steps: - name: Checkout - uses: actions/checkout@v3 + uses: actions/checkout@v4 with: fetch-depth: 0 + submodules: true - name: Take last commit id: log run: echo "message=$(git log --no-merges -1 --oneline)" >> $GITHUB_OUTPUT diff --git a/yosys/.github/workflows/vs.yml b/yosys/.github/workflows/vs.yml deleted file mode 100644 index 428770e7200..00000000000 --- a/yosys/.github/workflows/vs.yml +++ /dev/null @@ -1,31 +0,0 @@ -name: Visual Studio Build - -on: [push, pull_request] - -jobs: - yosys-vcxsrc: - runs-on: ubuntu-latest - steps: - - uses: actions/checkout@v3 - - name: Build - run: make vcxsrc YOSYS_VER=latest - - uses: actions/upload-artifact@v3 - with: - name: vcxsrc - path: yosys-win32-vcxsrc-latest.zip - - build: - runs-on: windows-2019 - needs: yosys-vcxsrc - steps: - - uses: actions/download-artifact@v3 - with: - name: vcxsrc - path: . - - name: unzip - run: unzip yosys-win32-vcxsrc-latest.zip - - name: setup-msbuild - uses: microsoft/setup-msbuild@v1 - - name: MSBuild - working-directory: yosys-win32-vcxsrc-latest - run: msbuild YosysVS.sln /p:PlatformToolset=v142 /p:Configuration=Release /p:WindowsTargetPlatformVersion=10.0.17763.0 diff --git a/yosys/.gitignore b/yosys/.gitignore index 49b886e7e43..e82343e8947 100644 --- a/yosys/.gitignore +++ b/yosys/.gitignore @@ -1,9 +1,11 @@ *.o *.d +*.dwo .*.swp *.gch *.gcda *.gcno +*~ __pycache__ /.cproject /.project @@ -16,7 +18,6 @@ __pycache__ /coverage.info /coverage_html /Makefile.conf -/abc /viz.js /yosys /yosys.exe @@ -44,3 +45,4 @@ __pycache__ /tests/unit/bintest/ /tests/unit/objtest/ /tests/ystests +/result \ No newline at end of file diff --git a/yosys/.gitmodules b/yosys/.gitmodules new file mode 100644 index 00000000000..d88d4b1e5e9 --- /dev/null +++ b/yosys/.gitmodules @@ -0,0 +1,3 @@ +[submodule "abc"] + path = abc + url = https://github.com/YosysHQ/abc diff --git a/yosys/CHANGELOG b/yosys/CHANGELOG index 585605abcdd..d8e13b041e5 100644 --- a/yosys/CHANGELOG +++ b/yosys/CHANGELOG @@ -2,6 +2,177 @@ List of major changes and improvements between releases ======================================================= +Yosys 0.42 .. Yosys 0.43-dev +-------------------------- + +Yosys 0.41 .. Yosys 0.42 +-------------------------- + * New commands and options + - Added "box_derive" pass to derive box modules. + - Added option "assert-mod-count" to "select" pass. + - Added option "-header","-push" and "-pop" to "log" pass. + * Intel support + - Dropped Quartus support in "synth_intel_alm" pass. + +Yosys 0.40 .. Yosys 0.41 +-------------------------- + * New commands and options + - Added "cellmatch" pass for picking out standard cells automatically. + + * Various + - Extended the experimental incremental JSON API to allow arbitrary + smtlib subexpressions. + - Added support for using ABCs library merging when providing multiple + liberty files. + + * Verific support + - Expose library name as module attribute. + +Yosys 0.39 .. Yosys 0.40 +-------------------------- + * New commands and options + - Added option "-vhdl2019" to "read" and "verific" pass. + + * Various + - Major documentation overhaul. + - Added port statistics to "stat" command. + - Added new formatting features to cxxrtl backend. + + * Verific support + - Added better support for VHDL constants import. + - Added support for VHDL 2009. + +Yosys 0.38 .. Yosys 0.39 +-------------------------- + * New commands and options + - Added option "-extra-map" to "synth" pass. + - Added option "-dont_use" to "dfflibmap" pass. + - Added option "-href" to "show" command. + - Added option "-noscopeinfo" to "flatten" pass. + - Added option "-scopename" to "flatten" pass. + + * SystemVerilog + - Added support for packed multidimensional arrays. + + * Various + - Added "$scopeinfo" cells to preserve information about + the hierarchy during flattening. + - Added sequential area output to "stat -liberty". + - Added ability to record/replay diagnostics in cxxrtl backend. + + * Verific support + - Added attributes to module instantiation. + +Yosys 0.37 .. Yosys 0.38 +-------------------------- + * New commands and options + - Added option "-tech" to "opt_lut" pass. + - Added option "-nokeep_prints" to "hierarchy" pass. + - Added option "-nolower" to "async2sync" and "clk2fflogic" pass. + - Added option "-lower" to "chformal" pass. + + * Various + - Added $check cell to represent assertions with messages. + - Allow capturing $print cell output in CXXRTL. + - Added API to overwrite existing pass from plugin. + - Follow the XDG Base Directory Specification for storing history files. + - Without a known top module, derive all deferred modules (hierarchy pass). + - Detect and error out on combinational loops in write_aiger. + + * Verific support + - Added option "-no-split-complex-ports" to "verific -import". + +Yosys 0.36 .. Yosys 0.37 +-------------------------- + * New commands and options + - Added option "-nodisplay" to read_verilog. + + * SystemVerilog + - Correct hierarchical path names for structs and unions. + + * Various + - Print hierarchy for failed assertions in "sim" pass. + - Add "--present-only" option to "yosys-witness" to omit unused signals. + - Implement a generic record/replay interface for CXXRTL. + - Improved readability of emitted code with "write_verilog". + +Yosys 0.35 .. Yosys 0.36 +-------------------------- + * New commands and options + - Added option "--" to pass arguments down to tcl when using -c option. + - Added ability on MacOS and Windows to pass options after arguments on cli. + - Added option "-cmp2softlogic" to synth_lattice. + - Added option "-lowpower" to "booth" pass. + + * QuickLogic support + - Added "K6N10f" support. + - Added "-nodsp", "-nocarry", "-nobram" and "-bramtypes" options to + "synth_quicklogic" pass. + - Added "ql_bram_merge" pass to merge 18K BRAM cells into TDP36K. + - Added "ql_bram_types" pass to change TDP36K depending on configuration. + - Added "ql_dsp_io_regs" pass to update QL_DSP2 depending on configuration. + - Added "ql_dsp_macc" pass to infer multiplier-accumulator DSP cells. + - Added "ql_dsp_simd" pass to merge DSP pairs to operate in SIMD mode. + + * ECP5,iCE40 and Gowin support + - Enabled abc9 by default, added "-noabc9" option to disable. + + * MachXO3 support + - Quality of results improvements. + - Enabled "booth" pass by default for it in "synth_lattice". + + * Various + - Improved "peepopt" by adding shiftadd pattern support. + - Added "--incremental" mode to smtbmc. + +Yosys 0.34 .. Yosys 0.35 +-------------------------- + * Various + - Improvements on "peepopt" shiftmul matcher. + - Improvements on "ram_style" attributes handling. + + * Verific support + - Improved static elaboration for VHDL and mixed HDL designs. + - Expose "hdlname" attribute with original module name. + - Expose "architecture" attribute with VHDL architecture name. + +Yosys 0.33 .. Yosys 0.34 +-------------------------- + * New commands and options + - Added option "-assert" to "sim" pass. + - Added option "-noinitstate" to "sim" pass. + - Added option "-dont_use" to "abc" pass. + - Added "dft_tag" pass to create tagging logic for data flow tracking. + - Added "future" pass to resolve future sampled value functions. + - Added "booth" pass to map $mul cells to Booth multipliers. + - Added option "-booth" to "synth" pass. + + * SystemVerilog + - Added support for assignments within expressions, e.g., `x[y++] = z;` or + `x = (y *= 2) - 1;`. + + * Verific support + - "src" attribute contain full location info. + - module parameters are kept after import. + - accurate access order semantics in memory inference. + - better "bind" support for mixed language projects. + + * Various + - "show" command displays dot instead of box for wire aliases. + +Yosys 0.32 .. Yosys 0.33 +-------------------------- + * Various + - Added "$print" cell, produced by "$display" and "$write" + Verilog tasks. + - Added "$print" cell handling in CXXRTL. + + * Lattice FPGA support + - Added generic "synth_lattice" pass (for now MachXO2/XO3/XO3D) + - Removed "synth_machxo2" pass + - Pass "ecp5_gsr" renamed to "lattice_gsr" + - "synth_machxo2" equivalent is "synth_lattice -family xo2" + Yosys 0.31 .. Yosys 0.32 -------------------------- * Verific support diff --git a/yosys/CODEOWNERS b/yosys/CODEOWNERS index a33a9a68ccb..879bb8dee21 100644 --- a/yosys/CODEOWNERS +++ b/yosys/CODEOWNERS @@ -10,6 +10,7 @@ # PATH (can use glob) USERNAME(S) +CODEOWNERS @nakengelhardt passes/cmds/scratchpad.cc @nakengelhardt frontends/rpc/ @whitequark backends/cxxrtl/ @whitequark @@ -18,7 +19,8 @@ passes/techmap/flowmap.cc @whitequark passes/opt/opt_lut.cc @whitequark passes/techmap/abc9*.cc @eddiehung @Ravenslofty backends/aiger/xaiger.cc @eddiehung - +docs/ @KrystalDelusion +.github/workflows/*.yml @mmicko ## External Contributors # Only users with write permission to the repository get review diff --git a/yosys/Makefile b/yosys/Makefile index 630d65367b9..313de44d56d 100644 --- a/yosys/Makefile +++ b/yosys/Makefile @@ -1,8 +1,8 @@ -CONFIG := clang +CONFIG := none +# CONFIG := clang # CONFIG := gcc # CONFIG := afl-gcc -# CONFIG := emcc # CONFIG := wasi # CONFIG := mxe # CONFIG := msys2-32 @@ -17,10 +17,12 @@ ENABLE_READLINE := 1 ENABLE_EDITLINE := 0 ENABLE_GHDL := 0 ENABLE_VERIFIC := 0 +ENABLE_VERIFIC_SYSTEMVERILOG := 1 +ENABLE_VERIFIC_VHDL := 1 +ENABLE_VERIFIC_HIER_TREE := 1 +ENABLE_VERIFIC_YOSYSHQ_EXTENSIONS := 1 ENABLE_VERIFIC_EDIF := 0 ENABLE_VERIFIC_LIBERTY := 0 -DISABLE_VERIFIC_EXTENSIONS := 0 -DISABLE_VERIFIC_VHDL := 0 ENABLE_COVER := 1 ENABLE_LIBYOSYS := 0 ENABLE_ZLIB := 1 @@ -90,16 +92,16 @@ all: top-all YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST))) VPATH := $(YOSYS_SRC) -CXXSTD ?= c++11 +CXXSTD ?= c++17 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -MP -D_YOSYS_ -fPIC -I$(PREFIX)/include -LDLIBS := $(LDLIBS) -lstdc++ -lm -PLUGIN_LDFLAGS := -PLUGIN_LDLIBS := -EXE_LDFLAGS := +LIBS := $(LIBS) -lstdc++ -lm +PLUGIN_LINKFLAGS := +PLUGIN_LIBS := +EXE_LINKFLAGS := ifeq ($(OS), MINGW) -EXE_LDFLAGS := -Wl,--export-all-symbols -Wl,--out-implib,libyosys_exe.a -PLUGIN_LDFLAGS += -L"$(LIBDIR)" -PLUGIN_LDLIBS := -lyosys_exe +EXE_LINKFLAGS := -Wl,--export-all-symbols -Wl,--out-implib,libyosys_exe.a +PLUGIN_LINKFLAGS += -L"$(LIBDIR)" +PLUGIN_LIBS := -lyosys_exe endif PKG_CONFIG ?= pkg-config @@ -109,7 +111,7 @@ STRIP ?= strip AWK ?= awk ifeq ($(OS), Darwin) -PLUGIN_LDFLAGS += -undefined dynamic_lookup +PLUGIN_LINKFLAGS += -undefined dynamic_lookup # homebrew search paths ifneq ($(shell :; command -v brew),) @@ -117,10 +119,10 @@ BREW_PREFIX := $(shell brew --prefix)/opt $(info $$BREW_PREFIX is [${BREW_PREFIX}]) ifeq ($(ENABLE_PYOSYS),1) CXXFLAGS += -I$(BREW_PREFIX)/boost/include/boost -LDFLAGS += -L$(BREW_PREFIX)/boost/lib +LINKFLAGS += -L$(BREW_PREFIX)/boost/lib endif CXXFLAGS += -I$(BREW_PREFIX)/readline/include -LDFLAGS += -L$(BREW_PREFIX)/readline/lib +LINKFLAGS += -L$(BREW_PREFIX)/readline/lib PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH) PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH) export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH) @@ -129,19 +131,19 @@ export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX else ifneq ($(shell :; command -v port),) PORT_PREFIX := $(patsubst %/bin/port,%,$(shell :; command -v port)) CXXFLAGS += -I$(PORT_PREFIX)/include -LDFLAGS += -L$(PORT_PREFIX)/lib +LINKFLAGS += -L$(PORT_PREFIX)/lib PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH) export PATH := $(PORT_PREFIX)/bin:$(PATH) endif else -LDFLAGS += -rdynamic +LINKFLAGS += -rdynamic ifneq ($(OS), OpenBSD) -LDLIBS += -lrt +LIBS += -lrt endif endif -YOSYS_VER := 0.32 +YOSYS_VER := 0.42+40 # Note: We arrange for .gitcommit to contain the (short) commit hash in # tarballs generated with git-archive(1) using .gitattributes. The git repo @@ -149,8 +151,7 @@ YOSYS_VER := 0.32 # back to calling git directly. TARBALL_GIT_REV := $(shell cat $(YOSYS_SRC)/.gitcommit) ifeq ($(TARBALL_GIT_REV),$$Format:%h$$) -#GIT_REV := $(shell GIT_DIR=$(YOSYS_SRC)/.git git rev-parse --short=9 HEAD || echo UNKNOWN) -GIT_REV := $(shell echo UNKNOWN) +GIT_REV := $(shell GIT_DIR=$(YOSYS_SRC)/.git git rev-parse --short=9 HEAD || echo UNKNOWN) else GIT_REV := $(TARBALL_GIT_REV) endif @@ -158,17 +159,8 @@ endif OBJS = kernel/version_$(GIT_REV).o bumpversion: -# sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline f3c6b41.. | wc -l`/;" Makefile - -# set 'ABCREV = default' to use abc/ as it is -# -# Note: If you do ABC development, make sure that 'abc' in this directory -# is just a symlink to your actual ABC working directory, as 'make mrproper' -# will remove the 'abc' directory and you do not want to accidentally -# delete your work on ABC.. -ABCREV = bb64142 -ABCPULL = 1 -ABCURL ?= https://github.com/YosysHQ/abc + sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline 9b6afcf.. | wc -l`/;" Makefile + ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1 ABC_USE_NAMESPACE=abc VERBOSE=$(Q) # set ABCEXTERNAL = to use an external ABC instance @@ -216,41 +208,38 @@ ABC_ARCHFLAGS += "-DABC_NO_RLIMIT" endif ifeq ($(CONFIG),clang) -CXX = clang -LD = clang++ +CXX = clang++ CXXFLAGS += -std=$(CXXSTD) -Os -ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -Wno-c++11-narrowing $(ABC_ARCHFLAGS)" +ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H $(ABC_ARCHFLAGS)" ifneq ($(SANITIZER),) $(info [Clang Sanitizer] $(SANITIZER)) CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER) -LDFLAGS += -g -fsanitize=$(SANITIZER) +LINKFLAGS += -g -fsanitize=$(SANITIZER) ifneq ($(findstring address,$(SANITIZER)),) ENABLE_COVER := 0 endif ifneq ($(findstring memory,$(SANITIZER)),) CXXFLAGS += -fPIE -fsanitize-memory-track-origins -LDFLAGS += -fPIE -fsanitize-memory-track-origins +LINKFLAGS += -fPIE -fsanitize-memory-track-origins endif ifneq ($(findstring cfi,$(SANITIZER)),) CXXFLAGS += -flto -LDFLAGS += -flto +LINKFLAGS += -flto endif endif else ifeq ($(CONFIG),gcc) -CXX = gcc -LD = gcc +CXX = g++ CXXFLAGS += -std=$(CXXSTD) -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H $(ABC_ARCHFLAGS)" else ifeq ($(CONFIG),gcc-static) -LD = $(CXX) -LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static -LDLIBS := $(filter-out -lrt,$(LDLIBS)) +LINKFLAGS := $(filter-out -rdynamic,$(LINKFLAGS)) -static +LIBS := $(filter-out -lrt,$(LIBS)) CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) CXXFLAGS += -std=$(CXXSTD) -Os -ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \ +ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(CXX)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \ ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1 ifeq ($(DISABLE_ABC_THREADS),1) ABCMKARGS += "ABC_USE_NO_PTHREADS=1" @@ -258,75 +247,31 @@ endif else ifeq ($(CONFIG),afl-gcc) CXX = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc -LD = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc CXXFLAGS += -std=$(CXXSTD) -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H" else ifeq ($(CONFIG),cygwin) -CXX = gcc -LD = gcc +CXX = g++ CXXFLAGS += -std=gnu++11 -Os ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H" -else ifeq ($(CONFIG),emcc) -CXX = emcc -LD = emcc -CXXFLAGS := -std=$(CXXSTD) $(filter-out -fPIC -ggdb,$(CXXFLAGS)) -ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8 -Wno-c++11-narrowing" -EMCC_CXXFLAGS := -Os -Wno-warn-absolute-paths -EMCC_LDFLAGS := --memory-init-file 0 --embed-file share -EMCC_LDFLAGS += -s NO_EXIT_RUNTIME=1 -EMCC_LDFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg','_memset']" -EMCC_LDFLAGS += -s TOTAL_MEMORY=134217728 -EMCC_LDFLAGS += -s EXPORTED_RUNTIME_METHODS='["ccall", "cwrap"]' -# https://github.com/kripken/emscripten/blob/master/src/settings.js -CXXFLAGS += $(EMCC_CXXFLAGS) -LDFLAGS += $(EMCC_LDFLAGS) -LDLIBS = -EXE = .js - -DISABLE_SPAWN := 1 - -TARGETS := $(filter-out $(PROGRAM_PREFIX)yosys-config,$(TARGETS)) -EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip - -ifeq ($(ENABLE_ABC),1) -LINK_ABC := 1 -DISABLE_ABC_THREADS := 1 -endif - -viz.js: - wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js - mv viz.js.part viz.js - -yosysjs-$(YOSYS_VER).zip: yosys.js viz.js misc/yosysjs/* - rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip - mkdir -p yosysjs-$(YOSYS_VER) - cp viz.js misc/yosysjs/* yosys.js yosys.wasm yosysjs-$(YOSYS_VER)/ - zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER) - -yosys.html: misc/yosys.html - $(P) cp misc/yosys.html yosys.html - else ifeq ($(CONFIG),wasi) ifeq ($(WASI_SDK),) -CXX = clang -LD = clang++ +CXX = clang++ AR = llvm-ar RANLIB = llvm-ranlib WASIFLAGS := -target wasm32-wasi --sysroot $(WASI_SYSROOT) $(WASIFLAGS) else -CXX = $(WASI_SDK)/bin/clang -LD = $(WASI_SDK)/bin/clang++ +CXX = $(WASI_SDK)/bin/clang++ AR = $(WASI_SDK)/bin/ar RANLIB = $(WASI_SDK)/bin/ranlib WASIFLAGS := --sysroot $(WASI_SDK)/share/wasi-sysroot $(WASIFLAGS) endif -CXXFLAGS := $(WASIFLAGS) -std=$(CXXSTD) -Os $(filter-out -fPIC,$(CXXFLAGS)) -LDFLAGS := $(WASIFLAGS) -Wl,-z,stack-size=1048576 $(filter-out -rdynamic,$(LDFLAGS)) -LDLIBS := $(filter-out -lrt,$(LDLIBS)) +CXXFLAGS := $(WASIFLAGS) -std=$(CXXSTD) -Os -D_WASI_EMULATED_PROCESS_CLOCKS $(filter-out -fPIC,$(CXXFLAGS)) +LINKFLAGS := $(WASIFLAGS) -Wl,-z,stack-size=1048576 $(filter-out -rdynamic,$(LINKFLAGS)) +LIBS := -lwasi-emulated-process-clocks $(filter-out -lrt,$(LIBS)) ABCMKARGS += AR="$(AR)" RANLIB="$(RANLIB)" -ABCMKARGS += ARCHFLAGS="$(WASIFLAGS) -DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING -DABC_NO_RLIMIT -Wno-c++11-narrowing" +ABCMKARGS += ARCHFLAGS="$(WASIFLAGS) -D_WASI_EMULATED_PROCESS_CLOCKS -DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING -DABC_NO_RLIMIT" ABCMKARGS += OPTFLAGS="-Os" EXE = .wasm @@ -340,40 +285,41 @@ endif else ifeq ($(CONFIG),mxe) PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++ -LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) -LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s -LDLIBS := $(filter-out -lrt,$(LDLIBS)) +LINKFLAGS := $(filter-out -rdynamic,$(LINKFLAGS)) -s +LIBS := $(filter-out -lrt,$(LIBS)) ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w" # TODO: Try to solve pthread linking issue in more appropriate way -ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" LDFLAGS="-Wl,--allow-multiple-definition" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc" +ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" LINKFLAGS="-Wl,--allow-multiple-definition" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc" EXE = .exe else ifeq ($(CONFIG),msys2-32) CXX = i686-w64-mingw32-g++ -LD = i686-w64-mingw32-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) -LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s -LDLIBS := $(filter-out -lrt,$(LDLIBS)) +LINKFLAGS := $(filter-out -rdynamic,$(LINKFLAGS)) -s +LIBS := $(filter-out -lrt,$(LIBS)) ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w" -ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)" +ABCMKARGS += LIBS="-lpthread -lshlwapi -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)" EXE = .exe else ifeq ($(CONFIG),msys2-64) CXX = x86_64-w64-mingw32-g++ -LD = x86_64-w64-mingw32-g++ CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS)) -LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s -LDLIBS := $(filter-out -lrt,$(LDLIBS)) +LINKFLAGS := $(filter-out -rdynamic,$(LINKFLAGS)) -s +LIBS := $(filter-out -lrt,$(LIBS)) ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w" -ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)" +ABCMKARGS += LIBS="-lpthread -lshlwapi -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)" EXE = .exe -else ifneq ($(CONFIG),none) -$(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, emcc, mxe, msys2-32, msys2-64) +else ifeq ($(CONFIG),none) +CXXFLAGS += -std=$(CXXSTD) -Os +ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H $(ABC_ARCHFLAGS)" + +else +$(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, mxe, msys2-32, msys2-64, none) endif ifeq ($(ENABLE_LIBYOSYS),1) @@ -394,9 +340,9 @@ ifeq ($(BOOST_PYTHON_LIB),) $(error BOOST_PYTHON_LIB could not be detected. Please define manually) endif -LDLIBS += $(shell $(PYTHON_CONFIG) --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem -# python-config --ldflags includes LDLIBS for some reason -LDFLAGS += $(filter-out -l%,$(shell $(PYTHON_CONFIG) --ldflags)) +LIBS += $(shell $(PYTHON_CONFIG) --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem +# python-config --ldflags includes LIBS for some reason +LINKFLAGS += $(filter-out -l%,$(shell $(PYTHON_CONFIG) --ldflags)) CXXFLAGS += $(shell $(PYTHON_CONFIG) --includes) -DWITH_PYTHON PY_WRAPPER_FILE = kernel/python_wrappers @@ -410,22 +356,22 @@ CXXFLAGS += -DYOSYS_ENABLE_READLINE ifeq ($(OS), $(filter $(OS),FreeBSD OpenBSD NetBSD)) CXXFLAGS += -I/usr/local/include endif -LDLIBS += -lreadline +LIBS += -lreadline ifeq ($(LINK_CURSES),1) -LDLIBS += -lcurses +LIBS += -lcurses ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline" endif ifeq ($(LINK_TERMCAP),1) -LDLIBS += -ltermcap +LIBS += -ltermcap ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap" endif ifeq ($(CONFIG),mxe) -LDLIBS += -ltermcap +LIBS += -ltermcap endif else ifeq ($(ENABLE_EDITLINE),1) CXXFLAGS += -DYOSYS_ENABLE_EDITLINE -LDLIBS += -ledit -ltinfo -lbsd +LIBS += -ledit -ltinfo -lbsd else ABCMKARGS += "ABC_USE_NO_READLINE=1" endif @@ -444,9 +390,9 @@ CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-e ifeq ($(OS), MINGW) CXXFLAGS += -Ilibs/dlfcn-win32 endif -LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi) +LIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi) ifneq ($(OS), $(filter $(OS),FreeBSD OpenBSD NetBSD MINGW)) -LDLIBS += -ldl +LIBS += -ldl endif endif @@ -456,7 +402,7 @@ endif ifeq ($(ENABLE_ZLIB),1) CXXFLAGS += -DYOSYS_ENABLE_ZLIB -LDLIBS += -lz +LIBS += -lz endif @@ -473,21 +419,21 @@ endif ifeq ($(CONFIG),mxe) CXXFLAGS += -DYOSYS_ENABLE_TCL -LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz -luserenv +LIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz -luserenv else CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL -LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo $(TCL_LIBS)) +LIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo $(TCL_LIBS)) endif endif ifeq ($(ENABLE_GCOV),1) CXXFLAGS += --coverage -LDFLAGS += --coverage +LINKFLAGS += --coverage endif ifeq ($(ENABLE_GPROF),1) CXXFLAGS += -pg -LDFLAGS += -pg +LINKFLAGS += -pg endif ifeq ($(ENABLE_NDEBUG),1) @@ -507,11 +453,11 @@ CXXFLAGS += -DYOSYS_ENABLE_ABC ifeq ($(LINK_ABC),1) CXXFLAGS += -DYOSYS_LINK_ABC ifeq ($(DISABLE_ABC_THREADS),0) -LDLIBS += -lpthread +LIBS += -lpthread endif else ifeq ($(ABCEXTERNAL),) -TARGETS += $(PROGRAM_PREFIX)yosys-abc$(EXE) +TARGETS := $(PROGRAM_PREFIX)yosys-abc$(EXE) $(TARGETS) endif endif endif @@ -521,14 +467,30 @@ GHDL_PREFIX ?= $(PREFIX) GHDL_INCLUDE_DIR ?= $(GHDL_PREFIX)/include GHDL_LIB_DIR ?= $(GHDL_PREFIX)/lib CXXFLAGS += -I$(GHDL_INCLUDE_DIR) -DYOSYS_ENABLE_GHDL -LDLIBS += $(GHDL_LIB_DIR)/libghdl.a $(file <$(GHDL_LIB_DIR)/libghdl.link) +LIBS += $(GHDL_LIB_DIR)/libghdl.a $(file <$(GHDL_LIB_DIR)/libghdl.link) endif -LDLIBS_VERIFIC = +LIBS_VERIFIC = ifeq ($(ENABLE_VERIFIC),1) VERIFIC_DIR ?= /usr/local/src/verific_lib -VERIFIC_COMPONENTS ?= verilog database util containers hier_tree -ifneq ($(DISABLE_VERIFIC_VHDL),1) +VERIFIC_COMPONENTS ?= database util containers +ifeq ($(ENABLE_VERIFIC_HIER_TREE),1) +VERIFIC_COMPONENTS += hier_tree +CXXFLAGS += -DVERIFIC_HIER_TREE_SUPPORT +else +ifneq ($(wildcard $(VERIFIC_DIR)/hier_tree),) +VERIFIC_COMPONENTS += hier_tree +endif +endif +ifeq ($(ENABLE_VERIFIC_SYSTEMVERILOG),1) +VERIFIC_COMPONENTS += verilog +CXXFLAGS += -DVERIFIC_SYSTEMVERILOG_SUPPORT +else +ifneq ($(wildcard $(VERIFIC_DIR)/verilog),) +VERIFIC_COMPONENTS += verilog +endif +endif +ifeq ($(ENABLE_VERIFIC_VHDL),1) VERIFIC_COMPONENTS += vhdl CXXFLAGS += -DVERIFIC_VHDL_SUPPORT else @@ -544,15 +506,19 @@ ifeq ($(ENABLE_VERIFIC_LIBERTY),1) VERIFIC_COMPONENTS += synlib CXXFLAGS += -DVERIFIC_LIBERTY_SUPPORT endif -ifneq ($(DISABLE_VERIFIC_EXTENSIONS),1) +ifeq ($(ENABLE_VERIFIC_YOSYSHQ_EXTENSIONS),1) VERIFIC_COMPONENTS += extensions CXXFLAGS += -DYOSYSHQ_VERIFIC_EXTENSIONS +else +ifneq ($(wildcard $(VERIFIC_DIR)/extensions),) +VERIFIC_COMPONENTS += extensions +endif endif CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC ifeq ($(OS), Darwin) -LDLIBS_VERIFIC += $(foreach comp,$(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)),-Wl,-force_load $(comp)) -lz +LIBS_VERIFIC += $(foreach comp,$(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)),-Wl,-force_load $(comp)) -lz else -LDLIBS_VERIFIC += -Wl,--whole-archive $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -Wl,--no-whole-archive -lz +LIBS_VERIFIC += -Wl,--whole-archive $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -Wl,--no-whole-archive -lz endif endif @@ -607,31 +573,37 @@ Q = S = endif -$(eval $(call add_include_file,kernel/yosys.h)) -$(eval $(call add_include_file,kernel/hashlib.h)) -$(eval $(call add_include_file,kernel/log.h)) -$(eval $(call add_include_file,kernel/rtlil.h)) $(eval $(call add_include_file,kernel/binding.h)) -$(eval $(call add_include_file,kernel/register.h)) $(eval $(call add_include_file,kernel/cellaigs.h)) -$(eval $(call add_include_file,kernel/celltypes.h)) $(eval $(call add_include_file,kernel/celledges.h)) +$(eval $(call add_include_file,kernel/celltypes.h)) $(eval $(call add_include_file,kernel/consteval.h)) $(eval $(call add_include_file,kernel/constids.inc)) -$(eval $(call add_include_file,kernel/sigtools.h)) -$(eval $(call add_include_file,kernel/modtools.h)) -$(eval $(call add_include_file,kernel/macc.h)) -$(eval $(call add_include_file,kernel/utils.h)) -$(eval $(call add_include_file,kernel/satgen.h)) -$(eval $(call add_include_file,kernel/qcsat.h)) +$(eval $(call add_include_file,kernel/cost.h)) $(eval $(call add_include_file,kernel/ff.h)) $(eval $(call add_include_file,kernel/ffinit.h)) +$(eval $(call add_include_file,kernel/ffmerge.h)) +$(eval $(call add_include_file,kernel/fmt.h)) ifeq ($(ENABLE_ZLIB),1) $(eval $(call add_include_file,kernel/fstdata.h)) endif +$(eval $(call add_include_file,kernel/hashlib.h)) +$(eval $(call add_include_file,kernel/json.h)) +$(eval $(call add_include_file,kernel/log.h)) +$(eval $(call add_include_file,kernel/macc.h)) +$(eval $(call add_include_file,kernel/modtools.h)) $(eval $(call add_include_file,kernel/mem.h)) +$(eval $(call add_include_file,kernel/qcsat.h)) +$(eval $(call add_include_file,kernel/register.h)) +$(eval $(call add_include_file,kernel/rtlil.h)) +$(eval $(call add_include_file,kernel/satgen.h)) +$(eval $(call add_include_file,kernel/scopeinfo.h)) +$(eval $(call add_include_file,kernel/sigtools.h)) +$(eval $(call add_include_file,kernel/timinginfo.h)) +$(eval $(call add_include_file,kernel/utils.h)) +$(eval $(call add_include_file,kernel/yosys.h)) +$(eval $(call add_include_file,kernel/yosys_common.h)) $(eval $(call add_include_file,kernel/yw.h)) -$(eval $(call add_include_file,kernel/json.h)) $(eval $(call add_include_file,libs/ezsat/ezsat.h)) $(eval $(call add_include_file,libs/ezsat/ezminisat.h)) ifeq ($(ENABLE_ZLIB),1) @@ -644,21 +616,10 @@ $(eval $(call add_include_file,frontends/ast/ast.h)) $(eval $(call add_include_file,frontends/ast/ast_binding.h)) $(eval $(call add_include_file,frontends/blif/blifparse.h)) $(eval $(call add_include_file,backends/rtlil/rtlil_backend.h)) -$(eval $(call add_include_file,backends/cxxrtl/cxxrtl.h)) -$(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd.h)) -$(eval $(call add_include_file,backends/cxxrtl/cxxrtl_capi.cc)) -$(eval $(call add_include_file,backends/cxxrtl/cxxrtl_capi.h)) -$(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd_capi.cc)) -$(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd_capi.h)) OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o OBJS += kernel/binding.o -ifeq ($(ENABLE_ABC),1) -ifneq ($(ABCEXTERNAL),) -kernel/yosys.o: CXXFLAGS += -DABCEXTERNAL='"$(ABCEXTERNAL)"' -endif -endif -OBJS += kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o +OBJS += kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o ifeq ($(ENABLE_ZLIB),1) OBJS += kernel/fstdata.o endif @@ -670,18 +631,19 @@ endif kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"' kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"' -DYOSYS_PROGRAM_PREFIX='"$(PROGRAM_PREFIX)"' +ifeq ($(ENABLE_ABC),1) +ifneq ($(ABCEXTERNAL),) +kernel/yosys.o: CXXFLAGS += -DABCEXTERNAL='"$(ABCEXTERNAL)"' +endif +endif OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o OBJS += libs/sha1/sha1.o -ifneq ($(SMALL),1) - OBJS += libs/json11/json11.o -OBJS += libs/subcircuit/subcircuit.o - OBJS += libs/ezsat/ezsat.o OBJS += libs/ezsat/ezminisat.o @@ -696,6 +658,10 @@ OBJS += libs/fst/fastlz.o OBJS += libs/fst/lz4.o endif +ifneq ($(SMALL),1) + +OBJS += libs/subcircuit/subcircuit.o + include $(YOSYS_SRC)/frontends/*/Makefile.inc include $(YOSYS_SRC)/passes/*/Makefile.inc include $(YOSYS_SRC)/backends/*/Makefile.inc @@ -704,6 +670,9 @@ include $(YOSYS_SRC)/techlibs/*/Makefile.inc else include $(YOSYS_SRC)/frontends/verilog/Makefile.inc +ifeq ($(ENABLE_VERIFIC),1) +include $(YOSYS_SRC)/frontends/verific/Makefile.inc +endif include $(YOSYS_SRC)/frontends/rtlil/Makefile.inc include $(YOSYS_SRC)/frontends/ast/Makefile.inc include $(YOSYS_SRC)/frontends/blif/Makefile.inc @@ -740,18 +709,20 @@ top-all: $(TARGETS) $(EXTRA_TARGETS) @echo " Build successful." @echo "" -ifeq ($(CONFIG),emcc) -yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS)) -endif +.PHONY: compile-only +compile-only: $(OBJS) $(GENFILES) $(EXTRA_TARGETS) + @echo "" + @echo " Compile successful." + @echo "" $(PROGRAM_PREFIX)yosys$(EXE): $(OBJS) - $(P) $(LD) -o $(PROGRAM_PREFIX)yosys$(EXE) $(EXE_LDFLAGS) $(LDFLAGS) $(OBJS) $(LDLIBS) $(LDLIBS_VERIFIC) + $(P) $(CXX) -o $(PROGRAM_PREFIX)yosys$(EXE) $(EXE_LINKFLAGS) $(LINKFLAGS) $(OBJS) $(LIBS) $(LIBS_VERIFIC) libyosys.so: $(filter-out kernel/driver.o,$(OBJS)) ifeq ($(OS), Darwin) - $(P) $(LD) -o libyosys.so -shared -Wl,-install_name,$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS) $(LDLIBS_VERIFIC) + $(P) $(CXX) -o libyosys.so -shared -Wl,-install_name,$(LIBDIR)/libyosys.so $(LINKFLAGS) $^ $(LIBS) $(LIBS_VERIFIC) else - $(P) $(LD) -o libyosys.so -shared -Wl,-soname,$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS) $(LDLIBS_VERIFIC) + $(P) $(CXX) -o libyosys.so -shared -Wl,-soname,$(LIBDIR)/libyosys.so $(LINKFLAGS) $^ $(LIBS) $(LIBS_VERIFIC) endif %.o: %.cc @@ -760,7 +731,7 @@ endif %.pyh: %.h $(Q) mkdir -p $(dir $@) - $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) $(CXXFLAGS) -x c++ -o $@ -E -P - + $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(CXX) $(CXXFLAGS) -x c++ -o $@ -E -P - ifeq ($(ENABLE_PYOSYS),1) $(PY_WRAPPER_FILE).cc: misc/$(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES) @@ -781,53 +752,52 @@ kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile ifeq ($(ENABLE_VERIFIC),1) CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v))) -LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v))) +LIBS_NOVERIFIC = $(foreach v,$(LIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v))) else CXXFLAGS_NOVERIFIC = $(CXXFLAGS) -LDLIBS_NOVERIFIC = $(LDLIBS) +LIBS_NOVERIFIC = $(LIBS) endif -$(PROGRAM_PREFIX)yosys-config: misc/yosys-config.in +$(PROGRAM_PREFIX)yosys-config: misc/yosys-config.in $(YOSYS_SRC)/Makefile $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -Ilibs/dlfcn-win32,,$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC))))#;' \ - -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC) $(PLUGIN_LDLIBS))#;' \ + -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LINKFLAGS@#$(strip $(LINKFLAGS) $(PLUGIN_LINKFLAGS))#;' -e 's#@LIBS@#$(strip $(LIBS_NOVERIFIC) $(PLUGIN_LIBS))#;' \ -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > $(PROGRAM_PREFIX)yosys-config $(Q) chmod +x $(PROGRAM_PREFIX)yosys-config -abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a: - $(P) -ifneq ($(ABCREV),default) - $(Q) if test -d abc/.hg; then \ - echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \ - fi - $(Q) if test -d abc && test -d abc/.git && ! git -C abc diff-index --quiet HEAD; then \ - echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \ +.PHONY: check-git-abc + +check-git-abc: + @if [ ! -d "$(YOSYS_SRC)/abc" ]; then \ + echo "Error: The 'abc' directory does not exist."; \ + echo "Initialize the submodule: Run 'git submodule update --init' to set up 'abc' as a submodule."; \ + exit 1; \ + elif git -C "$(YOSYS_SRC)" submodule status abc 2>/dev/null | grep -q '^ '; then \ + exit 0; \ + elif [ -f "$(YOSYS_SRC)/abc/.gitcommit" ] && ! grep -q '\$$Format:%h\$$' "$(YOSYS_SRC)/abc/.gitcommit"; then \ + echo "'abc' comes from a tarball. Continuing."; \ + exit 0; \ + elif [ -f "$(YOSYS_SRC)/abc/.gitcommit" ] && grep -q '\$$Format:%h\$$' "$(YOSYS_SRC)/abc/.gitcommit"; then \ + echo "Error: 'abc' is not configured as a git submodule."; \ + echo "To resolve this:"; \ + echo "1. Back up your changes: Save any modifications from the 'abc' directory to another location."; \ + echo "2. Remove the existing 'abc' directory: Delete the 'abc' directory and all its contents."; \ + echo "3. Initialize the submodule: Run 'git submodule update --init' to set up 'abc' as a submodule."; \ + echo "4. Reapply your changes: Move your saved changes back to the 'abc' directory, if necessary."; \ + exit 1; \ + else \ + echo "Initialize the submodule: Run 'git submodule update --init' to set up 'abc' as a submodule."; \ + exit 1; \ fi - $(Q) if test -d abc && ! test -d abc/.git && ! test "`cat abc/.gitcommit | cut -c1-7`" = "$(ABCREV)"; then \ - echo 'REEBE: Qbjaybnqrq NOP irefvbaf qbrf abg zngpu! Qbjaybnq sebz:' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; echo $(ABCURL)/archive/$(ABCREV).tar.gz; false; \ - fi -# set a variable so the test fails if git fails to run - when comparing outputs directly, empty string would match empty string - $(Q) if test -d abc && ! test -d abc/.git && test "`cat abc/.gitcommit | cut -c1-7`" = "$(ABCREV)"; then \ - echo "Compiling local copy of ABC"; \ - elif ! (cd abc 2> /dev/null && rev="`git rev-parse $(ABCREV)`" && test "`git rev-parse HEAD`" = "$$rev"); then \ - test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \ - echo "Pulling ABC from $(ABCURL):"; set -x; \ - test -d abc || git clone $(ABCURL) abc; \ - cd abc && $(MAKE) DEP= clean && git fetch $(ABCURL) && git checkout $(ABCREV); \ - fi -endif - $(Q) rm -f abc/abc-[0-9a-f]* - $(Q) $(MAKE) -C abc $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a) -ifeq ($(ABCREV),default) -.PHONY: abc/abc-$(ABCREV)$(EXE) -.PHONY: abc/libabc-$(ABCREV).a -endif +abc/abc$(EXE) abc/libabc.a: check-git-abc + $(P) + $(Q) mkdir -p abc && $(MAKE) -C $(PROGRAM_PREFIX)abc -f "$(realpath $(YOSYS_SRC)/abc/Makefile)" ABCSRC="$(realpath $(YOSYS_SRC)/abc/)" $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc",PROG="abc$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc.a) -$(PROGRAM_PREFIX)yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE) - $(P) cp abc/abc-$(ABCREV)$(EXE) $(PROGRAM_PREFIX)yosys-abc$(EXE) +$(PROGRAM_PREFIX)yosys-abc$(EXE): abc/abc$(EXE) + $(P) cp $< $(PROGRAM_PREFIX)yosys-abc$(EXE) -$(PROGRAM_PREFIX)yosys-libabc.a: abc/libabc-$(ABCREV).a - $(P) cp abc/libabc-$(ABCREV).a $(PROGRAM_PREFIX)yosys-libabc.a +$(PROGRAM_PREFIX)yosys-libabc.a: abc/libabc.a + $(P) cp $< $(PROGRAM_PREFIX)yosys-libabc.a ifneq ($(SEED),) SEEDOPT="-S $(SEED)" @@ -841,9 +811,22 @@ else ABCOPT="" endif +# When YOSYS_NOVERIFIC is set as a make variable, also export it to the +# enviornment, so that `YOSYS_NOVERIFIC=1 make test` _and_ +# `make test YOSYS_NOVERIFIC=1` will run with verific disabled. +ifeq ($(YOSYS_NOVERIFIC),1) +export YOSYS_NOVERIFIC +endif + test: $(TARGETS) $(EXTRA_TARGETS) ifeq ($(ENABLE_VERIFIC),1) +ifeq ($(YOSYS_NOVERIFIC),1) + @echo + @echo "Running tests without verific support due to YOSYS_NOVERIFIC=1" + @echo +else +cd tests/verific && bash run-test.sh $(SEEDOPT) +endif endif +cd tests/simple && bash run-test.sh $(SEEDOPT) +cd tests/simple_abc9 && bash run-test.sh $(SEEDOPT) @@ -877,12 +860,15 @@ endif +cd tests/arch/gowin && bash run-test.sh $(SEEDOPT) +cd tests/arch/intel_alm && bash run-test.sh $(SEEDOPT) +cd tests/arch/nexus && bash run-test.sh $(SEEDOPT) - +cd tests/arch/quicklogic && bash run-test.sh $(SEEDOPT) + +cd tests/arch/quicklogic/pp3 && bash run-test.sh $(SEEDOPT) + +cd tests/arch/quicklogic/qlf_k6n10f && bash run-test.sh $(SEEDOPT) +cd tests/arch/gatemate && bash run-test.sh $(SEEDOPT) +cd tests/rpc && bash run-test.sh +cd tests/memfile && bash run-test.sh +cd tests/verilog && bash run-test.sh +cd tests/xprop && bash run-test.sh $(SEEDOPT) + +cd tests/fmt && bash run-test.sh + +cd tests/cxxrtl && bash run-test.sh @echo "" @echo " Passed \"make test\"." @echo "" @@ -912,7 +898,7 @@ ystests: $(TARGETS) $(EXTRA_TARGETS) # Unit test unit-test: libyosys.so @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \ - CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)" + CXXFLAGS="$(CXXFLAGS)" LIBS="$(LIBS)" ROOTPATH="$(CURDIR)" clean-unit-test: @$(MAKE) -C $(UNITESTPATH) clean @@ -965,17 +951,47 @@ docs/source/cmd/abc.rst: $(TARGETS) $(EXTRA_TARGETS) mkdir -p docs/source/cmd ./$(PROGRAM_PREFIX)yosys -p 'help -write-rst-command-reference-manual' -PHONY: docs/gen_images docs/guidelines +PHONY: docs/gen_examples docs/gen_images docs/guidelines docs/usage docs/reqs +docs/gen_examples: + $(Q) $(MAKE) -C docs examples + docs/gen_images: - $(Q) $(MAKE) -C docs/images all + $(Q) $(MAKE) -C docs images DOCS_GUIDELINE_FILES := GettingStarted CodingStyle -docs/guidelines: - $(Q) mkdir -p docs/source/temp - $(Q) cp -f $(addprefix guidelines/,$(DOCS_GUIDELINE_FILES)) docs/source/temp +docs/guidelines docs/source/generated: + $(Q) mkdir -p docs/source/generated + $(Q) cp -f $(addprefix guidelines/,$(DOCS_GUIDELINE_FILES)) docs/source/generated + +# some commands return an error and print the usage text to stderr +define DOC_USAGE_STDERR +docs/source/generated/$(1): $(PROGRAM_PREFIX)$(1) docs/source/generated + -$(Q) ./$$< --help 2> $$@ +endef +DOCS_USAGE_STDERR := yosys-config yosys-filterlib + +# The in-tree ABC (yosys-abc) is only built when ABCEXTERNAL is not set. +ifeq ($(ABCEXTERNAL),) +DOCS_USAGE_STDERR += yosys-abc +endif + +$(foreach usage,$(DOCS_USAGE_STDERR),$(eval $(call DOC_USAGE_STDERR,$(usage)))) + +# others print to stdout +define DOC_USAGE_STDOUT +docs/source/generated/$(1): $(PROGRAM_PREFIX)$(1) docs/source/generated + $(Q) ./$$< --help > $$@ +endef +DOCS_USAGE_STDOUT := yosys yosys-smtbmc yosys-witness +$(foreach usage,$(DOCS_USAGE_STDOUT),$(eval $(call DOC_USAGE_STDOUT,$(usage)))) + +docs/usage: $(addprefix docs/source/generated/,$(DOCS_USAGE_STDOUT) $(DOCS_USAGE_STDERR)) + +docs/reqs: + $(Q) $(MAKE) -C docs reqs DOC_TARGET ?= html -docs: docs/source/cmd/abc.rst docs/gen_images docs/guidelines +docs: docs/source/cmd/abc.rst docs/gen_examples docs/gen_images docs/guidelines docs/usage docs/reqs $(Q) $(MAKE) -C docs $(DOC_TARGET) clean: @@ -993,8 +1009,8 @@ clean: rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata - $(MAKE) -C docs clean - $(MAKE) -C docs/images clean + -$(MAKE) -C docs clean + -$(MAKE) -C docs/images clean rm -rf docs/source/cmd docs/util/__pycache__ clean-abc: @@ -1011,16 +1027,17 @@ coverage: genhtml coverage.info --output-directory coverage_html qtcreator: + echo "$(CXXFLAGS)" | grep -o '\-D[^ ]*' | tr ' ' '\n' | sed 's/-D/#define /' | sed 's/=/ /'> qtcreator.config { for file in $(basename $(OBJS)); do \ for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \ done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes - touch qtcreator.config qtcreator.creator + touch qtcreator.creator vcxsrc: $(GENFILES) $(EXTRA_TARGETS) rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip} set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \ - echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt + echo "Analyse: $$f" >&2; cpp -std=c++17 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV) echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc @@ -1058,14 +1075,6 @@ config-gcc-static: clean config-afl-gcc: clean echo 'CONFIG := afl-gcc' > Makefile.conf -config-emcc: clean - echo 'CONFIG := emcc' > Makefile.conf - echo 'ENABLE_TCL := 0' >> Makefile.conf - echo 'ENABLE_ABC := 0' >> Makefile.conf - echo 'ENABLE_PLUGINS := 0' >> Makefile.conf - echo 'ENABLE_READLINE := 0' >> Makefile.conf - echo 'ENABLE_ZLIB := 0' >> Makefile.conf - config-wasi: clean echo 'CONFIG := wasi' > Makefile.conf echo 'ENABLE_TCL := 0' >> Makefile.conf @@ -1107,8 +1116,8 @@ echo-yosys-ver: echo-git-rev: @echo "$(GIT_REV)" -echo-abc-rev: - @echo "$(ABCREV)" +echo-cxx: + @echo "$(CXX)" -include libs/*/*.d -include frontends/*/*.d diff --git a/yosys/README.md b/yosys/README.md index 5e5a8ec3e12..bb1c4d443e4 100644 --- a/yosys/README.md +++ b/yosys/README.md @@ -1,7 +1,7 @@ ``` yosys -- Yosys Open SYnthesis Suite -Copyright (C) 2012 - 2020 Claire Xenia Wolf +Copyright (C) 2012 - 2024 Claire Xenia Wolf Permission to use, copy, modify, and/or distribute this software for any purpose with or without fee is hereby granted, provided that the above @@ -71,7 +71,7 @@ Many Linux distributions also provide Yosys binaries, some more up to date than Building from Source ==================== -You need a C++ compiler with C++11 support (up-to-date CLANG or GCC is +You need a C++ compiler with C++17 support (up-to-date CLANG or GCC is recommended) and some standard tools such as GNU Flex, GNU Bison, and GNU Make. TCL, readline and libffi are optional (see ``ENABLE_*`` settings in Makefile). Xdot (graphviz) is used by the ``show`` command in yosys to display schematics. @@ -105,11 +105,17 @@ For Cygwin use the following command to install all prerequisites, or select the setup-x86_64.exe -q --packages=bison,flex,gcc-core,gcc-g++,git,libffi-devel,libreadline-devel,make,pkg-config,python3,tcl-devel,boost-build,zlib-devel -To configure the build system to use a specific compiler, use one of +The environment variable `CXX` can be used to control the C++ compiler used, or +run one of the following: $ make config-clang $ make config-gcc +Note that these will result in `make` ignoring the `CXX` environment variable, +unless `CXX` is assigned in the call to make, e.g. + + $ make CXX=$CXX + For other compilers and build configurations it might be necessary to make some changes to the config section of the Makefile. @@ -587,11 +593,19 @@ from SystemVerilog: - enums are supported (including inside packages) - but are currently not strongly typed -- packed structs and unions are supported. +- packed structs and unions are supported + - arrays of packed structs/unions are currently not supported + - structure literals are currently not supported + +- multidimensional arrays are supported + - array assignment of unpacked arrays is currently not supported + - array literals are currently not supported - SystemVerilog interfaces (SVIs) are supported. Modports for specifying whether ports are inputs or outputs are supported. +- Assignments within expressions are supported. + Building the documentation ========================== @@ -602,10 +616,12 @@ Simply visit https://yosys.readthedocs.io/en/latest/ instead. In addition to those packages listed above for building Yosys from source, the following are used for building the website: - $ sudo apt-get install pdf2svg faketime + $ sudo apt install pdf2svg faketime PDFLaTeX, included with most LaTeX distributions, is also needed during the -build process for the website. +build process for the website. Or, run the following: + + $ sudo apt install texlive-latex-base texlive-latex-extra latexmk The Python package, Sphinx, is needed along with those listed in `docs/source/requirements.txt`: diff --git a/yosys/backends/aiger/aiger.cc b/yosys/backends/aiger/aiger.cc index bb804f230fb..f2cb5d9bcc7 100644 --- a/yosys/backends/aiger/aiger.cc +++ b/yosys/backends/aiger/aiger.cc @@ -54,6 +54,8 @@ struct AigerWriter vector> aig_gates; vector aig_latchin, aig_latchinit, aig_outputs; + vector bit2aig_stack; + size_t next_loop_check = 1024; int aig_m = 0, aig_i = 0, aig_l = 0, aig_o = 0, aig_a = 0; int aig_b = 0, aig_c = 0, aig_j = 0, aig_f = 0; @@ -65,6 +67,8 @@ struct AigerWriter int initstate_ff = 0; dict ywmap_clocks; + vector ywmap_asserts; + vector ywmap_assumes; int mkgate(int a0, int a1) { @@ -81,6 +85,23 @@ struct AigerWriter return it->second; } + if (bit2aig_stack.size() == next_loop_check) { + for (size_t i = 0; i < next_loop_check; ++i) + { + SigBit report_bit = bit2aig_stack[i]; + if (report_bit != bit) + continue; + for (size_t j = i; j < next_loop_check; ++j) { + report_bit = bit2aig_stack[j]; + if (report_bit.is_wire() && report_bit.wire->name.isPublic()) + break; + } + log_error("Found combinational logic loop while processing signal %s.\n", log_signal(report_bit)); + } + next_loop_check *= 2; + } + bit2aig_stack.push_back(bit); + // NB: Cannot use iterator returned from aig_map.insert() // since this function is called recursively @@ -101,6 +122,8 @@ struct AigerWriter a = initstate_ff; } + bit2aig_stack.pop_back(); + if (bit == State::Sx || bit == State::Sz) log_error("Design contains 'x' or 'z' bits. Use 'setundef' to replace those constants.\n"); @@ -248,6 +271,7 @@ struct AigerWriter unused_bits.erase(A); unused_bits.erase(EN); asserts.push_back(make_pair(A, EN)); + ywmap_asserts.push_back(cell); continue; } @@ -258,6 +282,7 @@ struct AigerWriter unused_bits.erase(A); unused_bits.erase(EN); assumes.push_back(make_pair(A, EN)); + ywmap_assumes.push_back(cell); continue; } @@ -299,6 +324,9 @@ struct AigerWriter continue; } + if (cell->type == ID($scopeinfo)) + continue; + log_error("Unsupported cell type: %s (%s)\n", log_id(cell->type), log_id(cell)); } @@ -828,6 +856,19 @@ struct AigerWriter for (auto &it : init_lines) json.value(it.second); json.end_array(); + + json.name("asserts"); + json.begin_array(); + for (Cell *cell : ywmap_asserts) + json.value(witness_path(cell)); + json.end_array(); + + json.name("assumes"); + json.begin_array(); + for (Cell *cell : ywmap_assumes) + json.value(witness_path(cell)); + json.end_array(); + json.end_object(); } diff --git a/yosys/backends/blif/blif.cc b/yosys/backends/blif/blif.cc index 8e2c088c484..788b7f951f2 100644 --- a/yosys/backends/blif/blif.cc +++ b/yosys/backends/blif/blif.cc @@ -226,6 +226,9 @@ struct BlifDumper for (auto cell : module->cells()) { + if (cell->type == ID($scopeinfo)) + continue; + if (config->unbuf_types.count(cell->type)) { auto portnames = config->unbuf_types.at(cell->type); f << stringf(".names %s %s\n1 1\n", diff --git a/yosys/backends/btor/test_cells.sh b/yosys/backends/btor/test_cells.sh index 0a011932d22..f8bd797825e 100755 --- a/yosys/backends/btor/test_cells.sh +++ b/yosys/backends/btor/test_cells.sh @@ -1,4 +1,4 @@ -#!/bin/bash +#!/usr/bin/env bash set -ex diff --git a/yosys/backends/cxxrtl/Makefile.inc b/yosys/backends/cxxrtl/Makefile.inc index aaa304502e0..dd77d2ad360 100644 --- a/yosys/backends/cxxrtl/Makefile.inc +++ b/yosys/backends/cxxrtl/Makefile.inc @@ -1,2 +1,11 @@ OBJS += backends/cxxrtl/cxxrtl_backend.o + +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/cxxrtl.h)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc)) +$(eval $(call add_include_file,backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h)) diff --git a/yosys/backends/cxxrtl/cxxrtl_backend.cc b/yosys/backends/cxxrtl/cxxrtl_backend.cc index 1b13985ab4e..8dc14863d60 100644 --- a/yosys/backends/cxxrtl/cxxrtl_backend.cc +++ b/yosys/backends/cxxrtl/cxxrtl_backend.cc @@ -24,6 +24,8 @@ #include "kernel/celltypes.h" #include "kernel/mem.h" #include "kernel/log.h" +#include "kernel/fmt.h" +#include "kernel/scopeinfo.h" USING_YOSYS_NAMESPACE PRIVATE_NAMESPACE_BEGIN @@ -217,7 +219,7 @@ bool is_internal_cell(RTLIL::IdString type) bool is_effectful_cell(RTLIL::IdString type) { - return type.isPublic(); + return type.in(ID($print), ID($check)); } bool is_cxxrtl_blackbox_cell(const RTLIL::Cell *cell) @@ -281,6 +283,7 @@ struct FlowGraph { CONNECT, CELL_SYNC, CELL_EVAL, + EFFECT_SYNC, PROCESS_SYNC, PROCESS_CASE, MEM_RDPORT, @@ -290,6 +293,7 @@ struct FlowGraph { Type type; RTLIL::SigSig connect = {}; const RTLIL::Cell *cell = nullptr; + std::vector cells; const RTLIL::Process *process = nullptr; const Mem *mem = nullptr; int portidx; @@ -477,6 +481,15 @@ struct FlowGraph { return node; } + Node *add_effect_sync_node(std::vector cells) + { + Node *node = new Node; + node->type = Node::Type::EFFECT_SYNC; + node->cells = cells; + nodes.push_back(node); + return node; + } + // Processes void add_case_rule_defs_uses(Node *node, const RTLIL::CaseRule *case_) { @@ -593,22 +606,30 @@ std::vector split_by(const std::string &str, const std::string &sep return result; } -std::string escape_cxx_string(const std::string &input) +std::string escape_c_string(const std::string &input) { - std::string output = "\""; + std::string output; + output.push_back('"'); for (auto c : input) { if (::isprint(c)) { if (c == '\\') output.push_back('\\'); output.push_back(c); } else { - char l = c & 0xf, h = (c >> 4) & 0xf; - output.append("\\x"); - output.push_back((h < 10 ? '0' + h : 'a' + h - 10)); - output.push_back((l < 10 ? '0' + l : 'a' + l - 10)); + char l = c & 0x3, m = (c >> 3) & 0x3, h = (c >> 6) & 0x3; + output.append("\\"); + output.push_back('0' + h); + output.push_back('0' + m); + output.push_back('0' + l); } } output.push_back('"'); + return output; +} + +std::string escape_cxx_string(const std::string &input) +{ + std::string output = escape_c_string(input); if (output.find('\0') != std::string::npos) { output.insert(0, "std::string {"); output.append(stringf(", %zu}", input.size())); @@ -616,6 +637,20 @@ std::string escape_cxx_string(const std::string &input) return output; } +std::string basename(const std::string &filepath) +{ +#ifdef _WIN32 + const std::string dir_seps = "\\/"; +#else + const std::string dir_seps = "/"; +#endif + size_t sep_pos = filepath.find_last_of(dir_seps); + if (sep_pos != std::string::npos) + return filepath.substr(sep_pos + 1); + else + return filepath; +} + template std::string get_hdl_name(T *object) { @@ -681,6 +716,7 @@ struct CxxrtlWorker { bool split_intf = false; std::string intf_filename; std::string design_ns = "cxxrtl_design"; + std::string print_output = "std::cout"; std::ostream *impl_f = nullptr; std::ostream *intf_f = nullptr; @@ -1102,7 +1138,7 @@ struct CxxrtlWorker { f << indent << "// cell " << cell->name.str() << " syncs\n"; for (auto conn : cell->connections()) if (cell->output(conn.first)) - if (is_cxxrtl_sync_port(cell, conn.first)) { + if (is_cxxrtl_sync_port(cell, conn.first) && !conn.second.empty()) { f << indent; dump_sigspec_lhs(conn.second, for_debug); f << " = " << mangle(cell) << access << mangle_wire_name(conn.first) << ".curr;\n"; @@ -1189,6 +1225,144 @@ struct CxxrtlWorker { } } + void dump_print(const RTLIL::Cell *cell) + { + Fmt fmt; + fmt.parse_rtlil(cell); + + f << indent << "if ("; + dump_sigspec_rhs(cell->getPort(ID::EN)); + f << " == value<1>{1u}) {\n"; + inc_indent(); + dict fmt_args; + f << indent << "struct : public lazy_fmt {\n"; + inc_indent(); + f << indent << "std::string operator() () const override {\n"; + inc_indent(); + fmt.emit_cxxrtl(f, indent, [&](const RTLIL::SigSpec &sig) { + if (sig.size() == 0) + f << "value<0>()"; + else { + std::string arg_name = "arg" + std::to_string(fmt_args.size()); + fmt_args[arg_name] = sig; + f << arg_name; + } + }, "performer"); + dec_indent(); + f << indent << "}\n"; + f << indent << "struct performer *performer;\n"; + for (auto arg : fmt_args) + f << indent << "value<" << arg.second.size() << "> " << arg.first << ";\n"; + dec_indent(); + f << indent << "} formatter;\n"; + f << indent << "formatter.performer = performer;\n"; + for (auto arg : fmt_args) { + f << indent << "formatter." << arg.first << " = "; + dump_sigspec_rhs(arg.second); + f << ";\n"; + } + f << indent << "if (performer) {\n"; + inc_indent(); + f << indent << "static const metadata_map attributes = "; + dump_metadata_map(cell->attributes); + f << ";\n"; + f << indent << "performer->on_print(formatter, attributes);\n"; + dec_indent(); + f << indent << "} else {\n"; + inc_indent(); + f << indent << print_output << " << formatter();\n"; + dec_indent(); + f << indent << "}\n"; + dec_indent(); + f << indent << "}\n"; + } + + void dump_effect(const RTLIL::Cell *cell) + { + Fmt fmt; + fmt.parse_rtlil(cell); + + f << indent << "if ("; + dump_sigspec_rhs(cell->getPort(ID::EN)); + f << ") {\n"; + inc_indent(); + dict fmt_args; + f << indent << "struct : public lazy_fmt {\n"; + inc_indent(); + f << indent << "std::string operator() () const override {\n"; + inc_indent(); + fmt.emit_cxxrtl(f, indent, [&](const RTLIL::SigSpec &sig) { + if (sig.size() == 0) + f << "value<0>()"; + else { + std::string arg_name = "arg" + std::to_string(fmt_args.size()); + fmt_args[arg_name] = sig; + f << arg_name; + } + }, "performer"); + dec_indent(); + f << indent << "}\n"; + f << indent << "struct performer *performer;\n"; + for (auto arg : fmt_args) + f << indent << "value<" << arg.second.size() << "> " << arg.first << ";\n"; + dec_indent(); + f << indent << "} formatter;\n"; + f << indent << "formatter.performer = performer;\n"; + for (auto arg : fmt_args) { + f << indent << "formatter." << arg.first << " = "; + dump_sigspec_rhs(arg.second); + f << ";\n"; + } + if (cell->hasPort(ID::A)) { + f << indent << "bool condition = (bool)"; + dump_sigspec_rhs(cell->getPort(ID::A)); + f << ";\n"; + } + f << indent << "if (performer) {\n"; + inc_indent(); + f << indent << "static const metadata_map attributes = "; + dump_metadata_map(cell->attributes); + f << ";\n"; + if (cell->type == ID($print)) { + f << indent << "performer->on_print(formatter, attributes);\n"; + } else if (cell->type == ID($check)) { + std::string flavor = cell->getParam(ID::FLAVOR).decode_string(); + f << indent << "performer->on_check("; + if (flavor == "assert") + f << "flavor::ASSERT"; + else if (flavor == "assume") + f << "flavor::ASSUME"; + else if (flavor == "live") + f << "flavor::ASSERT_EVENTUALLY"; + else if (flavor == "fair") + f << "flavor::ASSUME_EVENTUALLY"; + else if (flavor == "cover") + f << "flavor::COVER"; + else log_assert(false); + f << ", condition, formatter, attributes);\n"; + } else log_assert(false); + dec_indent(); + f << indent << "} else {\n"; + inc_indent(); + if (cell->type == ID($print)) { + f << indent << print_output << " << formatter();\n"; + } else if (cell->type == ID($check)) { + std::string flavor = cell->getParam(ID::FLAVOR).decode_string(); + if (flavor == "assert" || flavor == "assume") { + f << indent << "if (!condition) {\n"; + inc_indent(); + f << indent << "std::cerr << formatter();\n"; + dec_indent(); + f << indent << "}\n"; + f << indent << "CXXRTL_ASSERT(condition && \"Check failed\");\n"; + } + } else log_assert(false); + dec_indent(); + f << indent << "}\n"; + dec_indent(); + f << indent << "}\n"; + } + void dump_cell_eval(const RTLIL::Cell *cell, bool for_debug = false) { std::vector inlined_cells; @@ -1202,6 +1376,38 @@ struct CxxrtlWorker { f << " = "; dump_cell_expr(cell, for_debug); f << ";\n"; + // Effectful cells + } else if (is_effectful_cell(cell->type)) { + log_assert(!for_debug); + + // Sync effectful cells are grouped into EFFECT_SYNC nodes in the FlowGraph. + log_assert(!cell->getParam(ID::TRG_ENABLE).as_bool() || (cell->getParam(ID::TRG_ENABLE).as_bool() && cell->getParam(ID::TRG_WIDTH).as_int() == 0)); + + if (!cell->getParam(ID::TRG_ENABLE).as_bool()) { // async effectful cell + f << indent << "auto " << mangle(cell) << "_next = "; + dump_sigspec_rhs(cell->getPort(ID::EN)); + f << ".concat("; + if (cell->type == ID($print)) + dump_sigspec_rhs(cell->getPort(ID::ARGS)); + else if (cell->type == ID($check)) + dump_sigspec_rhs(cell->getPort(ID::A)); + else log_assert(false); + f << ").val();\n"; + + f << indent << "if (" << mangle(cell) << " != " << mangle(cell) << "_next) {\n"; + inc_indent(); + dump_effect(cell); + f << indent << mangle(cell) << " = " << mangle(cell) << "_next;\n"; + dec_indent(); + f << indent << "}\n"; + } else { // initial effectful cell + f << indent << "if (!" << mangle(cell) << ") {\n"; + inc_indent(); + dump_effect(cell); + f << indent << mangle(cell) << " = value<1>{1u};\n"; + dec_indent(); + f << indent << "}\n"; + } // Flip-flops } else if (is_ff_cell(cell->type)) { log_assert(!for_debug); @@ -1298,7 +1504,7 @@ struct CxxrtlWorker { f << indent; dump_sigspec_lhs(cell->getPort(ID::Q)); f << " = "; - dump_sigspec_lhs(cell->getPort(ID::Q)); + dump_sigspec_rhs(cell->getPort(ID::Q)); f << ".update("; dump_const(RTLIL::Const(RTLIL::S1, cell->getParam(ID::WIDTH).as_int())); f << ", "; @@ -1310,7 +1516,7 @@ struct CxxrtlWorker { f << indent; dump_sigspec_lhs(cell->getPort(ID::Q)); f << " = "; - dump_sigspec_lhs(cell->getPort(ID::Q)); + dump_sigspec_rhs(cell->getPort(ID::Q)); f << ".update("; dump_const(RTLIL::Const(RTLIL::S0, cell->getParam(ID::WIDTH).as_int())); f << ", "; @@ -1321,8 +1527,9 @@ struct CxxrtlWorker { } else if (is_internal_cell(cell->type)) { log_cmd_error("Unsupported internal cell `%s'.\n", cell->type.c_str()); // User cells + } else if (for_debug) { + // Outlines are called on demand when computing the value of a debug item. Nothing to do here. } else { - log_assert(!for_debug); log_assert(cell->known()); bool buffered_inputs = false; const char *access = is_cxxrtl_blackbox_cell(cell) ? "->" : "."; @@ -1382,11 +1589,11 @@ struct CxxrtlWorker { }; if (buffered_inputs) { // If we have any buffered inputs, there's no chance of converging immediately. - f << indent << mangle(cell) << access << "eval();\n"; + f << indent << mangle(cell) << access << "eval(performer);\n"; f << indent << "converged = false;\n"; assign_from_outputs(/*cell_converged=*/false); } else { - f << indent << "if (" << mangle(cell) << access << "eval()) {\n"; + f << indent << "if (" << mangle(cell) << access << "eval(performer)) {\n"; inc_indent(); assign_from_outputs(/*cell_converged=*/true); dec_indent(); @@ -1580,6 +1787,47 @@ struct CxxrtlWorker { } } + void dump_cell_effect_sync(std::vector &cells) + { + log_assert(!cells.empty()); + const auto &trg = cells[0]->getPort(ID::TRG); + const auto &trg_polarity = cells[0]->getParam(ID::TRG_POLARITY); + + f << indent << "if ("; + for (int i = 0; i < trg.size(); i++) { + RTLIL::SigBit trg_bit = trg[i]; + trg_bit = sigmaps[trg_bit.wire->module](trg_bit); + log_assert(trg_bit.wire); + + if (i != 0) + f << " || "; + + if (trg_polarity[i] == State::S1) + f << "posedge_"; + else + f << "negedge_"; + f << mangle(trg_bit); + } + f << ") {\n"; + inc_indent(); + std::sort(cells.begin(), cells.end(), [](const RTLIL::Cell *a, const RTLIL::Cell *b) { + return a->getParam(ID::PRIORITY).as_int() > b->getParam(ID::PRIORITY).as_int(); + }); + for (auto cell : cells) { + log_assert(cell->getParam(ID::TRG_ENABLE).as_bool()); + log_assert(cell->getPort(ID::TRG) == trg); + log_assert(cell->getParam(ID::TRG_POLARITY) == trg_polarity); + + std::vector inlined_cells; + collect_cell_eval(cell, /*for_debug=*/false, inlined_cells); + dump_inlined_cells(inlined_cells); + dump_effect(cell); + } + dec_indent(); + + f << indent << "}\n"; + } + void dump_mem_rdport(const Mem *mem, int portidx, bool for_debug = false) { auto &port = mem->rd_ports[portidx]; @@ -1899,6 +2147,10 @@ struct CxxrtlWorker { } } for (auto cell : module->cells()) { + // Async and initial effectful cells have additional state, which must be reset as well. + if (is_effectful_cell(cell->type)) + if (!cell->getParam(ID::TRG_ENABLE).as_bool() || cell->getParam(ID::TRG_WIDTH).as_int() == 0) + f << indent << mangle(cell) << " = {};\n"; if (is_internal_cell(cell->type)) continue; f << indent << mangle(cell); @@ -1946,6 +2198,9 @@ struct CxxrtlWorker { case FlowGraph::Node::Type::CELL_EVAL: dump_cell_eval(node.cell); break; + case FlowGraph::Node::Type::EFFECT_SYNC: + dump_cell_effect_sync(node.cells); + break; case FlowGraph::Node::Type::PROCESS_CASE: dump_process_case(node.process); break; @@ -2009,27 +2264,116 @@ struct CxxrtlWorker { if (wire_type.type == WireType::MEMBER && edge_wires[wire]) f << indent << "prev_" << mangle(wire) << " = " << mangle(wire) << ";\n"; if (wire_type.is_buffered()) - f << indent << "if (" << mangle(wire) << ".commit()) changed = true;\n"; + f << indent << "if (" << mangle(wire) << ".commit(observer)) changed = true;\n"; } if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) { for (auto &mem : mod_memories[module]) { if (!writable_memories.count({module, mem.memid})) continue; - f << indent << "if (" << mangle(&mem) << ".commit()) changed = true;\n"; + f << indent << "if (" << mangle(&mem) << ".commit(observer)) changed = true;\n"; } for (auto cell : module->cells()) { if (is_internal_cell(cell->type)) continue; const char *access = is_cxxrtl_blackbox_cell(cell) ? "->" : "."; - f << indent << "if (" << mangle(cell) << access << "commit()) changed = true;\n"; + f << indent << "if (" << mangle(cell) << access << "commit(observer)) changed = true;\n"; } } f << indent << "return changed;\n"; dec_indent(); } + void dump_serialized_metadata(const dict &metadata_map) { + // Creating thousands metadata_map objects using initializer lists in a single function results in one of: + // 1. Megabytes of stack usage (with __attribute__((optnone))). + // 2. Minutes of compile time (without __attribute__((optnone))). + // So, don't create them. + std::string data; + auto put_u64 = [&](uint64_t value) { + for (size_t count = 0; count < 8; count++) { + data += (char)(value >> 56); + value <<= 8; + } + }; + for (auto metadata_item : metadata_map) { + if (!metadata_item.first.isPublic()) + continue; + if (metadata_item.second.size() > 64 && (metadata_item.second.flags & RTLIL::CONST_FLAG_STRING) == 0) { + f << indent << "/* attribute " << metadata_item.first.str().substr(1) << " is over 64 bits wide */\n"; + continue; + } + data += metadata_item.first.str().substr(1) + '\0'; + // In Yosys, a real is a type of string. + if (metadata_item.second.flags & RTLIL::CONST_FLAG_REAL) { + double dvalue = std::stod(metadata_item.second.decode_string()); + uint64_t uvalue; + static_assert(sizeof(dvalue) == sizeof(uvalue), "double must be 64 bits in size"); + memcpy(&uvalue, &dvalue, sizeof(uvalue)); + data += 'd'; + put_u64(uvalue); + } else if (metadata_item.second.flags & RTLIL::CONST_FLAG_STRING) { + data += 's'; + data += metadata_item.second.decode_string(); + data += '\0'; + } else if (metadata_item.second.flags & RTLIL::CONST_FLAG_SIGNED) { + data += 'i'; + put_u64((uint64_t)metadata_item.second.as_int(/*is_signed=*/true)); + } else { + data += 'u'; + put_u64(metadata_item.second.as_int(/*is_signed=*/false)); + } + } + f << escape_c_string(data); + } + + void dump_metadata_map(const dict &metadata_map) { + if (metadata_map.empty()) { + f << "metadata_map()"; + } else { + f << "metadata_map({\n"; + inc_indent(); + for (auto metadata_item : metadata_map) { + if (!metadata_item.first.isPublic()) + continue; + if (metadata_item.second.size() > 64 && (metadata_item.second.flags & RTLIL::CONST_FLAG_STRING) == 0) { + f << indent << "/* attribute " << metadata_item.first.str().substr(1) << " is over 64 bits wide */\n"; + continue; + } + f << indent << "{ " << escape_cxx_string(metadata_item.first.str().substr(1)) << ", "; + // In Yosys, a real is a type of string. + if (metadata_item.second.flags & RTLIL::CONST_FLAG_REAL) { + f << std::showpoint << std::stod(metadata_item.second.decode_string()) << std::noshowpoint; + } else if (metadata_item.second.flags & RTLIL::CONST_FLAG_STRING) { + f << escape_cxx_string(metadata_item.second.decode_string()); + } else if (metadata_item.second.flags & RTLIL::CONST_FLAG_SIGNED) { + f << "INT64_C(" << metadata_item.second.as_int(/*is_signed=*/true) << ")"; + } else { + f << "UINT64_C(" << metadata_item.second.as_int(/*is_signed=*/false) << ")"; + } + f << " },\n"; + } + dec_indent(); + f << indent << "})"; + } + } + + void dump_debug_attrs(const RTLIL::AttrObject *object, bool serialize = true) + { + dict attributes = object->attributes; + // Inherently necessary to get access to the object, so a waste of space to emit. + attributes.erase(ID::hdlname); + // Internal Yosys attribute that should be removed but isn't. + attributes.erase(ID::module_not_derived); + if (serialize) { + dump_serialized_metadata(attributes); + } else { + dump_metadata_map(attributes); + } + } + void dump_debug_info_method(RTLIL::Module *module) { + size_t count_scopes = 0; size_t count_public_wires = 0; size_t count_member_wires = 0; size_t count_undriven = 0; @@ -2042,139 +2386,195 @@ struct CxxrtlWorker { size_t count_skipped_wires = 0; inc_indent(); f << indent << "assert(path.empty() || path[path.size() - 1] == ' ');\n"; - for (auto wire : module->wires()) { - const auto &debug_wire_type = debug_wire_types[wire]; - if (!wire->name.isPublic()) - continue; - count_public_wires++; - switch (debug_wire_type.type) { - case WireType::BUFFERED: - case WireType::MEMBER: { - // Member wire - std::vector flags; - - if (wire->port_input && wire->port_output) - flags.push_back("INOUT"); - else if (wire->port_output) - flags.push_back("OUTPUT"); - else if (wire->port_input) - flags.push_back("INPUT"); - - bool has_driven_sync = false; - bool has_driven_comb = false; - bool has_undriven = false; - if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) { - for (auto bit : SigSpec(wire)) - if (!bit_has_state.count(bit)) - has_undriven = true; - else if (bit_has_state[bit]) - has_driven_sync = true; - else - has_driven_comb = true; - } else if (wire->port_output) { - switch (cxxrtl_port_type(module, wire->name)) { - case CxxrtlPortType::SYNC: - has_driven_sync = true; - break; - case CxxrtlPortType::COMB: - has_driven_comb = true; - break; - case CxxrtlPortType::UNKNOWN: - has_driven_sync = has_driven_comb = true; - break; - } - } else { - has_undriven = true; - } - if (has_undriven) - flags.push_back("UNDRIVEN"); - if (!has_driven_sync && !has_driven_comb && has_undriven) - count_undriven++; - if (has_driven_sync) - flags.push_back("DRIVEN_SYNC"); - if (has_driven_sync && !has_driven_comb && !has_undriven) - count_driven_sync++; - if (has_driven_comb) - flags.push_back("DRIVEN_COMB"); - if (!has_driven_sync && has_driven_comb && !has_undriven) - count_driven_comb++; - if (has_driven_sync + has_driven_comb + has_undriven > 1) - count_mixed_driver++; - - f << indent << "items.add(path + " << escape_cxx_string(get_hdl_name(wire)); - f << ", debug_item(" << mangle(wire) << ", " << wire->start_offset; - bool first = true; - for (auto flag : flags) { - if (first) { - first = false; - f << ", "; + f << indent << "if (scopes) {\n"; + inc_indent(); + // The module is responsible for adding its own scope. + f << indent << "scopes->add(path.empty() ? path : path.substr(0, path.size() - 1), "; + f << escape_cxx_string(get_hdl_name(module)) << ", "; + dump_debug_attrs(module, /*serialize=*/false); + f << ", std::move(cell_attrs));\n"; + count_scopes++; + // If there were any submodules that were flattened, the module is also responsible for adding them. + for (auto cell : module->cells()) { + if (cell->type != ID($scopeinfo)) continue; + if (cell->getParam(ID::TYPE).decode_string() == "module") { + auto module_attrs = scopeinfo_attributes(cell, ScopeinfoAttrs::Module); + auto cell_attrs = scopeinfo_attributes(cell, ScopeinfoAttrs::Cell); + cell_attrs.erase(ID::module_not_derived); + f << indent << "scopes->add(path, " << escape_cxx_string(get_hdl_name(cell)) << ", "; + f << escape_cxx_string(cell->get_string_attribute(ID(module))) << ", "; + dump_serialized_metadata(module_attrs); + f << ", "; + dump_serialized_metadata(cell_attrs); + f << ");\n"; + } else log_assert(false && "Unknown $scopeinfo type"); + count_scopes++; + } + dec_indent(); + f << indent << "}\n"; + f << indent << "if (items) {\n"; + inc_indent(); + for (auto wire : module->wires()) { + const auto &debug_wire_type = debug_wire_types[wire]; + if (!wire->name.isPublic()) + continue; + count_public_wires++; + switch (debug_wire_type.type) { + case WireType::BUFFERED: + case WireType::MEMBER: { + // Member wire + std::vector flags; + + if (wire->port_input && wire->port_output) + flags.push_back("INOUT"); + else if (wire->port_output) + flags.push_back("OUTPUT"); + else if (wire->port_input) + flags.push_back("INPUT"); + + bool has_driven_sync = false; + bool has_driven_comb = false; + bool has_undriven = false; + if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) { + for (auto bit : SigSpec(wire)) + if (!bit_has_state.count(bit)) + has_undriven = true; + else if (bit_has_state[bit]) + has_driven_sync = true; + else + has_driven_comb = true; + } else if (wire->port_output) { + switch (cxxrtl_port_type(module, wire->name)) { + case CxxrtlPortType::SYNC: + has_driven_sync = true; + break; + case CxxrtlPortType::COMB: + has_driven_comb = true; + break; + case CxxrtlPortType::UNKNOWN: + has_driven_sync = has_driven_comb = true; + break; + } } else { - f << "|"; + has_undriven = true; + } + if (has_undriven) + flags.push_back("UNDRIVEN"); + if (!has_driven_sync && !has_driven_comb && has_undriven) + count_undriven++; + if (has_driven_sync) + flags.push_back("DRIVEN_SYNC"); + if (has_driven_sync && !has_driven_comb && !has_undriven) + count_driven_sync++; + if (has_driven_comb) + flags.push_back("DRIVEN_COMB"); + if (!has_driven_sync && has_driven_comb && !has_undriven) + count_driven_comb++; + if (has_driven_sync + has_driven_comb + has_undriven > 1) + count_mixed_driver++; + + f << indent << "items->add(path, " << escape_cxx_string(get_hdl_name(wire)) << ", "; + dump_debug_attrs(wire); + f << ", " << mangle(wire); + if (wire->start_offset != 0 || !flags.empty()) { + f << ", " << wire->start_offset; + bool first = true; + for (auto flag : flags) { + if (first) { + first = false; + f << ", "; + } else { + f << "|"; + } + f << "debug_item::" << flag; + } } - f << "debug_item::" << flag; + f << ");\n"; + count_member_wires++; + break; + } + case WireType::ALIAS: { + // Alias of a member wire + const RTLIL::Wire *aliasee = debug_wire_type.sig_subst.as_wire(); + f << indent << "items->add(path, " << escape_cxx_string(get_hdl_name(wire)) << ", "; + dump_debug_attrs(aliasee); + f << ", "; + // If the aliasee is an outline, then the alias must be an outline, too; otherwise downstream + // tooling has no way to find out about the outline. + if (debug_wire_types[aliasee].is_outline()) + f << "debug_eval_outline"; + else + f << "debug_alias()"; + f << ", " << mangle(aliasee); + if (wire->start_offset != 0) + f << ", " << wire->start_offset; + f << ");\n"; + count_alias_wires++; + break; + } + case WireType::CONST: { + // Wire tied to a constant + f << indent << "static const value<" << wire->width << "> const_" << mangle(wire) << " = "; + dump_const(debug_wire_type.sig_subst.as_const()); + f << ";\n"; + f << indent << "items->add(path, " << escape_cxx_string(get_hdl_name(wire)) << ", "; + dump_debug_attrs(wire); + f << ", const_" << mangle(wire); + if (wire->start_offset != 0) + f << ", " << wire->start_offset; + f << ");\n"; + count_const_wires++; + break; + } + case WireType::OUTLINE: { + // Localized or inlined, but rematerializable wire + f << indent << "items->add(path, " << escape_cxx_string(get_hdl_name(wire)) << ", "; + dump_debug_attrs(wire); + f << ", debug_eval_outline, " << mangle(wire); + if (wire->start_offset != 0) + f << ", " << wire->start_offset; + f << ");\n"; + count_inline_wires++; + break; + } + default: { + // Localized or inlined wire with no debug information + count_skipped_wires++; + break; } - f << "));\n"; - count_member_wires++; - break; - } - case WireType::ALIAS: { - // Alias of a member wire - const RTLIL::Wire *aliasee = debug_wire_type.sig_subst.as_wire(); - f << indent << "items.add(path + " << escape_cxx_string(get_hdl_name(wire)); - f << ", debug_item("; - // If the aliasee is an outline, then the alias must be an outline, too; otherwise downstream - // tooling has no way to find out about the outline. - if (debug_wire_types[aliasee].is_outline()) - f << "debug_eval_outline"; - else - f << "debug_alias()"; - f << ", " << mangle(aliasee) << ", " << wire->start_offset << "));\n"; - count_alias_wires++; - break; - } - case WireType::CONST: { - // Wire tied to a constant - f << indent << "static const value<" << wire->width << "> const_" << mangle(wire) << " = "; - dump_const(debug_wire_type.sig_subst.as_const()); - f << ";\n"; - f << indent << "items.add(path + " << escape_cxx_string(get_hdl_name(wire)); - f << ", debug_item(const_" << mangle(wire) << ", " << wire->start_offset << "));\n"; - count_const_wires++; - break; - } - case WireType::OUTLINE: { - // Localized or inlined, but rematerializable wire - f << indent << "items.add(path + " << escape_cxx_string(get_hdl_name(wire)); - f << ", debug_item(debug_eval_outline, " << mangle(wire) << ", " << wire->start_offset << "));\n"; - count_inline_wires++; - break; } - default: { - // Localized or inlined wire with no debug information - count_skipped_wires++; - break; + } + if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) { + for (auto &mem : mod_memories[module]) { + if (!mem.memid.isPublic()) + continue; + f << indent << "items->add(path, " << escape_cxx_string(mem.packed ? get_hdl_name(mem.cell) : get_hdl_name(mem.mem)) << ", "; + if (mem.packed) { + dump_debug_attrs(mem.cell); + } else { + dump_debug_attrs(mem.mem); + } + f << ", " << mangle(&mem) << ", "; + f << mem.start_offset << ");\n"; } } - } + dec_indent(); + f << indent << "}\n"; if (!module->get_bool_attribute(ID(cxxrtl_blackbox))) { - for (auto &mem : mod_memories[module]) { - if (!mem.memid.isPublic()) - continue; - f << indent << "items.add(path + " << escape_cxx_string(mem.packed ? get_hdl_name(mem.cell) : get_hdl_name(mem.mem)); - f << ", debug_item(" << mangle(&mem) << ", "; - f << mem.start_offset << "));\n"; - } for (auto cell : module->cells()) { if (is_internal_cell(cell->type)) continue; const char *access = is_cxxrtl_blackbox_cell(cell) ? "->" : "."; - f << indent << mangle(cell) << access << "debug_info(items, "; - f << "path + " << escape_cxx_string(get_hdl_name(cell) + ' ') << ");\n"; + f << indent << mangle(cell) << access; + f << "debug_info(items, scopes, path + " << escape_cxx_string(get_hdl_name(cell) + ' ') << ", "; + dump_debug_attrs(cell, /*serialize=*/false); + f << ");\n"; } } dec_indent(); log_debug("Debug information statistics for module `%s':\n", log_id(module)); + log_debug(" Scopes: %zu", count_scopes); log_debug(" Public wires: %zu, of which:\n", count_public_wires); log_debug(" Member wires: %zu, of which:\n", count_member_wires); log_debug(" Undriven: %zu (incl. inputs)\n", count_undriven); @@ -2190,33 +2590,6 @@ struct CxxrtlWorker { } } - void dump_metadata_map(const dict &metadata_map) - { - if (metadata_map.empty()) { - f << "metadata_map()"; - return; - } - f << "metadata_map({\n"; - inc_indent(); - for (auto metadata_item : metadata_map) { - if (!metadata_item.first.begins_with("\\")) - continue; - f << indent << "{ " << escape_cxx_string(metadata_item.first.str().substr(1)) << ", "; - if (metadata_item.second.flags & RTLIL::CONST_FLAG_REAL) { - f << std::showpoint << std::stod(metadata_item.second.decode_string()) << std::noshowpoint; - } else if (metadata_item.second.flags & RTLIL::CONST_FLAG_STRING) { - f << escape_cxx_string(metadata_item.second.decode_string()); - } else { - f << metadata_item.second.as_int(/*is_signed=*/metadata_item.second.flags & RTLIL::CONST_FLAG_SIGNED); - if (!(metadata_item.second.flags & RTLIL::CONST_FLAG_SIGNED)) - f << "u"; - } - f << " },\n"; - } - dec_indent(); - f << indent << "})"; - } - void dump_module_intf(RTLIL::Module *module) { dump_attrs(module); @@ -2234,20 +2607,27 @@ struct CxxrtlWorker { dump_reset_method(module); f << indent << "}\n"; f << "\n"; - f << indent << "bool eval() override {\n"; + // No default argument, to prevent unintentional `return bb_foo::eval();` calls that drop performer. + f << indent << "bool eval(performer *performer) override {\n"; dump_eval_method(module); f << indent << "}\n"; f << "\n"; - f << indent << "bool commit() override {\n"; + f << indent << "virtual bool commit(observer &observer) {\n"; dump_commit_method(module); f << indent << "}\n"; f << "\n"; + f << indent << "bool commit() override {\n"; + f << indent << indent << "observer observer;\n"; + f << indent << indent << "return commit(observer);\n"; + f << indent << "}\n"; if (debug_info) { - f << indent << "void debug_info(debug_items &items, std::string path = \"\") override {\n"; + f << "\n"; + f << indent << "void debug_info(debug_items *items, debug_scopes *scopes, " + << "std::string path, metadata_map &&cell_attrs = {}) override {\n"; dump_debug_info_method(module); f << indent << "}\n"; - f << "\n"; } + f << "\n"; f << indent << "static std::unique_ptr<" << mangle(module); f << template_params(module, /*is_decl=*/false) << "> "; f << "create(std::string name, metadata_map parameters, metadata_map attributes);\n"; @@ -2291,6 +2671,15 @@ struct CxxrtlWorker { f << "\n"; bool has_cells = false; for (auto cell : module->cells()) { + // Async and initial effectful cells have additional state, which requires storage. + if (is_effectful_cell(cell->type)) { + if (cell->getParam(ID::TRG_ENABLE).as_bool() && cell->getParam(ID::TRG_WIDTH).as_int() == 0) + f << indent << "value<1> " << mangle(cell) << ";\n"; // async initial cell + if (!cell->getParam(ID::TRG_ENABLE).as_bool() && cell->type == ID($print)) + f << indent << "value<" << (1 + cell->getParam(ID::ARGS_WIDTH).as_int()) << "> " << mangle(cell) << ";\n"; // {EN, ARGS} + if (!cell->getParam(ID::TRG_ENABLE).as_bool() && cell->type == ID($check)) + f << indent << "value<2> " << mangle(cell) << ";\n"; // {EN, A} + } if (is_internal_cell(cell->type)) continue; dump_attrs(cell); @@ -2319,8 +2708,18 @@ struct CxxrtlWorker { f << indent << "};\n"; f << "\n"; f << indent << "void reset() override;\n"; - f << indent << "bool eval() override;\n"; - f << indent << "bool commit() override;\n"; + f << "\n"; + f << indent << "bool eval(performer *performer = nullptr) override;\n"; + f << "\n"; + f << indent << "template\n"; + f << indent << "bool commit(ObserverT &observer) {\n"; + dump_commit_method(module); + f << indent << "}\n"; + f << "\n"; + f << indent << "bool commit() override {\n"; + f << indent << indent << "observer observer;\n"; + f << indent << indent << "return commit<>(observer);\n"; + f << indent << "}\n"; if (debug_info) { if (debug_eval) { f << "\n"; @@ -2333,7 +2732,8 @@ struct CxxrtlWorker { } } f << "\n"; - f << indent << "void debug_info(debug_items &items, std::string path = \"\") override;\n"; + f << indent << "void debug_info(debug_items *items, debug_scopes *scopes, " + << "std::string path, metadata_map &&cell_attrs = {}) override;\n"; } dec_indent(); f << indent << "}; // struct " << mangle(module) << "\n"; @@ -2349,27 +2749,24 @@ struct CxxrtlWorker { dump_reset_method(module); f << indent << "}\n"; f << "\n"; - f << indent << "bool " << mangle(module) << "::eval() {\n"; + f << indent << "bool " << mangle(module) << "::eval(performer *performer) {\n"; dump_eval_method(module); f << indent << "}\n"; - f << "\n"; - f << indent << "bool " << mangle(module) << "::commit() {\n"; - dump_commit_method(module); - f << indent << "}\n"; - f << "\n"; if (debug_info) { if (debug_eval) { + f << "\n"; f << indent << "void " << mangle(module) << "::debug_eval() {\n"; dump_debug_eval_method(module); f << indent << "}\n"; - f << "\n"; } + f << "\n"; f << indent << "CXXRTL_EXTREMELY_COLD\n"; - f << indent << "void " << mangle(module) << "::debug_info(debug_items &items, std::string path) {\n"; + f << indent << "void " << mangle(module) << "::debug_info(debug_items *items, debug_scopes *scopes, " + << "std::string path, metadata_map &&cell_attrs) {\n"; dump_debug_info_method(module); f << indent << "}\n"; - f << "\n"; } + f << "\n"; } void dump_design(RTLIL::Design *design) @@ -2409,7 +2806,7 @@ struct CxxrtlWorker { f << "#define " << include_guard << "\n"; f << "\n"; if (top_module != nullptr && debug_info) { - f << "#include \n"; + f << "#include \n"; f << "\n"; f << "#ifdef __cplusplus\n"; f << "extern \"C\" {\n"; @@ -2427,7 +2824,7 @@ struct CxxrtlWorker { } f << "#ifdef __cplusplus\n"; f << "\n"; - f << "#include \n"; + f << "#include \n"; f << "\n"; f << "using namespace cxxrtl;\n"; f << "\n"; @@ -2444,17 +2841,17 @@ struct CxxrtlWorker { } if (split_intf) - f << "#include \"" << intf_filename << "\"\n"; + f << "#include \"" << basename(intf_filename) << "\"\n"; else - f << "#include \n"; + f << "#include \n"; f << "\n"; f << "#if defined(CXXRTL_INCLUDE_CAPI_IMPL) || \\\n"; f << " defined(CXXRTL_INCLUDE_VCD_CAPI_IMPL)\n"; - f << "#include \n"; + f << "#include \n"; f << "#endif\n"; f << "\n"; f << "#if defined(CXXRTL_INCLUDE_VCD_CAPI_IMPL)\n"; - f << "#include \n"; + f << "#include \n"; f << "#endif\n"; f << "\n"; f << "using namespace cxxrtl_yosys;\n"; @@ -2601,6 +2998,16 @@ struct CxxrtlWorker { register_edge_signal(sigmap, cell->getPort(ID::CLK), cell->parameters[ID::CLK_POLARITY].as_bool() ? RTLIL::STp : RTLIL::STn); } + + // Effectful cells may be triggered on posedge/negedge events. + if (is_effectful_cell(cell->type) && cell->getParam(ID::TRG_ENABLE).as_bool()) { + for (size_t i = 0; i < (size_t)cell->getParam(ID::TRG_WIDTH).as_int(); i++) { + RTLIL::SigBit trg = cell->getPort(ID::TRG).extract(i, 1); + if (is_valid_clock(trg)) + register_edge_signal(sigmap, trg, + cell->parameters[ID::TRG_POLARITY][i] == RTLIL::S1 ? RTLIL::STp : RTLIL::STn); + } + } } for (auto &mem : memories) { @@ -2734,8 +3141,12 @@ struct CxxrtlWorker { // Discover nodes reachable from primary outputs (i.e. members) and collect reachable wire users. pool worklist; for (auto node : flow.nodes) { - if (node->type == FlowGraph::Node::Type::CELL_EVAL && is_effectful_cell(node->cell->type)) - worklist.insert(node); // node has effects + if (node->type == FlowGraph::Node::Type::CELL_EVAL && !is_internal_cell(node->cell->type)) + worklist.insert(node); // node evaluates a submodule + else if (node->type == FlowGraph::Node::Type::CELL_EVAL && is_effectful_cell(node->cell->type)) + worklist.insert(node); // node has async effects + else if (node->type == FlowGraph::Node::Type::EFFECT_SYNC) + worklist.insert(node); // node has sync effects else if (node->type == FlowGraph::Node::Type::MEM_WRPORTS) worklist.insert(node); // node is memory write else if (node->type == FlowGraph::Node::Type::PROCESS_SYNC && is_memwr_process(node->process)) @@ -2792,9 +3203,23 @@ struct CxxrtlWorker { } // Emit reachable nodes in eval(). + // Accumulate sync effectful cells per trigger condition. + dict, std::vector> effect_sync_cells; for (auto node : node_order) - if (live_nodes[node]) - schedule[module].push_back(*node); + if (live_nodes[node]) { + if (node->type == FlowGraph::Node::Type::CELL_EVAL && + is_effectful_cell(node->cell->type) && + node->cell->getParam(ID::TRG_ENABLE).as_bool() && + node->cell->getParam(ID::TRG_WIDTH).as_int() != 0) + effect_sync_cells[make_pair(node->cell->getPort(ID::TRG), node->cell->getParam(ID::TRG_POLARITY))].push_back(node->cell); + else + schedule[module].push_back(*node); + } + + for (auto &it : effect_sync_cells) { + auto node = flow.add_effect_sync_node(it.second); + schedule[module].push_back(*node); + } // For maximum performance, the state of the simulation (which is the same as the set of its double buffered // wires, since using a singly buffered wire for any kind of state introduces a race condition) should contain @@ -2838,6 +3263,7 @@ struct CxxrtlWorker { debug_wire_type = wire_type; // wire is a member if (!debug_alias) continue; + if (wire->port_input || wire->port_output) continue; // preserve input/output metadata in flags const RTLIL::Wire *it = wire; while (flow.is_inlinable(it)) { log_assert(flow.wire_comb_defs[it].size() == 1); @@ -3098,7 +3524,8 @@ struct CxxrtlBackend : public Backend { log(" value<8> p_i_data;\n"); log(" wire<8> p_o_data;\n"); log("\n"); - log(" bool eval() override;\n"); + log(" bool eval(performer *performer) override;\n"); + log(" virtual bool commit(observer &observer);\n"); log(" bool commit() override;\n"); log("\n"); log(" static std::unique_ptr\n"); @@ -3111,11 +3538,11 @@ struct CxxrtlBackend : public Backend { log(" namespace cxxrtl_design {\n"); log("\n"); log(" struct stderr_debug : public bb_p_debug {\n"); - log(" bool eval() override {\n"); + log(" bool eval(performer *performer) override {\n"); log(" if (posedge_p_clk() && p_en)\n"); log(" fprintf(stderr, \"debug: %%02x\\n\", p_i_data.data[0]);\n"); log(" p_o_data.next = p_i_data;\n"); - log(" return bb_p_debug::eval();\n"); + log(" return bb_p_debug::eval(performer);\n"); log(" }\n"); log(" };\n"); log("\n"); @@ -3213,6 +3640,11 @@ struct CxxrtlBackend : public Backend { log(" place the generated code into namespace . if not specified,\n"); log(" \"cxxrtl_design\" is used.\n"); log("\n"); + log(" -print-output \n"); + log(" $print cells in the generated code direct their output to .\n"); + log(" must be one of \"std::cout\", \"std::cerr\". if not specified,\n"); + log(" \"std::cout\" is used. explicitly provided performer overrides this.\n"); + log("\n"); log(" -nohierarchy\n"); log(" use design hierarchy as-is. in most designs, a top module should be\n"); log(" present as it is exposed through the C API and has unbuffered outputs\n"); @@ -3351,6 +3783,14 @@ struct CxxrtlBackend : public Backend { worker.design_ns = args[++argidx]; continue; } + if (args[argidx] == "-print-output" && argidx+1 < args.size()) { + worker.print_output = args[++argidx]; + if (!(worker.print_output == "std::cout" || worker.print_output == "std::cerr")) { + log_cmd_error("Invalid output stream \"%s\".\n", worker.print_output.c_str()); + worker.print_output = "std::cout"; + } + continue; + } break; } extra_args(f, filename, args, argidx); diff --git a/yosys/backends/cxxrtl/runtime/README.txt b/yosys/backends/cxxrtl/runtime/README.txt new file mode 100644 index 00000000000..9ae7051cdcc --- /dev/null +++ b/yosys/backends/cxxrtl/runtime/README.txt @@ -0,0 +1,18 @@ +This directory contains the runtime components of CXXRTL and should be placed on the include path +when building the simulation using the `-I${YOSYS}/backends/cxxrtl/runtime` option. These components +are not used in the Yosys binary; they are only built as a part of the simulation binary. + +The interfaces declared in `cxxrtl_capi*.h` contain the stable C API. These interfaces will not be +changed in backward-incompatible ways unless no other option is available, and any breaking changes +will be made in a way that causes the downstream code to fail in a visible way. The ABI of these +interfaces is considered stable as well, and it will not use features complicating its use via +libraries such as libffi or ctypes. + +The implementations in `cxxrtl_capi*.cc` are considered private; they are still placed in the include +path to enable build-system-less builds (where the CXXRTL runtime component is included in the C++ +file of the simulation toplevel). + +The interfaces declared in `cxxrtl*.h` (without `capi`) are unstable and may change without notice. + +For clarity, all of the files in this directory and its subdirectories have unique names regardless +of the directory where they are placed. \ No newline at end of file diff --git a/yosys/backends/cxxrtl/cxxrtl_capi.cc b/yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc similarity index 58% rename from yosys/backends/cxxrtl/cxxrtl_capi.cc rename to yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc index 227173ba87f..34801c2d118 100644 --- a/yosys/backends/cxxrtl/cxxrtl_capi.cc +++ b/yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc @@ -16,10 +16,10 @@ * */ -// This file is a part of the CXXRTL C API. It should be used together with `cxxrtl_capi.h`. +// This file is a part of the CXXRTL C API. It should be used together with `cxxrtl/capi/cxxrtl_capi.h`. -#include -#include +#include +#include struct _cxxrtl_handle { std::unique_ptr module; @@ -35,19 +35,19 @@ cxxrtl_handle cxxrtl_create(cxxrtl_toplevel design) { return cxxrtl_create_at(design, ""); } -cxxrtl_handle cxxrtl_create_at(cxxrtl_toplevel design, const char *root) { - std::string path = root; - if (!path.empty()) { +cxxrtl_handle cxxrtl_create_at(cxxrtl_toplevel design, const char *top_path_) { + std::string top_path = top_path_; + if (!top_path.empty()) { // module::debug_info() accepts either an empty path, or a path ending in space to simplify // the logic in generated code. While this is sketchy at best to expose in the C++ API, this // would be a lot worse in the C API, so don't expose it here. - assert(path.back() != ' '); - path += ' '; + assert(top_path.back() != ' '); + top_path += ' '; } cxxrtl_handle handle = new _cxxrtl_handle; handle->module = std::move(design->module); - handle->module->debug_info(handle->objects, path); + handle->module->debug_info(&handle->objects, nullptr, top_path); delete design; return handle; } @@ -90,3 +90,46 @@ void cxxrtl_enum(cxxrtl_handle handle, void *data, void cxxrtl_outline_eval(cxxrtl_outline outline) { outline->eval(); } + +int cxxrtl_attr_type(cxxrtl_attr_set attrs_, const char *name) { + auto attrs = (cxxrtl::metadata_map*)attrs_; + if (!attrs->count(name)) + return CXXRTL_ATTR_NONE; + switch (attrs->at(name).value_type) { + case cxxrtl::metadata::UINT: + return CXXRTL_ATTR_UNSIGNED_INT; + case cxxrtl::metadata::SINT: + return CXXRTL_ATTR_SIGNED_INT; + case cxxrtl::metadata::STRING: + return CXXRTL_ATTR_STRING; + case cxxrtl::metadata::DOUBLE: + return CXXRTL_ATTR_DOUBLE; + default: + // Present unsupported attribute type the same way as no attribute at all. + return CXXRTL_ATTR_NONE; + } +} + +uint64_t cxxrtl_attr_get_unsigned_int(cxxrtl_attr_set attrs_, const char *name) { + auto &attrs = *(cxxrtl::metadata_map*)attrs_; + assert(attrs.count(name) && attrs.at(name).value_type == cxxrtl::metadata::UINT); + return attrs[name].as_uint(); +} + +int64_t cxxrtl_attr_get_signed_int(cxxrtl_attr_set attrs_, const char *name) { + auto &attrs = *(cxxrtl::metadata_map*)attrs_; + assert(attrs.count(name) && attrs.at(name).value_type == cxxrtl::metadata::SINT); + return attrs[name].as_sint(); +} + +const char *cxxrtl_attr_get_string(cxxrtl_attr_set attrs_, const char *name) { + auto &attrs = *(cxxrtl::metadata_map*)attrs_; + assert(attrs.count(name) && attrs.at(name).value_type == cxxrtl::metadata::STRING); + return attrs[name].as_string().c_str(); +} + +double cxxrtl_attr_get_double(cxxrtl_attr_set attrs_, const char *name) { + auto &attrs = *(cxxrtl::metadata_map*)attrs_; + assert(attrs.count(name) && attrs.at(name).value_type == cxxrtl::metadata::DOUBLE); + return attrs[name].as_double(); +} diff --git a/yosys/backends/cxxrtl/cxxrtl_capi.h b/yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h similarity index 80% rename from yosys/backends/cxxrtl/cxxrtl_capi.h rename to yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h index 2df2b7287f4..ae42733ad7f 100644 --- a/yosys/backends/cxxrtl/cxxrtl_capi.h +++ b/yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h @@ -55,8 +55,8 @@ cxxrtl_handle cxxrtl_create(cxxrtl_toplevel design); // Create a design handle at a given hierarchy position from a design toplevel. // // This operation is similar to `cxxrtl_create`, except the full hierarchical name of every object -// is prepended with `root`. -cxxrtl_handle cxxrtl_create_at(cxxrtl_toplevel design, const char *root); +// is prepended with `top_path`. +cxxrtl_handle cxxrtl_create_at(cxxrtl_toplevel design, const char *top_path); // Release all resources used by a design and its handle. void cxxrtl_destroy(cxxrtl_handle handle); @@ -240,6 +240,11 @@ struct cxxrtl_object { // through wires, the bits are double buffered. To avoid race conditions, user code should // always read from `curr` and write to `next`. The `curr` pointer is always valid; for objects // that cannot be modified, or cannot be modified in a race-free way, `next` is NULL. + // + // In case where `width == 0`, `curr` is a non-NULL pointer unique for the wire. That is, + // there is a 1-to-1 correspondence between simulation objects and `curr` pointers, regardless + // of whether they have storage or not. (Aliases' `curr` pointer equals that of some other + // simulated object.) uint32_t *curr; uint32_t *next; @@ -249,6 +254,15 @@ struct cxxrtl_object { // this field to NULL. struct _cxxrtl_outline *outline; + // Opaque reference to an attribute set. + // + // See the documentation of `cxxrtl_attr_set` for details. When creating a `cxxrtl_object`, set + // this field to NULL. + // + // The lifetime of the pointers returned by `cxxrtl_attr_*` family of functions is the same as + // the lifetime of this structure. + struct _cxxrtl_attr_set *attrs; + // More description fields may be added in the future, but the existing ones will never change. }; @@ -304,6 +318,62 @@ typedef struct _cxxrtl_outline *cxxrtl_outline; // re-evaluated, otherwise the bits read from that object are meaningless. void cxxrtl_outline_eval(cxxrtl_outline outline); +// Opaque reference to an attribute set. +// +// An attribute set is a map between attribute names (always strings) and values (which may have +// several different types). To find out the type of an attribute, use `cxxrtl_attr_type`, and +// to retrieve the value of an attribute, use `cxxrtl_attr_as_string`. +typedef struct _cxxrtl_attr_set *cxxrtl_attr_set; + +// Type of an attribute. +enum cxxrtl_attr_type { + // Attribute is not present. + CXXRTL_ATTR_NONE = 0, + + // Attribute has an unsigned integer value. + CXXRTL_ATTR_UNSIGNED_INT = 1, + + // Attribute has an unsigned integer value. + CXXRTL_ATTR_SIGNED_INT = 2, + + // Attribute has a string value. + CXXRTL_ATTR_STRING = 3, + + // Attribute has a double precision floating point value. + CXXRTL_ATTR_DOUBLE = 4, + + // More attribute types may be defined in the future, but the existing values will never change. +}; + +// Determine the presence and type of an attribute in an attribute set. +// +// This function returns one of the possible `cxxrtl_attr_type` values. +int cxxrtl_attr_type(cxxrtl_attr_set attrs, const char *name); + +// Retrieve an unsigned integer valued attribute from an attribute set. +// +// This function asserts that `cxxrtl_attr_type(attrs, name) == CXXRTL_ATTR_UNSIGNED_INT`. +// If assertions are disabled, returns 0 if the attribute is missing or has an incorrect type. +uint64_t cxxrtl_attr_get_unsigned_int(cxxrtl_attr_set attrs, const char *name); + +// Retrieve a signed integer valued attribute from an attribute set. +// +// This function asserts that `cxxrtl_attr_type(attrs, name) == CXXRTL_ATTR_SIGNED_INT`. +// If assertions are disabled, returns 0 if the attribute is missing or has an incorrect type. +int64_t cxxrtl_attr_get_signed_int(cxxrtl_attr_set attrs, const char *name); + +// Retrieve a string valued attribute from an attribute set. The returned string is zero-terminated. +// +// This function asserts that `cxxrtl_attr_type(attrs, name) == CXXRTL_ATTR_STRING`. If assertions +// are disabled, returns NULL if the attribute is missing or has an incorrect type. +const char *cxxrtl_attr_get_string(cxxrtl_attr_set attrs, const char *name); + +// Retrieve a double precision floating point valued attribute from an attribute set. +// +// This function asserts that `cxxrtl_attr_type(attrs, name) == CXXRTL_ATTR_DOUBLE`. If assertions +// are disabled, returns NULL if the attribute is missing or has an incorrect type. +double cxxrtl_attr_get_double(cxxrtl_attr_set attrs, const char *name); + #ifdef __cplusplus } #endif diff --git a/yosys/backends/cxxrtl/cxxrtl_vcd_capi.cc b/yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc similarity index 95% rename from yosys/backends/cxxrtl/cxxrtl_vcd_capi.cc rename to yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc index 52a9198b869..0949a9363eb 100644 --- a/yosys/backends/cxxrtl/cxxrtl_vcd_capi.cc +++ b/yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc @@ -16,10 +16,10 @@ * */ -// This file is a part of the CXXRTL C API. It should be used together with `cxxrtl_vcd_capi.h`. +// This file is a part of the CXXRTL C API. It should be used together with `cxxrtl/capi/cxxrtl_capi_vcd.h`. -#include -#include +#include +#include extern const cxxrtl::debug_items &cxxrtl_debug_items_from_handle(cxxrtl_handle handle); diff --git a/yosys/backends/cxxrtl/cxxrtl_vcd_capi.h b/yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h similarity index 97% rename from yosys/backends/cxxrtl/cxxrtl_vcd_capi.h rename to yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h index d55afe2230e..844f3cb8c83 100644 --- a/yosys/backends/cxxrtl/cxxrtl_vcd_capi.h +++ b/yosys/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h @@ -16,8 +16,8 @@ * */ -#ifndef CXXRTL_VCD_CAPI_H -#define CXXRTL_VCD_CAPI_H +#ifndef CXXRTL_CAPI_VCD_H +#define CXXRTL_CAPI_VCD_H // This file is a part of the CXXRTL C API. It should be used together with `cxxrtl_vcd_capi.cc`. // @@ -27,7 +27,7 @@ #include #include -#include +#include #ifdef __cplusplus extern "C" { diff --git a/yosys/backends/cxxrtl/cxxrtl.h b/yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h similarity index 71% rename from yosys/backends/cxxrtl/cxxrtl.h rename to yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h index 5d0596f0d61..d1d6bd8dc68 100644 --- a/yosys/backends/cxxrtl/cxxrtl.h +++ b/yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h @@ -28,6 +28,7 @@ #include #include +#include #include #include #include @@ -38,8 +39,10 @@ #include #include #include +#include -#include +// `cxxrtl::debug_item` has to inherit from `cxxrtl_object` to satisfy strict aliasing requirements. +#include #ifndef __has_attribute # define __has_attribute(x) 0 @@ -144,7 +147,7 @@ struct value : public expr_base> { // These functions ensure that a conversion is never out of range, and should be always used, if at all // possible, instead of direct manipulation of the `data` member. For very large types, .slice() and // .concat() can be used to split them into more manageable parts. - template + template::value, int>::type = 0> CXXRTL_ALWAYS_INLINE IntegerT get() const { static_assert(std::numeric_limits::is_integer && !std::numeric_limits::is_signed, @@ -157,15 +160,32 @@ struct value : public expr_base> { return result; } - template + template::value, int>::type = 0> CXXRTL_ALWAYS_INLINE - void set(IntegerT other) { + IntegerT get() const { + auto unsigned_result = get::type>(); + IntegerT result; + memcpy(&result, &unsigned_result, sizeof(IntegerT)); + return result; + } + + template::value, int>::type = 0> + CXXRTL_ALWAYS_INLINE + void set(IntegerT value) { static_assert(std::numeric_limits::is_integer && !std::numeric_limits::is_signed, "set() requires T to be an unsigned integral type"); static_assert(std::numeric_limits::digits >= Bits, "set() requires the value to be at least as wide as T is"); for (size_t n = 0; n < chunks; n++) - data[n] = (other >> (n * chunk::bits)) & chunk::mask; + data[n] = (value >> (n * chunk::bits)) & chunk::mask; + } + + template::value, int>::type = 0> + CXXRTL_ALWAYS_INLINE + void set(IntegerT value) { + typename std::make_unsigned::type unsigned_value; + memcpy(&unsigned_value, &value, sizeof(IntegerT)); + set(unsigned_value); } // Operations with compile-time parameters. @@ -418,6 +438,7 @@ struct value : public expr_base> { carry = (shift_bits == 0) ? 0 : data[n] >> (chunk::bits - shift_bits); } + result.data[result.chunks - 1] &= result.msb_mask; return result; } @@ -428,12 +449,12 @@ struct value : public expr_base> { // Detect shifts definitely large than Bits early. for (size_t n = 1; n < amount.chunks; n++) if (amount.data[n] != 0) - return {}; + return (Signed && is_neg()) ? value().bit_not() : value(); // Past this point we can use the least significant chunk as the shift size. size_t shift_chunks = amount.data[0] / chunk::bits; size_t shift_bits = amount.data[0] % chunk::bits; if (shift_chunks >= chunks) - return {}; + return (Signed && is_neg()) ? value().bit_not() : value(); value result; chunk::type carry = 0; for (size_t n = 0; n < chunks - shift_chunks; n++) { @@ -442,12 +463,13 @@ struct value : public expr_base> { : data[chunks - 1 - n] << (chunk::bits - shift_bits); } if (Signed && is_neg()) { - size_t top_chunk_idx = (Bits - shift_bits) / chunk::bits; - size_t top_chunk_bits = (Bits - shift_bits) % chunk::bits; + size_t top_chunk_idx = amount.data[0] > Bits ? 0 : (Bits - amount.data[0]) / chunk::bits; + size_t top_chunk_bits = amount.data[0] > Bits ? 0 : (Bits - amount.data[0]) % chunk::bits; for (size_t n = top_chunk_idx + 1; n < chunks; n++) result.data[n] = chunk::mask; - if (shift_bits != 0) + if (amount.data[0] != 0) result.data[top_chunk_idx] |= chunk::mask << top_chunk_bits; + result.data[result.chunks - 1] &= result.msb_mask; } return result; } @@ -472,6 +494,7 @@ struct value : public expr_base> { carry = (shift_bits == 0) ? 0 : data[result.chunks + shift_chunks - 1 - n] << (chunk::bits - shift_bits); } + result.data[result.chunks - 1] &= result.msb_mask; return result; } @@ -507,12 +530,14 @@ struct value : public expr_base> { size_t count = 0; for (size_t n = 0; n < chunks; n++) { chunk::type x = data[chunks - 1 - n]; - if (x == 0) { - count += (n == 0 ? Bits % chunk::bits : chunk::bits); - } else { - // This loop implements the find first set idiom as recognized by LLVM. - for (; x != 0; count++) + // First add to `count` as if the chunk is zero + constexpr size_t msb_chunk_bits = Bits % chunk::bits != 0 ? Bits % chunk::bits : chunk::bits; + count += (n == 0 ? msb_chunk_bits : chunk::bits); + // If the chunk isn't zero, correct the `count` value and return + if (x != 0) { + for (; x != 0; count--) x >>= 1; + break; } } return count; @@ -541,7 +566,7 @@ struct value : public expr_base> { } value neg() const { - return value { 0u }.sub(*this); + return value().sub(*this); } bool ucmp(const value &other) const { @@ -575,6 +600,38 @@ struct value : public expr_base> { result.data[result.chunks - 1] &= result.msb_mask; return result; } + + std::pair, value> udivmod(value divisor) const { + value quotient; + value dividend = *this; + if (dividend.ucmp(divisor)) + return {/*quotient=*/value{0u}, /*remainder=*/dividend}; + int64_t divisor_shift = divisor.ctlz() - dividend.ctlz(); + assert(divisor_shift >= 0); + divisor = divisor.shl(value{(chunk::type) divisor_shift}); + for (size_t step = 0; step <= divisor_shift; step++) { + quotient = quotient.shl(value{1u}); + if (!dividend.ucmp(divisor)) { + dividend = dividend.sub(divisor); + quotient.set_bit(0, true); + } + divisor = divisor.shr(value{1u}); + } + return {quotient, /*remainder=*/dividend}; + } + + std::pair, value> sdivmod(const value &other) const { + value quotient; + value remainder; + value dividend = sext(); + value divisor = other.template sext(); + if (is_neg()) dividend = dividend.neg(); + if (other.is_neg()) divisor = divisor.neg(); + std::tie(quotient, remainder) = dividend.udivmod(divisor); + if (is_neg() != other.is_neg()) quotient = quotient.neg(); + if (is_neg()) remainder = remainder.neg(); + return {quotient.template trunc(), remainder.template trunc()}; + } }; // Expression template for a slice, usable as lvalue or rvalue, and composable with other expression templates here. @@ -741,8 +798,13 @@ struct wire { next.template set(other); } - bool commit() { + // This method intentionally takes a mandatory argument (to make it more difficult to misuse in + // black box implementations, leading to missed observer events). It is generic over its argument + // to allow the `on_update` method to be non-virtual. + template + bool commit(ObserverT &observer) { if (curr != next) { + observer.on_update(curr.chunks, curr.data, next.data); curr = next; return true; } @@ -816,12 +878,17 @@ struct memory { write { index, val, mask, priority }); } - bool commit() { + // See the note for `wire::commit()`. + template + bool commit(ObserverT &observer) { bool changed = false; for (const write &entry : write_queue) { value elem = data[entry.index]; elem = elem.update(entry.val, entry.mask); - changed |= (data[entry.index] != elem); + if (data[entry.index] != elem) { + observer.on_update(value::chunks, data[0].data, elem.data, entry.index); + changed |= true; + } data[entry.index] = elem; } write_queue.clear(); @@ -840,14 +907,14 @@ struct metadata { // In debug mode, using the wrong .as_*() function will assert. // In release mode, using the wrong .as_*() function will safely return a default value. - const unsigned uint_value = 0; - const signed sint_value = 0; + const uint64_t uint_value = 0; + const int64_t sint_value = 0; const std::string string_value = ""; const double double_value = 0.0; metadata() : value_type(MISSING) {} - metadata(unsigned value) : value_type(UINT), uint_value(value) {} - metadata(signed value) : value_type(SINT), sint_value(value) {} + metadata(uint64_t value) : value_type(UINT), uint_value(value) {} + metadata(int64_t value) : value_type(SINT), sint_value(value) {} metadata(const std::string &value) : value_type(STRING), string_value(value) {} metadata(const char *value) : value_type(STRING), string_value(value) {} metadata(double value) : value_type(DOUBLE), double_value(value) {} @@ -855,12 +922,12 @@ struct metadata { metadata(const metadata &) = default; metadata &operator=(const metadata &) = delete; - unsigned as_uint() const { + uint64_t as_uint() const { assert(value_type == UINT); return uint_value; } - signed as_sint() const { + int64_t as_sint() const { assert(value_type == SINT); return sint_value; } @@ -874,10 +941,322 @@ struct metadata { assert(value_type == DOUBLE); return double_value; } + + // Internal CXXRTL use only. + static std::map deserialize(const char *ptr) { + std::map result; + std::string name; + // Grammar: + // string ::= [^\0]+ \0 + // metadata ::= [uid] .{8} | s + // map ::= ( )* \0 + for (;;) { + if (*ptr) { + name += *ptr++; + } else if (!name.empty()) { + ptr++; + auto get_u64 = [&]() { + uint64_t result = 0; + for (size_t count = 0; count < 8; count++) + result = (result << 8) | *ptr++; + return result; + }; + char type = *ptr++; + if (type == 'u') { + uint64_t value = get_u64(); + result.emplace(name, value); + } else if (type == 'i') { + int64_t value = (int64_t)get_u64(); + result.emplace(name, value); + } else if (type == 'd') { + double dvalue; + uint64_t uvalue = get_u64(); + static_assert(sizeof(dvalue) == sizeof(uvalue), "double must be 64 bits in size"); + memcpy(&dvalue, &uvalue, sizeof(dvalue)); + result.emplace(name, dvalue); + } else if (type == 's') { + std::string value; + while (*ptr) + value += *ptr++; + ptr++; + result.emplace(name, value); + } else { + assert(false && "Unknown type specifier"); + return result; + } + name.clear(); + } else { + return result; + } + } + } }; typedef std::map metadata_map; +struct performer; + +// An object that allows formatting a string lazily. +struct lazy_fmt { + virtual std::string operator() () const = 0; +}; + +// Flavor of a `$check` cell. +enum class flavor { + // Corresponds to a `$assert` cell in other flows, and a Verilog `assert ()` statement. + ASSERT, + // Corresponds to a `$assume` cell in other flows, and a Verilog `assume ()` statement. + ASSUME, + // Corresponds to a `$live` cell in other flows, and a Verilog `assert (eventually)` statement. + ASSERT_EVENTUALLY, + // Corresponds to a `$fair` cell in other flows, and a Verilog `assume (eventually)` statement. + ASSUME_EVENTUALLY, + // Corresponds to a `$cover` cell in other flows, and a Verilog `cover ()` statement. + COVER, +}; + +// An object that can be passed to a `eval()` method in order to act on side effects. The default behavior implemented +// below is the same as the behavior of `eval(nullptr)`, except that `-print-output` option of `write_cxxrtl` is not +// taken into account. +struct performer { + // Called by generated formatting code to evaluate a Verilog `$time` expression. + virtual int64_t vlog_time() const { return 0; } + + // Called by generated formatting code to evaluate a Verilog `$realtime` expression. + virtual double vlog_realtime() const { return vlog_time(); } + + // Called when a `$print` cell is triggered. + virtual void on_print(const lazy_fmt &formatter, const metadata_map &attributes) { + std::cout << formatter(); + } + + // Called when a `$check` cell is triggered. + virtual void on_check(flavor type, bool condition, const lazy_fmt &formatter, const metadata_map &attributes) { + if (type == flavor::ASSERT || type == flavor::ASSUME) { + if (!condition) + std::cerr << formatter(); + CXXRTL_ASSERT(condition && "Check failed"); + } + } +}; + +// An object that can be passed to a `commit()` method in order to produce a replay log of every state change in +// the simulation. Unlike `performer`, `observer` does not use virtual calls as their overhead is unacceptable, and +// a comparatively heavyweight template-based solution is justified. +struct observer { + // Called when the `commit()` method for a wire is about to update the `chunks` chunks at `base` with `chunks` chunks + // at `value` that have a different bit pattern. It is guaranteed that `chunks` is equal to the wire chunk count and + // `base` points to the first chunk. + void on_update(size_t chunks, const chunk_t *base, const chunk_t *value) {} + + // Called when the `commit()` method for a memory is about to update the `chunks` chunks at `&base[chunks * index]` + // with `chunks` chunks at `value` that have a different bit pattern. It is guaranteed that `chunks` is equal to + // the memory element chunk count and `base` points to the first chunk of the first element of the memory. + void on_update(size_t chunks, const chunk_t *base, const chunk_t *value, size_t index) {} +}; + +// Must be kept in sync with `struct FmtPart` in kernel/fmt.h! +// Default member initializers would make this a non-aggregate-type in C++11, so they are commented out. +struct fmt_part { + enum { + LITERAL = 0, + INTEGER = 1, + STRING = 2, + UNICHAR = 3, + VLOG_TIME = 4, + } type; + + // LITERAL type + std::string str; + + // INTEGER/STRING/UNICHAR types + // + value val; + + // INTEGER/STRING/VLOG_TIME types + enum { + RIGHT = 0, + LEFT = 1, + NUMERIC = 2, + } justify; // = RIGHT; + char padding; // = '\0'; + size_t width; // = 0; + + // INTEGER type + unsigned base; // = 10; + bool signed_; // = false; + enum { + MINUS = 0, + PLUS_MINUS = 1, + SPACE_MINUS = 2, + } sign; // = MINUS; + bool hex_upper; // = false; + bool show_base; // = false; + bool group; // = false; + + // VLOG_TIME type + bool realtime; // = false; + // + int64_t itime; + // + double ftime; + + // Format the part as a string. + // + // The values of `vlog_time` and `vlog_realtime` are used for Verilog `$time` and `$realtime`, correspondingly. + template + std::string render(value val, performer *performer = nullptr) + { + // We might want to replace some of these bit() calls with direct + // chunk access if it turns out to be slow enough to matter. + std::string buf; + std::string prefix; + switch (type) { + case LITERAL: + return str; + + case STRING: { + buf.reserve(Bits/8); + for (int i = 0; i < Bits; i += 8) { + char ch = 0; + for (int j = 0; j < 8 && i + j < int(Bits); j++) + if (val.bit(i + j)) + ch |= 1 << j; + if (ch != 0) + buf.append({ch}); + } + std::reverse(buf.begin(), buf.end()); + break; + } + + case UNICHAR: { + uint32_t codepoint = val.template get(); + if (codepoint >= 0x10000) + buf += (char)(0xf0 | (codepoint >> 18)); + else if (codepoint >= 0x800) + buf += (char)(0xe0 | (codepoint >> 12)); + else if (codepoint >= 0x80) + buf += (char)(0xc0 | (codepoint >> 6)); + else + buf += (char)codepoint; + if (codepoint >= 0x10000) + buf += (char)(0x80 | ((codepoint >> 12) & 0x3f)); + if (codepoint >= 0x800) + buf += (char)(0x80 | ((codepoint >> 6) & 0x3f)); + if (codepoint >= 0x80) + buf += (char)(0x80 | ((codepoint >> 0) & 0x3f)); + break; + } + + case INTEGER: { + bool negative = signed_ && val.is_neg(); + if (negative) { + prefix = "-"; + val = val.neg(); + } else { + switch (sign) { + case MINUS: break; + case PLUS_MINUS: prefix = "+"; break; + case SPACE_MINUS: prefix = " "; break; + } + } + + size_t val_width = Bits; + if (base != 10) { + val_width = 1; + for (size_t index = 0; index < Bits; index++) + if (val.bit(index)) + val_width = index + 1; + } + + if (base == 2) { + if (show_base) + prefix += "0b"; + for (size_t index = 0; index < val_width; index++) { + if (group && index > 0 && index % 4 == 0) + buf += '_'; + buf += (val.bit(index) ? '1' : '0'); + } + } else if (base == 8 || base == 16) { + if (show_base) + prefix += (base == 16) ? (hex_upper ? "0X" : "0x") : "0o"; + size_t step = (base == 16) ? 4 : 3; + for (size_t index = 0; index < val_width; index += step) { + if (group && index > 0 && index % (4 * step) == 0) + buf += '_'; + uint8_t value = val.bit(index) | (val.bit(index + 1) << 1) | (val.bit(index + 2) << 2); + if (step == 4) + value |= val.bit(index + 3) << 3; + buf += (hex_upper ? "0123456789ABCDEF" : "0123456789abcdef")[value]; + } + } else if (base == 10) { + if (show_base) + prefix += "0d"; + if (val.is_zero()) + buf += '0'; + value<(Bits > 4 ? Bits : 4)> xval = val.template zext<(Bits > 4 ? Bits : 4)>(); + size_t index = 0; + while (!xval.is_zero()) { + if (group && index > 0 && index % 3 == 0) + buf += '_'; + value<(Bits > 4 ? Bits : 4)> quotient, remainder; + if (Bits >= 4) + std::tie(quotient, remainder) = xval.udivmod(value<(Bits > 4 ? Bits : 4)>{10u}); + else + std::tie(quotient, remainder) = std::make_pair(value<(Bits > 4 ? Bits : 4)>{0u}, xval); + buf += '0' + remainder.template trunc<4>().template get(); + xval = quotient; + index++; + } + } else assert(false && "Unsupported base for fmt_part"); + if (justify == NUMERIC && group && padding == '0') { + int group_size = base == 10 ? 3 : 4; + while (prefix.size() + buf.size() < width) { + if (buf.size() % (group_size + 1) == group_size) + buf += '_'; + buf += '0'; + } + } + std::reverse(buf.begin(), buf.end()); + break; + } + + case VLOG_TIME: { + if (performer) { + buf = realtime ? std::to_string(performer->vlog_realtime()) : std::to_string(performer->vlog_time()); + } else { + buf = realtime ? std::to_string(0.0) : std::to_string(0); + } + break; + } + } + + std::string str; + assert(width == 0 || padding != '\0'); + if (prefix.size() + buf.size() < width) { + size_t pad_width = width - prefix.size() - buf.size(); + switch (justify) { + case LEFT: + str += prefix; + str += buf; + str += std::string(pad_width, padding); + break; + case RIGHT: + str += std::string(pad_width, padding); + str += prefix; + str += buf; + break; + case NUMERIC: + str += prefix; + str += std::string(pad_width, padding); + str += buf; + break; + } + } else { + str += prefix; + str += buf; + } + return str; + } +}; + // Tag class to disambiguate values/wires and their aliases. struct debug_alias {}; @@ -889,6 +1268,9 @@ using debug_outline = ::_cxxrtl_outline; // // To avoid violating strict aliasing rules, this structure has to be a subclass of the one used // in the C API, or it would not be possible to cast between the pointers to these. +// +// The `attrs` member cannot be owned by this structure because a `cxxrtl_object` can be created +// from external C code. struct debug_item : ::cxxrtl_object { // Object types. enum : uint32_t { @@ -913,7 +1295,7 @@ struct debug_item : ::cxxrtl_object { template debug_item(value &item, size_t lsb_offset = 0, uint32_t flags_ = 0) { - static_assert(sizeof(item) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || sizeof(item) == value::chunks * sizeof(chunk_t), "value is not compatible with C layout"); type = VALUE; flags = flags_; @@ -924,11 +1306,12 @@ struct debug_item : ::cxxrtl_object { curr = item.data; next = item.data; outline = nullptr; + attrs = nullptr; } template debug_item(const value &item, size_t lsb_offset = 0) { - static_assert(sizeof(item) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || sizeof(item) == value::chunks * sizeof(chunk_t), "value is not compatible with C layout"); type = VALUE; flags = DRIVEN_COMB; @@ -939,12 +1322,14 @@ struct debug_item : ::cxxrtl_object { curr = const_cast(item.data); next = nullptr; outline = nullptr; + attrs = nullptr; } template debug_item(wire &item, size_t lsb_offset = 0, uint32_t flags_ = 0) { - static_assert(sizeof(item.curr) == value::chunks * sizeof(chunk_t) && - sizeof(item.next) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || + (sizeof(item.curr) == value::chunks * sizeof(chunk_t) && + sizeof(item.next) == value::chunks * sizeof(chunk_t)), "wire is not compatible with C layout"); type = WIRE; flags = flags_; @@ -955,11 +1340,12 @@ struct debug_item : ::cxxrtl_object { curr = item.curr.data; next = item.next.data; outline = nullptr; + attrs = nullptr; } template debug_item(memory &item, size_t zero_offset = 0) { - static_assert(sizeof(item.data[0]) == value::chunks * sizeof(chunk_t), + static_assert(Width == 0 || sizeof(item.data[0]) == value::chunks * sizeof(chunk_t), "memory is not compatible with C layout"); type = MEMORY; flags = 0; @@ -970,11 +1356,12 @@ struct debug_item : ::cxxrtl_object { curr = item.data ? item.data[0].data : nullptr; next = nullptr; outline = nullptr; + attrs = nullptr; } template debug_item(debug_alias, const value &item, size_t lsb_offset = 0) { - static_assert(sizeof(item) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || sizeof(item) == value::chunks * sizeof(chunk_t), "value is not compatible with C layout"); type = ALIAS; flags = DRIVEN_COMB; @@ -985,12 +1372,14 @@ struct debug_item : ::cxxrtl_object { curr = const_cast(item.data); next = nullptr; outline = nullptr; + attrs = nullptr; } template debug_item(debug_alias, const wire &item, size_t lsb_offset = 0) { - static_assert(sizeof(item.curr) == value::chunks * sizeof(chunk_t) && - sizeof(item.next) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || + (sizeof(item.curr) == value::chunks * sizeof(chunk_t) && + sizeof(item.next) == value::chunks * sizeof(chunk_t)), "wire is not compatible with C layout"); type = ALIAS; flags = DRIVEN_COMB; @@ -1001,11 +1390,12 @@ struct debug_item : ::cxxrtl_object { curr = const_cast(item.curr.data); next = nullptr; outline = nullptr; + attrs = nullptr; } template debug_item(debug_outline &group, const value &item, size_t lsb_offset = 0) { - static_assert(sizeof(item) == value::chunks * sizeof(chunk_t), + static_assert(Bits == 0 || sizeof(item) == value::chunks * sizeof(chunk_t), "value is not compatible with C layout"); type = OUTLINE; flags = DRIVEN_COMB; @@ -1016,6 +1406,7 @@ struct debug_item : ::cxxrtl_object { curr = const_cast(item.data); next = nullptr; outline = &group; + attrs = nullptr; } template @@ -1036,11 +1427,38 @@ struct debug_item : ::cxxrtl_object { }; static_assert(std::is_standard_layout::value, "debug_item is not compatible with C layout"); +} // namespace cxxrtl + +typedef struct _cxxrtl_attr_set { + cxxrtl::metadata_map map; +} *cxxrtl_attr_set; + +namespace cxxrtl { + +// Representation of an attribute set in the C++ interface. +using debug_attrs = ::_cxxrtl_attr_set; + struct debug_items { + // Debug items may be composed of multiple parts, but the attributes are shared between all of them. + // There are additional invariants, not all of which are not checked by this code: + // - Memories and non-memories cannot be mixed together. + // - Bit indices (considering `lsb_at` and `width`) must not overlap. + // - Row indices (considering `depth` and `zero_at`) must be the same. + // - The `INPUT` and `OUTPUT` flags must be the same for all parts. + // Other than that, the parts can be quite different, e.g. it is OK to mix a value, a wire, an alias, + // and an outline, in the debug information for a single name in four parts. std::map> table; - - void add(const std::string &name, debug_item &&item) { - std::vector &parts = table[name]; + std::map> attrs_table; + + void add(const std::string &path, debug_item &&item, metadata_map &&item_attrs = {}) { + assert((path.empty() || path[path.size() - 1] != ' ') && path.find(" ") == std::string::npos); + std::unique_ptr &attrs = attrs_table[path]; + if (attrs.get() == nullptr) + attrs = std::unique_ptr(new debug_attrs); + for (auto attr : item_attrs) + attrs->map.insert(attr); + item.attrs = attrs.get(); + std::vector &parts = table[path]; parts.emplace_back(item); std::sort(parts.begin(), parts.end(), [](const debug_item &a, const debug_item &b) { @@ -1048,31 +1466,82 @@ struct debug_items { }); } - size_t count(const std::string &name) const { - if (table.count(name) == 0) + // This overload exists to reduce excessive stack slot allocation in `CXXRTL_EXTREMELY_COLD void debug_info()`. + template + void add(const std::string &base_path, const char *path, const char *serialized_item_attrs, T&&... args) { + add(base_path + path, debug_item(std::forward(args)...), metadata::deserialize(serialized_item_attrs)); + } + + size_t count(const std::string &path) const { + if (table.count(path) == 0) return 0; - return table.at(name).size(); + return table.at(path).size(); } - const std::vector &parts_at(const std::string &name) const { - return table.at(name); + const std::vector &at(const std::string &path) const { + return table.at(path); } - const debug_item &at(const std::string &name) const { - const std::vector &parts = table.at(name); + // Like `at()`, but operates only on single-part debug items. + const debug_item &operator [](const std::string &path) const { + const std::vector &parts = table.at(path); assert(parts.size() == 1); return parts.at(0); } - const debug_item &operator [](const std::string &name) const { - return at(name); + bool is_memory(const std::string &path) const { + return at(path).at(0).type == debug_item::MEMORY; + } + + const metadata_map &attrs(const std::string &path) const { + return attrs_table.at(path)->map; } }; -// Tag class to disambiguate the default constructor used by the toplevel module that calls reset(), +// Only `module` scopes are defined. The type is implicit, since Yosys does not currently support +// any other scope types. +struct debug_scope { + std::string module_name; + std::unique_ptr module_attrs; + std::unique_ptr cell_attrs; +}; + +struct debug_scopes { + std::map table; + + void add(const std::string &path, const std::string &module_name, metadata_map &&module_attrs, metadata_map &&cell_attrs) { + assert((path.empty() || path[path.size() - 1] != ' ') && path.find(" ") == std::string::npos); + assert(table.count(path) == 0); + debug_scope &scope = table[path]; + scope.module_name = module_name; + scope.module_attrs = std::unique_ptr(new debug_attrs { module_attrs }); + scope.cell_attrs = std::unique_ptr(new debug_attrs { cell_attrs }); + } + + // This overload exists to reduce excessive stack slot allocation in `CXXRTL_EXTREMELY_COLD void debug_info()`. + void add(const std::string &base_path, const char *path, const char *module_name, const char *serialized_module_attrs, const char *serialized_cell_attrs) { + add(base_path + path, module_name, metadata::deserialize(serialized_module_attrs), metadata::deserialize(serialized_cell_attrs)); + } + + size_t contains(const std::string &path) const { + return table.count(path); + } + + const debug_scope &operator [](const std::string &path) const { + return table.at(path); + } +}; + +// Tag class to disambiguate the default constructor used by the toplevel module that calls `reset()`, // and the constructor of interior modules that should not call it. struct interior {}; +// The core API of the `module` class consists of only four virtual methods: `reset()`, `eval()`, +// `commit`, and `debug_info()`. (The virtual destructor is made necessary by C++.) Every other method +// is a convenience method, and exists solely to simplify some common pattern for C++ API consumers. +// No behavior may be added to such convenience methods that other parts of CXXRTL can rely on, since +// there is no guarantee they will be called (and, for example, other CXXRTL libraries will often call +// the `eval()` and `commit()` directly instead, as well as being exposed in the C API). struct module { module() {} virtual ~module() {} @@ -1088,21 +1557,35 @@ struct module { virtual void reset() = 0; - virtual bool eval() = 0; + // The `eval()` callback object, `performer`, is included in the virtual call signature since + // the generated code has broadly identical performance properties. + virtual bool eval(performer *performer = nullptr) = 0; + + // The `commit()` callback object, `observer`, is not included in the virtual call signature since + // the generated code is severely pessimized by it. To observe commit events, the non-virtual + // `commit(observer *)` overload must be called directly on a `module` subclass. virtual bool commit() = 0; - size_t step() { + size_t step(performer *performer = nullptr) { size_t deltas = 0; bool converged = false; do { - converged = eval(); + converged = eval(performer); deltas++; } while (commit() && !converged); return deltas; } - virtual void debug_info(debug_items &items, std::string path = "") { - (void)items, (void)path; + virtual void debug_info(debug_items *items, debug_scopes *scopes, std::string path, metadata_map &&cell_attrs = {}) { + (void)items, (void)scopes, (void)path, (void)cell_attrs; + } + + // Compatibility method. +#if __has_attribute(deprecated) + __attribute__((deprecated("Use `debug_info(&items, /*scopes=*/nullptr, path);` instead."))) +#endif + void debug_info(debug_items &items, std::string path) { + debug_info(&items, /*scopes=*/nullptr, path); } }; @@ -1520,35 +2003,23 @@ CXXRTL_ALWAYS_INLINE std::pair, value> divmod_uu(const value &a, const value &b) { constexpr size_t Bits = max(BitsY, max(BitsA, BitsB)); value quotient; + value remainder; value dividend = a.template zext(); value divisor = b.template zext(); - if (dividend.ucmp(divisor)) - return {/*quotient=*/value { 0u }, /*remainder=*/dividend.template trunc()}; - uint32_t divisor_shift = dividend.ctlz() - divisor.ctlz(); - divisor = divisor.shl(value<32> { divisor_shift }); - for (size_t step = 0; step <= divisor_shift; step++) { - quotient = quotient.shl(value<1> { 1u }); - if (!dividend.ucmp(divisor)) { - dividend = dividend.sub(divisor); - quotient.set_bit(0, true); - } - divisor = divisor.shr(value<1> { 1u }); - } - return {quotient.template trunc(), /*remainder=*/dividend.template trunc()}; + std::tie(quotient, remainder) = dividend.udivmod(divisor); + return {quotient.template trunc(), remainder.template trunc()}; } template CXXRTL_ALWAYS_INLINE std::pair, value> divmod_ss(const value &a, const value &b) { - value ua = a.template sext(); - value ub = b.template sext(); - if (ua.is_neg()) ua = ua.neg(); - if (ub.is_neg()) ub = ub.neg(); - value y, r; - std::tie(y, r) = divmod_uu(ua, ub); - if (a.is_neg() != b.is_neg()) y = y.neg(); - if (a.is_neg()) r = r.neg(); - return {y, r}; + constexpr size_t Bits = max(BitsY, max(BitsA, BitsB)); + value quotient; + value remainder; + value dividend = a.template sext(); + value divisor = b.template sext(); + std::tie(quotient, remainder) = dividend.sdivmod(divisor); + return {quotient.template trunc(), remainder.template trunc()}; } template diff --git a/yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h b/yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h new file mode 100644 index 00000000000..9aa3e105d2c --- /dev/null +++ b/yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h @@ -0,0 +1,873 @@ +/* + * yosys -- Yosys Open SYnthesis Suite + * + * Copyright (C) 2023 Catherine + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +#ifndef CXXRTL_REPLAY_H +#define CXXRTL_REPLAY_H + +#if !defined(WIN32) +#include +#define O_BINARY 0 +#else +#include +#endif + +#include +#include +#include +#include +#include + +#include +#include + +// Theory of operation +// =================== +// +// Log format +// ---------- +// +// The replay log is a simple data format based on a sequence of 32-bit words. The following BNF-like grammar describes +// enough detail to understand the overall structure of the log data and be able to read hex dumps. For a greater +// degree of detail see the source code. The format is considered fully internal to CXXRTL and is subject to change +// without notice. +// +// ::= + +// ::= 0x52585843 0x00004c54 +// ::= * +// ::= ( | )* +// ::= 0xc0000000 ... +// ::= 0xc0000001 ... +// ::= 0x0??????? + | 0x1??????? + | 0x2??????? | 0x3??????? +// , ::= 0x???????? +// ::= | | | +// ::= 0xc0000010 +// ::= 0xc0000011 +// ::= 0xc0000012 +// ::= 0xc0000013 +// ::= 0xFFFFFFFF +// +// The replay log contains sample data, however, it does not cover the entire design. Rather, it only contains sample +// data for the subset of debug items containing _design state_: inputs and registers/latches. This keeps its size to +// a minimum, and recording speed to a maximum. The player samples any missing data by setting the design state items +// to the same values they had during recording, and re-evaluating the design. +// +// Packets for diagnostics (prints, breakpoints, assertions, and assumptions) are used solely for diagnostics emitted +// by the C++ testbench driving the simulation, and are not recorded while evaluating the design. (Diagnostics emitted +// by the RTL can be reconstructed at replay time, so recording them would be a waste of space.) +// +// Limits +// ------ +// +// The log may contain: +// +// * Up to 2**28-1 debug items containing design state. +// * Up to 2**32 chunks per debug item. +// * Up to 2**32 rows per memory. +// * Up to 2**32 samples. +// +// Of these limits, the last two are most likely to be eventually exceeded by practical recordings. However, other +// performance considerations will likely limit the size of such practical recordings first, so the log data format +// will undergo a breaking change at that point. +// +// Operations +// ---------- +// +// As suggested by the name "replay log", this format is designed for recording (writing) once and playing (reading) +// many times afterwards, such that reading the format can be done linearly and quickly. The log format is designed to +// support three primary read operations: +// +// 1. Initialization +// 2. Rewinding (to time T) +// 3. Replaying (for N samples) +// +// During initialization, the player establishes the mapping between debug item names and their 28-bit identifiers in +// the log. It is done once. +// +// During rewinding, the player begins reading at the latest non-incremental sample that still lies before the requested +// sample time. It continues reading incremental samples after that point until it reaches the requested sample time. +// This process is very cheap as the design is not evaluated; it is essentially a (convoluted) memory copy operation. +// +// During replaying, the player evaluates the design at the current time, which causes all debug items to assume +// the values they had before recording. This process is expensive. Once done, the player advances to the next state +// by reading the next (complete or incremental) sample, as above. Since a range of samples is replayed, this process +// is repeated several times in a row. +// +// In principle, when replaying, the player could only read the state of the inputs and the time delta and use a normal +// eval/commit loop to progress the simulation, which is fully deterministic so its calculated design state should be +// exactly the same as the recorded design state. In practice, it is both faster and more reliable (in presence of e.g. +// user-defined black boxes) to read the recorded values instead of calculating them. +// +// Note: The operations described above are conceptual and do not correspond exactly to methods on `cxxrtl::player`. +// The `cxxrtl::player::replay()` method does not evaluate the design. This is so that delta cycles could be ignored +// if they are not of interest while replaying. + +namespace cxxrtl { + +// A single diagnostic that can be manipulated as an object (including being written to and read from a file). +// This differs from the base CXXRTL interface, where diagnostics can only be emitted via a procedure call, and are +// not materialized as objects. +struct diagnostic { + // The `BREAK` flavor corresponds to a breakpoint, which is a diagnostic type that can currently only be emitted + // by the C++ testbench code. + enum flavor { + BREAK = 0, + PRINT = 1, + ASSERT = 2, + ASSUME = 3, + }; + + flavor type; + std::string message; + std::string location; // same format as the `src` attribute of `$print` or `$check` cell + + diagnostic() + : type(BREAK) {} + + diagnostic(flavor type, const std::string &message, const std::string &location) + : type(type), message(message), location(location) {} + + diagnostic(flavor type, const std::string &message, const char *file, unsigned line) + : type(type), message(message), location(std::string(file) + ':' + std::to_string(line)) {} +}; + +// A spool stores CXXRTL design state changes in a file. +class spool { +public: + // Unique pointer to a specific sample within a replay log. (Timestamps are not unique.) + typedef uint32_t pointer_t; + + // Numeric identifier assigned to a debug item within a replay log. Range limited to [1, MAXIMUM_IDENT]. + typedef uint32_t ident_t; + + static constexpr uint16_t VERSION = 0x0400; + + static constexpr uint64_t HEADER_MAGIC = 0x00004c5452585843; + static constexpr uint64_t VERSION_MASK = 0xffff000000000000; + + static constexpr uint32_t PACKET_DEFINE = 0xc0000000; + + static constexpr uint32_t PACKET_SAMPLE = 0xc0000001; + enum sample_flag : uint32_t { + EMPTY = 0, + INCREMENTAL = 1, + }; + + static constexpr uint32_t MAXIMUM_IDENT = 0x0fffffff; + static constexpr uint32_t CHANGE_MASK = 0x30000000; + + static constexpr uint32_t PACKET_CHANGE = 0x00000000/* | ident */; + static constexpr uint32_t PACKET_CHANGEI = 0x10000000/* | ident */; + static constexpr uint32_t PACKET_CHANGEL = 0x20000000/* | ident */; + static constexpr uint32_t PACKET_CHANGEH = 0x30000000/* | ident */; + + static constexpr uint32_t PACKET_DIAGNOSTIC = 0xc0000010/* | diagnostic::flavor */; + static constexpr uint32_t DIAGNOSTIC_MASK = 0x0000000f; + + static constexpr uint32_t PACKET_END = 0xffffffff; + + // Writing spools. + + class writer { + int fd; + size_t position; + std::vector buffer; + + // These functions aren't overloaded because of implicit numeric conversions. + + void emit_word(uint32_t word) { + if (position + 1 == buffer.size()) + flush(); + buffer[position++] = word; + } + + void emit_dword(uint64_t dword) { + emit_word(dword >> 0); + emit_word(dword >> 32); + } + + void emit_ident(ident_t ident) { + assert(ident <= MAXIMUM_IDENT); + emit_word(ident); + } + + void emit_size(size_t size) { + assert(size <= std::numeric_limits::max()); + emit_word(size); + } + + // Same implementation as `emit_size()`, different declared intent. + void emit_index(size_t index) { + assert(index <= std::numeric_limits::max()); + emit_word(index); + } + + void emit_string(std::string str) { + // Align to a word boundary, and add at least one terminating \0. + str.resize(str.size() + (sizeof(uint32_t) - (str.size() + sizeof(uint32_t)) % sizeof(uint32_t))); + for (size_t index = 0; index < str.size(); index += sizeof(uint32_t)) { + uint32_t word; + memcpy(&word, &str[index], sizeof(uint32_t)); + emit_word(word); + } + } + + void emit_time(const time ×tamp) { + const value &raw_timestamp(timestamp); + emit_word(raw_timestamp.data[0]); + emit_word(raw_timestamp.data[1]); + emit_word(raw_timestamp.data[2]); + } + + public: + // Creates a writer, and transfers ownership of `fd`, which must be open for appending. + // + // The buffer size is currently fixed to a "reasonably large" size, determined empirically by measuring writer + // performance on a representative design; large but not so large it would e.g. cause address space exhaustion + // on 32-bit platforms. + writer(spool &spool) : fd(spool.take_write()), position(0), buffer(32 * 1024 * 1024) { + assert(fd != -1); +#if !defined(WIN32) + int result = ftruncate(fd, 0); +#else + int result = _chsize_s(fd, 0); +#endif + assert(result == 0); + } + + writer(writer &&moved) : fd(moved.fd), position(moved.position), buffer(moved.buffer) { + moved.fd = -1; + moved.position = 0; + } + + writer(const writer &) = delete; + writer &operator=(const writer &) = delete; + + // Both write() calls and fwrite() calls are too expensive to perform implicitly. The API consumer must determine + // the optimal time to flush the writer and do that explicitly for best performance. + void flush() { + assert(fd != -1); + size_t data_size = position * sizeof(uint32_t); + size_t data_written = write(fd, buffer.data(), data_size); + assert(data_size == data_written); + position = 0; + } + + ~writer() { + if (fd != -1) { + flush(); + close(fd); + } + } + + void write_magic() { + // `CXXRTL` followed by version in binary. This header will read backwards on big-endian machines, which allows + // detection of this case, both visually and programmatically. + emit_dword(((uint64_t)VERSION << 48) | HEADER_MAGIC); + } + + void write_define(ident_t ident, const std::string &name, size_t part_index, size_t chunks, size_t depth) { + emit_word(PACKET_DEFINE); + emit_ident(ident); + emit_string(name); + emit_index(part_index); + emit_size(chunks); + emit_size(depth); + } + + void write_sample(bool incremental, pointer_t pointer, const time ×tamp) { + uint32_t flags = (incremental ? sample_flag::INCREMENTAL : 0); + emit_word(PACKET_SAMPLE); + emit_word(flags); + emit_word(pointer); + emit_time(timestamp); + } + + void write_change(ident_t ident, size_t chunks, const chunk_t *data) { + assert(ident <= MAXIMUM_IDENT); + + if (chunks == 1 && *data == 0) { + emit_word(PACKET_CHANGEL | ident); + } else if (chunks == 1 && *data == 1) { + emit_word(PACKET_CHANGEH | ident); + } else { + emit_word(PACKET_CHANGE | ident); + for (size_t offset = 0; offset < chunks; offset++) + emit_word(data[offset]); + } + } + + void write_change(ident_t ident, size_t chunks, const chunk_t *data, size_t index) { + assert(ident <= MAXIMUM_IDENT); + + emit_word(PACKET_CHANGEI | ident); + emit_index(index); + for (size_t offset = 0; offset < chunks; offset++) + emit_word(data[offset]); + } + + void write_diagnostic(const diagnostic &diagnostic) { + emit_word(PACKET_DIAGNOSTIC | diagnostic.type); + emit_string(diagnostic.message); + emit_string(diagnostic.location); + } + + void write_end() { + emit_word(PACKET_END); + } + }; + + // Reading spools. + + class reader { + FILE *f; + + uint32_t absorb_word() { + // If we're at end of file, `fread` will not write to `word`, and `PACKET_END` will be returned. + uint32_t word = PACKET_END; + fread(&word, sizeof(word), 1, f); + return word; + } + + uint64_t absorb_dword() { + uint32_t lo = absorb_word(); + uint32_t hi = absorb_word(); + return ((uint64_t)hi << 32) | lo; + } + + ident_t absorb_ident() { + ident_t ident = absorb_word(); + assert(ident <= MAXIMUM_IDENT); + return ident; + } + + size_t absorb_size() { + return absorb_word(); + } + + size_t absorb_index() { + return absorb_word(); + } + + std::string absorb_string() { + std::string str; + do { + size_t end = str.size(); + str.resize(end + 4); + uint32_t word = absorb_word(); + memcpy(&str[end], &word, sizeof(uint32_t)); + } while (str.back() != '\0'); + // Strings have no embedded zeroes besides the terminating one(s). + return str.substr(0, str.find('\0')); + } + + time absorb_time() { + value raw_timestamp; + raw_timestamp.data[0] = absorb_word(); + raw_timestamp.data[1] = absorb_word(); + raw_timestamp.data[2] = absorb_word(); + return time(raw_timestamp); + } + + public: + typedef uint64_t pos_t; + + // Creates a reader, and transfers ownership of `fd`, which must be open for reading. + reader(spool &spool) : f(fdopen(spool.take_read(), "r")) { + assert(f != nullptr); + } + + reader(reader &&moved) : f(moved.f) { + moved.f = nullptr; + } + + reader(const reader &) = delete; + reader &operator=(const reader &) = delete; + + ~reader() { + if (f != nullptr) + fclose(f); + } + + pos_t position() { + return ftell(f); + } + + void rewind(pos_t position) { + fseek(f, position, SEEK_SET); + } + + void read_magic() { + uint64_t magic = absorb_dword(); + assert((magic & ~VERSION_MASK) == HEADER_MAGIC); + assert((magic >> 48) == VERSION); + } + + bool read_define(ident_t &ident, std::string &name, size_t &part_index, size_t &chunks, size_t &depth) { + uint32_t header = absorb_word(); + if (header == PACKET_END) + return false; + assert(header == PACKET_DEFINE); + ident = absorb_ident(); + name = absorb_string(); + part_index = absorb_index(); + chunks = absorb_size(); + depth = absorb_size(); + return true; + } + + bool read_sample(bool &incremental, pointer_t &pointer, time ×tamp) { + uint32_t header = absorb_word(); + if (header == PACKET_END) + return false; + assert(header == PACKET_SAMPLE); + uint32_t flags = absorb_word(); + incremental = (flags & sample_flag::INCREMENTAL); + pointer = absorb_word(); + timestamp = absorb_time(); + return true; + } + + bool read_header(uint32_t &header) { + header = absorb_word(); + return header != PACKET_END; + } + + // This method must be separate from `read_change_data` because `chunks` and `depth` can only be looked up + // if `ident` is known. + bool read_change_ident(uint32_t header, ident_t &ident) { + if ((header & ~(CHANGE_MASK | MAXIMUM_IDENT)) != 0) + return false; // some other packet + ident = header & MAXIMUM_IDENT; + return true; + } + + void read_change_data(uint32_t header, size_t chunks, size_t depth, chunk_t *data) { + uint32_t index = 0; + switch (header & CHANGE_MASK) { + case PACKET_CHANGEL: + *data = 0; + return; + case PACKET_CHANGEH: + *data = 1; + return; + case PACKET_CHANGE: + break; + case PACKET_CHANGEI: + index = absorb_word(); + assert(index < depth); + break; + default: + assert(false && "Unrecognized change packet"); + } + for (size_t offset = 0; offset < chunks; offset++) + data[chunks * index + offset] = absorb_word(); + } + + bool read_diagnostic(uint32_t header, diagnostic &diagnostic) { + if ((header & ~DIAGNOSTIC_MASK) != PACKET_DIAGNOSTIC) + return false; // some other packet + uint32_t type = header & DIAGNOSTIC_MASK; + assert(type == diagnostic::BREAK || type == diagnostic::PRINT || + type == diagnostic::ASSERT || type == diagnostic::ASSUME); + diagnostic.type = (diagnostic::flavor)type; + diagnostic.message = absorb_string(); + diagnostic.location = absorb_string(); + return true; + } + }; + + // Opening spools. For certain uses of the record/replay mechanism, two distinct open files (two open files, i.e. + // two distinct file pointers, and not just file descriptors, which share the file pointer if duplicated) are used, + // for a reader and writer thread. This class manages the lifetime of the descriptors for these files. When only + // one of them is used, the other is closed harmlessly when the spool is destroyed. +private: + std::atomic writefd; + std::atomic readfd; + +public: + spool(const std::string &filename) + : writefd(open(filename.c_str(), O_CREAT|O_BINARY|O_WRONLY|O_APPEND, 0644)), + readfd(open(filename.c_str(), O_BINARY|O_RDONLY)) { + assert(writefd.load() != -1 && readfd.load() != -1); + } + + spool(spool &&moved) : writefd(moved.writefd.exchange(-1)), readfd(moved.readfd.exchange(-1)) {} + + spool(const spool &) = delete; + spool &operator=(const spool &) = delete; + + ~spool() { + int fd; + if ((fd = writefd.exchange(-1)) != -1) + close(fd); + if ((fd = readfd.exchange(-1)) != -1) + close(fd); + } + + // Atomically acquire a write file descriptor for the spool. Can be called once, and will return -1 the next time + // it is called. Thread-safe. + int take_write() { + return writefd.exchange(-1); + } + + // Atomically acquire a read file descriptor for the spool. Can be called once, and will return -1 the next time + // it is called. Thread-safe. + int take_read() { + return readfd.exchange(-1); + } +}; + +// A CXXRTL recorder samples design state, producing complete or incremental updates, and writes them to a spool. +class recorder { + struct variable { + spool::ident_t ident; /* <= spool::MAXIMUM_IDENT */ + size_t chunks; + size_t depth; /* == 1 for wires */ + chunk_t *curr; + bool memory; + }; + + spool::writer writer; + std::vector variables; + std::vector inputs; // values of inputs must be recorded explicitly, as their changes are not observed + std::unordered_map ident_lookup; + bool streaming = false; // whether variable definitions have been written + spool::pointer_t pointer = 0; + time timestamp; + +public: + template + recorder(Args &&...args) : writer(std::forward(args)...) {} + + void start(module &module, std::string top_path = "") { + debug_items items; + module.debug_info(&items, /*scopes=*/nullptr, top_path); + start(items); + } + + void start(const debug_items &items) { + assert(!streaming); + + writer.write_magic(); + for (auto item : items.table) + for (size_t part_index = 0; part_index < item.second.size(); part_index++) { + auto &part = item.second[part_index]; + if ((part.flags & debug_item::INPUT) || (part.flags & debug_item::DRIVEN_SYNC) || + (part.type == debug_item::MEMORY)) { + variable var; + var.ident = variables.size() + 1; + var.chunks = (part.width + sizeof(chunk_t) * 8 - 1) / (sizeof(chunk_t) * 8); + var.depth = part.depth; + var.curr = part.curr; + var.memory = (part.type == debug_item::MEMORY); + ident_lookup[var.curr] = var.ident; + + assert(variables.size() < spool::MAXIMUM_IDENT); + if (part.flags & debug_item::INPUT) + inputs.push_back(variables.size()); + variables.push_back(var); + + writer.write_define(var.ident, item.first, part_index, var.chunks, var.depth); + } + } + writer.write_end(); + streaming = true; + } + + const time &latest_time() { + return timestamp; + } + + const time &advance_time(const time &delta) { + assert(!delta.is_negative()); + timestamp += delta; + return timestamp; + } + + void record_complete() { + assert(streaming); + + writer.write_sample(/*incremental=*/false, pointer++, timestamp); + for (auto var : variables) { + assert(var.ident != 0); + if (!var.memory) + writer.write_change(var.ident, var.chunks, var.curr); + else + for (size_t index = 0; index < var.depth; index++) + writer.write_change(var.ident, var.chunks, &var.curr[var.chunks * index], index); + } + writer.write_end(); + } + + // This function is generic over ModuleT to encourage observer callbacks to be inlined into the commit function. + template + bool record_incremental(ModuleT &module) { + assert(streaming); + + struct : observer { + std::unordered_map *ident_lookup; + spool::writer *writer; + + CXXRTL_ALWAYS_INLINE + void on_update(size_t chunks, const chunk_t *base, const chunk_t *value) { + writer->write_change(ident_lookup->at(base), chunks, value); + } + + CXXRTL_ALWAYS_INLINE + void on_update(size_t chunks, const chunk_t *base, const chunk_t *value, size_t index) { + writer->write_change(ident_lookup->at(base), chunks, value, index); + } + } record_observer; + record_observer.ident_lookup = &ident_lookup; + record_observer.writer = &writer; + + writer.write_sample(/*incremental=*/true, pointer++, timestamp); + for (auto input_index : inputs) { + variable &var = variables.at(input_index); + assert(!var.memory); + writer.write_change(var.ident, var.chunks, var.curr); + } + bool changed = module.commit(record_observer); + writer.write_end(); + return changed; + } + + void record_diagnostic(const diagnostic &diagnostic) { + assert(streaming); + + // Emit an incremental delta cycle per diagnostic to simplify the logic of the recorder. This is inefficient, but + // diagnostics should be rare enough that this inefficiency does not matter. If it turns out to be an issue, this + // code should be changed to accumulate diagnostics to a buffer that is flushed in `record_{complete,incremental}` + // and also in `advance_time` before the timestamp is changed. (Right now `advance_time` never writes to the spool.) + writer.write_sample(/*incremental=*/true, pointer++, timestamp); + writer.write_diagnostic(diagnostic); + writer.write_end(); + } + + void flush() { + writer.flush(); + } +}; + +// A CXXRTL player reads samples from a spool, and changes the design state accordingly. To start reading samples, +// a spool must have been initialized: the recorder must have been started and an initial complete sample must have +// been written. +class player { + struct variable { + size_t chunks; + size_t depth; /* == 1 for wires */ + chunk_t *curr; + }; + + spool::reader reader; + std::unordered_map variables; + bool streaming = false; // whether variable definitions have been read + bool initialized = false; // whether a sample has ever been read + spool::pointer_t pointer = 0; + time timestamp; + + std::map> index_by_pointer; + std::map> index_by_timestamp; + + bool peek_sample(spool::pointer_t &pointer, time ×tamp) { + bool incremental; + auto position = reader.position(); + bool success = reader.read_sample(incremental, pointer, timestamp); + reader.rewind(position); + return success; + } + +public: + template + player(Args &&...args) : reader(std::forward(args)...) {} + + // The `top_path` must match the one given to the recorder. + void start(module &module, std::string top_path = "") { + debug_items items; + module.debug_info(&items, /*scopes=*/nullptr, top_path); + start(items); + } + + void start(const debug_items &items) { + assert(!streaming); + + reader.read_magic(); + while (true) { + spool::ident_t ident; + std::string name; + size_t part_index; + size_t chunks; + size_t depth; + if (!reader.read_define(ident, name, part_index, chunks, depth)) + break; + assert(variables.count(ident) == 0); + assert(items.count(name) != 0); + assert(part_index < items.count(name)); + + const debug_item &part = items.at(name).at(part_index); + assert(chunks == (part.width + sizeof(chunk_t) * 8 - 1) / (sizeof(chunk_t) * 8)); + assert(depth == part.depth); + + variable &var = variables[ident]; + var.chunks = chunks; + var.depth = depth; + var.curr = part.curr; + } + assert(variables.size() > 0); + streaming = true; + + // Establish the initial state of the design. + std::vector diagnostics; + initialized = replay(&diagnostics); + assert(initialized && diagnostics.empty()); + } + + // Returns the pointer of the current sample. + spool::pointer_t current_pointer() { + assert(initialized); + return pointer; + } + + // Returns the time of the current sample. + const time ¤t_time() { + assert(initialized); + return timestamp; + } + + // Returns `true` if there is a next sample to read, and sets `pointer` to its pointer if there is. + bool get_next_pointer(spool::pointer_t &pointer) { + assert(streaming); + time timestamp; + return peek_sample(pointer, timestamp); + } + + // Returns `true` if there is a next sample to read, and sets `timestamp` to its time if there is. + bool get_next_time(time ×tamp) { + assert(streaming); + uint32_t pointer; + return peek_sample(pointer, timestamp); + } + + // If this function returns `true`, then `current_pointer() == at_pointer`, and the module contains values that + // correspond to this pointer in the replay log. To obtain a valid pointer, call `current_pointer()`; while pointers + // are monotonically increasing for each consecutive sample, using arithmetic operations to create a new pointer is + // not allowed. The `diagnostics` argument, if not `nullptr`, receives the diagnostics recorded in this sample. + bool rewind_to(spool::pointer_t at_pointer, std::vector *diagnostics) { + assert(initialized); + + // The pointers in the replay log start from one that is greater than `at_pointer`. In this case the pointer will + // never be reached. + assert(index_by_pointer.size() > 0); + if (at_pointer < index_by_pointer.rbegin()->first) + return false; + + // Find the last complete sample whose pointer is less than or equal to `at_pointer`. Note that the comparison + // function used here is `std::greater`, inverting the direction of `lower_bound`. + auto position_it = index_by_pointer.lower_bound(at_pointer); + assert(position_it != index_by_pointer.end()); + reader.rewind(position_it->second); + + // Replay samples until eventually arriving to `at_pointer` or encountering end of file. + while(replay(diagnostics)) { + if (pointer == at_pointer) + return true; + + if (diagnostics) + diagnostics->clear(); + } + return false; + } + + // If this function returns `true`, then `current_time() <= at_or_before_timestamp`, and the module contains values + // that correspond to `current_time()` in the replay log. If `current_time() == at_or_before_timestamp` and there + // are several consecutive samples with the same time, the module contains values that correspond to the first of + // these samples. The `diagnostics` argument, if not `nullptr`, receives the diagnostics recorded in this sample. + bool rewind_to_or_before(const time &at_or_before_timestamp, std::vector *diagnostics) { + assert(initialized); + + // The timestamps in the replay log start from one that is greater than `at_or_before_timestamp`. In this case + // the timestamp will never be reached. Otherwise, this function will always succeed. + assert(index_by_timestamp.size() > 0); + if (at_or_before_timestamp < index_by_timestamp.rbegin()->first) + return false; + + // Find the last complete sample whose timestamp is less than or equal to `at_or_before_timestamp`. Note that + // the comparison function used here is `std::greater`, inverting the direction of `lower_bound`. + auto position_it = index_by_timestamp.lower_bound(at_or_before_timestamp); + assert(position_it != index_by_timestamp.end()); + reader.rewind(position_it->second); + + // Replay samples until eventually arriving to or past `at_or_before_timestamp` or encountering end of file. + while (replay(diagnostics)) { + if (timestamp == at_or_before_timestamp) + break; + + time next_timestamp; + if (!get_next_time(next_timestamp)) + break; + if (next_timestamp > at_or_before_timestamp) + break; + + if (diagnostics) + diagnostics->clear(); + } + return true; + } + + // If this function returns `true`, then `current_pointer()` and `current_time()` are updated for the next sample + // and the module now contains values that correspond to that sample. If it returns `false`, there was no next sample + // to read. The `diagnostics` argument, if not `nullptr`, receives the diagnostics recorded in the next sample. + bool replay(std::vector *diagnostics) { + assert(streaming); + + bool incremental; + auto position = reader.position(); + if (!reader.read_sample(incremental, pointer, timestamp)) + return false; + + // The very first sample that is read must be a complete sample. This is required for the rewind functions to work. + assert(initialized || !incremental); + + // It is possible (though not very useful) to have several complete samples with the same timestamp in a row. + // Ensure that we associate the timestamp with the position of the first such complete sample. (This condition + // works because the player never jumps over a sample.) + if (!incremental && !index_by_pointer.count(pointer)) { + assert(!index_by_timestamp.count(timestamp)); + index_by_pointer[pointer] = position; + index_by_timestamp[timestamp] = position; + } + + uint32_t header; + while (reader.read_header(header)) { + spool::ident_t ident; + diagnostic diag; + if (reader.read_change_ident(header, ident)) { + variable &var = variables.at(ident); + reader.read_change_data(header, var.chunks, var.depth, var.curr); + } else if (reader.read_diagnostic(header, diag)) { + if (diagnostics) + diagnostics->push_back(diag); + } else assert(false && "Unrecognized packet header"); + } + return true; + } +}; + +} + +#endif diff --git a/yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h b/yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h new file mode 100644 index 00000000000..f37c2b65640 --- /dev/null +++ b/yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h @@ -0,0 +1,231 @@ +/* + * yosys -- Yosys Open SYnthesis Suite + * + * Copyright (C) 2023 Catherine + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +#ifndef CXXRTL_TIME_H +#define CXXRTL_TIME_H + +#include +#include + +#include + +namespace cxxrtl { + +// A timestamp or a difference in time, stored as a 96-bit number of femtoseconds (10e-15 s). The range and resolution +// of this format can represent any VCD timestamp within approx. ±1255321.2 years, without the need for a timescale. +class time { +public: + static constexpr size_t bits = 96; // 3 chunks + +private: + static constexpr size_t resolution_digits = 15; + + static_assert(sizeof(chunk_t) == 4, "a chunk is expected to be 32-bit"); + static constexpr value resolution = value { + chunk_t(1000000000000000ull & 0xffffffffull), chunk_t(1000000000000000ull >> 32), 0u + }; + + // Signed number of femtoseconds from the beginning of time. + value raw; + +public: + constexpr time() {} + + explicit constexpr time(const value &raw) : raw(raw) {} + explicit operator const value &() const { return raw; } + + static constexpr time maximum() { + return time(value { 0xffffffffu, 0xffffffffu, 0x7fffffffu }); + } + + time(int64_t secs, int64_t femtos) { + value<64> secs_val; + secs_val.set(secs); + value<64> femtos_val; + femtos_val.set(femtos); + raw = secs_val.sext().mul(resolution).add(femtos_val.sext()); + } + + bool is_zero() const { + return raw.is_zero(); + } + + // Extracts the sign of the value. + bool is_negative() const { + return raw.is_neg(); + } + + // Extracts the number of whole seconds. Negative if the value is negative. + int64_t secs() const { + return raw.sdivmod(resolution).first.trunc<64>().get(); + } + + // Extracts the number of femtoseconds in the fractional second. Negative if the value is negative. + int64_t femtos() const { + return raw.sdivmod(resolution).second.trunc<64>().get(); + } + + bool operator==(const time &other) const { + return raw == other.raw; + } + + bool operator!=(const time &other) const { + return raw != other.raw; + } + + bool operator>(const time &other) const { + return other.raw.scmp(raw); + } + + bool operator>=(const time &other) const { + return !raw.scmp(other.raw); + } + + bool operator<(const time &other) const { + return raw.scmp(other.raw); + } + + bool operator<=(const time &other) const { + return !other.raw.scmp(raw); + } + + time operator+(const time &other) const { + return time(raw.add(other.raw)); + } + + time &operator+=(const time &other) { + *this = *this + other; + return *this; + } + + time operator-() const { + return time(raw.neg()); + } + + time operator-(const time &other) const { + return *this + (-other); + } + + time &operator-=(const time &other) { + *this = *this - other; + return *this; + } + + operator std::string() const { + char buf[48]; // x=2**95; len(f"-{x/1_000_000_000_000_000}.{x^1_000_000_000_000_000}") == 48 + int64_t secs = this->secs(); + int64_t femtos = this->femtos(); + snprintf(buf, sizeof(buf), "%s%" PRIi64 ".%015" PRIi64, + is_negative() ? "-" : "", secs >= 0 ? secs : -secs, femtos >= 0 ? femtos : -femtos); + return buf; + } + +#if __cplusplus >= 201603L + [[nodiscard("ignoring parse errors")]] +#endif + bool parse(const std::string &str) { + enum { + parse_sign_opt, + parse_integral, + parse_fractional, + } state = parse_sign_opt; + bool negative = false; + int64_t integral = 0; + int64_t fractional = 0; + size_t frac_digits = 0; + for (auto chr : str) { + switch (state) { + case parse_sign_opt: + state = parse_integral; + if (chr == '+' || chr == '-') { + negative = (chr == '-'); + break; + } + /* fallthrough */ + case parse_integral: + if (chr >= '0' && chr <= '9') { + integral *= 10; + integral += chr - '0'; + } else if (chr == '.') { + state = parse_fractional; + } else { + return false; + } + break; + case parse_fractional: + if (chr >= '0' && chr <= '9' && frac_digits < resolution_digits) { + fractional *= 10; + fractional += chr - '0'; + frac_digits++; + } else { + return false; + } + break; + } + } + if (frac_digits == 0) + return false; + while (frac_digits++ < resolution_digits) + fractional *= 10; + *this = negative ? -time { integral, fractional} : time { integral, fractional }; + return true; + } +}; + +// Out-of-line definition required until C++17. +constexpr value time::resolution; + +std::ostream &operator<<(std::ostream &os, const time &val) { + os << (std::string)val; + return os; +} + +// These literals are (confusingly) compatible with the ones from `std::chrono`: the `std::chrono` literals do not +// have an underscore (e.g. 1ms) and the `cxxrtl::time` literals do (e.g. 1_ms). This syntactic difference is +// a requirement of the C++ standard. Despite being compatible the literals should not be mixed in the same namespace. +namespace time_literals { + +time operator""_s(unsigned long long seconds) { + return time { (int64_t)seconds, 0 }; +} + +time operator""_ms(unsigned long long milliseconds) { + return time { 0, (int64_t)milliseconds * 1000000000000 }; +} + +time operator""_us(unsigned long long microseconds) { + return time { 0, (int64_t)microseconds * 1000000000 }; +} + +time operator""_ns(unsigned long long nanoseconds) { + return time { 0, (int64_t)nanoseconds * 1000000 }; +} + +time operator""_ps(unsigned long long picoseconds) { + return time { 0, (int64_t)picoseconds * 1000 }; +} + +time operator""_fs(unsigned long long femtoseconds) { + return time { 0, (int64_t)femtoseconds }; +} + +}; + +}; + +#endif diff --git a/yosys/backends/cxxrtl/cxxrtl_vcd.h b/yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h similarity index 99% rename from yosys/backends/cxxrtl/cxxrtl_vcd.h rename to yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h index b76922bbd8a..cb2ccf5fc26 100644 --- a/yosys/backends/cxxrtl/cxxrtl_vcd.h +++ b/yosys/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h @@ -19,7 +19,7 @@ #ifndef CXXRTL_VCD_H #define CXXRTL_VCD_H -#include +#include namespace cxxrtl { diff --git a/yosys/backends/edif/edif.cc b/yosys/backends/edif/edif.cc index 00fd7f54e39..553eb23d645 100644 --- a/yosys/backends/edif/edif.cc +++ b/yosys/backends/edif/edif.cc @@ -213,6 +213,9 @@ struct EdifBackend : public Backend { for (auto cell : module->cells()) { + if (cell->type == ID($scopeinfo)) + continue; + if (design->module(cell->type) == nullptr || design->module(cell->type)->get_blackbox_attribute()) { lib_cell_ports[cell->type]; for (auto p : cell->connections()) diff --git a/yosys/backends/firrtl/firrtl.cc b/yosys/backends/firrtl/firrtl.cc index fc1d628915c..dc76dbeecf5 100644 --- a/yosys/backends/firrtl/firrtl.cc +++ b/yosys/backends/firrtl/firrtl.cc @@ -980,6 +980,9 @@ struct FirrtlWorker register_reverse_wire_map(y_id, cell->getPort(ID::Y)); continue; } + + if (cell->type == ID($scopeinfo)) + continue; log_error("Cell type not supported: %s (%s.%s)\n", log_id(cell->type), log_id(module), log_id(cell)); } diff --git a/yosys/backends/firrtl/test.sh b/yosys/backends/firrtl/test.sh index fe7e3a32912..dd675e91712 100644 --- a/yosys/backends/firrtl/test.sh +++ b/yosys/backends/firrtl/test.sh @@ -1,4 +1,4 @@ -#!/bin/bash +#!/usr/bin/env bash set -ex cd ../../ diff --git a/yosys/backends/jny/jny.cc b/yosys/backends/jny/jny.cc index 9989feed599..1c163dba52e 100644 --- a/yosys/backends/jny/jny.cc +++ b/yosys/backends/jny/jny.cc @@ -124,7 +124,7 @@ struct JnyWriter design->sort(); f << "{\n"; - f << " \"$schema\": \"https://raw.githubusercontent.com/YosysHQ/yosys/master/misc/jny.schema.json\",\n"; + f << " \"$schema\": \"https://raw.githubusercontent.com/YosysHQ/yosys/main/misc/jny.schema.json\",\n"; f << stringf(" \"generator\": \"%s\",\n", escape_string(yosys_version_str).c_str()); f << " \"version\": \"0.0.1\",\n"; f << " \"invocation\": \"" << escape_string(invk) << "\",\n"; @@ -426,7 +426,7 @@ struct JnyBackend : public Backend { log(" Don't include property information in the netlist output.\n"); log("\n"); log("The JSON schema for JNY output files is located in the \"jny.schema.json\" file\n"); - log("which is located at \"https://raw.githubusercontent.com/YosysHQ/yosys/master/misc/jny.schema.json\"\n"); + log("which is located at \"https://raw.githubusercontent.com/YosysHQ/yosys/main/misc/jny.schema.json\"\n"); log("\n"); } diff --git a/yosys/backends/json/json.cc b/yosys/backends/json/json.cc index fd2c922fd5d..2f442c494f7 100644 --- a/yosys/backends/json/json.cc +++ b/yosys/backends/json/json.cc @@ -192,6 +192,10 @@ struct JsonWriter for (auto c : module->cells()) { if (use_selection && !module->selected(c)) continue; + // Eventually we will want to emit $scopeinfo, but currently this + // will break JSON netlist consumers like nextpnr + if (c->type == ID($scopeinfo)) + continue; f << stringf("%s\n", first ? "" : ","); f << stringf(" %s: {\n", get_name(c->name).c_str()); f << stringf(" \"hide_name\": %s,\n", c->name[0] == '$' ? "1" : "0"); diff --git a/yosys/backends/simplec/test00.sh b/yosys/backends/simplec/test00.sh index ede75727378..9895a97e46b 100644 --- a/yosys/backends/simplec/test00.sh +++ b/yosys/backends/simplec/test00.sh @@ -1,4 +1,4 @@ -#!/bin/bash +#!/usr/bin/env bash set -ex ../../yosys -p 'synth -top test; write_simplec -verbose -i8 test00_uut.c' test00_uut.v clang -o test00_tb test00_tb.c diff --git a/yosys/backends/smt2/smt2.cc b/yosys/backends/smt2/smt2.cc index 5e63e62370d..c702d5e7e54 100644 --- a/yosys/backends/smt2/smt2.cc +++ b/yosys/backends/smt2/smt2.cc @@ -1117,7 +1117,18 @@ struct Smt2Worker string name_a = get_bool(cell->getPort(ID::A)); string name_en = get_bool(cell->getPort(ID::EN)); - if (cell->name[0] == '$' && cell->attributes.count(ID::src)) + bool private_name = cell->name[0] == '$'; + + if (!private_name && cell->has_attribute(ID::hdlname)) { + for (auto const &part : cell->get_hdlname_attribute()) { + if (part == "_witness_") { + private_name = true; + break; + } + } + } + + if (private_name && cell->attributes.count(ID::src)) decls.push_back(stringf("; yosys-smt2-%s %d %s %s\n", cell->type.c_str() + 1, id, get_id(cell), cell->attributes.at(ID::src).decode_string().c_str())); else decls.push_back(stringf("; yosys-smt2-%s %d %s\n", cell->type.c_str() + 1, id, get_id(cell))); diff --git a/yosys/backends/smt2/smtbmc.py b/yosys/backends/smt2/smtbmc.py index 02e15a1b502..c3bdcebbe96 100644 --- a/yosys/backends/smt2/smtbmc.py +++ b/yosys/backends/smt2/smtbmc.py @@ -17,7 +17,7 @@ # OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. # -import os, sys, getopt, re, bisect +import os, sys, getopt, re, bisect, json ##yosys-sys-path## from smtio import SmtIo, SmtOpts, MkVcd from ywio import ReadWitness, WriteWitness, WitnessValues @@ -56,6 +56,9 @@ keep_going = False check_witness = False detect_loops = False +incremental = None +track_assumes = False +minimize_assumes = False so = SmtOpts() @@ -185,6 +188,17 @@ def help(): check if states are unique in temporal induction counter examples (this feature is experimental and incomplete) + --incremental + run in incremental mode (experimental) + + --track-assumes + track individual assumptions and report a subset of used + assumptions that are sufficient for the reported outcome. This + can be used to debug PREUNSAT failures as well as to find a + smaller set of sufficient assumptions. + + --minimize-assumes + when using --track-assumes, solve for a minimal set of sufficient assumptions. """ + so.helpmsg()) def usage(): @@ -196,7 +210,8 @@ def usage(): opts, args = getopt.getopt(sys.argv[1:], so.shortopts + "t:higcm:", so.longopts + ["help", "final-only", "assume-skipped=", "smtc=", "cex=", "aig=", "aig-noheader", "yw=", "btorwit=", "presat", "dump-vcd=", "dump-yw=", "dump-vlogtb=", "vlogtb-top=", "dump-smtc=", "dump-all", "noinfo", "append=", - "smtc-init", "smtc-top=", "noinit", "binary", "keep-going", "check-witness", "detect-loops"]) + "smtc-init", "smtc-top=", "noinit", "binary", "keep-going", "check-witness", "detect-loops", "incremental", + "track-assumes", "minimize-assumes"]) except: usage() @@ -282,6 +297,13 @@ def usage(): check_witness = True elif o == "--detect-loops": detect_loops = True + elif o == "--incremental": + from smtbmc_incremental import Incremental + incremental = Incremental() + elif o == "--track-assumes": + track_assumes = True + elif o == "--minimize-assumes": + minimize_assumes = True elif so.handle(o, a): pass else: @@ -290,7 +312,7 @@ def usage(): if len(args) != 1: usage() -if sum([tempind, gentrace, covermode]) > 1: +if sum([tempind, gentrace, covermode, incremental is not None]) > 1: usage() constr_final_start = None @@ -440,12 +462,17 @@ def replace_netref(match): smt = SmtIo(opts=so) +if track_assumes: + smt.smt2_options[':produce-unsat-assumptions'] = 'true' + if noinfo and vcdfile is None and vlogtbfile is None and outconstr is None: smt.produce_models = False def print_msg(msg): - print("%s %s" % (smt.timestamp(), msg)) - sys.stdout.flush() + if incremental: + incremental.print_msg(msg) + else: + print("%s %s" % (smt.timestamp(), msg), flush=True) print_msg("Solver: %s" % (so.solver)) @@ -640,15 +667,14 @@ def print_msg(msg): num_steps = max(num_steps, step+2) step += 1 -if inywfile is not None: - if not got_topt: - skip_steps = 0 - num_steps = 0 +ywfile_hierwitness_cache = None - with open(inywfile, "r") as f: - inyw = ReadWitness(f) +def ywfile_hierwitness(): + global ywfile_hierwitness_cache + if ywfile_hierwitness_cache is None: + ywfile_hierwitness = smt.hierwitness(topmod, allregs=True, blackbox=True) - inits, seqs, clocks, mems = smt.hierwitness(topmod, allregs=True, blackbox=True) + inits, seqs, clocks, mems = ywfile_hierwitness smt_wires = defaultdict(list) smt_mems = defaultdict(list) @@ -659,90 +685,165 @@ def print_msg(msg): for mem in mems: smt_mems[mem["path"]].append(mem) - addr_re = re.compile(r'\\\[[0-9]+\]$') - bits_re = re.compile(r'[01?]*$') + ywfile_hierwitness_cache = inits, seqs, clocks, mems, smt_wires, smt_mems - for t, step in inyw.steps(): - present_signals, missing = step.present_signals(inyw.sigmap) - for sig in present_signals: - bits = step[sig] - if not bits_re.match(bits): - raise ValueError("unsupported bit value in Yosys witness file") + return ywfile_hierwitness_cache - sig_end = sig.offset + len(bits) - if sig.path in smt_wires: - for wire in smt_wires[sig.path]: - width, offset = wire["width"], wire["offset"] +def_bits_re = re.compile(r'([01]+)') - smt_bool = smt.net_width(topmod, wire["smtpath"]) == 1 +def smt_extract_mask(smt_expr, mask): + chunks = [] + def_bits = '' - offset = max(offset, 0) + mask_index_order = mask[::-1] - end = width + offset - common_offset = max(sig.offset, offset) - common_end = min(sig_end, end) - if common_end <= common_offset: - continue + for matched in def_bits_re.finditer(mask_index_order): + chunks.append(matched.span()) + def_bits += matched[0] - smt_expr = smt.witness_net_expr(topmod, f"s{t}", wire) + if not chunks: + return - if not smt_bool: - slice_high = common_end - offset - 1 - slice_low = common_offset - offset - smt_expr = "((_ extract %d %d) %s)" % (slice_high, slice_low, smt_expr) + if len(chunks) == 1: + start, end = chunks[0] + if start == 0 and end == len(mask_index_order): + combined_chunks = smt_expr + else: + combined_chunks = '((_ extract %d %d) %s)' % (end - 1, start, smt_expr) + else: + combined_chunks = '(let ((x %s)) (concat %s))' % (smt_expr, ' '.join( + '((_ extract %d %d) x)' % (end - 1, start) + for start, end in reversed(chunks) + )) - bit_slice = bits[len(bits) - (common_end - sig.offset):len(bits) - (common_offset - sig.offset)] + return combined_chunks, ''.join(mask_index_order[start:end] for start, end in chunks)[::-1] - if bit_slice.count("?") == len(bit_slice): - continue +def smt_concat(exprs): + if not isinstance(exprs, (tuple, list)): + exprs = tuple(exprs) + if not exprs: + return "" + if len(exprs) == 1: + return exprs[1] + return "(concat %s)" % ' '.join(exprs) - if smt_bool: - assert width == 1 - smt_constr = "(= %s %s)" % (smt_expr, "true" if bit_slice == "1" else "false") - else: - if "?" in bit_slice: - mask = bit_slice.replace("0", "1").replace("?", "0") - bit_slice = bit_slice.replace("?", "0") - smt_expr = "(bvand %s #b%s)" % (smt_expr, mask) +def ywfile_signal(sig, step, mask=None): + assert sig.width > 0 - smt_constr = "(= %s #b%s)" % (smt_expr, bit_slice) + inits, seqs, clocks, mems, smt_wires, smt_mems = ywfile_hierwitness() + sig_end = sig.offset + sig.width - constr_assumes[t].append((inywfile, smt_constr)) + output = [] - if sig.memory_path: - if sig.memory_path in smt_mems: - for mem in smt_mems[sig.memory_path]: - width, size, bv = mem["width"], mem["size"], mem["statebv"] + if sig.path in smt_wires: + for wire in smt_wires[sig.path]: + width, offset = wire["width"], wire["offset"] - smt_expr = smt.net_expr(topmod, f"s{t}", mem["smtpath"]) + smt_bool = smt.net_width(topmod, wire["smtpath"]) == 1 - if bv: - word_low = sig.memory_addr * width - word_high = word_low + width - 1 - smt_expr = "((_ extract %d %d) %s)" % (word_high, word_low, smt_expr) - else: - addr_width = (size - 1).bit_length() - addr_bits = f"{sig.memory_addr:0{addr_width}b}" - smt_expr = "(select %s #b%s )" % (smt_expr, addr_bits) + offset = max(offset, 0) - if len(bits) < width: - slice_high = sig.offset + len(bits) - 1 - smt_expr = "((_ extract %d %d) %s)" % (slice_high, sig.offset, smt_expr) + end = width + offset + common_offset = max(sig.offset, offset) + common_end = min(sig_end, end) + if common_end <= common_offset: + continue - bit_slice = bits + smt_expr = smt.witness_net_expr(topmod, f"s{step}", wire) - if "?" in bit_slice: - mask = bit_slice.replace("0", "1").replace("?", "0") - bit_slice = bit_slice.replace("?", "0") - smt_expr = "(bvand %s #b%s)" % (smt_expr, mask) + if not smt_bool: + slice_high = common_end - offset - 1 + slice_low = common_offset - offset + smt_expr = "((_ extract %d %d) %s)" % (slice_high, slice_low, smt_expr) + else: + smt_expr = "(ite %s #b1 #b0)" % smt_expr - smt_constr = "(= %s #b%s)" % (smt_expr, bit_slice) - constr_assumes[t].append((inywfile, smt_constr)) + output.append(((common_offset - sig.offset), (common_end - sig.offset), smt_expr)) - if not got_topt: - if not check_witness: - skip_steps = max(skip_steps, t) - num_steps = max(num_steps, t+1) + if sig.memory_path: + if sig.memory_path in smt_mems: + for mem in smt_mems[sig.memory_path]: + width, size, bv = mem["width"], mem["size"], mem["statebv"] + + smt_expr = smt.net_expr(topmod, f"s{step}", mem["smtpath"]) + + if bv: + word_low = sig.memory_addr * width + word_high = word_low + width - 1 + smt_expr = "((_ extract %d %d) %s)" % (word_high, word_low, smt_expr) + else: + addr_width = (size - 1).bit_length() + addr_bits = f"{sig.memory_addr:0{addr_width}b}" + smt_expr = "(select %s #b%s )" % (smt_expr, addr_bits) + + if sig.width < width: + slice_high = sig.offset + sig.width - 1 + smt_expr = "((_ extract %d %d) %s)" % (slice_high, sig.offset, smt_expr) + + output.append((0, sig.width, smt_expr)) + + output.sort() + + output = [chunk for chunk in output if chunk[0] != chunk[1]] + + pos = 0 + + for start, end, smt_expr in output: + assert start == pos + pos = end + + assert pos == sig.width + + if len(output) == 1: + return output[0][-1] + return smt_concat(smt_expr for start, end, smt_expr in reversed(output)) + +def ywfile_constraints(inywfile, constr_assumes, map_steps=None, skip_x=False): + global ywfile_hierwitness_cache + if map_steps is None: + map_steps = {} + + with open(inywfile, "r") as f: + inyw = ReadWitness(f) + + inits, seqs, clocks, mems, smt_wires, smt_mems = ywfile_hierwitness() + + bits_re = re.compile(r'[01?]*$') + max_t = -1 + + for t, step in inyw.steps(): + present_signals, missing = step.present_signals(inyw.sigmap) + for sig in present_signals: + bits = step[sig] + if skip_x: + bits = bits.replace('x', '?') + if not bits_re.match(bits): + raise ValueError("unsupported bit value in Yosys witness file") + + if bits.count('?') == len(bits): + continue + + smt_expr = ywfile_signal(sig, map_steps.get(t, t)) + + smt_expr, bits = smt_extract_mask(smt_expr, bits) + + smt_constr = "(= %s #b%s)" % (smt_expr, bits) + constr_assumes[t].append((inywfile, smt_constr)) + + max_t = t + return max_t + +if inywfile is not None: + if not got_topt: + skip_steps = 0 + num_steps = 0 + + max_t = ywfile_constraints(inywfile, constr_assumes) + + if not got_topt: + if not check_witness: + skip_steps = max(skip_steps, max_t) + num_steps = max(num_steps, max_t+1) if btorwitfile is not None: with open(btorwitfile, "r") as f: @@ -841,7 +942,7 @@ def print_msg(msg): skip_steps = step num_steps = step+1 -def collect_mem_trace_data(steps_start, steps_stop, vcd=None): +def collect_mem_trace_data(steps, vcd=None): mem_trace_data = dict() for mempath in sorted(smt.hiermems(topmod)): @@ -849,16 +950,16 @@ def collect_mem_trace_data(steps_start, steps_stop, vcd=None): expr_id = list() expr_list = list() - for i in range(steps_start, steps_stop): + for seq, i in enumerate(steps): for j in range(rports): - expr_id.append(('R', i-steps_start, j, 'A')) - expr_id.append(('R', i-steps_start, j, 'D')) + expr_id.append(('R', seq, j, 'A')) + expr_id.append(('R', seq, j, 'D')) expr_list.append(smt.mem_expr(topmod, "s%d" % i, mempath, "R%dA" % j)) expr_list.append(smt.mem_expr(topmod, "s%d" % i, mempath, "R%dD" % j)) for j in range(wports): - expr_id.append(('W', i-steps_start, j, 'A')) - expr_id.append(('W', i-steps_start, j, 'D')) - expr_id.append(('W', i-steps_start, j, 'M')) + expr_id.append(('W', seq, j, 'A')) + expr_id.append(('W', seq, j, 'D')) + expr_id.append(('W', seq, j, 'M')) expr_list.append(smt.mem_expr(topmod, "s%d" % i, mempath, "W%dA" % j)) expr_list.append(smt.mem_expr(topmod, "s%d" % i, mempath, "W%dD" % j)) expr_list.append(smt.mem_expr(topmod, "s%d" % i, mempath, "W%dM" % j)) @@ -943,14 +1044,14 @@ def collect_mem_trace_data(steps_start, steps_stop, vcd=None): netpath[-1] += "<%0*x>" % ((len(addr)+3) // 4, int_addr) vcd.add_net([topmod] + netpath, width) - for i in range(steps_start, steps_stop): + for seq, i in enumerate(steps): if i not in mem_trace_data: mem_trace_data[i] = list() - mem_trace_data[i].append((netpath, int_addr, "".join(tdata[i-steps_start]))) + mem_trace_data[i].append((netpath, int_addr, "".join(tdata[seq]))) return mem_trace_data -def write_vcd_trace(steps_start, steps_stop, index): +def write_vcd_trace(steps, index, seq_time=False): filename = vcdfile.replace("%", index) print_msg("Writing trace to VCD file: %s" % (filename)) @@ -971,10 +1072,10 @@ def write_vcd_trace(steps_start, steps_stop, index): vcd.add_clock([topmod] + netpath, edge) path_list.append(netpath) - mem_trace_data = collect_mem_trace_data(steps_start, steps_stop, vcd) + mem_trace_data = collect_mem_trace_data(steps, vcd) - for i in range(steps_start, steps_stop): - vcd.set_time(i) + for seq, i in enumerate(steps): + vcd.set_time(seq if seq_time else i) value_list = smt.get_net_bin_list(topmod, path_list, "s%d" % i) for path, value in zip(path_list, value_list): vcd.set_net([topmod] + path, value) @@ -982,7 +1083,14 @@ def write_vcd_trace(steps_start, steps_stop, index): for path, addr, value in mem_trace_data[i]: vcd.set_net([topmod] + path, value) - vcd.set_time(steps_stop) + if seq_time: + end_time = len(steps) + elif steps: + end_time = steps[-1] + 1 + else: + end_time = 0 + + vcd.set_time(end_time) def detect_state_loop(steps_start, steps_stop): print_msg(f"Checking for loops in found induction counter example") @@ -1027,7 +1135,7 @@ def escape_identifier(identifier): -def write_vlogtb_trace(steps_start, steps_stop, index): +def write_vlogtb_trace(steps, index): filename = vlogtbfile.replace("%", index) print_msg("Writing trace to Verilog testbench: %s" % (filename)) @@ -1092,7 +1200,7 @@ def write_vlogtb_trace(steps_start, steps_stop, index): print(" initial begin", file=f) regs = sorted(smt.hiernets(vlogtb_topmod, regs_only=True)) - regvals = smt.get_net_bin_list(vlogtb_topmod, regs, vlogtb_state.replace("@@step_idx@@", str(steps_start))) + regvals = smt.get_net_bin_list(vlogtb_topmod, regs, vlogtb_state.replace("@@step_idx@@", str(steps[0]))) print("`ifndef VERILATOR", file=f) print(" #1;", file=f) @@ -1107,7 +1215,7 @@ def write_vlogtb_trace(steps_start, steps_stop, index): anyconsts = sorted(smt.hieranyconsts(vlogtb_topmod)) for info in anyconsts: if info[3] is not None: - modstate = smt.net_expr(vlogtb_topmod, vlogtb_state.replace("@@step_idx@@", str(steps_start)), info[0]) + modstate = smt.net_expr(vlogtb_topmod, vlogtb_state.replace("@@step_idx@@", str(steps[0])), info[0]) value = smt.bv2bin(smt.get("(|%s| %s)" % (info[1], modstate))) print(" UUT.%s = %d'b%s;" % (".".join(escape_identifier(info[0] + [info[3]])), len(value), value), file=f); @@ -1117,7 +1225,7 @@ def write_vlogtb_trace(steps_start, steps_stop, index): addr_expr_list = list() data_expr_list = list() - for i in range(steps_start, steps_stop): + for i in steps: for j in range(rports): addr_expr_list.append(smt.mem_expr(vlogtb_topmod, vlogtb_state.replace("@@step_idx@@", str(i)), mempath, "R%dA" % j)) data_expr_list.append(smt.mem_expr(vlogtb_topmod, vlogtb_state.replace("@@step_idx@@", str(i)), mempath, "R%dD" % j)) @@ -1138,7 +1246,7 @@ def write_vlogtb_trace(steps_start, steps_stop, index): print("", file=f) anyseqs = sorted(smt.hieranyseqs(vlogtb_topmod)) - for i in range(steps_start, steps_stop): + for i in steps: pi_names = [[name] for name, _ in primary_inputs if name not in clock_inputs] pi_values = smt.get_net_bin_list(vlogtb_topmod, pi_names, vlogtb_state.replace("@@step_idx@@", str(i))) @@ -1170,14 +1278,14 @@ def write_vlogtb_trace(steps_start, steps_stop, index): print(" end", file=f) print(" always @(posedge clock) begin", file=f) - print(" genclock <= cycle < %d;" % (steps_stop-1), file=f) + print(" genclock <= cycle < %d;" % (steps[-1]), file=f) print(" cycle <= cycle + 1;", file=f) print(" end", file=f) print("endmodule", file=f) -def write_constr_trace(steps_start, steps_stop, index): +def write_constr_trace(steps, index): filename = outconstr.replace("%", index) print_msg("Writing trace to constraints file: %s" % (filename)) @@ -1194,7 +1302,7 @@ def write_constr_trace(steps_start, steps_stop, index): constr_prefix = smtctop[1] + "." if smtcinit: - steps_start = steps_stop - 1 + steps = [steps[-1]] with open(filename, "w") as f: primary_inputs = list() @@ -1203,13 +1311,13 @@ def write_constr_trace(steps_start, steps_stop, index): width = smt.modinfo[constr_topmod].wsize[name] primary_inputs.append((name, width)) - if steps_start == 0 or smtcinit: + if steps[0] == 0 or smtcinit: print("initial", file=f) else: - print("state %d" % steps_start, file=f) + print("state %d" % steps[0], file=f) regnames = sorted(smt.hiernets(constr_topmod, regs_only=True)) - regvals = smt.get_net_list(constr_topmod, regnames, constr_state.replace("@@step_idx@@", str(steps_start))) + regvals = smt.get_net_list(constr_topmod, regnames, constr_state.replace("@@step_idx@@", str(steps[0]))) for name, val in zip(regnames, regvals): print("assume (= [%s%s] %s)" % (constr_prefix, ".".join(name), val), file=f) @@ -1220,7 +1328,7 @@ def write_constr_trace(steps_start, steps_stop, index): addr_expr_list = list() data_expr_list = list() - for i in range(steps_start, steps_stop): + for i in steps: for j in range(rports): addr_expr_list.append(smt.mem_expr(constr_topmod, constr_state.replace("@@step_idx@@", str(i)), mempath, "R%dA" % j)) data_expr_list.append(smt.mem_expr(constr_topmod, constr_state.replace("@@step_idx@@", str(i)), mempath, "R%dD" % j)) @@ -1236,7 +1344,7 @@ def write_constr_trace(steps_start, steps_stop, index): for addr, data in addr_data.items(): print("assume (= (select [%s%s] %s) %s)" % (constr_prefix, ".".join(mempath), addr, data), file=f) - for k in range(steps_start, steps_stop): + for k in steps: if not smtcinit: print("", file=f) print("state %d" % k, file=f) @@ -1247,11 +1355,14 @@ def write_constr_trace(steps_start, steps_stop, index): for name, val in zip(pi_names, pi_values): print("assume (= [%s%s] %s)" % (constr_prefix, ".".join(name), val), file=f) -def write_yw_trace(steps_start, steps_stop, index, allregs=False): - filename = outywfile.replace("%", index) - print_msg("Writing trace to Yosys witness file: %s" % (filename)) +def write_yw_trace(steps, index, allregs=False, filename=None): + if filename is None: + if outywfile is None: + return + filename = outywfile.replace("%", index) + print_msg("Writing trace to Yosys witness file: %s" % (filename)) - mem_trace_data = collect_mem_trace_data(steps_start, steps_stop) + mem_trace_data = collect_mem_trace_data(steps) with open(filename, "w") as f: inits, seqs, clocks, mems = smt.hierwitness(topmod, allregs) @@ -1295,18 +1406,28 @@ def write_yw_trace(steps_start, steps_stop, index, allregs=False): sig = yw.add_sig(word_path, overlap_start, overlap_end - overlap_start, True) mem_init_values.append((sig, overlap_bits.replace("x", "?"))) - for k in range(steps_start, steps_stop): + exprs = [] + all_sigs = [] + + for i, k in enumerate(steps): step_values = WitnessValues() - if k == steps_start: + if not i: for sig, value in mem_init_values: step_values[sig] = value sigs = inits + seqs else: sigs = seqs + exprs.extend(smt.witness_net_expr(topmod, f"s{k}", sig) for sig in sigs) + + all_sigs.append((step_values, sigs)) + + bvs = iter(smt.get_list(exprs)) + + for (step_values, sigs) in all_sigs: for sig in sigs: - value = smt.bv2bin(smt.get(smt.witness_net_expr(topmod, f"s{k}", sig))) + value = smt.bv2bin(next(bvs)) step_values[sig["sig"]] = value yw.step(step_values) @@ -1314,17 +1435,24 @@ def write_yw_trace(steps_start, steps_stop, index, allregs=False): def write_trace(steps_start, steps_stop, index, allregs=False): + if steps_stop is None: + steps = steps_start + seq_time = True + else: + steps = list(range(steps_start, steps_stop)) + seq_time = False + if vcdfile is not None: - write_vcd_trace(steps_start, steps_stop, index) + write_vcd_trace(steps, index, seq_time=seq_time) if vlogtbfile is not None: - write_vlogtb_trace(steps_start, steps_stop, index) + write_vlogtb_trace(steps, index) if outconstr is not None: - write_constr_trace(steps_start, steps_stop, index) + write_constr_trace(steps, index) if outywfile is not None: - write_yw_trace(steps_start, steps_stop, index, allregs) + write_yw_trace(steps, index, allregs) def print_failed_asserts_worker(mod, state, path, extrainfo, infomap, infokey=()): @@ -1442,6 +1570,44 @@ def get_active_assert_map(step, active): return assert_map +assume_enables = {} + +def declare_assume_enables(): + def recurse(mod, path, key_base=()): + for expr, desc in smt.modinfo[mod].assumes.items(): + enable = f"|assume_enable {len(assume_enables)}|" + smt.smt2_assumptions[(expr, key_base)] = enable + smt.write(f"(declare-const {enable} Bool)") + assume_enables[(expr, key_base)] = (enable, path, desc) + + for cell, submod in smt.modinfo[mod].cells.items(): + recurse(submod, f"{path}.{cell}", (mod, cell, key_base)) + + recurse(topmod, topmod) + +if track_assumes: + declare_assume_enables() + +def smt_assert_design_assumes(step): + if not track_assumes: + smt_assert_consequent("(|%s_u| s%d)" % (topmod, step)) + return + + if not assume_enables: + return + + def expr_for_assume(assume_key, base=None): + expr, key_base = assume_key + expr_prefix = f"(|{expr}| " + expr_suffix = ")" + while key_base: + mod, cell, key_base = key_base + expr_prefix += f"(|{mod}_h {cell}| " + expr_suffix += ")" + return f"{expr_prefix} s{step}{expr_suffix}" + + for assume_key, (enable, path, desc) in assume_enables.items(): + smt_assert_consequent(f"(=> {enable} {expr_for_assume(assume_key)})") states = list() asserts_antecedent_cache = [list()] @@ -1596,7 +1762,18 @@ def smt_check_sat(expected=["sat", "unsat"]): smt_forall_assert() return smt.check_sat(expected=expected) -if tempind: +def report_tracked_assumptions(msg): + if track_assumes: + print_msg(msg) + for key in smt.get_unsat_assumptions(minimize=minimize_assumes): + enable, path, descr = assume_enables[key] + print_msg(f" In {path}: {descr}") + + +if incremental: + incremental.mainloop() + +elif tempind: retstatus = "FAILED" skip_counter = step_size for step in range(num_steps, -1, -1): @@ -1605,7 +1782,7 @@ def smt_check_sat(expected=["sat", "unsat"]): break smt_state(step) - smt_assert_consequent("(|%s_u| s%d)" % (topmod, step)) + smt_assert_design_assumes(step) smt_assert_antecedent("(|%s_h| s%d)" % (topmod, step)) smt_assert_antecedent("(not (|%s_is| s%d))" % (topmod, step)) smt_assert_consequent(get_constr_expr(constr_assumes, step)) @@ -1648,6 +1825,7 @@ def smt_check_sat(expected=["sat", "unsat"]): else: print_msg("Temporal induction successful.") + report_tracked_assumptions("Used assumptions:") retstatus = "PASSED" break @@ -1673,7 +1851,7 @@ def smt_check_sat(expected=["sat", "unsat"]): while step < num_steps: smt_state(step) - smt_assert_consequent("(|%s_u| s%d)" % (topmod, step)) + smt_assert_design_assumes(step) smt_assert_antecedent("(|%s_h| s%d)" % (topmod, step)) smt_assert_consequent(get_constr_expr(constr_assumes, step)) @@ -1694,6 +1872,7 @@ def smt_check_sat(expected=["sat", "unsat"]): smt_assert("(distinct (covers_%d s%d) #b%s)" % (coveridx, step, "0" * len(cover_desc))) if smt_check_sat() == "unsat": + report_tracked_assumptions("Used assumptions:") smt_pop() break @@ -1702,13 +1881,14 @@ def smt_check_sat(expected=["sat", "unsat"]): print_msg("Appending additional step %d." % i) smt_state(i) smt_assert_antecedent("(not (|%s_is| s%d))" % (topmod, i)) - smt_assert_consequent("(|%s_u| s%d)" % (topmod, i)) + smt_assert_design_assumes(i) smt_assert_antecedent("(|%s_h| s%d)" % (topmod, i)) smt_assert_antecedent("(|%s_t| s%d s%d)" % (topmod, i-1, i)) smt_assert_consequent(get_constr_expr(constr_assumes, i)) print_msg("Re-solving with appended steps..") if smt_check_sat() == "unsat": print("%s Cannot appended steps without violating assumptions!" % smt.timestamp()) + report_tracked_assumptions("Conflicting assumptions:") found_failed_assert = True retstatus = "FAILED" break @@ -1764,7 +1944,7 @@ def smt_check_sat(expected=["sat", "unsat"]): retstatus = "PASSED" while step < num_steps: smt_state(step) - smt_assert_consequent("(|%s_u| s%d)" % (topmod, step)) + smt_assert_design_assumes(step) smt_assert_antecedent("(|%s_h| s%d)" % (topmod, step)) smt_assert_consequent(get_constr_expr(constr_assumes, step)) @@ -1794,7 +1974,7 @@ def smt_check_sat(expected=["sat", "unsat"]): if step+i < num_steps: smt_state(step+i) smt_assert_antecedent("(not (|%s_is| s%d))" % (topmod, step+i)) - smt_assert_consequent("(|%s_u| s%d)" % (topmod, step+i)) + smt_assert_design_assumes(step + i) smt_assert_antecedent("(|%s_h| s%d)" % (topmod, step+i)) smt_assert_antecedent("(|%s_t| s%d s%d)" % (topmod, step+i-1, step+i)) smt_assert_consequent(get_constr_expr(constr_assumes, step+i)) @@ -1808,7 +1988,8 @@ def smt_check_sat(expected=["sat", "unsat"]): print_msg("Checking assumptions in steps %d to %d.." % (step, last_check_step)) if smt_check_sat() == "unsat": - print("%s Assumptions are unsatisfiable!" % smt.timestamp()) + print_msg("Assumptions are unsatisfiable!") + report_tracked_assumptions("Conficting assumptions:") retstatus = "PREUNSAT" break @@ -1861,13 +2042,14 @@ def smt_check_sat(expected=["sat", "unsat"]): print_msg("Appending additional step %d." % i) smt_state(i) smt_assert_antecedent("(not (|%s_is| s%d))" % (topmod, i)) - smt_assert_consequent("(|%s_u| s%d)" % (topmod, i)) + smt_assert_design_assumes(i) smt_assert_antecedent("(|%s_h| s%d)" % (topmod, i)) smt_assert_antecedent("(|%s_t| s%d s%d)" % (topmod, i-1, i)) smt_assert_consequent(get_constr_expr(constr_assumes, i)) print_msg("Re-solving with appended steps..") if smt_check_sat() == "unsat": - print("%s Cannot append steps without violating assumptions!" % smt.timestamp()) + print_msg("Cannot append steps without violating assumptions!") + report_tracked_assumptions("Conflicting assumptions:") retstatus = "FAILED" break print_anyconsts(step) @@ -1954,5 +2136,6 @@ def smt_check_sat(expected=["sat", "unsat"]): smt.write("(exit)") smt.wait() -print_msg("Status: %s" % retstatus) -sys.exit(0 if retstatus == "PASSED" else 1) +if not incremental: + print_msg("Status: %s" % retstatus) + sys.exit(0 if retstatus == "PASSED" else 1) diff --git a/yosys/backends/smt2/smtbmc_incremental.py b/yosys/backends/smt2/smtbmc_incremental.py new file mode 100644 index 00000000000..0bd280b4a48 --- /dev/null +++ b/yosys/backends/smt2/smtbmc_incremental.py @@ -0,0 +1,616 @@ +from collections import defaultdict +import json +import typing +import ywio + +if typing.TYPE_CHECKING: + import smtbmc +else: + import sys + + smtbmc = sys.modules["__main__"] + + +class InteractiveError(Exception): + pass + + +def mkkey(data): + if isinstance(data, list): + return tuple(map(mkkey, data)) + elif isinstance(data, dict): + raise InteractiveError(f"JSON objects found in assumption key: {data!r}") + return data + + +class Incremental: + def __init__(self): + self.traceidx = 0 + + self.state_set = set() + self.map_cache = {} + + self._cached_hierwitness = {} + self._witness_index = None + + self._yw_constraints = {} + self._define_sorts = {} + + def setup(self): + generic_assert_map = smtbmc.get_assert_map( + smtbmc.topmod, "state", smtbmc.topmod + ) + self.inv_generic_assert_map = { + tuple(data[1:]): key for key, data in generic_assert_map.items() + } + assert len(self.inv_generic_assert_map) == len(generic_assert_map) + + def print_json(self, **kwargs): + print(json.dumps(kwargs), flush=True) + + def print_msg(self, msg): + self.print_json(msg=msg) + + def get_cached_assert(self, step, name): + try: + assert_map = self.map_cache[step] + except KeyError: + assert_map = self.map_cache[step] = smtbmc.get_assert_map( + smtbmc.topmod, f"s{step}", smtbmc.topmod + ) + return assert_map[self.inv_generic_assert_map[name]][0] + + def arg_step(self, cmd, declare=False, name="step", optional=False): + step = cmd.get(name, None) + if step is None and optional: + return None + if not isinstance(step, int): + if optional: + raise InteractiveError(f"{name} must be an integer") + else: + raise InteractiveError(f"integer {name} argument required") + if declare and step in self.state_set: + raise InteractiveError(f"step {step} already declared") + if not declare and step not in self.state_set: + raise InteractiveError(f"step {step} not declared") + return step + + def expr_arg_len(self, expr, min_len, max_len=-1): + if max_len == -1: + max_len = min_len + arg_len = len(expr) - 1 + + if min_len is not None and arg_len < min_len: + if min_len == max_len: + raise InteractiveError( + f"{json.dumps(expr[0])} expression must have " + f"{min_len} argument{'s' if min_len != 1 else ''}" + ) + else: + raise InteractiveError( + f"{json.dumps(expr[0])} expression must have at least " + f"{min_len} argument{'s' if min_len != 1 else ''}" + ) + if max_len is not None and arg_len > max_len: + raise InteractiveError( + f"{json.dumps(expr[0])} expression can have at most " + f"{min_len} argument{'s' if max_len != 1 else ''}" + ) + + def expr_step(self, expr, smt_out): + self.expr_arg_len(expr, 1) + step = expr[1] + if step not in self.state_set: + raise InteractiveError(f"step {step} not declared") + smt_out.append(f"s{step}") + return "module", smtbmc.topmod + + def expr_cell(self, expr, smt_out): + self.expr_arg_len(expr, 2) + position = len(smt_out) + smt_out.append(None) + arg_sort = self.expr(expr[2], smt_out, required_sort=["module", None]) + smt_out.append(")") + module = arg_sort[1] + cell = expr[1] + submod = smtbmc.smt.modinfo[module].cells.get(cell) + if submod is None: + raise InteractiveError(f"module {module!r} has no cell {cell!r}") + smt_out[position] = f"(|{module}_h {cell}| " + return ("module", submod) + + def expr_mod_constraint(self, expr, smt_out): + suffix = expr[0][3:] + self.expr_arg_len(expr, 1, 2 if suffix in ["_a", "_u", "_c"] else 1) + position = len(smt_out) + smt_out.append(None) + arg_sort = self.expr(expr[-1], smt_out, required_sort=["module", None]) + module = arg_sort[1] + if len(expr) == 3: + smt_out[position] = f"(|{module}{suffix} {expr[1]}| " + else: + smt_out[position] = f"(|{module}{suffix}| " + smt_out.append(")") + return "Bool" + + def expr_mod_constraint2(self, expr, smt_out): + self.expr_arg_len(expr, 2) + + position = len(smt_out) + smt_out.append(None) + arg_sort = self.expr(expr[1], smt_out, required_sort=["module", None]) + smt_out.append(" ") + self.expr(expr[2], smt_out, required_sort=arg_sort) + module = arg_sort[1] + suffix = expr[0][3:] + smt_out[position] = f"(|{module}{suffix}| " + smt_out.append(")") + return "Bool" + + def expr_not(self, expr, smt_out): + self.expr_arg_len(expr, 1) + + smt_out.append("(not ") + self.expr(expr[1], smt_out, required_sort="Bool") + smt_out.append(")") + return "Bool" + + def expr_eq(self, expr, smt_out): + self.expr_arg_len(expr, 2) + + smt_out.append("(= ") + arg_sort = self.expr(expr[1], smt_out) + if ( + smtbmc.smt.unroll + and isinstance(arg_sort, (list, tuple)) + and arg_sort[0] == "module" + ): + raise InteractiveError("state equality not supported in unroll mode") + + smt_out.append(" ") + self.expr(expr[2], smt_out, required_sort=arg_sort) + smt_out.append(")") + return "Bool" + + def expr_andor(self, expr, smt_out): + if len(expr) == 1: + smt_out.push({"and": "true", "or": "false"}[expr[0]]) + elif len(expr) == 2: + self.expr(expr[1], smt_out, required_sort="Bool") + else: + sep = f"({expr[0]} " + for arg in expr[1:]: + smt_out.append(sep) + sep = " " + self.expr(arg, smt_out, required_sort="Bool") + smt_out.append(")") + return "Bool" + + def expr_bv_binop(self, expr, smt_out): + self.expr_arg_len(expr, 2) + + smt_out.append(f"({expr[0]} ") + arg_sort = self.expr(expr[1], smt_out, required_sort=("BitVec", None)) + smt_out.append(" ") + self.expr(expr[2], smt_out, required_sort=arg_sort) + smt_out.append(")") + return arg_sort + + def expr_extract(self, expr, smt_out): + self.expr_arg_len(expr, 3) + + hi = expr[1] + lo = expr[2] + + smt_out.append(f"((_ extract {hi} {lo}) ") + + arg_sort = self.expr(expr[3], smt_out, required_sort=("BitVec", None)) + smt_out.append(")") + + if not (isinstance(hi, int) and 0 <= hi < arg_sort[1]): + raise InteractiveError( + f"high bit index must be 0 <= index < {arg_sort[1]}, is {hi!r}" + ) + if not (isinstance(lo, int) and 0 <= lo <= hi): + raise InteractiveError( + f"low bit index must be 0 <= index < {hi}, is {lo!r}" + ) + + return "BitVec", hi - lo + 1 + + def expr_bv(self, expr, smt_out): + self.expr_arg_len(expr, 1) + + arg = expr[1] + if not isinstance(arg, str) or arg.count("0") + arg.count("1") != len(arg): + raise InteractiveError("bv argument must contain only 0 or 1 bits") + + smt_out.append("#b" + arg) + + return "BitVec", len(arg) + + def expr_yw(self, expr, smt_out): + self.expr_arg_len(expr, 1, 2) + if len(expr) == 2: + name = None + step = expr[1] + elif len(expr) == 3: + name = expr[1] + step = expr[2] + + if step not in self.state_set: + raise InteractiveError(f"step {step} not declared") + + if name not in self._yw_constraints: + raise InteractiveError(f"no yw file loaded as name {name!r}") + + constraints = self._yw_constraints[name].get(step, []) + + if len(constraints) == 0: + smt_out.append("true") + elif len(constraints) == 1: + smt_out.append(constraints[0]) + else: + sep = "(and " + for constraint in constraints: + smt_out.append(sep) + sep = " " + smt_out.append(constraint) + smt_out.append(")") + + return "Bool" + + def expr_yw_sig(self, expr, smt_out): + self.expr_arg_len(expr, 3, 4) + + step = expr[1] + path = expr[2] + offset = expr[3] + width = expr[4] if len(expr) == 5 else 1 + + if not isinstance(offset, int) or offset < 0: + raise InteractiveError( + f"offset must be a non-negative integer, got {json.dumps(offset)}" + ) + + if not isinstance(width, int) or width <= 0: + raise InteractiveError( + f"width must be a positive integer, got {json.dumps(width)}" + ) + + if not isinstance(path, list) or not all(isinstance(s, str) for s in path): + raise InteractiveError( + f"path must be a string list, got {json.dumps(path)}" + ) + + if step not in self.state_set: + raise InteractiveError(f"step {step} not declared") + + smt_expr = smtbmc.ywfile_signal( + ywio.WitnessSig(path=path, offset=offset, width=width), step + ) + + smt_out.append(smt_expr) + + return "BitVec", width + + def expr_smtlib(self, expr, smt_out): + self.expr_arg_len(expr, 2) + + smtlib_expr = expr[1] + sort = expr[2] + + if not isinstance(smtlib_expr, str): + raise InteractiveError( + "raw SMT-LIB expression has to be a string, " + f"got {json.dumps(smtlib_expr)}" + ) + + if ( + isinstance(sort, list) + and len(sort) == 2 + and sort[0] == "BitVec" + and (sort[1] is None or isinstance(sort[1], int)) + ): + sort = tuple(sort) + elif not isinstance(sort, str): + raise InteractiveError(f"unsupported raw SMT-LIB sort {json.dumps(sort)}") + + smt_out.append(smtlib_expr) + return sort + + def expr_label(self, expr, smt_out): + if len(expr) != 3: + raise InteractiveError( + f'expected ["!", label, sub_expr], got {json.dumps(expr)}' + ) + label = expr[1] + subexpr = expr[2] + + if not isinstance(label, str): + raise InteractiveError("expression label has to be a string") + + smt_out.append("(! ") + sort = self.expr(subexpr, smt_out) + smt_out.append(" :named ") + smt_out.append(label) + smt_out.append(")") + + return sort + + def expr_def(self, expr, smt_out): + self.expr_arg_len(expr, 1) + sort = self._define_sorts.get(expr[1]) + if sort is None: + raise InteractiveError(f"unknown definition {json.dumps(expr)}") + smt_out.append(expr[1]) + return sort + + expr_handlers = { + "step": expr_step, + "cell": expr_cell, + "mod_h": expr_mod_constraint, + "mod_is": expr_mod_constraint, + "mod_i": expr_mod_constraint, + "mod_a": expr_mod_constraint, + "mod_u": expr_mod_constraint, + "mod_t": expr_mod_constraint2, + "not": expr_not, + "and": expr_andor, + "or": expr_andor, + "bv": expr_bv, + "bvand": expr_bv_binop, + "bvor": expr_bv_binop, + "bvxor": expr_bv_binop, + "extract": expr_extract, + "def": expr_def, + "=": expr_eq, + "yw": expr_yw, + "yw_sig": expr_yw_sig, + "smtlib": expr_smtlib, + "!": expr_label, + } + + def expr(self, expr, smt_out, required_sort=None): + if not isinstance(expr, (list, tuple)) or not expr: + raise InteractiveError( + f"expression must be a non-empty JSON array, found: {json.dumps(expr)}" + ) + name = expr[0] + + handler = self.expr_handlers.get(name) + if handler: + sort = handler(self, expr, smt_out) + + if required_sort is not None: + if isinstance(required_sort, (list, tuple)): + if ( + not isinstance(sort, (list, tuple)) + or len(sort) != len(required_sort) + or any( + r is not None and r != s + for r, s in zip(required_sort, sort) + ) + ): + raise InteractiveError( + f"required sort {json.dumps(required_sort)} " + f"found sort {json.dumps(sort)}" + ) + return sort + raise InteractiveError(f"unknown expression {json.dumps(expr[0])}") + + def expr_smt(self, expr, required_sort): + return self.expr_smt_and_sort(expr, required_sort)[0] + + def expr_smt_and_sort(self, expr, required_sort=None): + smt_out = [] + output_sort = self.expr(expr, smt_out, required_sort=required_sort) + out = "".join(smt_out) + return out, output_sort + + def cmd_new_step(self, cmd): + step = self.arg_step(cmd, declare=True) + self.state_set.add(step) + smtbmc.smt_state(step) + + def cmd_assert(self, cmd): + name = cmd.get("cmd") + + assert_fn = { + "assert_antecedent": smtbmc.smt_assert_antecedent, + "assert_consequent": smtbmc.smt_assert_consequent, + "assert": smtbmc.smt_assert, + }[name] + + assert_fn(self.expr_smt(cmd.get("expr"), "Bool")) + + def cmd_assert_design_assumes(self, cmd): + step = self.arg_step(cmd) + smtbmc.smt_assert_design_assumes(step) + + def cmd_get_design_assume(self, cmd): + key = mkkey(cmd.get("key")) + return smtbmc.assume_enables.get(key) + + def cmd_update_assumptions(self, cmd): + expr = cmd.get("expr") + key = cmd.get("key") + + key = mkkey(key) + + result = smtbmc.smt.smt2_assumptions.pop(key, None) + if expr is not None: + expr = self.expr_smt(expr, "Bool") + smtbmc.smt.smt2_assumptions[key] = expr + return result + + def cmd_get_unsat_assumptions(self, cmd): + return smtbmc.smt.get_unsat_assumptions(minimize=bool(cmd.get("minimize"))) + + def cmd_push(self, cmd): + smtbmc.smt_push() + + def cmd_pop(self, cmd): + smtbmc.smt_pop() + + def cmd_check(self, cmd): + return smtbmc.smt_check_sat() + + def cmd_smtlib(self, cmd): + command = cmd.get("command") + response = cmd.get("response", False) + if not isinstance(command, str): + raise InteractiveError( + f"raw SMT-LIB command must be a string, found {json.dumps(command)}" + ) + smtbmc.smt.write(command) + if response: + return smtbmc.smt.read() + + def cmd_define(self, cmd): + expr = cmd.get("expr") + if expr is None: + raise InteractiveError("'define' copmmand requires 'expr' parameter") + + expr, sort = self.expr_smt_and_sort(expr) + + if isinstance(sort, tuple) and sort[0] == "module": + raise InteractiveError("'define' does not support module sorts") + + define_name = f"|inc def {len(self._define_sorts)}|" + + self._define_sorts[define_name] = sort + + if isinstance(sort, tuple): + sort = f"(_ {' '.join(map(str, sort))})" + + smtbmc.smt.write(f"(define-const {define_name} {sort} {expr})") + + return {"name": define_name} + + def cmd_design_hierwitness(self, cmd=None): + allregs = (cmd is None) or bool(cmd.get("allreges", False)) + if self._cached_hierwitness[allregs] is not None: + return self._cached_hierwitness[allregs] + inits, seqs, clocks, mems = smtbmc.smt.hierwitness(smtbmc.topmod, allregs) + self._cached_hierwitness[allregs] = result = dict( + inits=inits, seqs=seqs, clocks=clocks, mems=mems + ) + return result + + def cmd_write_yw_trace(self, cmd): + steps = cmd.get("steps") + allregs = bool(cmd.get("allregs", False)) + + if steps is None: + steps = sorted(self.state_set) + + path = cmd.get("path") + + smtbmc.write_yw_trace(steps, self.traceidx, allregs=allregs, filename=path) + + if path is None: + self.traceidx += 1 + + def cmd_read_yw_trace(self, cmd): + steps = cmd.get("steps") + path = cmd.get("path") + name = cmd.get("name") + skip_x = cmd.get("skip_x", False) + if path is None: + raise InteractiveError("path required") + + constraints = defaultdict(list) + + if steps is None: + steps = sorted(self.state_set) + + map_steps = {i: int(j) for i, j in enumerate(steps)} + + last_step = smtbmc.ywfile_constraints( + path, constraints, map_steps=map_steps, skip_x=skip_x + ) + + self._yw_constraints[name] = { + map_steps.get(i, i): [smtexpr for cexfile, smtexpr in constraint_list] + for i, constraint_list in constraints.items() + } + + return dict(last_step=last_step) + + def cmd_modinfo(self, cmd): + fields = cmd.get("fields", []) + + mod = cmd.get("mod") + if mod is None: + mod = smtbmc.topmod + modinfo = smtbmc.smt.modinfo.get(mod) + if modinfo is None: + return None + + result = dict(name=mod) + for field in fields: + result[field] = getattr(modinfo, field, None) + return result + + def cmd_ping(self, cmd): + return cmd + + cmd_handlers = { + "new_step": cmd_new_step, + "assert": cmd_assert, + "assert_antecedent": cmd_assert, + "assert_consequent": cmd_assert, + "assert_design_assumes": cmd_assert_design_assumes, + "get_design_assume": cmd_get_design_assume, + "update_assumptions": cmd_update_assumptions, + "get_unsat_assumptions": cmd_get_unsat_assumptions, + "push": cmd_push, + "pop": cmd_pop, + "check": cmd_check, + "smtlib": cmd_smtlib, + "define": cmd_define, + "design_hierwitness": cmd_design_hierwitness, + "write_yw_trace": cmd_write_yw_trace, + "read_yw_trace": cmd_read_yw_trace, + "modinfo": cmd_modinfo, + "ping": cmd_ping, + } + + def handle_command(self, cmd): + if not isinstance(cmd, dict) or "cmd" not in cmd: + raise InteractiveError('object with "cmd" key required') + + name = cmd.get("cmd", None) + + handler = self.cmd_handlers.get(name) + if handler: + return handler(self, cmd) + else: + raise InteractiveError(f"unknown command: {name}") + + def mainloop(self): + self.setup() + while True: + try: + cmd = input().strip() + if not cmd or cmd.startswith("#") or cmd.startswith("//"): + continue + try: + cmd = json.loads(cmd) + except json.decoder.JSONDecodeError as e: + self.print_json(err=f"invalid JSON: {e}") + continue + except EOFError: + break + + try: + result = self.handle_command(cmd) + except InteractiveError as e: + self.print_json(err=str(e)) + continue + except Exception as e: + self.print_json(err=f"internal error: {e}") + raise + else: + self.print_json(ok=result) diff --git a/yosys/backends/smt2/smtio.py b/yosys/backends/smt2/smtio.py index 0ec7f08f4dc..5fc3ab5a424 100644 --- a/yosys/backends/smt2/smtio.py +++ b/yosys/backends/smt2/smtio.py @@ -79,6 +79,20 @@ def except_hook(exctype, value, traceback): sys.excepthook = except_hook +def recursion_helper(iteration, *request): + stack = [iteration(*request)] + + while stack: + top = stack.pop() + try: + request = next(top) + except StopIteration: + continue + + stack.append(top) + stack.append(iteration(*request)) + + hex_dict = { "0": "0000", "1": "0001", "2": "0010", "3": "0011", "4": "0100", "5": "0101", "6": "0110", "7": "0111", @@ -100,6 +114,7 @@ def __init__(self): self.clocks = dict() self.cells = dict() self.asserts = dict() + self.assumes = dict() self.covers = dict() self.maximize = set() self.minimize = set() @@ -127,6 +142,7 @@ def __init__(self, opts=None): self.recheck = False self.smt2cache = [list()] self.smt2_options = dict() + self.smt2_assumptions = dict() self.p = None self.p_index = solvers_index solvers_index += 1 @@ -144,6 +160,7 @@ def __init__(self, opts=None): self.noincr = opts.noincr self.info_stmts = opts.info_stmts self.nocomments = opts.nocomments + self.smt2_options.update(opts.smt2_options) else: self.solver = "yices" @@ -298,10 +315,22 @@ def replace_in_stmt(self, stmt, pat, repl): return stmt def unroll_stmt(self, stmt): + result = [] + recursion_helper(self._unroll_stmt_into, stmt, result) + return result.pop() + + def _unroll_stmt_into(self, stmt, output, depth=128): if not isinstance(stmt, list): - return stmt + output.append(stmt) + return - stmt = [self.unroll_stmt(s) for s in stmt] + new_stmt = [] + for s in stmt: + if depth: + yield from self._unroll_stmt_into(s, new_stmt, depth - 1) + else: + yield s, new_stmt + stmt = new_stmt if len(stmt) >= 2 and not isinstance(stmt[0], list) and stmt[0] in self.unroll_decls: assert stmt[1] in self.unroll_objs @@ -330,12 +359,19 @@ def unroll_stmt(self, stmt): decl[2] = list() if len(decl) > 0: - decl = self.unroll_stmt(decl) + tmp = [] + if depth: + yield from self._unroll_stmt_into(decl, tmp, depth - 1) + else: + yield decl, tmp + + decl = tmp.pop() self.write(self.unparse(decl), unroll=False) - return self.unroll_cache[key] + output.append(self.unroll_cache[key]) + return - return stmt + output.append(stmt) def p_thread_main(self): while True: @@ -569,6 +605,12 @@ def info(self, stmt): else: self.modinfo[self.curmod].covers["%s_c %s" % (self.curmod, fields[2])] = fields[3] + if fields[1] == "yosys-smt2-assume": + if len(fields) > 4: + self.modinfo[self.curmod].assumes["%s_u %s" % (self.curmod, fields[2])] = f'{fields[4]} ({fields[3]})' + else: + self.modinfo[self.curmod].assumes["%s_u %s" % (self.curmod, fields[2])] = fields[3] + if fields[1] == "yosys-smt2-maximize": self.modinfo[self.curmod].maximize.add(fields[2]) @@ -752,8 +794,13 @@ def read(self): return stmt def check_sat(self, expected=["sat", "unsat", "unknown", "timeout", "interrupted"]): + if self.smt2_assumptions: + assume_exprs = " ".join(self.smt2_assumptions.values()) + check_stmt = f"(check-sat-assuming ({assume_exprs}))" + else: + check_stmt = "(check-sat)" if self.debug_print: - print("> (check-sat)") + print(f"> {check_stmt}") if self.debug_file and not self.nocomments: print("; running check-sat..", file=self.debug_file) self.debug_file.flush() @@ -767,7 +814,7 @@ def check_sat(self, expected=["sat", "unsat", "unknown", "timeout", "interrupted for cache_stmt in cache_ctx: self.p_write(cache_stmt + "\n", False) - self.p_write("(check-sat)\n", True) + self.p_write(f"{check_stmt}\n", True) if self.timeinfo: i = 0 @@ -835,7 +882,7 @@ def check_sat(self, expected=["sat", "unsat", "unknown", "timeout", "interrupted if self.debug_file: print("(set-info :status %s)" % result, file=self.debug_file) - print("(check-sat)", file=self.debug_file) + print(check_stmt, file=self.debug_file) self.debug_file.flush() if result not in expected: @@ -912,6 +959,55 @@ def bv2bin(self, v): def bv2int(self, v): return int(self.bv2bin(v), 2) + def get_raw_unsat_assumptions(self): + if not self.smt2_assumptions: + return [] + self.write("(get-unsat-assumptions)") + exprs = set(self.unparse(part) for part in self.parse(self.read())) + unsat_assumptions = [] + for key, value in self.smt2_assumptions.items(): + # normalize expression + value = self.unparse(self.parse(value)) + if value in exprs: + exprs.remove(value) + unsat_assumptions.append(key) + return unsat_assumptions + + def get_unsat_assumptions(self, minimize=False): + if not minimize: + return self.get_raw_unsat_assumptions() + orig_assumptions = self.smt2_assumptions + + self.smt2_assumptions = dict(orig_assumptions) + + required_assumptions = {} + + while True: + candidate_assumptions = {} + for key in self.get_raw_unsat_assumptions(): + if key not in required_assumptions: + candidate_assumptions[key] = self.smt2_assumptions[key] + + while candidate_assumptions: + + candidate_key, candidate_assume = candidate_assumptions.popitem() + + self.smt2_assumptions = {} + for key, assume in candidate_assumptions.items(): + self.smt2_assumptions[key] = assume + for key, assume in required_assumptions.items(): + self.smt2_assumptions[key] = assume + result = self.check_sat() + + if result == 'unsat': + candidate_assumptions = None + else: + required_assumptions[candidate_key] = candidate_assume + + if candidate_assumptions is not None: + self.smt2_assumptions = orig_assumptions + return list(required_assumptions) + def get(self, expr): self.write("(get-value (%s))" % (expr)) return self.parse(self.read())[0][1] @@ -920,7 +1016,7 @@ def get_list(self, expr_list): if len(expr_list) == 0: return [] self.write("(get-value (%s))" % " ".join(expr_list)) - return [n[1] for n in self.parse(self.read())] + return [n[1] for n in self.parse(self.read()) if n] def get_path(self, mod, path): assert mod in self.modinfo @@ -1058,7 +1154,7 @@ def wait(self): class SmtOpts: def __init__(self): self.shortopts = "s:S:v" - self.longopts = ["unroll", "noincr", "noprogress", "timeout=", "dump-smt2=", "logic=", "dummy=", "info=", "nocomments"] + self.longopts = ["unroll", "noincr", "noprogress", "timeout=", "dump-smt2=", "logic=", "dummy=", "info=", "nocomments", "smt2-option="] self.solver = "yices" self.solver_opts = list() self.debug_print = False @@ -1071,6 +1167,7 @@ def __init__(self): self.logic = None self.info_stmts = list() self.nocomments = False + self.smt2_options = {} def handle(self, o, a): if o == "-s": @@ -1097,6 +1194,13 @@ def handle(self, o, a): self.info_stmts.append(a) elif o == "--nocomments": self.nocomments = True + elif o == "--smt2-option": + args = a.split('=', 1) + if len(args) != 2: + print("--smt2-option expects an