Skip to content

Commit

Permalink
Merge branch 'cabal_ndk_fixes' into 'devel'
Browse files Browse the repository at this point in the history
Cabal ndk fixes

See merge request ndk/ndk-fpga!109
  • Loading branch information
jakubcabal committed Nov 18, 2024
2 parents 3620954 + 4522905 commit daaec2e
Show file tree
Hide file tree
Showing 2 changed files with 4 additions and 3 deletions.
5 changes: 3 additions & 2 deletions comp/mvb_tools/flow/gate/mvb_gate.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -29,7 +29,6 @@ entity MVB_GATE is
RX_VLD : in std_logic_vector(ITEMS-1 downto 0);
RX_SRC_RDY : in std_logic;
RX_DST_RDY : out std_logic;
-- ===============================================

-- ===============================================
-- TX MVB interface
Expand All @@ -38,8 +37,10 @@ entity MVB_GATE is
TX_VLD : out std_logic_vector(ITEMS-1 downto 0);
TX_SRC_RDY : out std_logic;
TX_DST_RDY : in std_logic;
-- ===============================================

-- ===============================================
-- Control interface
-- ===============================================
-- When this signal is asserted, transmission from RX -> TX
-- is disabled.
STOP_EN : in std_logic
Expand Down
2 changes: 1 addition & 1 deletion extra/dma-medusa

0 comments on commit daaec2e

Please sign in to comment.