Skip to content

Commit

Permalink
remove sector buffer mapping check for exp port in r6, too #781
Browse files Browse the repository at this point in the history
  • Loading branch information
lydon42 committed Feb 24, 2024
1 parent 819dbb9 commit 1e4d429
Showing 1 changed file with 0 additions and 4 deletions.
4 changes: 0 additions & 4 deletions src/vhdl/mega65r6.vhdl
Original file line number Diff line number Diff line change
Expand Up @@ -356,8 +356,6 @@ architecture Behavioral of container is
signal slow_prefetched_data : unsigned(7 downto 0);
signal slow_prefetched_request_toggle : std_logic;

signal sector_buffer_mapped : std_logic;

signal pmoda_dummy : std_logic_vector(7 downto 0) := (others => '1');

signal v_vga_hsync : std_logic;
Expand Down Expand Up @@ -913,7 +911,6 @@ begin
reset => iec_reset_drive,
cpu_exrom => cpu_exrom,
cpu_game => cpu_game,
sector_buffer_mapped => sector_buffer_mapped,

irq_out => irq_out,
nmi_out => nmi_out,
Expand Down Expand Up @@ -1042,7 +1039,6 @@ begin
irq => irq_combined,
nmi => nmi_combined,
restore_key => restore_key,
sector_buffer_mapped => sector_buffer_mapped,

qspi_clock => qspi_clock,
qspicsn => qspicsn,
Expand Down

0 comments on commit 1e4d429

Please sign in to comment.