Skip to content

linux-x64

linux-x64 #892

Triggered via schedule September 11, 2023 01:33
Status Success
Total duration 20m 27s
Artifacts
This run and associated checks have been archived and are scheduled for deletion. Learn more about checks retention

linux-x64.yml

on: schedule
linux-x64-python3
37s
linux-x64-python3
linux-x64-nextpnr-bba
13s
linux-x64-nextpnr-bba
linux-x64-cadical
6s
linux-x64-cadical
linux-x64-lingeling
5s
linux-x64-lingeling
linux-x64-btor2tools
4s
linux-x64-btor2tools
linux-x64-symfpu
8s
linux-x64-symfpu
linux-x64-icestorm
10s
linux-x64-icestorm
linux-x64-prjoxide
12s
linux-x64-prjoxide
linux-x64-python2
42s
linux-x64-python2
linux-x64-picosat
5s
linux-x64-picosat
linux-x64-libpoly
5s
linux-x64-libpoly
linux-x64-graphviz
12s
linux-x64-graphviz
linux-x64-prjtrellis
15s
linux-x64-prjtrellis
linux-x64-dfu-util
9s
linux-x64-dfu-util
linux-x64-ecpprog
4s
linux-x64-ecpprog
linux-x64-openfpgaloader
9s
linux-x64-openfpgaloader
linux-x64-avy
12s
linux-x64-avy
linux-x64-cvc4
23s
linux-x64-cvc4
linux-x64-yices
10s
linux-x64-yices
linux-x64-z3
17s
linux-x64-z3
linux-x64-mcy
17s
linux-x64-mcy
linux-x64-sby
9s
linux-x64-sby
linux-x64-sby-gui
12s
linux-x64-sby-gui
linux-x64-gtkwave
13s
linux-x64-gtkwave
linux-x64-verilator
14m 1s
linux-x64-verilator
linux-x64-iverilog
13s
linux-x64-iverilog
linux-x64-ecpdap
5s
linux-x64-ecpdap
linux-x64-fujprog
5s
linux-x64-fujprog
linux-x64-iceprogduino
6s
linux-x64-iceprogduino
linux-x64-openocd
10s
linux-x64-openocd
linux-x64-icesprog
6s
linux-x64-icesprog
linux-x64-utils
7s
linux-x64-utils
linux-x64-system-resources
6s
linux-x64-system-resources
linux-x64-prjtrellis-bba
25s
linux-x64-prjtrellis-bba
linux-x64-bison
12s
linux-x64-bison
linux-x64-abc
12s
linux-x64-abc
linux-x64-numpy
21s
linux-x64-numpy
linux-x64-xdot
8s
linux-x64-xdot
linux-x64-flask
7s
linux-x64-flask
linux-x64-python-programmers
16s
linux-x64-python-programmers
linux-x64-pyhdl
2m 18s
linux-x64-pyhdl
linux-x64-cocotb
8s
linux-x64-cocotb
linux-x64-nextpnr-generic
8s
linux-x64-nextpnr-generic
linux-x64-boolector
15s
linux-x64-boolector
linux-x64-bitwuzla
15s
linux-x64-bitwuzla
linux-x64-icestorm-bba
24s
linux-x64-icestorm-bba
linux-x64-prjoxide-bba
8s
linux-x64-prjoxide-bba
linux-x64-suprove
8s
linux-x64-suprove
linux-x64-aiger
12s
linux-x64-aiger
linux-x64-cvc5
20s
linux-x64-cvc5
linux-x64-nextpnr-ecp5
27s
linux-x64-nextpnr-ecp5
linux-x64-nextpnr-machxo2
18s
linux-x64-nextpnr-machxo2
linux-x64-yosys
14s
linux-x64-yosys
linux-x64-apicula
9s
linux-x64-apicula
linux-x64-nextpnr-ice40
27s
linux-x64-nextpnr-ice40
linux-x64-nextpnr-nexus
10s
linux-x64-nextpnr-nexus
linux-x64-smt-switch
8s
linux-x64-smt-switch
linux-x64-ghdl-yosys-plugin
2m 24s
linux-x64-ghdl-yosys-plugin
linux-x64-eqy
11s
linux-x64-eqy
linux-x64-apicula-bba
14s
linux-x64-apicula-bba
linux-x64-pono
18s
linux-x64-pono
linux-x64-nextpnr-gowin
8s
linux-x64-nextpnr-gowin
linux-x64-default
5m 45s
linux-x64-default
Fit to window
Zoom out
Zoom in

Annotations

1 error
linux-x64-pyhdl
Process completed with exit code 255.