darwin-arm64 #521
darwin-arm64.yml
on: workflow_run
darwin-arm64-python3
51s
darwin-arm64-cadical
6s
darwin-arm64-yosys
19m 33s
darwin-arm64-picosat
4s
darwin-arm64-libpoly
10s
darwin-arm64-graphviz
16s
darwin-arm64-icestorm
7s
darwin-arm64-prjtrellis
10s
darwin-arm64-prjoxide
9s
darwin-arm64-dfu-util
6s
darwin-arm64-ecpprog
6s
darwin-arm64-openfpgaloader
9s
darwin-arm64-avy
6s
darwin-arm64-cvc4
23s
darwin-arm64-yices
3m 20s
darwin-arm64-z3
20m 20s
darwin-arm64-mcy
6s
darwin-arm64-sby
16s
darwin-arm64-sby-gui
5s
darwin-arm64-gtkwave
6s
darwin-arm64-verilator
13m 20s
darwin-arm64-iverilog
7s
darwin-arm64-ecpdap
5s
darwin-arm64-fujprog
8s
darwin-arm64-iceprogduino
11s
darwin-arm64-openocd
18s
darwin-arm64-icesprog
17s
darwin-arm64-utils
7s
darwin-arm64-system-resources
7s
darwin-arm64-xdot
7s
darwin-arm64-nextpnr-generic
13s
darwin-arm64-nextpnr-ice40
22s
darwin-arm64-nextpnr-ecp5
27s
darwin-arm64-nextpnr-machxo2
16m 24s
darwin-arm64-nextpnr-nexus
18s
darwin-arm64-nextpnr-gowin
14s
darwin-arm64-flask
8s
darwin-arm64-python-programmers
15s
darwin-arm64-pyhdl
2m 48s
darwin-arm64-mau
8s
darwin-arm64-scy
14s
darwin-arm64-default
5m 39s
Annotations
2 errors
darwin-arm64-pyhdl
Process completed with exit code 255.
|
darwin-arm64-nextpnr-machxo2
Process completed with exit code 255.
|