linux-riscv64 #963
linux-riscv64.yml
on: workflow_run
linux-riscv64-python3
41s
linux-riscv64-cadical
10s
linux-riscv64-lingeling
6s
linux-riscv64-btor2tools
14s
linux-riscv64-yosys
16m 45s
linux-riscv64-python2
42s
linux-riscv64-picosat
6s
linux-riscv64-libpoly
11s
linux-riscv64-graphviz
24s
linux-riscv64-icestorm
9s
linux-riscv64-prjtrellis
21s
linux-riscv64-prjoxide
18s
linux-riscv64-dfu-util
6s
linux-riscv64-ecpprog
11s
linux-riscv64-openfpgaloader
9s
linux-riscv64-avy
6s
linux-riscv64-cvc4
27s
linux-riscv64-yices
7s
linux-riscv64-z3
25m 53s
linux-riscv64-mcy
9s
linux-riscv64-sby
12s
linux-riscv64-sby-gui
5s
linux-riscv64-gtkwave
11s
linux-riscv64-verilator
13m 49s
linux-riscv64-iverilog
15s
linux-riscv64-ecpdap
6s
linux-riscv64-fujprog
11s
linux-riscv64-iceprogduino
9s
linux-riscv64-openocd
10s
linux-riscv64-icesprog
7s
linux-riscv64-utils
7s
linux-riscv64-system-resources
14s
linux-riscv64-xdot
13s
linux-riscv64-nextpnr-generic
18s
linux-riscv64-nextpnr-ice40
30s
linux-riscv64-nextpnr-ecp5
25s
linux-riscv64-nextpnr-machxo2
21s
linux-riscv64-nextpnr-nexus
11s
linux-riscv64-nextpnr-gowin
12s
linux-riscv64-flask
8s
linux-riscv64-python-programmers
8s
linux-riscv64-pyhdl
2m 17s
linux-riscv64-cocotb
8s
linux-riscv64-mau
9s
linux-riscv64-scy
7s
linux-riscv64-default
5m 41s