linux-arm64 #960
Workflow file for this run
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
name: linux-arm64 | |
on: | |
workflow_dispatch: | |
workflow_run: | |
workflows: [ windows-x64 ] | |
types: | |
- completed | |
jobs: | |
linux-arm64-python3: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-python3 | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=python3 --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-python3.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-python3.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-xdot: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-xdot | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-xdot.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=xdot --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-xdot.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-xdot.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-graphviz: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-graphviz | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-graphviz.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=graphviz --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-graphviz.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-graphviz.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-yosys: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-yosys | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-yosys.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-x64-abc | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=yosys --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-yosys.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-yosys.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-nextpnr-generic: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-nextpnr-generic | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-generic.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Download linux-x64-nextpnr-bba | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=nextpnr-generic --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-nextpnr-generic.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-nextpnr-generic.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-nextpnr-ice40: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-nextpnr-ice40 | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ice40.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Download linux-x64-icestorm-bba | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" | tar xvfz - | |
- name: Download linux-x64-nextpnr-bba | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=nextpnr-ice40 --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-nextpnr-ice40.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-nextpnr-ice40.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-nextpnr-ecp5: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-nextpnr-ecp5 | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ecp5.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Download linux-x64-nextpnr-bba | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" | tar xvfz - | |
- name: Download linux-x64-prjtrellis-bba | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=nextpnr-ecp5 --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-nextpnr-ecp5.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-nextpnr-ecp5.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-nextpnr-machxo2: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-nextpnr-machxo2 | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-machxo2.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Download linux-x64-nextpnr-bba | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" | tar xvfz - | |
- name: Download linux-x64-prjtrellis-bba | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=nextpnr-machxo2 --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-nextpnr-machxo2.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-nextpnr-machxo2.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-nextpnr-nexus: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-nextpnr-nexus | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-nexus.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Download linux-x64-nextpnr-bba | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" | tar xvfz - | |
- name: Download linux-x64-prjoxide-bba | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=nextpnr-nexus --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-nextpnr-nexus.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-nextpnr-nexus.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-nextpnr-gowin: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-nextpnr-gowin | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-gowin.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Download linux-x64-apicula-bba | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" | tar xvfz - | |
- name: Download linux-x64-nextpnr-bba | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=nextpnr-gowin --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-nextpnr-gowin.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-nextpnr-gowin.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-icestorm: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-icestorm | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-icestorm.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=icestorm --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-icestorm.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-icestorm.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-prjtrellis: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-prjtrellis | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjtrellis.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=prjtrellis --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-prjtrellis.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-prjtrellis.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-prjoxide: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-prjoxide | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjoxide.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=prjoxide --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-prjoxide.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-prjoxide.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-numpy: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-numpy | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-numpy.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=numpy --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-numpy.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-numpy.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-apicula: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: [ linux-arm64-numpy, linux-arm64-python3 ] | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-apicula | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-apicula.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-numpy | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-numpy.tgz" | tar xvfz - | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=apicula --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-apicula.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-apicula.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-dfu-util: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-dfu-util | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-dfu-util.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=dfu-util --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-dfu-util.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-dfu-util.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-ecpprog: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-ecpprog | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-ecpprog.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=ecpprog --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-ecpprog.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-ecpprog.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-openfpgaloader: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-openfpgaloader | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-openfpgaloader.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=openfpgaloader --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-openfpgaloader.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-openfpgaloader.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-picosat: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-picosat | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-picosat.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=picosat --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-picosat.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-picosat.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-aiger: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-picosat | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-aiger | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-aiger.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-picosat | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-picosat.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=aiger --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-aiger.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-aiger.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-avy: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-avy | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-avy.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=avy --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-avy.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-avy.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-lingeling: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-lingeling | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-lingeling.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=lingeling --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-lingeling.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-lingeling.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-cadical: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-cadical | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=cadical --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-cadical.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-cadical.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-btor2tools: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-btor2tools | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-btor2tools.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=btor2tools --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-btor2tools.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-btor2tools.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-bitwuzla: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: [ linux-arm64-btor2tools, linux-arm64-cadical, linux-arm64-lingeling ] | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-bitwuzla | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-bitwuzla.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-btor2tools | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-btor2tools.tgz" | tar xvfz - | |
- name: Download linux-arm64-cadical | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" | tar xvfz - | |
- name: Download linux-arm64-lingeling | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-lingeling.tgz" | tar xvfz - | |
- name: Download linux-x64-symfpu | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=bitwuzla --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-bitwuzla.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-bitwuzla.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-boolector: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: [ linux-arm64-btor2tools, linux-arm64-cadical, linux-arm64-lingeling ] | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-boolector | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-btor2tools | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-btor2tools.tgz" | tar xvfz - | |
- name: Download linux-arm64-cadical | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" | tar xvfz - | |
- name: Download linux-arm64-lingeling | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-lingeling.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=boolector --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-boolector.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-boolector.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-cvc4: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-cvc4 | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc4.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=cvc4 --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-cvc4.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-cvc4.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-libpoly: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-libpoly | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-libpoly.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=libpoly --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-libpoly.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-libpoly.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-cvc5: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: [ linux-arm64-cadical, linux-arm64-libpoly ] | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-cvc5 | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-cadical | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" | tar xvfz - | |
- name: Download linux-arm64-libpoly | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-libpoly.tgz" | tar xvfz - | |
- name: Download linux-x64-symfpu | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=cvc5 --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-cvc5.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-cvc5.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-yices: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-yices | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-yices.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=yices --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-yices.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-yices.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-python2: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-python2 | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python2.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=python2 --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-python2.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-python2.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-suprove: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python2 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-suprove | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-suprove.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python2 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python2.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=suprove --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-suprove.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-suprove.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-smt-switch: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: [ linux-arm64-boolector, linux-arm64-cvc5 ] | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-smt-switch | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-smt-switch.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-boolector | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" | tar xvfz - | |
- name: Download linux-arm64-cvc5 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" | tar xvfz - | |
- name: Download linux-x64-bison | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-bison.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=smt-switch --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-smt-switch.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-smt-switch.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-pono: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: [ linux-arm64-boolector, linux-arm64-cvc5, linux-arm64-smt-switch ] | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-pono | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pono.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-boolector | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" | tar xvfz - | |
- name: Download linux-arm64-cvc5 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" | tar xvfz - | |
- name: Download linux-arm64-smt-switch | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-smt-switch.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=pono --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-pono.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-pono.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-z3: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-z3 | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-z3.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=z3 --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-z3.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-z3.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-flask: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-flask | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-flask.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=flask --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-flask.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-flask.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-mcy: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-mcy | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-mcy.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=mcy --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-mcy.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-mcy.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-eqy: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-yosys | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-eqy | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-eqy.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-yosys | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-yosys.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=eqy --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-eqy.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-eqy.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-sby: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-sby | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-sby.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=sby --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-sby.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-sby.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-sby-gui: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-sby-gui | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-sby-gui.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=sby-gui --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-sby-gui.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-sby-gui.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-gtkwave: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-gtkwave | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-gtkwave.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=gtkwave --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-gtkwave.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-gtkwave.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-verilator: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-verilator | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-verilator.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=verilator --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-verilator.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-verilator.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-iverilog: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-iverilog | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-iverilog.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=iverilog --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-iverilog.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-iverilog.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-ecpdap: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-ecpdap | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-ecpdap.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=ecpdap --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-ecpdap.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-ecpdap.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-fujprog: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-fujprog | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-fujprog.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=fujprog --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-fujprog.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-fujprog.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-iceprogduino: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-iceprogduino | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-iceprogduino.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=iceprogduino --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-iceprogduino.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-iceprogduino.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-python-programmers: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-python-programmers | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python-programmers.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=python-programmers --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-python-programmers.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-python-programmers.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-openocd: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-openocd | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-openocd.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=openocd --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-openocd.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-openocd.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-icesprog: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-icesprog | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-icesprog.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=icesprog --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-icesprog.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-icesprog.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-utils: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-utils | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-utils.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=utils --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-utils.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-utils.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-pyhdl: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-pyhdl | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pyhdl.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=pyhdl --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-pyhdl.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-pyhdl.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-cocotb: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-cocotb | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cocotb.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=cocotb --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-cocotb.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-cocotb.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-mau: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-mau | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-mau.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=mau --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-mau.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-mau.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-scy: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
needs: linux-arm64-python3 | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-scy | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-scy.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=scy --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-scy.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-scy.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-system-resources: | |
runs-on: ubuntu-latest | |
continue-on-error: true | |
steps: | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Cache sources | |
id: cache-sources | |
uses: actions/cache@v3 | |
with: | |
path: _sources | |
key: cache-sources-system-resources | |
- name: Download previous build | |
run: | | |
URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-system-resources.tgz" | |
if wget --spider "${URL}" 2>/dev/null; then | |
wget -qO- "${URL}" | tar xvfz - | |
else | |
echo "Previous version not found in bucket" | |
fi | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=system-resources --single --tar | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('linux-arm64-system-resources.tgz') != '' | |
with: | |
allowUpdates: True | |
prerelease: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: bucket-linux-arm64 | |
artifacts: "linux-arm64-system-resources.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} | |
linux-arm64-default: | |
runs-on: ubuntu-latest | |
needs: [ linux-arm64-aiger, linux-arm64-apicula, linux-arm64-avy, linux-arm64-bitwuzla, linux-arm64-boolector, linux-arm64-cocotb, linux-arm64-cvc4, linux-arm64-cvc5, linux-arm64-dfu-util, linux-arm64-ecpdap, linux-arm64-ecpprog, linux-arm64-eqy, linux-arm64-flask, linux-arm64-fujprog, linux-arm64-graphviz, linux-arm64-gtkwave, linux-arm64-iceprogduino, linux-arm64-icesprog, linux-arm64-icestorm, linux-arm64-iverilog, linux-arm64-mau, linux-arm64-mcy, linux-arm64-nextpnr-ecp5, linux-arm64-nextpnr-generic, linux-arm64-nextpnr-gowin, linux-arm64-nextpnr-ice40, linux-arm64-nextpnr-machxo2, linux-arm64-nextpnr-nexus, linux-arm64-numpy, linux-arm64-openfpgaloader, linux-arm64-openocd, linux-arm64-pono, linux-arm64-prjoxide, linux-arm64-prjtrellis, linux-arm64-pyhdl, linux-arm64-python-programmers, linux-arm64-python2, linux-arm64-python3, linux-arm64-sby, linux-arm64-sby-gui, linux-arm64-scy, linux-arm64-suprove, linux-arm64-system-resources, linux-arm64-utils, linux-arm64-verilator, linux-arm64-xdot, linux-arm64-yices, linux-arm64-yosys, linux-arm64-z3 ] | |
steps: | |
- name: Get current date | |
id: date | |
run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT | |
- uses: actions/checkout@v3 | |
with: | |
repository: 'yosyshq/oss-cad-suite-build' | |
- name: Download linux-arm64-aiger | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-aiger.tgz" | tar xvfz - | |
- name: Download linux-arm64-apicula | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-apicula.tgz" | tar xvfz - | |
- name: Download linux-arm64-avy | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-avy.tgz" | tar xvfz - | |
- name: Download linux-arm64-bitwuzla | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-bitwuzla.tgz" | tar xvfz - | |
- name: Download linux-arm64-boolector | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" | tar xvfz - | |
- name: Download linux-arm64-cocotb | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cocotb.tgz" | tar xvfz - | |
- name: Download linux-arm64-cvc4 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc4.tgz" | tar xvfz - | |
- name: Download linux-arm64-cvc5 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" | tar xvfz - | |
- name: Download linux-arm64-dfu-util | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-dfu-util.tgz" | tar xvfz - | |
- name: Download linux-arm64-ecpdap | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-ecpdap.tgz" | tar xvfz - | |
- name: Download linux-arm64-ecpprog | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-ecpprog.tgz" | tar xvfz - | |
- name: Download linux-arm64-eqy | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-eqy.tgz" | tar xvfz - | |
- name: Download linux-arm64-flask | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-flask.tgz" | tar xvfz - | |
- name: Download linux-arm64-fujprog | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-fujprog.tgz" | tar xvfz - | |
- name: Download linux-arm64-graphviz | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-graphviz.tgz" | tar xvfz - | |
- name: Download linux-arm64-gtkwave | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-gtkwave.tgz" | tar xvfz - | |
- name: Download linux-arm64-iceprogduino | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-iceprogduino.tgz" | tar xvfz - | |
- name: Download linux-arm64-icesprog | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-icesprog.tgz" | tar xvfz - | |
- name: Download linux-arm64-icestorm | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-icestorm.tgz" | tar xvfz - | |
- name: Download linux-arm64-iverilog | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-iverilog.tgz" | tar xvfz - | |
- name: Download linux-arm64-mau | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-mau.tgz" | tar xvfz - | |
- name: Download linux-arm64-mcy | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-mcy.tgz" | tar xvfz - | |
- name: Download linux-arm64-nextpnr-ecp5 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ecp5.tgz" | tar xvfz - | |
- name: Download linux-arm64-nextpnr-generic | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-generic.tgz" | tar xvfz - | |
- name: Download linux-arm64-nextpnr-gowin | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-gowin.tgz" | tar xvfz - | |
- name: Download linux-arm64-nextpnr-ice40 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ice40.tgz" | tar xvfz - | |
- name: Download linux-arm64-nextpnr-machxo2 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-machxo2.tgz" | tar xvfz - | |
- name: Download linux-arm64-nextpnr-nexus | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-nexus.tgz" | tar xvfz - | |
- name: Download linux-arm64-numpy | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-numpy.tgz" | tar xvfz - | |
- name: Download linux-arm64-openfpgaloader | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-openfpgaloader.tgz" | tar xvfz - | |
- name: Download linux-arm64-openocd | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-openocd.tgz" | tar xvfz - | |
- name: Download linux-arm64-pono | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pono.tgz" | tar xvfz - | |
- name: Download linux-arm64-prjoxide | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjoxide.tgz" | tar xvfz - | |
- name: Download linux-arm64-prjtrellis | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjtrellis.tgz" | tar xvfz - | |
- name: Download linux-arm64-pyhdl | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pyhdl.tgz" | tar xvfz - | |
- name: Download linux-arm64-python-programmers | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python-programmers.tgz" | tar xvfz - | |
- name: Download linux-arm64-python2 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python2.tgz" | tar xvfz - | |
- name: Download linux-arm64-python3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - | |
- name: Download linux-arm64-sby | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-sby.tgz" | tar xvfz - | |
- name: Download linux-arm64-sby-gui | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-sby-gui.tgz" | tar xvfz - | |
- name: Download linux-arm64-scy | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-scy.tgz" | tar xvfz - | |
- name: Download linux-arm64-suprove | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-suprove.tgz" | tar xvfz - | |
- name: Download linux-arm64-system-resources | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-system-resources.tgz" | tar xvfz - | |
- name: Download linux-arm64-utils | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-utils.tgz" | tar xvfz - | |
- name: Download linux-arm64-verilator | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-verilator.tgz" | tar xvfz - | |
- name: Download linux-arm64-xdot | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-xdot.tgz" | tar xvfz - | |
- name: Download linux-arm64-yices | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-yices.tgz" | tar xvfz - | |
- name: Download linux-arm64-yosys | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-yosys.tgz" | tar xvfz - | |
- name: Download linux-arm64-z3 | |
run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-z3.tgz" | tar xvfz - | |
- name: Build | |
run: ./builder.py build --arch=linux-arm64 --target=default --single | |
- uses: ncipollo/release-action@v1 | |
if: hashFiles('_outputs/linux-arm64/default/*.tgz') != '' | |
with: | |
allowUpdates: True | |
omitBody: True | |
omitBodyDuringUpdate: True | |
omitNameDuringUpdate: True | |
tag: ${{ steps.date.outputs.date }} | |
artifacts: "_outputs/linux-arm64/default/*.tgz" | |
token: ${{ secrets.GITHUB_TOKEN }} |