linux-riscv64 #973
linux-riscv64.yml
on: workflow_run
linux-riscv64-python3
1m 1s
linux-riscv64-cadical
10s
linux-riscv64-lingeling
6s
linux-riscv64-btor2tools
10s
linux-riscv64-yosys
12s
linux-riscv64-python2
56s
linux-riscv64-picosat
5s
linux-riscv64-libpoly
5s
linux-riscv64-graphviz
12s
linux-riscv64-icestorm
18s
linux-riscv64-prjtrellis
14s
linux-riscv64-prjoxide
13s
linux-riscv64-dfu-util
12s
linux-riscv64-ecpprog
5s
linux-riscv64-openfpgaloader
12s
linux-riscv64-avy
10s
linux-riscv64-cvc4
22s
linux-riscv64-yices
2m 42s
linux-riscv64-z3
11s
linux-riscv64-mcy
5s
linux-riscv64-sby
9s
linux-riscv64-sby-gui
10s
linux-riscv64-gtkwave
11s
linux-riscv64-verilator
12s
linux-riscv64-iverilog
14s
linux-riscv64-ecpdap
6s
linux-riscv64-fujprog
5s
linux-riscv64-iceprogduino
11s
linux-riscv64-openocd
16s
linux-riscv64-icesprog
9s
linux-riscv64-utils
13s
linux-riscv64-system-resources
10s
linux-riscv64-xdot
7s
linux-riscv64-nextpnr-generic
8s
linux-riscv64-nextpnr-ice40
20s
linux-riscv64-nextpnr-ecp5
1m 9s
linux-riscv64-nextpnr-machxo2
31s
linux-riscv64-nextpnr-nexus
10s
linux-riscv64-nextpnr-gowin
13s
linux-riscv64-flask
43s
linux-riscv64-python-programmers
22s
linux-riscv64-pyhdl
12s
linux-riscv64-cocotb
14s
linux-riscv64-mau
16s
linux-riscv64-scy
11s
linux-riscv64-default
5m 31s