linux-arm #972
linux-arm.yml
on: workflow_run
linux-arm-python3
45s
linux-arm-cadical
5s
linux-arm-yosys
17m 54s
linux-arm-python2
50s
linux-arm-picosat
11s
linux-arm-libpoly
11s
linux-arm-graphviz
13s
linux-arm-icestorm
12s
linux-arm-prjtrellis
15s
linux-arm-prjoxide
11s
linux-arm-dfu-util
6s
linux-arm-ecpprog
11s
linux-arm-openfpgaloader
6s
linux-arm-avy
6s
linux-arm-cvc4
25s
linux-arm-yices
13s
linux-arm-z3
24m 59s
linux-arm-mcy
12s
linux-arm-sby
9s
linux-arm-sby-gui
5s
linux-arm-gtkwave
10s
linux-arm-verilator
18m 54s
linux-arm-iverilog
8s
linux-arm-ecpdap
10s
linux-arm-fujprog
9s
linux-arm-iceprogduino
6s
linux-arm-openocd
9s
linux-arm-icesprog
13s
linux-arm-utils
18s
linux-arm-system-resources
14s
linux-arm-xdot
7s
linux-arm-nextpnr-generic
14s
linux-arm-nextpnr-ice40
24s
linux-arm-nextpnr-ecp5
23s
linux-arm-nextpnr-machxo2
23s
linux-arm-nextpnr-nexus
15s
linux-arm-nextpnr-gowin
16s
linux-arm-flask
8s
linux-arm-python-programmers
16s
linux-arm-pyhdl
2m 28s
linux-arm-cocotb
8s
linux-arm-mau
13s
linux-arm-scy
8s
linux-arm-default
6m 22s