linux-riscv64 #974
linux-riscv64.yml
on: workflow_run
linux-riscv64-python3
55s
linux-riscv64-cadical
5s
linux-riscv64-lingeling
5s
linux-riscv64-btor2tools
11s
linux-riscv64-yosys
16m 55s
linux-riscv64-python2
42s
linux-riscv64-picosat
12s
linux-riscv64-libpoly
9s
linux-riscv64-graphviz
20s
linux-riscv64-icestorm
6s
linux-riscv64-prjtrellis
31s
linux-riscv64-prjoxide
12s
linux-riscv64-dfu-util
12s
linux-riscv64-ecpprog
5s
linux-riscv64-openfpgaloader
10s
linux-riscv64-avy
12s
linux-riscv64-cvc4
26s
linux-riscv64-yices
7s
linux-riscv64-z3
28m 21s
linux-riscv64-mcy
10s
linux-riscv64-sby
10s
linux-riscv64-sby-gui
9s
linux-riscv64-gtkwave
6s
linux-riscv64-verilator
14m 2s
linux-riscv64-iverilog
13s
linux-riscv64-ecpdap
7s
linux-riscv64-fujprog
9s
linux-riscv64-iceprogduino
5s
linux-riscv64-openocd
10s
linux-riscv64-icesprog
13s
linux-riscv64-utils
11s
linux-riscv64-system-resources
14s
linux-riscv64-xdot
8s
linux-riscv64-nextpnr-generic
9s
linux-riscv64-nextpnr-ice40
22s
linux-riscv64-nextpnr-ecp5
24s
linux-riscv64-nextpnr-machxo2
29s
linux-riscv64-nextpnr-nexus
19s
linux-riscv64-nextpnr-gowin
8s
linux-riscv64-flask
8s
linux-riscv64-python-programmers
8s
linux-riscv64-pyhdl
2m 18s
linux-riscv64-cocotb
7s
linux-riscv64-mau
14s
linux-riscv64-scy
12s
linux-riscv64-default
5m 54s