darwin-x64 #956
darwin-x64.yml
on: workflow_run
darwin-x64-python3
43s
darwin-x64-cadical
6s
darwin-x64-yosys
19m 9s
darwin-x64-ghdl
17s
darwin-x64-picosat
6s
darwin-x64-libpoly
5s
darwin-x64-graphviz
16s
darwin-x64-icestorm
8s
darwin-x64-prjtrellis
16s
darwin-x64-prjoxide
10s
darwin-x64-dfu-util
12s
darwin-x64-ecpprog
9s
darwin-x64-openfpgaloader
3m 22s
darwin-x64-avy
7s
darwin-x64-cvc4
16s
darwin-x64-yices
13s
darwin-x64-z3
18s
darwin-x64-mcy
6s
darwin-x64-sby
10s
darwin-x64-sby-gui
9s
darwin-x64-gtkwave
10s
darwin-x64-verilator
16s
darwin-x64-iverilog
12s
darwin-x64-ecpdap
14s
darwin-x64-fujprog
4s
darwin-x64-iceprogduino
11s
darwin-x64-openocd
10s
darwin-x64-icesprog
7s
darwin-x64-utils
14s
darwin-x64-system-resources
13s
darwin-x64-xdot
13s
darwin-x64-nextpnr-generic
9s
darwin-x64-nextpnr-ice40
24s
darwin-x64-nextpnr-ecp5
20s
darwin-x64-nextpnr-machxo2
20s
darwin-x64-nextpnr-nexus
11s
darwin-x64-nextpnr-gowin
9s
darwin-x64-flask
8s
darwin-x64-python-programmers
9s
darwin-x64-pyhdl
14s
darwin-x64-cocotb
10s
darwin-x64-mau
10s
darwin-x64-scy
7s
darwin-x64-default
6m 4s