linux-arm #978
linux-arm.yml
on: workflow_run
linux-arm-python3
52s
linux-arm-cadical
6s
linux-arm-yosys
22m 40s
linux-arm-python2
42s
linux-arm-picosat
10s
linux-arm-libpoly
9s
linux-arm-graphviz
22s
linux-arm-icestorm
11s
linux-arm-prjtrellis
8s
linux-arm-prjoxide
11s
linux-arm-dfu-util
13s
linux-arm-ecpprog
13s
linux-arm-openfpgaloader
2m 47s
linux-arm-avy
6s
linux-arm-cvc4
19s
linux-arm-yices
14s
linux-arm-z3
19s
linux-arm-mcy
8s
linux-arm-sby
5s
linux-arm-sby-gui
12s
linux-arm-gtkwave
7s
linux-arm-verilator
17s
linux-arm-iverilog
16s
linux-arm-ecpdap
5s
linux-arm-fujprog
10s
linux-arm-iceprogduino
6s
linux-arm-openocd
17s
linux-arm-icesprog
14s
linux-arm-utils
11s
linux-arm-system-resources
6s
linux-arm-xdot
7s
linux-arm-nextpnr-generic
10s
linux-arm-nextpnr-ice40
23s
linux-arm-nextpnr-ecp5
20s
linux-arm-nextpnr-machxo2
25s
linux-arm-nextpnr-nexus
10s
linux-arm-nextpnr-gowin
15s
linux-arm-flask
8s
linux-arm-python-programmers
9s
linux-arm-pyhdl
9s
linux-arm-cocotb
14s
linux-arm-mau
7s
linux-arm-scy
11s
linux-arm-default
31s
Annotations
2 errors
linux-arm-eqy
Process completed with exit code 2.
|
linux-arm-default
Process completed with exit code 2.
|