darwin-arm64 #541
darwin-arm64.yml
on: workflow_run
darwin-arm64-python3
42s
darwin-arm64-cadical
12s
darwin-arm64-lingeling
5s
darwin-arm64-btor2tools
14s
darwin-arm64-yosys
26m 25s
darwin-arm64-picosat
12s
darwin-arm64-libpoly
10s
darwin-arm64-graphviz
15s
darwin-arm64-icestorm
10s
darwin-arm64-prjtrellis
15s
darwin-arm64-prjoxide
14s
darwin-arm64-dfu-util
10s
darwin-arm64-ecpprog
9s
darwin-arm64-openfpgaloader
4m 53s
darwin-arm64-avy
10s
darwin-arm64-cvc4
18s
darwin-arm64-yices
13s
darwin-arm64-z3
16s
darwin-arm64-mcy
17s
darwin-arm64-sby
11s
darwin-arm64-sby-gui
5s
darwin-arm64-gtkwave
13s
darwin-arm64-verilator
19s
darwin-arm64-iverilog
14s
darwin-arm64-ecpdap
9s
darwin-arm64-fujprog
6s
darwin-arm64-iceprogduino
12s
darwin-arm64-openocd
14s
darwin-arm64-icesprog
9s
darwin-arm64-utils
13s
darwin-arm64-system-resources
8s
darwin-arm64-xdot
14s
darwin-arm64-nextpnr-generic
20s
darwin-arm64-nextpnr-ice40
30s
darwin-arm64-nextpnr-ecp5
23s
darwin-arm64-nextpnr-machxo2
28s
darwin-arm64-nextpnr-nexus
11s
darwin-arm64-nextpnr-gowin
17s
darwin-arm64-flask
7s
darwin-arm64-python-programmers
9s
darwin-arm64-pyhdl
12s
darwin-arm64-mau
11s
darwin-arm64-scy
16s
darwin-arm64-default
5m 25s