windows-x64 #960
windows-x64.yml
on: workflow_run
windows-x64-python3
43s
windows-x64-cadical
6s
windows-x64-yosys
11s
windows-x64-libpoly
9s
windows-x64-icestorm
10s
windows-x64-prjtrellis
13s
windows-x64-prjoxide
9s
windows-x64-dfu-util
6s
windows-x64-ecpprog
8s
windows-x64-openfpgaloader
10s
windows-x64-avy
10s
windows-x64-cvc4
21s
windows-x64-yices
20s
windows-x64-z3
19m 26s
windows-x64-mcy
8s
windows-x64-sby
10s
windows-x64-sby-gui
12s
windows-x64-gtkwave
13s
windows-x64-verilator
16m 31s
windows-x64-iverilog
14s
windows-x64-ecpdap
8s
windows-x64-fujprog
11s
windows-x64-iceprogduino
10s
windows-x64-openocd
4m 56s
windows-x64-icesprog
6s
windows-x64-utils
14s
windows-x64-system-resources
5s
windows-x64-nextpnr-generic
6m 25s
windows-x64-nextpnr-ice40
9m 6s
windows-x64-nextpnr-ecp5
10m 53s
windows-x64-nextpnr-machxo2
10m 23s
windows-x64-nextpnr-nexus
8m 14s
windows-x64-nextpnr-gowin
8m 48s
windows-x64-flask
18s
windows-x64-python-programmers
15s
windows-x64-pyhdl
9s
windows-x64-mau
14s
windows-x64-scy
8s
windows-x64-default
4m 29s