linux-riscv64 #1005
linux-riscv64.yml
on: workflow_run
linux-riscv64-python3
40s
linux-riscv64-cadical
6s
linux-riscv64-lingeling
6s
linux-riscv64-btor2tools
8s
linux-riscv64-yosys
16m 46s
linux-riscv64-python2
51s
linux-riscv64-picosat
5s
linux-riscv64-libpoly
5s
linux-riscv64-graphviz
10s
linux-riscv64-icestorm
11s
linux-riscv64-prjtrellis
8s
linux-riscv64-prjoxide
7s
linux-riscv64-dfu-util
9s
linux-riscv64-ecpprog
6s
linux-riscv64-openfpgaloader
10s
linux-riscv64-avy
5s
linux-riscv64-cvc4
30s
linux-riscv64-yices
6s
linux-riscv64-z3
13m 27s
linux-riscv64-mcy
5s
linux-riscv64-sby
7s
linux-riscv64-sby-gui
6s
linux-riscv64-gtkwave
10s
linux-riscv64-verilator
10m 42s
linux-riscv64-iverilog
6m 43s
linux-riscv64-ecpdap
8s
linux-riscv64-fujprog
8s
linux-riscv64-iceprogduino
5s
linux-riscv64-openocd
14s
linux-riscv64-icesprog
6s
linux-riscv64-utils
11s
linux-riscv64-system-resources
1m 43s
linux-riscv64-xdot
6s
linux-riscv64-nextpnr-generic
4m 8s
linux-riscv64-nextpnr-ice40
7m 29s
linux-riscv64-nextpnr-ecp5
8m 34s
linux-riscv64-nextpnr-machxo2
4m 31s
linux-riscv64-nextpnr-nexus
4m 32s
linux-riscv64-nextpnr-gowin
4m 9s
linux-riscv64-flask
12s
linux-riscv64-python-programmers
12s
linux-riscv64-pyhdl
2m 21s
linux-riscv64-cocotb
2m 17s
linux-riscv64-mau
6s
linux-riscv64-scy
10s
linux-riscv64-default
4m 26s