linux-arm #1015
linux-arm.yml
on: workflow_run
linux-arm-python3
31s
linux-arm-cadical
5s
linux-arm-yosys
11m 27s
linux-arm-python2
28s
linux-arm-picosat
4s
linux-arm-libpoly
10s
linux-arm-graphviz
9s
linux-arm-icestorm
7s
linux-arm-prjtrellis
12s
linux-arm-prjoxide
10s
linux-arm-dfu-util
10s
linux-arm-ecpprog
11s
linux-arm-openfpgaloader
14s
linux-arm-avy
10s
linux-arm-cvc4
14s
linux-arm-yices
2m 3s
linux-arm-z3
14m 21s
linux-arm-mcy
6s
linux-arm-sby
8s
linux-arm-sby-gui
4s
linux-arm-gtkwave
6s
linux-arm-verilator
15s
linux-arm-iverilog
12s
linux-arm-ecpdap
4s
linux-arm-fujprog
11s
linux-arm-iceprogduino
6s
linux-arm-openocd
8s
linux-arm-icesprog
5s
linux-arm-utils
6s
linux-arm-system-resources
8s
linux-arm-xdot
7s
linux-arm-nextpnr-generic
4m 25s
linux-arm-nextpnr-ice40
5m 11s
linux-arm-nextpnr-ecp5
6m 13s
linux-arm-nextpnr-machxo2
4m 37s
linux-arm-nextpnr-nexus
4m 31s
linux-arm-nextpnr-gowin
4m 19s
linux-arm-flask
13s
linux-arm-python-programmers
6s
linux-arm-pyhdl
13s
linux-arm-cocotb
11s
linux-arm-mau
10s
linux-arm-scy
6s
linux-arm-default
4m 16s