linux-riscv64 #1024
linux-riscv64.yml
on: workflow_run
linux-riscv64-python3
29s
linux-riscv64-cadical
1m 34s
linux-riscv64-lingeling
4s
linux-riscv64-btor2tools
8s
linux-riscv64-yosys
10m 59s
linux-riscv64-python2
37s
linux-riscv64-picosat
5s
linux-riscv64-libpoly
14s
linux-riscv64-graphviz
8s
linux-riscv64-icestorm
5s
linux-riscv64-prjtrellis
8s
linux-riscv64-prjoxide
6s
linux-riscv64-dfu-util
7s
linux-riscv64-ecpprog
7s
linux-riscv64-openfpgaloader
9s
linux-riscv64-avy
5s
linux-riscv64-cvc4
13s
linux-riscv64-yices
11s
linux-riscv64-z3
13m 18s
linux-riscv64-mcy
14s
linux-riscv64-sby
12s
linux-riscv64-sby-gui
9s
linux-riscv64-gtkwave
5s
linux-riscv64-verilator
15s
linux-riscv64-iverilog
7s
linux-riscv64-ecpdap
5s
linux-riscv64-fujprog
8s
linux-riscv64-iceprogduino
3s
linux-riscv64-openocd
14s
linux-riscv64-icesprog
5s
linux-riscv64-utils
11s
linux-riscv64-system-resources
9s
linux-riscv64-xdot
6s
linux-riscv64-nextpnr-generic
8s
linux-riscv64-nextpnr-ice40
16s
linux-riscv64-nextpnr-ecp5
22s
linux-riscv64-nextpnr-machxo2
14s
linux-riscv64-nextpnr-nexus
14s
linux-riscv64-nextpnr-gowin
13s
linux-riscv64-flask
9s
linux-riscv64-python-programmers
13s
linux-riscv64-pyhdl
8s
linux-riscv64-cocotb
6s
linux-riscv64-mau
8s
linux-riscv64-scy
6s
linux-riscv64-default
4m 25s
Annotations
1 error
linux-riscv64-cadical
Process completed with exit code 255.
|