linux-arm #1028
linux-arm.yml
on: workflow_run
linux-arm-python3
31s
linux-arm-cadical
1m 50s
linux-arm-yosys
11m 29s
linux-arm-python2
40s
linux-arm-picosat
3s
linux-arm-libpoly
4s
linux-arm-graphviz
22s
linux-arm-icestorm
4s
linux-arm-prjtrellis
7s
linux-arm-prjoxide
6s
linux-arm-dfu-util
5s
linux-arm-ecpprog
5s
linux-arm-openfpgaloader
7s
linux-arm-avy
5s
linux-arm-cvc4
12s
linux-arm-yices
10s
linux-arm-z3
14m 1s
linux-arm-mcy
5s
linux-arm-sby
8s
linux-arm-sby-gui
10s
linux-arm-gtkwave
6s
linux-arm-verilator
7m 51s
linux-arm-iverilog
7s
linux-arm-ecpdap
9s
linux-arm-fujprog
13s
linux-arm-iceprogduino
5s
linux-arm-openocd
8s
linux-arm-icesprog
11s
linux-arm-utils
11s
linux-arm-system-resources
4s
linux-arm-xdot
8s
linux-arm-nextpnr-generic
21s
linux-arm-nextpnr-ice40
13s
linux-arm-nextpnr-ecp5
17s
linux-arm-nextpnr-machxo2
22s
linux-arm-nextpnr-nexus
16s
linux-arm-nextpnr-gowin
9s
linux-arm-flask
6s
linux-arm-python-programmers
7s
linux-arm-pyhdl
1m 58s
linux-arm-cocotb
12s
linux-arm-mau
10s
linux-arm-scy
6s
linux-arm-default
4m 34s
Annotations
1 error
linux-arm-cadical
Process completed with exit code 255.
|