Skip to content

windows-x64

windows-x64 #1010

Triggered via workflow run December 1, 2023 02:04
@mmickommicko
completed f41b9ae
Status Success
Total duration 16m 33s
Artifacts

windows-x64.yml

on: workflow_run
windows-x64-python3
39s
windows-x64-python3
windows-x64-cadical
6s
windows-x64-cadical
windows-x64-lingeling
4s
windows-x64-lingeling
windows-x64-btor2tools
4s
windows-x64-btor2tools
windows-x64-yosys
8s
windows-x64-yosys
windows-x64-libpoly
4s
windows-x64-libpoly
windows-x64-icestorm
11s
windows-x64-icestorm
windows-x64-prjtrellis
13s
windows-x64-prjtrellis
windows-x64-prjoxide
11s
windows-x64-prjoxide
windows-x64-dfu-util
6s
windows-x64-dfu-util
windows-x64-ecpprog
9s
windows-x64-ecpprog
windows-x64-openfpgaloader
5s
windows-x64-openfpgaloader
windows-x64-avy
6s
windows-x64-avy
windows-x64-cvc4
17s
windows-x64-cvc4
windows-x64-yices
2m 9s
windows-x64-yices
windows-x64-z3
13m 11s
windows-x64-z3
windows-x64-mcy
4s
windows-x64-mcy
windows-x64-sby
9s
windows-x64-sby
windows-x64-sby-gui
5s
windows-x64-sby-gui
windows-x64-gtkwave
5s
windows-x64-gtkwave
windows-x64-verilator
7m 40s
windows-x64-verilator
windows-x64-iverilog
9s
windows-x64-iverilog
windows-x64-ecpdap
5s
windows-x64-ecpdap
windows-x64-fujprog
4s
windows-x64-fujprog
windows-x64-iceprogduino
4s
windows-x64-iceprogduino
windows-x64-openocd
3m 24s
windows-x64-openocd
windows-x64-icesprog
6s
windows-x64-icesprog
windows-x64-utils
7s
windows-x64-utils
windows-x64-system-resources
6s
windows-x64-system-resources
windows-x64-numpy
10s
windows-x64-numpy
windows-x64-nextpnr-generic
15s
windows-x64-nextpnr-generic
windows-x64-nextpnr-ice40
15s
windows-x64-nextpnr-ice40
windows-x64-nextpnr-ecp5
18s
windows-x64-nextpnr-ecp5
windows-x64-nextpnr-machxo2
16s
windows-x64-nextpnr-machxo2
windows-x64-nextpnr-nexus
9s
windows-x64-nextpnr-nexus
windows-x64-nextpnr-gowin
14s
windows-x64-nextpnr-gowin
windows-x64-flask
13s
windows-x64-flask
windows-x64-python-programmers
7s
windows-x64-python-programmers
windows-x64-pyhdl
10s
windows-x64-pyhdl
windows-x64-mau
1m 37s
windows-x64-mau
windows-x64-scy
6s
windows-x64-scy
windows-x64-boolector
11s
windows-x64-boolector
windows-x64-bitwuzla
7s
windows-x64-bitwuzla
windows-x64-eqy
4s
windows-x64-eqy
windows-x64-cvc5
13s
windows-x64-cvc5
windows-x64-apicula
7s
windows-x64-apicula
windows-x64-smt-switch
9s
windows-x64-smt-switch
windows-x64-pono
13s
windows-x64-pono
windows-x64-default
2m 53s
windows-x64-default
Fit to window
Zoom out
Zoom in