Skip to content

linux-arm

linux-arm #1030

Triggered via workflow run December 1, 2023 02:23
@mmickommicko
completed f41b9ae
Status Success
Total duration 19m 14s
Artifacts

linux-arm.yml

on: workflow_run
linux-arm-python3
45s
linux-arm-python3
linux-arm-cadical
1m 36s
linux-arm-cadical
linux-arm-lingeling
3s
linux-arm-lingeling
linux-arm-btor2tools
8s
linux-arm-btor2tools
linux-arm-yosys
6s
linux-arm-yosys
linux-arm-python2
30s
linux-arm-python2
linux-arm-picosat
5s
linux-arm-picosat
linux-arm-libpoly
3s
linux-arm-libpoly
linux-arm-graphviz
11s
linux-arm-graphviz
linux-arm-icestorm
4s
linux-arm-icestorm
linux-arm-prjtrellis
7s
linux-arm-prjtrellis
linux-arm-prjoxide
5s
linux-arm-prjoxide
linux-arm-dfu-util
9s
linux-arm-dfu-util
linux-arm-ecpprog
6s
linux-arm-ecpprog
linux-arm-openfpgaloader
6s
linux-arm-openfpgaloader
linux-arm-avy
9s
linux-arm-avy
linux-arm-cvc4
17s
linux-arm-cvc4
linux-arm-yices
2m 2s
linux-arm-yices
linux-arm-z3
14m 29s
linux-arm-z3
linux-arm-mcy
6s
linux-arm-mcy
linux-arm-sby
4s
linux-arm-sby
linux-arm-sby-gui
10s
linux-arm-sby-gui
linux-arm-gtkwave
6s
linux-arm-gtkwave
linux-arm-verilator
7m 27s
linux-arm-verilator
linux-arm-iverilog
11s
linux-arm-iverilog
linux-arm-ecpdap
9s
linux-arm-ecpdap
linux-arm-fujprog
8s
linux-arm-fujprog
linux-arm-iceprogduino
9s
linux-arm-iceprogduino
linux-arm-openocd
2m 22s
linux-arm-openocd
linux-arm-icesprog
5s
linux-arm-icesprog
linux-arm-utils
5s
linux-arm-utils
linux-arm-system-resources
4s
linux-arm-system-resources
linux-arm-numpy
11s
linux-arm-numpy
linux-arm-xdot
8s
linux-arm-xdot
linux-arm-nextpnr-generic
14s
linux-arm-nextpnr-generic
linux-arm-nextpnr-ice40
22s
linux-arm-nextpnr-ice40
linux-arm-nextpnr-ecp5
21s
linux-arm-nextpnr-ecp5
linux-arm-nextpnr-machxo2
13s
linux-arm-nextpnr-machxo2
linux-arm-nextpnr-nexus
8s
linux-arm-nextpnr-nexus
linux-arm-nextpnr-gowin
13s
linux-arm-nextpnr-gowin
linux-arm-flask
12s
linux-arm-flask
linux-arm-python-programmers
7s
linux-arm-python-programmers
linux-arm-pyhdl
9s
linux-arm-pyhdl
linux-arm-cocotb
2m 21s
linux-arm-cocotb
linux-arm-mau
1m 36s
linux-arm-mau
linux-arm-scy
7s
linux-arm-scy
linux-arm-boolector
6s
linux-arm-boolector
linux-arm-bitwuzla
6s
linux-arm-bitwuzla
linux-arm-eqy
4s
linux-arm-eqy
linux-arm-suprove
6s
linux-arm-suprove
linux-arm-aiger
10s
linux-arm-aiger
linux-arm-cvc5
19s
linux-arm-cvc5
linux-arm-apicula
12s
linux-arm-apicula
linux-arm-smt-switch
13s
linux-arm-smt-switch
linux-arm-pono
9s
linux-arm-pono
linux-arm-default
4m 13s
linux-arm-default
Fit to window
Zoom out
Zoom in

Annotations

1 error
linux-arm-cadical
Process completed with exit code 255.