linux-riscv64 #1032
linux-riscv64.yml
on: workflow_run
linux-riscv64-python3
39s
linux-riscv64-cadical
1m 43s
linux-riscv64-lingeling
8s
linux-riscv64-btor2tools
9s
linux-riscv64-yosys
9s
linux-riscv64-python2
30s
linux-riscv64-picosat
4s
linux-riscv64-libpoly
9s
linux-riscv64-graphviz
16s
linux-riscv64-icestorm
10s
linux-riscv64-prjtrellis
7s
linux-riscv64-prjoxide
5s
linux-riscv64-dfu-util
8s
linux-riscv64-ecpprog
5s
linux-riscv64-openfpgaloader
5s
linux-riscv64-avy
10s
linux-riscv64-cvc4
20s
linux-riscv64-yices
2m 7s
linux-riscv64-z3
13m 39s
linux-riscv64-mcy
9s
linux-riscv64-sby
10s
linux-riscv64-sby-gui
5s
linux-riscv64-gtkwave
11s
linux-riscv64-verilator
6m 44s
linux-riscv64-iverilog
12s
linux-riscv64-ecpdap
6s
linux-riscv64-fujprog
4s
linux-riscv64-iceprogduino
9s
linux-riscv64-openocd
2m 30s
linux-riscv64-icesprog
11s
linux-riscv64-utils
6s
linux-riscv64-system-resources
11s
linux-riscv64-xdot
6s
linux-riscv64-nextpnr-generic
8s
linux-riscv64-nextpnr-ice40
27s
linux-riscv64-nextpnr-ecp5
23s
linux-riscv64-nextpnr-machxo2
14s
linux-riscv64-nextpnr-nexus
17s
linux-riscv64-nextpnr-gowin
7s
linux-riscv64-flask
5s
linux-riscv64-python-programmers
7s
linux-riscv64-pyhdl
7s
linux-riscv64-cocotb
2m 27s
linux-riscv64-mau
16s
linux-riscv64-scy
5s
linux-riscv64-default
4m 26s
Annotations
2 errors
linux-riscv64-mau
Process completed with exit code 2.
|
linux-riscv64-cadical
Process completed with exit code 255.
|