darwin-x64 #1106
darwin-x64.yml
on: workflow_run
darwin-x64-python3
16s
darwin-x64-cadical
5s
darwin-x64-yosys
58s
darwin-x64-ghdl
1m 51s
darwin-x64-picosat
9s
darwin-x64-libpoly
2m 33s
darwin-x64-graphviz
24s
darwin-x64-icestorm
5s
darwin-x64-prjtrellis
5s
darwin-x64-prjoxide
5s
darwin-x64-openfpgaloader
2m 27s
darwin-x64-avy
7s
darwin-x64-cvc4
12s
darwin-x64-yices
5s
darwin-x64-z3
6s
darwin-x64-gtkwave
10s
darwin-x64-verilator
5s
darwin-x64-iverilog
6s
darwin-x64-utils
3m 11s
darwin-x64-system-resources
9s
darwin-x64-xdot
9s
darwin-x64-formal
12s
darwin-x64-nextpnr-generic
8s
darwin-x64-nextpnr-ice40
13s
darwin-x64-nextpnr-ecp5
20s
darwin-x64-nextpnr-machxo2
14s
darwin-x64-nextpnr-nexus
8s
darwin-x64-nextpnr-gowin
9s
darwin-x64-python-programmers
10s
darwin-x64-pyhdl
2m 3s
darwin-x64-cocotb
2m 17s
darwin-x64-default
4m 8s
Annotations
2 errors
darwin-x64-libpoly
unable to access 'https://github.com/yosyshq/oss-cad-suite-build/': Failed to connect to github.com port 443 after 129554 ms: Connection timed out
|
darwin-x64-ghdl-yosys-plugin
Process completed with exit code 2.
|