darwin-x64 #1190
darwin-x64.yml
on: workflow_run
darwin-x64-cadical
5s
darwin-x64-yosys
8s
darwin-x64-python3
5m 23s
darwin-x64-ghdl
1m 49s
darwin-x64-picosat
14s
darwin-x64-libpoly
9s
darwin-x64-graphviz
26s
darwin-x64-icestorm
11s
darwin-x64-prjtrellis
11s
darwin-x64-prjoxide
5s
darwin-x64-openfpgaloader
6s
darwin-x64-avy
5s
darwin-x64-cvc4
10s
darwin-x64-yices
3m 44s
darwin-x64-z3
13m 54s
darwin-x64-gtkwave
10s
darwin-x64-verilator
8m 59s
darwin-x64-iverilog
7s
darwin-x64-utils
16s
darwin-x64-system-resources
5s
darwin-x64-xdot
9s
darwin-x64-formal
10s
darwin-x64-nextpnr-generic
8s
darwin-x64-nextpnr-ice40
24s
darwin-x64-nextpnr-ecp5
18s
darwin-x64-nextpnr-machxo2
19s
darwin-x64-nextpnr-nexus
9s
darwin-x64-nextpnr-gowin
10s
darwin-x64-apicula
24s
darwin-x64-python-programmers
9s
darwin-x64-pyhdl
16s
darwin-x64-cocotb
16s
darwin-x64-default
4m 8s
Annotations
2 errors and 4 warnings
darwin-x64-ghdl
Process completed with exit code 255.
|
darwin-x64-yices
Error 500: Connect Timeout Error
|
darwin-x64-python3
Failed to download action 'https://api.github.com/repos/actions/checkout/tarball/0ad4b8fadaa221de15dcec353f45205ec38ea70b'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
|
darwin-x64-python3
Back off 19.81 seconds before retry.
|
darwin-x64-python3
Failed to download action 'https://api.github.com/repos/actions/checkout/tarball/0ad4b8fadaa221de15dcec353f45205ec38ea70b'. Error: The request was canceled due to the configured HttpClient.Timeout of 100 seconds elapsing.
|
darwin-x64-python3
Back off 18.232 seconds before retry.
|