darwin-x64 #1223
darwin-x64.yml
on: workflow_run
darwin-x64-cadical
5s
darwin-x64-yosys
13m 24s
darwin-x64-python3
34s
darwin-x64-ghdl
1m 41s
darwin-x64-picosat
10s
darwin-x64-libpoly
4s
darwin-x64-graphviz
13s
darwin-x64-icestorm
5s
darwin-x64-prjtrellis
27s
darwin-x64-prjoxide
20s
darwin-x64-openfpgaloader
26s
darwin-x64-avy
18s
darwin-x64-cvc4
17s
darwin-x64-yices
6s
darwin-x64-z3
14m 13s
darwin-x64-gtkwave
13s
darwin-x64-verilator
8m 51s
darwin-x64-iverilog
5s
darwin-x64-utils
11s
darwin-x64-system-resources
6s
darwin-x64-xdot
10s
darwin-x64-formal
17s
darwin-x64-nextpnr-generic
9s
darwin-x64-nextpnr-ice40
23s
darwin-x64-nextpnr-ecp5
2m 27s
darwin-x64-nextpnr-machxo2
23s
darwin-x64-nextpnr-nexus
8s
darwin-x64-nextpnr-gowin
7s
darwin-x64-apicula
18s
darwin-x64-python-programmers
23s
darwin-x64-pyhdl
2m 5s
darwin-x64-cocotb
19s
darwin-x64-default
3m 57s
Annotations
2 errors
darwin-x64-ghdl
Process completed with exit code 255.
|
darwin-x64-nextpnr-ecp5
Process completed with exit code 255.
|