-
Notifications
You must be signed in to change notification settings - Fork 79
New issue
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
Exclude signals tied to constant values #247
Conversation
@@ -196,7 +196,10 @@ import el2_pkg::*; | |||
|
|||
output logic dec_div_active, // non-block divide is active |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
output logic dec_div_active, // non-block divide is active | |
output logic dec_i0_alu_decode_d, // decode to D-stage alu | |
output logic dec_i0_branch_d, // Branch in D-stage |
design/dec/el2_dec_decode_ctl.sv
Outdated
/* exclude signals that are tied to constant value in tb_top.sv */ | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ | ||
); |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
/* exclude signals that are tied to constant value in tb_top.sv */ | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); | |
output logic [ 4:0] dec_i0_waddr_r, // i0 logical source to write to gpr's | |
output logic dec_i0_wen_r, // i0 write enable | |
output logic [31:0] dec_i0_wdata_r, // i0 write data |
input logic scan_mode | ||
/*verilator coverage_on*/ | ||
); | ||
|
||
|
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
output logic dec_i0_select_pc_d, // i0 select pc for rs1 - branches | |
@@ -39,7 +39,10 @@ import el2_pkg::*; | |||
output logic [31:0] rd0, // read data |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
output logic [31:0] rd0, // read data | |
output logic [31:0] rd0, // read data |
design/dec/el2_dec_gpr_ctl.sv
Outdated
/* exclude signals that are tied to constant value in tb_top.sv */ | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
/* exclude signals that are tied to constant value in tb_top.sv */ | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
/* exclude signals that are tied to constant value in tb_top.sv */ | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ |
@@ -75,7 +75,10 @@ import el2_pkg::*; | |||
|
|||
output logic [1:0] [$clog2(pt.BTB_SIZE)-1:0] ifu_bp_fa_index_f, // predicted branch index (fully associative option) |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
output logic [1:0] [$clog2(pt.BTB_SIZE)-1:0] ifu_bp_fa_index_f, // predicted branch index (fully associative option) | |
logic [1:0] tag_match_way0_expanded_f, tag_match_way1_expanded_f; | |
logic [1:0] bht_bank0_rd_data_f; | |
logic [1:0] bht_bank1_rd_data_f; | |
logic [1:0] bht_bank0_rd_data_p1_f; | |
genvar j, i; |
design/ifu/el2_ifu_bp_ctl.sv
Outdated
/* exclude signals that are tied to constant value in tb_top.sv */ | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ | ||
); |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
/* exclude signals that are tied to constant value in tb_top.sv */ | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); | |
assign exu_mp_valid = exu_mp_pkt.misp & ~leak_one_f; // conditional branch mispredict | |
assign exu_mp_boffset = exu_mp_pkt.boffset; // branch offset | |
assign exu_mp_pc4 = exu_mp_pkt.pc4; // branch is a 4B inst | |
assign exu_mp_call = exu_mp_pkt.pcall; // branch is a call inst | |
assign exu_mp_ret = exu_mp_pkt.pret; // branch is a ret inst | |
assign exu_mp_ja = exu_mp_pkt.pja; // branch is a jump always | |
assign exu_mp_way = exu_mp_pkt.way; // repl way | |
assign exu_mp_hist[1:0] = exu_mp_pkt.hist[1:0]; // new history | |
assign exu_mp_tgt[11:0] = exu_mp_pkt.toffset[11:0]; // target offset | |
assign exu_mp_addr[pt.BTB_ADDR_HI:pt.BTB_ADDR_LO] = exu_mp_index[pt.BTB_ADDR_HI:pt.BTB_ADDR_LO] ; // BTB/BHT address | |
assign exu_mp_ataken = exu_mp_pkt.ataken; |
design/ifu/el2_ifu_ifc_ctl.sv
Outdated
input logic rst_l, // reset enable, from core pin | ||
/* exclude signals that are tied to constant value in tb_top.sv */ | ||
/*verilator coverage_off*/ | ||
input logic scan_mode, // scan | ||
/*verilator coverage_on*/ |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
input logic rst_l, // reset enable, from core pin | |
/* exclude signals that are tied to constant value in tb_top.sv */ | |
/*verilator coverage_off*/ | |
input logic scan_mode, // scan | |
/*verilator coverage_on*/ | |
input logic rst_l, // reset enable, from core pin | |
/* exclude signals that are tied to constant value in tb_top.sv */ | |
/*verilator coverage_off*/ | |
input logic scan_mode, // scan | |
/*verilator coverage_on*/ |
input logic ic_hit_f, // Icache hit | ||
input logic ifu_ic_mb_empty, // Miss buffer empty |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
input logic ic_hit_f, // Icache hit | |
input logic ifu_ic_mb_empty, // Miss buffer empty | |
input logic ic_hit_f, // Icache hit | |
input logic ifu_ic_mb_empty, // Miss buffer empty |
design/lsu/el2_lsu_addrcheck.sv
Outdated
/* exclude signals that are tied to constant value in tb_top.sv */ | ||
/*verilator coverage_off*/ | ||
input logic scan_mode // Scan mode | ||
/*verilator coverage_on*/ |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
/* exclude signals that are tied to constant value in tb_top.sv */ | |
/*verilator coverage_off*/ | |
input logic scan_mode // Scan mode | |
/*verilator coverage_on*/ | |
/* exclude signals that are tied to constant value in tb_top.sv */ | |
/*verilator coverage_off*/ | |
input logic scan_mode // Scan mode | |
/*verilator coverage_on*/ |
Links to coverage and verification reports for this PR (#247) are available at https://chipsalliance.github.io/Cores-VeeR-EL2/ |
5f30409
to
df12a72
Compare
Links to coverage and verification reports for this PR (#247) are available at https://chipsalliance.github.io/Cores-VeeR-EL2/ |
b58b03f
to
ae759de
Compare
Links to coverage and verification reports for this PR (#247) are available at https://chipsalliance.github.io/Cores-VeeR-EL2/ |
ae759de
to
4080d64
Compare
design/dec/el2_dec_decode_ctl.sv
Outdated
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ | ||
); |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); | |
output logic [ 4:0] dec_i0_waddr_r, // i0 logical source to write to gpr's | |
output logic dec_i0_wen_r, // i0 write enable | |
output logic [31:0] dec_i0_wdata_r, // i0 write data |
design/dec/el2_dec_gpr_ctl.sv
Outdated
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ |
design/dec/el2_dec_pmp_ctl.sv
Outdated
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ | ||
); |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); |
design/ifu/el2_ifu.sv
Outdated
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ | ||
); |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); |
design/ifu/el2_ifu_aln_ctl.sv
Outdated
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode, // Flop scan mode control | ||
/*verilator coverage_on*/ | ||
input logic rst_l, // reset, active low | ||
input logic clk, // Clock only while core active. Through one clock header. For flops with second clock header built in. Connected to ACTIVE_L2CLK. | ||
input logic active_clk, // Clock only while core active. Through two clock headers. For flops without second clock header built in. |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode, // Flop scan mode control | |
/*verilator coverage_on*/ | |
input logic rst_l, // reset, active low | |
input logic clk, // Clock only while core active. Through one clock header. For flops with second clock header built in. Connected to ACTIVE_L2CLK. | |
input logic active_clk, // Clock only while core active. Through two clock headers. For flops without second clock header built in. | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode, // Flop scan mode control | |
/*verilator coverage_on*/ | |
input logic rst_l, // reset, active low | |
input logic clk, // Clock only while core active. Through one clock header. For flops with second clock header built in. Connected to ACTIVE_L2CLK. | |
input logic active_clk, // Clock only while core active. Through two clock headers. For flops without second clock header built in. |
design/ifu/el2_ifu_bp_ctl.sv
Outdated
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ | ||
); |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); | |
assign exu_mp_valid = exu_mp_pkt.misp & ~leak_one_f; // conditional branch mispredict | |
assign exu_mp_boffset = exu_mp_pkt.boffset; // branch offset | |
assign exu_mp_pc4 = exu_mp_pkt.pc4; // branch is a 4B inst | |
assign exu_mp_call = exu_mp_pkt.pcall; // branch is a call inst | |
assign exu_mp_ret = exu_mp_pkt.pret; // branch is a ret inst | |
assign exu_mp_ja = exu_mp_pkt.pja; // branch is a jump always | |
assign exu_mp_way = exu_mp_pkt.way; // repl way | |
assign exu_mp_hist[1:0] = exu_mp_pkt.hist[1:0]; // new history | |
assign exu_mp_tgt[11:0] = exu_mp_pkt.toffset[11:0]; // target offset | |
assign exu_mp_addr[pt.BTB_ADDR_HI:pt.BTB_ADDR_LO] = exu_mp_index[pt.BTB_ADDR_HI:pt.BTB_ADDR_LO] ; // BTB/BHT address | |
assign exu_mp_ataken = exu_mp_pkt.ataken; |
design/ifu/el2_ifu_ifc_ctl.sv
Outdated
input logic rst_l, // reset enable, from core pin | ||
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode, // scan | ||
/*verilator coverage_on*/ |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
input logic rst_l, // reset enable, from core pin | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode, // scan | |
/*verilator coverage_on*/ | |
input logic rst_l, // reset enable, from core pin | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode, // scan | |
/*verilator coverage_on*/ |
design/lsu/el2_lsu_addrcheck.sv
Outdated
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode // Scan mode | ||
/*verilator coverage_on*/ |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode // Scan mode | |
/*verilator coverage_on*/ | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of VeeR the core. | |
/*verilator coverage_off*/ | |
input logic scan_mode // Scan mode | |
/*verilator coverage_on*/ |
201eab7
to
3575fec
Compare
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ | ||
); |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); | |
output logic [ 4:0] dec_i0_waddr_r, // i0 logical source to write to gpr's | |
output logic dec_i0_wen_r, // i0 write enable | |
output logic [31:0] dec_i0_wdata_r, // i0 write data |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ | ||
); |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ | ||
); |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode, // Flop scan mode control | ||
/*verilator coverage_on*/ | ||
input logic rst_l, // reset, active low | ||
input logic clk, // Clock only while core active. Through one clock header. For flops with second clock header built in. Connected to ACTIVE_L2CLK. | ||
input logic active_clk, // Clock only while core active. Through two clock headers. For flops without second clock header built in. |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode, // Flop scan mode control | |
/*verilator coverage_on*/ | |
input logic rst_l, // reset, active low | |
input logic clk, // Clock only while core active. Through one clock header. For flops with second clock header built in. Connected to ACTIVE_L2CLK. | |
input logic active_clk, // Clock only while core active. Through two clock headers. For flops without second clock header built in. | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode, // Flop scan mode control | |
/*verilator coverage_on*/ | |
input logic rst_l, // reset, active low | |
input logic clk, // Clock only while core active. Through one clock header. For flops with second clock header built in. Connected to ACTIVE_L2CLK. | |
input logic active_clk, // Clock only while core active. Through two clock headers. For flops without second clock header built in. |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode | ||
/*verilator coverage_on*/ | ||
); |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode | |
/*verilator coverage_on*/ | |
); | |
assign exu_mp_valid = exu_mp_pkt.misp & ~leak_one_f; // conditional branch mispredict | |
assign exu_mp_boffset = exu_mp_pkt.boffset; // branch offset | |
assign exu_mp_pc4 = exu_mp_pkt.pc4; // branch is a 4B inst | |
assign exu_mp_call = exu_mp_pkt.pcall; // branch is a call inst | |
assign exu_mp_ret = exu_mp_pkt.pret; // branch is a ret inst | |
assign exu_mp_ja = exu_mp_pkt.pja; // branch is a jump always | |
assign exu_mp_way = exu_mp_pkt.way; // repl way | |
assign exu_mp_hist[1:0] = exu_mp_pkt.hist[1:0]; // new history | |
assign exu_mp_tgt[11:0] = exu_mp_pkt.toffset[11:0]; // target offset | |
assign exu_mp_addr[pt.BTB_ADDR_HI:pt.BTB_ADDR_LO] = exu_mp_index[pt.BTB_ADDR_HI:pt.BTB_ADDR_LO] ; // BTB/BHT address | |
assign exu_mp_ataken = exu_mp_pkt.ataken; |
input logic rst_l, // reset enable, from core pin | ||
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode, // scan | ||
/*verilator coverage_on*/ |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
input logic rst_l, // reset enable, from core pin | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode, // scan | |
/*verilator coverage_on*/ | |
input logic rst_l, // reset enable, from core pin | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode, // scan | |
/*verilator coverage_on*/ |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | ||
/*verilator coverage_off*/ | ||
input logic scan_mode // Scan mode | ||
/*verilator coverage_on*/ |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
[verible-verilog-format] reported by reviewdog 🐶
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode // Scan mode | |
/*verilator coverage_on*/ | |
// Excluding scan_mode from coverage as its usage is determined by the integrator of the VeeR core. | |
/*verilator coverage_off*/ | |
input logic scan_mode // Scan mode | |
/*verilator coverage_on*/ |
99b9df9
to
aee1480
Compare
Links to coverage and verification reports for this PR (#247) are available at https://chipsalliance.github.io/Cores-VeeR-EL2/ |
aee1480
to
51d8b59
Compare
Links to coverage and verification reports for this PR (#247) are available at https://chipsalliance.github.io/Cores-VeeR-EL2/ |
LGTM |
No description provided.