Skip to content

Commit

Permalink
update pin out for MphR4 board MEGA65#366
Browse files Browse the repository at this point in the history
  • Loading branch information
gardners committed Feb 23, 2021
1 parent d471614 commit 55e425f
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 9 deletions.
5 changes: 0 additions & 5 deletions src/vhdl/megaphoner4.vhdl
Original file line number Diff line number Diff line change
Expand Up @@ -89,7 +89,6 @@ entity container is
----------------------------------------------------------------------
micData0 : in std_logic;
micData1 : in std_logic;
micClk : out std_logic;

----------------------------------------------------------------------
-- Touch screen interface
Expand All @@ -110,7 +109,6 @@ entity container is
TMDS_data_n : out STD_LOGIC_VECTOR(2 downto 0);
TMDS_clk_p : out STD_LOGIC;
TMDS_clk_n : out STD_LOGIC;
hdmi_gnd : out STD_LOGIC_VECTOR(1 downto 0):= (others => '0');

----------------------------------------------------------------------
-- HyperRAM as expansion RAM
Expand Down Expand Up @@ -148,15 +146,12 @@ entity container is
-- Analog headphone jack output
-- (amplifier enable is on an IO expander)
----------------------------------------------------------------------
headphone_left : out std_logic;
headphone_right : out std_logic;
headphone_mic : in std_logic;

----------------------------------------------------------------------
-- I2S speaker audio output
----------------------------------------------------------------------
i2s_mclk : out std_logic;
i2s_sync : out std_logic;
i2s_speaker : out std_logic;
i2s_bclk : out std_logic := '1'; -- Force 16 cycles per sample,
-- instead of 32
Expand Down
6 changes: 2 additions & 4 deletions src/vhdl/megaphoner4.xdc
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets clocks1/clock124mhz]

# HDMI output
############## HDMIOUT define##################
#set_property -dict {PACKAGE_PIN C2 IOSTANDARD LVCMOS33} [get_ports {hdmi_gnd[0]}]
#set_property -dict {PACKAGE_PIN H2 IOSTANDARD LVCMOS33} [get_ports {hdmi_gnd[1]}]

set_property PACKAGE_PIN A3 [get_ports TMDS_clk_n]
set_property IOSTANDARD TMDS_33 [get_ports TMDS_clk_n]
Expand Down Expand Up @@ -123,8 +121,8 @@ set_property -dict {PACKAGE_PIN U6 IOSTANDARD LVCMOS33} [get_ports modem2_uart_r
set_property -dict {PACKAGE_PIN U7 IOSTANDARD LVCMOS33} [get_ports modem2_uart_tx]

# VGA port
set_property -dict {PACKAGE_PIN N4 IOSTANDARD LVCMOS33} [get_ports vsync]
set_property -dict {PACKAGE_PIN P4 IOSTANDARD LVCMOS33} [get_ports hsync]
set_property -dict {PACKAGE_PIN N4 IOSTANDARD LVCMOS33} [get_ports vga_vsync]
set_property -dict {PACKAGE_PIN P4 IOSTANDARD LVCMOS33} [get_ports vga_hsync]
set_property -dict {PACKAGE_PIN U1 IOSTANDARD LVCMOS33} [get_ports {vga_red[0]}]
set_property -dict {PACKAGE_PIN R5 IOSTANDARD LVCMOS33} [get_ports {vga_red[1]}]
set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS33} [get_ports {vga_red[2]}]
Expand Down

0 comments on commit 55e425f

Please sign in to comment.