You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
I've got a relatively simple code example with abnormal style which doesn't synthesize:
library IEEE;
use IEEE.std_logic_1164.all;
entitylfsr2isport(
clk : instd_logic;
reset : instd_logic;
b : outstd_logic
);
endlfsr2;
architecturesynthoflfsr2issignal a : std_logic;
signal c : std_logic;
beginprocess (clk) beginif reset ='1'thenifrising_edge(clk) then
b <='1';
c <='1';
endif;
elseifrising_edge(clk) then
c <= a;
b <= c;
endif;
endif;
endprocess;
a <= b xor c;
end;
I don't particularly care -- it works in Lattice LSE and Synplify, so it seems like it should synthesize. But a descriptive message that explains the error would be fine with me.
My use case is that this is the backend for vhdlweb.com (a VHDL coding practice website), where I've got dozens of students learning VHDL and trying all sorts of bad code. If code doesn't synthesize for some reason, I want to give them something that explains why.
I've got a relatively simple code example with abnormal style which doesn't synthesize:
Running
yosys -m ghdl -p "ghdl --std=08 lfsr2; write_json netlist.json"
gives:Currently using docker image (hdlc/ghdl - yosys - 189a1f80cd33).
The text was updated successfully, but these errors were encountered: