-
Notifications
You must be signed in to change notification settings - Fork 0
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
- Loading branch information
Showing
20 changed files
with
23 additions
and
23 deletions.
There are no files selected for viewing
File renamed without changes.
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,13 +1,13 @@ | ||
---------------------------------------------------------------------------------------------------- | ||
-- @brief ArtyKeypadTest | ||
-- @brief KeypadArtyTest | ||
-- | ||
-- @author Rene Brglez ([email protected]) | ||
-- | ||
-- @date December 2022 | ||
-- | ||
-- @version v0.1 | ||
-- | ||
-- @file ArtyKeypadTest.vhd | ||
-- @file KeypadArtyTest.vhd | ||
-- | ||
---------------------------------------------------------------------------------------------------- | ||
library ieee; | ||
|
@@ -16,10 +16,10 @@ use ieee.numeric_std.all; | |
use ieee.math_real.all; | ||
library surf; | ||
use surf.StdRtlPkg.all; | ||
use work.ArtyKeypadTestPkg.all; | ||
use work.KeypadArtyTestPkg.all; | ||
use work.MarkDebugPkg.all; | ||
|
||
entity ArtyKeypadTest is | ||
entity KeypadArtyTest is | ||
generic ( | ||
TPD_G : time := 1 ns | ||
); | ||
|
@@ -67,9 +67,9 @@ entity ArtyKeypadTest is | |
ck_io10 : out sl; -- Header 6 | ||
ck_io11 : out sl -- Header 5 | ||
); | ||
end ArtyKeypadTest; | ||
end KeypadArtyTest; | ||
--------------------------------------------------------------------------------------------------- | ||
architecture rtl of ArtyKeypadTest is | ||
architecture rtl of KeypadArtyTest is | ||
|
||
signal clk : sl; | ||
signal rst : sl; | ||
|
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,13 +1,13 @@ | ||
---------------------------------------------------------------------------------------------------- | ||
-- @brief ArtySegmentDisplayTest | ||
-- @brief SegmentDisplayArtyTest | ||
-- | ||
-- @author Rene Brglez ([email protected]) | ||
-- | ||
-- @date December 2022 | ||
-- | ||
-- @version v0.1 | ||
-- | ||
-- @file ArtySegmentDisplayTest.vhd | ||
-- @file SegmentDisplayArtyTest.vhd | ||
-- | ||
---------------------------------------------------------------------------------------------------- | ||
library ieee; | ||
|
@@ -16,11 +16,11 @@ use ieee.numeric_std.all; | |
use ieee.math_real.all; | ||
library surf; | ||
use surf.StdRtlPkg.all; | ||
use work.ArtySegmentDisplayTestPkg.all; | ||
use work.SegmentDisplayArtyTestPkg.all; | ||
use work.SegmentDisplayPkg.all; | ||
use work.MarkDebugPkg.all; | ||
|
||
entity ArtySegmentDisplayTest is | ||
entity SegmentDisplayArtyTest is | ||
generic ( | ||
TPD_G : time := 1 ns | ||
); | ||
|
@@ -67,9 +67,9 @@ entity ArtySegmentDisplayTest is | |
ck_io40 : out sl; | ||
ck_io41 : out sl | ||
); | ||
end ArtySegmentDisplayTest; | ||
end SegmentDisplayArtyTest; | ||
--------------------------------------------------------------------------------------------------- | ||
architecture rtl of ArtySegmentDisplayTest is | ||
architecture rtl of SegmentDisplayArtyTest is | ||
|
||
signal clk : sl; | ||
signal rst : sl; | ||
|
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,13 +1,13 @@ | ||
---------------------------------------------------------------------------------------------------- | ||
-- @brief ArtySegmentDisplayTestPkg | ||
-- @brief SegmentDisplayArtyTestPkg | ||
-- | ||
-- @author Rene Brglez ([email protected]) | ||
-- | ||
-- @date December 2022 | ||
-- | ||
-- @version v0.1 | ||
-- | ||
-- @file ArtySegmentDisplayTestPkg.vhd | ||
-- @file SegmentDisplayArtyTestPkg.vhd | ||
-- | ||
---------------------------------------------------------------------------------------------------- | ||
|
||
|
@@ -18,12 +18,12 @@ use ieee.math_real.all; | |
library surf; | ||
use surf.StdRtlPkg.all; | ||
|
||
package ArtySegmentDisplayTestPkg is | ||
package SegmentDisplayArtyTestPkg is | ||
|
||
constant CLK_FREQ_C : real := 100.0E6; | ||
|
||
end ArtySegmentDisplayTestPkg; | ||
end SegmentDisplayArtyTestPkg; | ||
|
||
package body ArtySegmentDisplayTestPkg is | ||
package body SegmentDisplayArtyTestPkg is | ||
|
||
end package body ArtySegmentDisplayTestPkg; | ||
end package body SegmentDisplayArtyTestPkg; |
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.