Skip to content

Commit

Permalink
Updated naming convention: #12
Browse files Browse the repository at this point in the history
  • Loading branch information
rene brglez authored and rbrglez committed Jan 1, 2023
1 parent 5649fa4 commit bb7eabf
Show file tree
Hide file tree
Showing 20 changed files with 23 additions and 23 deletions.
File renamed without changes.
File renamed without changes.
Original file line number Diff line number Diff line change
@@ -1,13 +1,13 @@
----------------------------------------------------------------------------------------------------
-- @brief ArtyKeypadTest
-- @brief KeypadArtyTest
--
-- @author Rene Brglez ([email protected])
--
-- @date December 2022
--
-- @version v0.1
--
-- @file ArtyKeypadTest.vhd
-- @file KeypadArtyTest.vhd
--
----------------------------------------------------------------------------------------------------
library ieee;
Expand All @@ -16,10 +16,10 @@ use ieee.numeric_std.all;
use ieee.math_real.all;
library surf;
use surf.StdRtlPkg.all;
use work.ArtyKeypadTestPkg.all;
use work.KeypadArtyTestPkg.all;
use work.MarkDebugPkg.all;

entity ArtyKeypadTest is
entity KeypadArtyTest is
generic (
TPD_G : time := 1 ns
);
Expand Down Expand Up @@ -67,9 +67,9 @@ entity ArtyKeypadTest is
ck_io10 : out sl; -- Header 6
ck_io11 : out sl -- Header 5
);
end ArtyKeypadTest;
end KeypadArtyTest;
---------------------------------------------------------------------------------------------------
architecture rtl of ArtyKeypadTest is
architecture rtl of KeypadArtyTest is

signal clk : sl;
signal rst : sl;
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
--!
--! @author
--!
--! @file ArtyKeypadTestPkg.vhd
--! @file KeypadArtyTestPkg.vhd
--!
---------------------------------------------------------------------------------------------------

Expand All @@ -15,7 +15,7 @@ use ieee.math_real.all;
library surf;
use surf.StdRtlPkg.all;

package ArtyKeypadTestPkg is
package KeypadArtyTestPkg is

constant CLK_FREQ_C : real := 100.0E6;

Expand All @@ -29,8 +29,8 @@ package ArtyKeypadTestPkg is
constant ROW2_C : natural := 2;
constant ROW3_C : natural := 3;

end ArtyKeypadTestPkg;
end KeypadArtyTestPkg;

package body ArtyKeypadTestPkg is
package body KeypadArtyTestPkg is

end package body ArtyKeypadTestPkg;
end package body KeypadArtyTestPkg;
Original file line number Diff line number Diff line change
@@ -1,13 +1,13 @@
----------------------------------------------------------------------------------------------------
-- @brief ArtySegmentDisplayTest
-- @brief SegmentDisplayArtyTest
--
-- @author Rene Brglez ([email protected])
--
-- @date December 2022
--
-- @version v0.1
--
-- @file ArtySegmentDisplayTest.vhd
-- @file SegmentDisplayArtyTest.vhd
--
----------------------------------------------------------------------------------------------------
library ieee;
Expand All @@ -16,11 +16,11 @@ use ieee.numeric_std.all;
use ieee.math_real.all;
library surf;
use surf.StdRtlPkg.all;
use work.ArtySegmentDisplayTestPkg.all;
use work.SegmentDisplayArtyTestPkg.all;
use work.SegmentDisplayPkg.all;
use work.MarkDebugPkg.all;

entity ArtySegmentDisplayTest is
entity SegmentDisplayArtyTest is
generic (
TPD_G : time := 1 ns
);
Expand Down Expand Up @@ -67,9 +67,9 @@ entity ArtySegmentDisplayTest is
ck_io40 : out sl;
ck_io41 : out sl
);
end ArtySegmentDisplayTest;
end SegmentDisplayArtyTest;
---------------------------------------------------------------------------------------------------
architecture rtl of ArtySegmentDisplayTest is
architecture rtl of SegmentDisplayArtyTest is

signal clk : sl;
signal rst : sl;
Expand Down
Original file line number Diff line number Diff line change
@@ -1,13 +1,13 @@
----------------------------------------------------------------------------------------------------
-- @brief ArtySegmentDisplayTestPkg
-- @brief SegmentDisplayArtyTestPkg
--
-- @author Rene Brglez ([email protected])
--
-- @date December 2022
--
-- @version v0.1
--
-- @file ArtySegmentDisplayTestPkg.vhd
-- @file SegmentDisplayArtyTestPkg.vhd
--
----------------------------------------------------------------------------------------------------

Expand All @@ -18,12 +18,12 @@ use ieee.math_real.all;
library surf;
use surf.StdRtlPkg.all;

package ArtySegmentDisplayTestPkg is
package SegmentDisplayArtyTestPkg is

constant CLK_FREQ_C : real := 100.0E6;

end ArtySegmentDisplayTestPkg;
end SegmentDisplayArtyTestPkg;

package body ArtySegmentDisplayTestPkg is
package body SegmentDisplayArtyTestPkg is

end package body ArtySegmentDisplayTestPkg;
end package body SegmentDisplayArtyTestPkg;

0 comments on commit bb7eabf

Please sign in to comment.