Skip to content

Commit

Permalink
[rtl] minor cleanups
Browse files Browse the repository at this point in the history
  • Loading branch information
stnolting committed Oct 30, 2023
1 parent 2a06ef2 commit dc499e4
Show file tree
Hide file tree
Showing 2 changed files with 4 additions and 4 deletions.
6 changes: 3 additions & 3 deletions rtl/core/neorv32_cpu_alu.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -143,9 +143,9 @@ begin
when alu_op_slt_c => res_o(XLEN-1 downto 1) <= (others => '0');
res_o(0) <= addsub_res(addsub_res'left); -- carry/borrow
when alu_op_movb_c => res_o <= opb;
when alu_op_xor_c => res_o <= rs1_i xor opb; -- only rs1 is required for logic ops (opa would also contain pc)
when alu_op_or_c => res_o <= rs1_i or opb;
when alu_op_and_c => res_o <= rs1_i and opb;
when alu_op_xor_c => res_o <= opb xor rs1_i;
when alu_op_or_c => res_o <= opb or rs1_i;
when alu_op_and_c => res_o <= opb and rs1_i;
when others => res_o <= addsub_res(XLEN-1 downto 0); -- don't care
end case;
end process alu_core;
Expand Down
2 changes: 1 addition & 1 deletion rtl/core/neorv32_package.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -59,7 +59,7 @@ package neorv32_package is

-- Architecture Constants -----------------------------------------------------------------
-- -------------------------------------------------------------------------------------------
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01090004"; -- hardware version
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01090005"; -- hardware version
constant archid_c : natural := 19; -- official RISC-V architecture ID
constant XLEN : natural := 32; -- native data path width, do not change!

Expand Down

0 comments on commit dc499e4

Please sign in to comment.