-
Notifications
You must be signed in to change notification settings - Fork 94
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
- Loading branch information
Luke Valenty
committed
Jun 15, 2018
1 parent
0df1e6d
commit 121a422
Showing
88 changed files
with
17,369 additions
and
0 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,8 @@ | ||
<?xml version="1.0" encoding="UTF-8"?> | ||
<!-- Product Version: Vivado v2017.4 (64-bit) --> | ||
<!-- --> | ||
<!-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. --> | ||
|
||
<labtools version="1" minor="0"> | ||
<HWSession Dir="hw_1" File="hw.xml"/> | ||
</labtools> |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,27 @@ | ||
<?xml version="1.0" encoding="UTF-8"?> | ||
<!-- Product Version: Vivado v2017.4 (64-bit) --> | ||
<!-- --> | ||
<!-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. --> | ||
|
||
<hwsession version="1" minor="2"> | ||
<device name="xc7a35t_0" gui_info=""/> | ||
<ObjectList object_type="hw_cfgmem" gui_info=""> | ||
<Object name="" gui_info=""> | ||
<Properties Property="PROGRAM.BLANK_CHECK" value="0"/> | ||
<Properties Property="PROGRAM.CFG_PROGRAM" value="1"/> | ||
<Properties Property="PROGRAM.CHECKSUM" value="0"/> | ||
<Properties Property="PROGRAM.ERASE" value="1"/> | ||
<Properties Property="PROGRAM.VERIFY" value="1"/> | ||
</Object> | ||
</ObjectList> | ||
<ObjectList object_type="hw_device" gui_info=""> | ||
<Object name="xc7a35t_0" gui_info=""> | ||
<Properties Property="FULL_PROBES.FILE" value=""/> | ||
<Properties Property="PROBES.FILE" value=""/> | ||
<Properties Property="PROGRAM.HW_BITSTREAM" value="$_project_name_.runs/impl_1/bootloader.bit"/> | ||
<Properties Property="PROGRAM.HW_CFGMEM_PART" value="mt25ql128-spi-x1_x2_x4"/> | ||
<Properties Property="SLR.COUNT" value="c:/Users/lvale/AppData/Roaming/Xilinx/Vivado/1"/> | ||
</Object> | ||
</ObjectList> | ||
<probeset name="hw project" active="false"/> | ||
</hwsession> |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1 @@ | ||
The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. |
77 changes: 77 additions & 0 deletions
77
boards/Digilent-Arty/Digilent-Arty.ip_user_files/ip/clk_wiz_0/clk_wiz_0.veo
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,77 @@ | ||
|
||
// | ||
// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. | ||
// | ||
// This file contains confidential and proprietary information | ||
// of Xilinx, Inc. and is protected under U.S. and | ||
// international copyright and other intellectual property | ||
// laws. | ||
// | ||
// DISCLAIMER | ||
// This disclaimer is not a license and does not grant any | ||
// rights to the materials distributed herewith. Except as | ||
// otherwise provided in a valid license issued to you by | ||
// Xilinx, and to the maximum extent permitted by applicable | ||
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND | ||
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES | ||
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING | ||
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- | ||
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and | ||
// (2) Xilinx shall not be liable (whether in contract or tort, | ||
// including negligence, or under any other theory of | ||
// liability) for any loss or damage of any kind or nature | ||
// related to, arising under or in connection with these | ||
// materials, including for any direct, or any indirect, | ||
// special, incidental, or consequential loss or damage | ||
// (including loss of data, profits, goodwill, or any type of | ||
// loss or damage suffered as a result of any action brought | ||
// by a third party) even if such damage or loss was | ||
// reasonably foreseeable or Xilinx had been advised of the | ||
// possibility of the same. | ||
// | ||
// CRITICAL APPLICATIONS | ||
// Xilinx products are not designed or intended to be fail- | ||
// safe, or for use in any application requiring fail-safe | ||
// performance, such as life-support or safety devices or | ||
// systems, Class III medical devices, nuclear facilities, | ||
// applications related to the deployment of airbags, or any | ||
// other applications that could lead to death, personal | ||
// injury, or severe property or environmental damage | ||
// (individually and collectively, "Critical | ||
// Applications"). Customer assumes the sole risk and | ||
// liability of any use of Xilinx products in Critical | ||
// Applications, subject only to applicable laws and | ||
// regulations governing limitations on product liability. | ||
// | ||
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS | ||
// PART OF THIS FILE AT ALL TIMES. | ||
// | ||
//---------------------------------------------------------------------------- | ||
// User entered comments | ||
//---------------------------------------------------------------------------- | ||
// None | ||
// | ||
//---------------------------------------------------------------------------- | ||
// Output Output Phase Duty Cycle Pk-to-Pk Phase | ||
// Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) | ||
//---------------------------------------------------------------------------- | ||
// clk_48mhz____48.000______0.000______50.0______281.382____301.601 | ||
// | ||
//---------------------------------------------------------------------------- | ||
// Input Clock Freq (MHz) Input Jitter (UI) | ||
//---------------------------------------------------------------------------- | ||
// __primary_________100.000____________0.010 | ||
|
||
// The following must be inserted into your Verilog file for this | ||
// core to be instantiated. Change the instance name and port connections | ||
// (in parentheses) to your own signal names. | ||
|
||
//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG | ||
|
||
clk_wiz_0 instance_name | ||
( | ||
// Clock out ports | ||
.clk_48mhz(clk_48mhz), // output clk_48mhz | ||
// Clock in ports | ||
.clk_100mhz(clk_100mhz)); // input clk_100mhz | ||
// INST_TAG_END ------ End INSTANTIATION Template --------- |
20 changes: 20 additions & 0 deletions
20
boards/Digilent-Arty/Digilent-Arty.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,20 @@ | ||
// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. | ||
// -------------------------------------------------------------------------------- | ||
// Tool Version: Vivado v.2017.4 (win64) Build 2086221 Fri Dec 15 20:55:39 MST 2017 | ||
// Date : Sun Apr 22 19:10:08 2018 | ||
// Host : DESKTOP-V34NFE6 running 64-bit major release (build 9200) | ||
// Command : write_verilog -force -mode synth_stub | ||
// c:/Users/lvale/Documents/TinyFPGA/repos/TinyFPGA-Bootloader/boards/Digilent-Arty/Digilent-Arty.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v | ||
// Design : clk_wiz_0 | ||
// Purpose : Stub declaration of top-level module interface | ||
// Device : xc7a35tcsg324-3 | ||
// -------------------------------------------------------------------------------- | ||
|
||
// This empty module with port declaration file causes synthesis tools to infer a black box for IP. | ||
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. | ||
// Please paste the declaration into a Verilog source file or add the file as an additional source. | ||
module clk_wiz_0(clk_48mhz, clk_100mhz) | ||
/* synthesis syn_black_box black_box_pad_pin="clk_48mhz,clk_100mhz" */; | ||
output clk_48mhz; | ||
input clk_100mhz; | ||
endmodule |
29 changes: 29 additions & 0 deletions
29
boards/Digilent-Arty/Digilent-Arty.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,29 @@ | ||
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. | ||
-- -------------------------------------------------------------------------------- | ||
-- Tool Version: Vivado v.2017.4 (win64) Build 2086221 Fri Dec 15 20:55:39 MST 2017 | ||
-- Date : Sun Apr 22 19:10:08 2018 | ||
-- Host : DESKTOP-V34NFE6 running 64-bit major release (build 9200) | ||
-- Command : write_vhdl -force -mode synth_stub | ||
-- c:/Users/lvale/Documents/TinyFPGA/repos/TinyFPGA-Bootloader/boards/Digilent-Arty/Digilent-Arty.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl | ||
-- Design : clk_wiz_0 | ||
-- Purpose : Stub declaration of top-level module interface | ||
-- Device : xc7a35tcsg324-3 | ||
-- -------------------------------------------------------------------------------- | ||
library IEEE; | ||
use IEEE.STD_LOGIC_1164.ALL; | ||
|
||
entity clk_wiz_0 is | ||
Port ( | ||
clk_48mhz : out STD_LOGIC; | ||
clk_100mhz : in STD_LOGIC | ||
); | ||
|
||
end clk_wiz_0; | ||
|
||
architecture stub of clk_wiz_0 is | ||
attribute syn_black_box : boolean; | ||
attribute black_box_pad_pin : string; | ||
attribute syn_black_box of stub : architecture is true; | ||
attribute black_box_pad_pin of stub : architecture is "clk_48mhz,clk_100mhz"; | ||
begin | ||
end; |
Oops, something went wrong.