Skip to content

Commit

Permalink
Update synth scripts after abc9 cli change
Browse files Browse the repository at this point in the history
  • Loading branch information
povik committed Mar 14, 2024
1 parent 09919fe commit b1345cb
Show file tree
Hide file tree
Showing 7 changed files with 9 additions and 9 deletions.
2 changes: 1 addition & 1 deletion techlibs/ecp5/synth_ecp5.cc
Original file line number Diff line number Diff line change
Expand Up @@ -388,7 +388,7 @@ struct SynthEcp5Pass : public ScriptPass
abc9_opts += " -maxlut 4";
if (dff)
abc9_opts += " -dff";
run("abc9" + abc9_opts);
run("abc9 -lutlib" + abc9_opts);
} else {
std::string abc_args = " -dress";
if (nowidelut)
Expand Down
4 changes: 2 additions & 2 deletions techlibs/gowin/synth_gowin.cc
Original file line number Diff line number Diff line change
Expand Up @@ -278,12 +278,12 @@ struct SynthGowinPass : public ScriptPass
{
if (nowidelut && abc9) {
run("read_verilog -icells -lib -specify +/abc9_model.v");
run("abc9 -maxlut 4 -W 500");
run("abc9 -lutlib -maxlut 4 -W 500");
} else if (nowidelut && !abc9) {
run("abc -lut 4");
} else if (!nowidelut && abc9) {
run("read_verilog -icells -lib -specify +/abc9_model.v");
run("abc9 -maxlut 8 -W 500");
run("abc9 -lutlib -maxlut 8 -W 500");
} else if (!nowidelut && !abc9) {
run("abc -lut 4:8");
}
Expand Down
2 changes: 1 addition & 1 deletion techlibs/ice40/synth_ice40.cc
Original file line number Diff line number Diff line change
Expand Up @@ -424,7 +424,7 @@ struct SynthIce40Pass : public ScriptPass
}
if (dff)
abc9_opts += " -dff";
run("abc9 " + abc9_opts);
run("abc9 -lutlib" + abc9_opts);
}
else
run(stringf("abc -dress -lut 4 %s", dff ? "-dff" : ""), "(skip if -noabc)");
Expand Down
2 changes: 1 addition & 1 deletion techlibs/lattice/synth_lattice.cc
Original file line number Diff line number Diff line change
Expand Up @@ -454,7 +454,7 @@ struct SynthLatticePass : public ScriptPass
abc9_opts += " -maxlut 4";
if (dff)
abc9_opts += " -dff";
run("abc9" + abc9_opts);
run("abc9 -lutlib" + abc9_opts);
} else {
std::string abc_args = " -dress";
if (nowidelut)
Expand Down
2 changes: 1 addition & 1 deletion techlibs/nexus/synth_nexus.cc
Original file line number Diff line number Diff line change
Expand Up @@ -366,7 +366,7 @@ struct SynthNexusPass : public ScriptPass
abc9_opts += " -maxlut 4";
if (dff)
abc9_opts += " -dff";
run("abc9" + abc9_opts);
run("abc9 -lutlib" + abc9_opts);
} else {
std::string abc_args = " -dress";
if (nowidelut)
Expand Down
4 changes: 2 additions & 2 deletions techlibs/quicklogic/synth_quicklogic.cc
Original file line number Diff line number Diff line change
Expand Up @@ -304,7 +304,7 @@ struct SynthQuickLogicPass : public ScriptPass {
if (abc9) {
run("read_verilog -lib -specify -icells " + lib_path + family + "/abc9_model.v");
run("techmap -map " + lib_path + family + "/abc9_map.v");
run("abc9 -maxlut 4 -dff");
run("abc9 -lutlib -maxlut 4 -dff");
run("techmap -map " + lib_path + family + "/abc9_unmap.v");
} else {
run("abc -luts 1,2,2,4 -dress");
Expand All @@ -314,7 +314,7 @@ struct SynthQuickLogicPass : public ScriptPass {

if (check_label("map_luts", "(for qlf_k6n10f)") && (help_mode || family == "qlf_k6n10f")) {
if (abc9) {
run("abc9 -maxlut 6");
run("abc9 -lutlib -maxlut 6");
} else {
run("abc -lut 6 -dress");
}
Expand Down
2 changes: 1 addition & 1 deletion techlibs/xilinx/synth_xilinx.cc
Original file line number Diff line number Diff line change
Expand Up @@ -650,7 +650,7 @@ struct SynthXilinxPass : public ScriptPass
abc9_opts += stringf(" -maxlut %d", lut_size);
if (dff)
abc9_opts += " -dff";
run("abc9" + abc9_opts);
run("abc9 -lutlib" + abc9_opts);
}
else {
std::string abc_opts;
Expand Down

0 comments on commit b1345cb

Please sign in to comment.