Skip to content

Commit

Permalink
updated hierclock.tcl test. Signed-off-by: Andy Fox <[email protected]>
Browse files Browse the repository at this point in the history
Signed-off-by: andyfox-rushc <[email protected]>
  • Loading branch information
andyfox-rushc committed May 23, 2024
1 parent ce54e9d commit e7897ff
Showing 1 changed file with 7 additions and 5 deletions.
12 changes: 7 additions & 5 deletions src/dbSta/test/hierclock.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -3,13 +3,15 @@ source "helpers.tcl"
read_lef Nangate45/Nangate45.lef
read_liberty Nangate45/Nangate45_typ.lib
read_verilog hierclock_gate.v
link_design hierclock
link_design hierclock -hier

create_clock -name sys_clk -period 1.0 -waveform {0.0 1.0} [get_port clk_i]
create_clock -name clk1 -period 4.0 -waveform {0.0 3.0} [get_pins U1/clk1_o]
create_clock -name clk2 -period 8.0 -waveform {0.0 7.0} [get_pins U1/clk2_o]
#make some hierarchical clocks.
create_clock -name clk1 -period 2.0 -waveform {0.0 1.0} [get_pins U2/clk_i]
create_clock -name clk2 -period 4.0 -waveform {0.0 2.0} [get_pins U3/clk_i]

report_checks -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded

report_checks -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded

write_verilog hierclock_out.v
diff_files hierclock_out.v hierclock_out.vok

0 comments on commit e7897ff

Please sign in to comment.