Skip to content

Commit

Permalink
use LVDS not TMDS33 on TE0725 that has 1.8V VCC_AUX MEGA65#366
Browse files Browse the repository at this point in the history
  • Loading branch information
gardners committed Feb 23, 2021
1 parent 55e425f commit d0c8a0c
Showing 1 changed file with 8 additions and 8 deletions.
16 changes: 8 additions & 8 deletions src/vhdl/megaphoner4.xdc
Original file line number Diff line number Diff line change
Expand Up @@ -24,24 +24,24 @@ set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets clocks1/clock124mhz]
############## HDMIOUT define##################

set_property PACKAGE_PIN A3 [get_ports TMDS_clk_n]
set_property IOSTANDARD TMDS_33 [get_ports TMDS_clk_n]
set_property IOSTANDARD LVDS18 [get_ports TMDS_clk_n]
set_property PACKAGE_PIN A4 [get_ports TMDS_clk_p]
set_property IOSTANDARD TMDS_33 [get_ports TMDS_clk_p]
set_property IOSTANDARD LVDS18 [get_ports TMDS_clk_p]

set_property PACKAGE_PIN B2 [get_ports {TMDS_data_n[0]}]
set_property IOSTANDARD TMDS_33 [get_ports {TMDS_data_n[0]}]
set_property IOSTANDARD LVDS18 [get_ports {TMDS_data_n[0]}]
set_property PACKAGE_PIN B3 [get_ports {TMDS_data_p[0]}]
set_property IOSTANDARD TMDS_33 [get_ports {TMDS_data_p[0]}]
set_property IOSTANDARD LVDS18 [get_ports {TMDS_data_p[0]}]

set_property PACKAGE_PIN A1 [get_ports {TMDS_data_n[1]}]
set_property IOSTANDARD TMDS_33 [get_ports {TMDS_data_n[1]}]
set_property IOSTANDARD LVDS18 [get_ports {TMDS_data_n[1]}]
set_property PACKAGE_PIN B1 [get_ports {TMDS_data_p[1]}]
set_property IOSTANDARD TMDS_33 [get_ports {TMDS_data_p[1]}]
set_property IOSTANDARD LVDS18 [get_ports {TMDS_data_p[1]}]

set_property PACKAGE_PIN C1 [get_ports {TMDS_data_n[2]}]
set_property IOSTANDARD TMDS_33 [get_ports {TMDS_data_n[2]}]
set_property IOSTANDARD LVDS18 [get_ports {TMDS_data_n[2]}]
set_property PACKAGE_PIN C2 [get_ports {TMDS_data_p[2]}]
set_property IOSTANDARD TMDS_33 [get_ports {TMDS_data_p[2]}]
set_property IOSTANDARD LVDS18 [get_ports {TMDS_data_p[2]}]

## LED on TE0725
set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports led]
Expand Down

0 comments on commit d0c8a0c

Please sign in to comment.